From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============1146835177474927738==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: Re: [PATCH 11/12] nvmet-auth: Diffie-Hellman key exchange support Date: Sun, 12 Sep 2021 07:47:12 +0800 Message-ID: <202109120742.4R2a7UQU-lkp@intel.com> In-Reply-To: <20210910064322.67705-12-hare@suse.de> List-Id: --===============1146835177474927738== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable Hi Hannes, I love your patch! Perhaps something to improve: [auto build test WARNING on linus/master] [also build test WARNING on v5.14 next-20210910] [cannot apply to cryptodev/master crypto/master linux-nvme/for-next] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Hannes-Reinecke/nvme-In-ba= nd-authentication-support/20210910-144627 base: https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git = bf9f243f23e6623f310ba03fbb14e10ec3a61290 config: powerpc-randconfig-s032-20210911 (attached as .config) compiler: powerpc64-linux-gcc (GCC) 11.2.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # apt-get install sparse # sparse version: v0.6.4-dirty # https://github.com/0day-ci/linux/commit/3439accdd50a505d2d15d42f2= 98b5e2d727a5607 git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Hannes-Reinecke/nvme-In-band-authe= ntication-support/20210910-144627 git checkout 3439accdd50a505d2d15d42f298b5e2d727a5607 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dgcc-11.2.0 make.cross= C=3D1 CF=3D'-fdiagnostic-prefix -D__CHECK_ENDIAN__' ARCH=3Dpowerpc = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot sparse warnings: (new ones prefixed by >>) drivers/nvme/target/fabrics-cmd-auth.c:20:30: sparse: sparse: invalid as= signment: |=3D drivers/nvme/target/fabrics-cmd-auth.c:20:30: sparse: left side has t= ype restricted __le32 drivers/nvme/target/fabrics-cmd-auth.c:20:30: sparse: right side has = type int >> drivers/nvme/target/fabrics-cmd-auth.c:108:49: sparse: sparse: incorrect= type in argument 3 (different base types) @@ expected int buf_size @@ = got restricted __le16 [usertype] dhvlen @@ drivers/nvme/target/fabrics-cmd-auth.c:108:49: sparse: expected int = buf_size drivers/nvme/target/fabrics-cmd-auth.c:108:49: sparse: got restricte= d __le16 [usertype] dhvlen >> drivers/nvme/target/fabrics-cmd-auth.c:344:30: sparse: sparse: incorrect= type in assignment (different base types) @@ expected restricted __le1= 6 [usertype] dhvlen @@ got unsigned int [usertype] dh_keysize @@ drivers/nvme/target/fabrics-cmd-auth.c:344:30: sparse: expected rest= ricted __le16 [usertype] dhvlen drivers/nvme/target/fabrics-cmd-auth.c:344:30: sparse: got unsigned = int [usertype] dh_keysize drivers/nvme/target/fabrics-cmd-auth.c:346:55: sparse: sparse: incorrect= type in argument 3 (different base types) @@ expected int buf_size @@ = got restricted __le16 [usertype] dhvlen @@ drivers/nvme/target/fabrics-cmd-auth.c:346:55: sparse: expected int = buf_size drivers/nvme/target/fabrics-cmd-auth.c:346:55: sparse: got restricte= d __le16 [usertype] dhvlen drivers/nvme/target/fabrics-cmd-auth.c:383:20: sparse: sparse: incorrect= type in assignment (different base types) @@ expected restricted __le1= 6 [usertype] t_id @@ got restricted __le32 [usertype] @@ drivers/nvme/target/fabrics-cmd-auth.c:383:20: sparse: expected rest= ricted __le16 [usertype] t_id drivers/nvme/target/fabrics-cmd-auth.c:383:20: sparse: got restricte= d __le32 [usertype] vim +108 drivers/nvme/target/fabrics-cmd-auth.c 14 = 15 void nvmet_init_auth(struct nvmet_ctrl *ctrl, struct nvmet_req *req) 16 { 17 /* Initialize in-band authentication */ 18 req->sq->authenticated =3D false; 19 req->sq->dhchap_step =3D NVME_AUTH_DHCHAP_MESSAGE_NEGOTIATE; > 20 req->cqe->result.u32 |=3D 0x2 << 16; 21 } 22 = 23 static u16 nvmet_auth_negotiate(struct nvmet_req *req, void *d) 24 { 25 struct nvmet_ctrl *ctrl =3D req->sq->ctrl; 26 struct nvmf_auth_dhchap_negotiate_data *data =3D d; 27 int i, hash_id, null_dh =3D -1; 28 = 29 pr_debug("%s: ctrl %d qid %d: data sc_d %d napd %d authid %d halen = %d dhlen %d\n", 30 __func__, ctrl->cntlid, req->sq->qid, 31 data->sc_c, data->napd, data->auth_protocol[0].dhchap.authid, 32 data->auth_protocol[0].dhchap.halen, 33 data->auth_protocol[0].dhchap.dhlen); 34 req->sq->dhchap_tid =3D le16_to_cpu(data->t_id); 35 if (data->sc_c) 36 return NVME_AUTH_DHCHAP_FAILURE_CONCAT_MISMATCH; 37 = 38 if (data->napd !=3D 1) 39 return NVME_AUTH_DHCHAP_FAILURE_HASH_UNUSABLE; 40 = 41 if (data->auth_protocol[0].dhchap.authid !=3D 42 NVME_AUTH_DHCHAP_AUTH_ID) 43 return NVME_AUTH_DHCHAP_FAILURE_INCORRECT_PAYLOAD; 44 = 45 hash_id =3D 0; 46 for (i =3D 0; i < data->auth_protocol[0].dhchap.halen; i++) { 47 if (ctrl->shash_id !=3D data->auth_protocol[0].dhchap.idlist[i]) 48 continue; 49 hash_id =3D ctrl->shash_id; 50 break; 51 } 52 if (hash_id =3D=3D 0) { 53 pr_debug("%s: ctrl %d qid %d: no usable hash found\n", 54 __func__, ctrl->cntlid, req->sq->qid); 55 return NVME_AUTH_DHCHAP_FAILURE_HASH_UNUSABLE; 56 } 57 = 58 for (i =3D data->auth_protocol[0].dhchap.halen; 59 i < data->auth_protocol[0].dhchap.halen + 60 data->auth_protocol[0].dhchap.dhlen; i++) { 61 int dhgid =3D data->auth_protocol[0].dhchap.idlist[i]; 62 = 63 if (dhgid =3D=3D NVME_AUTH_DHCHAP_DHGROUP_NULL) { 64 null_dh =3D dhgid; 65 continue; 66 } 67 if (ctrl->dh_tfm && ctrl->dh_gid =3D=3D dhgid) { 68 pr_debug("%s: ctrl %d qid %d: reusing existing DH group %d\n", 69 __func__, ctrl->cntlid, req->sq->qid, dhgid); 70 break; 71 } 72 if (nvmet_setup_dhgroup(ctrl, dhgid) < 0) 73 continue; 74 if (nvme_auth_gen_privkey(ctrl->dh_tfm, dhgid) =3D=3D 0) 75 break; 76 crypto_free_kpp(ctrl->dh_tfm); 77 ctrl->dh_tfm =3D NULL; 78 ctrl->dh_gid =3D 0; 79 } 80 if (!ctrl->dh_tfm && null_dh < 0) { 81 pr_debug("%s: ctrl %d qid %d: no DH group selected\n", 82 __func__, ctrl->cntlid, req->sq->qid); 83 return NVME_AUTH_DHCHAP_FAILURE_DHGROUP_UNUSABLE; 84 } 85 pr_debug("%s: ctrl %d qid %d: DH group %s (%d)\n", 86 __func__, ctrl->cntlid, req->sq->qid, 87 nvme_auth_dhgroup_name(ctrl->dh_gid), ctrl->dh_gid); 88 return 0; 89 } 90 = 91 static u16 nvmet_auth_reply(struct nvmet_req *req, void *d) 92 { 93 struct nvmet_ctrl *ctrl =3D req->sq->ctrl; 94 struct nvmf_auth_dhchap_reply_data *data =3D d; 95 int hash_len =3D crypto_shash_digestsize(ctrl->shash_tfm); 96 u8 *response; 97 = 98 pr_debug("%s: ctrl %d qid %d: data hl %d cvalid %d dhvlen %d\n", 99 __func__, ctrl->cntlid, req->sq->qid, 100 data->hl, data->cvalid, data->dhvlen); 101 if (data->hl !=3D hash_len) 102 return NVME_AUTH_DHCHAP_FAILURE_INCORRECT_PAYLOAD; 103 = 104 if (data->dhvlen) { 105 if (!ctrl->dh_tfm) 106 return NVME_AUTH_DHCHAP_FAILURE_INCORRECT_PAYLOAD; 107 if (nvmet_auth_ctrl_sesskey(req, data->rval + 2 * data->hl, > 108 data->dhvlen) < 0) 109 return NVME_AUTH_DHCHAP_FAILURE_DHGROUP_UNUSABLE; 110 } 111 = 112 response =3D kmalloc(data->hl, GFP_KERNEL); 113 if (!response) 114 return NVME_AUTH_DHCHAP_FAILURE_FAILED; 115 = 116 if (nvmet_auth_host_hash(req, response, data->hl) < 0) { 117 pr_debug("ctrl %d qid %d DH-HMAC-CHAP hash failed\n", 118 ctrl->cntlid, req->sq->qid); 119 kfree(response); 120 return NVME_AUTH_DHCHAP_FAILURE_FAILED; 121 } 122 = 123 if (memcmp(data->rval, response, data->hl)) { 124 pr_info("ctrl %d qid %d DH-HMAC-CHAP response mismatch\n", 125 ctrl->cntlid, req->sq->qid); 126 kfree(response); 127 return NVME_AUTH_DHCHAP_FAILURE_FAILED; 128 } 129 kfree(response); 130 pr_info("ctrl %d qid %d DH-HMAC-CHAP host authenticated\n", 131 ctrl->cntlid, req->sq->qid); 132 if (data->cvalid) { 133 req->sq->dhchap_c2 =3D kmalloc(data->hl, GFP_KERNEL); 134 if (!req->sq->dhchap_c2) 135 return NVME_AUTH_DHCHAP_FAILURE_FAILED; 136 memcpy(req->sq->dhchap_c2, data->rval + data->hl, data->hl); 137 = 138 pr_debug("ctrl %d qid %d challenge %*ph\n", 139 ctrl->cntlid, req->sq->qid, data->hl, 140 req->sq->dhchap_c2); 141 req->sq->dhchap_s2 =3D le32_to_cpu(data->seqnum); 142 } else 143 req->sq->dhchap_c2 =3D NULL; 144 = 145 return 0; 146 } 147 = --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============1146835177474927738== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICIk6PWEAAy5jb25maWcAjDxLc+M20vf8CpVz2T0k8WucpL7yAQRBCRFJ0AAo2b6wNB45ccVj z/qxm/n3Xzf4AsCmZnLImN2NBtho9BPUjz/8uGDvb8+fd28Pd7vHx6+LP/dP+5fd2/7T4v7hcf9/ i1QtSmUXIpX2ZyDOH57e//nly/P/9i9f7hYffj45//l4sd6/PO0fF/z56f7hz3cY/fD89MOPP3BV ZnLZcN5shDZSlY0V1/byqBt9cf7TI3L76c+7u8W/lpz/e3Fy8vPpz8dH3khpGsBcfu1By5Hb5cnJ 8enx8UCcs3I54AYwM45HWY88ANSTnZ79OnLIUyRNsnQkBRBN6iGOveWugDczRbNUVo1cIkSjalvV lsTLMpelmKBK1VRaZTIXTVY2zFo9kkh91WyVXo+QpJZ5amUhGssSGGKU9mazKy0YvGqZKfgfkBgc Cvv142LpNv9x8bp/e/8y7mCi1VqUDWygKSpv4lLaRpSbhmmQiCykvTw7HRauigqXa4XBuX9cdPCt 0FrpxcPr4un5DScaRKo4y3uZHh0F79IYllsPuGIb0ayFLkXeLG+ltyYfk98WbA6j/DV5nPyFxXjk Ryw84NnBUpGxOrdORN66e/BKGVuyQlwe/evp+Wn/76NxLrNl9CLMjdnIipO4Shl53RRXtagFscIt s3zVOKz/2lwrY5pCFErfoE4xviK510bkMiH4shqsQiRhpmEqh4AFw47m3rkLoU7jQHkXr+8fX7++ vu0/jxq3FKXQkjvdNiu19QxAhGlysRE5jZflH4Jb1CcSzVe+5iAkVQWTZQgzsqCImpUUGl/2JsRm SnORdodMlssRayqmjUAiejmpSOplZtwO7Z8+LZ7vI+nEg9wJ30zE3KM5nKg1CKe0hkAWyjR1lTIr +q2wD5/3L6/UbljJ13D6Bcjb3+7bpgJeKpXc1yowVYCRaU5pIvyDPqCxmvF1K51RHSNcK0pSI90c BPuVXK4aLYwTjQ5EOXm7wcBUWaTCAkDNH06znWDgkZIKUk2EPw4dFtuBGpZv2Y0BOZKvhFR1WWm5 GayEyjLiHeE06kKlokmBVmj/HcOFeuZBC1FUFsRWUpvSozcqr0vL9I2/+g55YBhXMKqXFa/qX+zu 9e/FG8h7sYN1vb7t3l4Xu7u75/ent4enP0cBbqSG0VXdMO54RPrgtC5Ek5Ij2DQlsyAcYtEUMZwJ f148i+5o0fP2b29kICYjh31LpUG3m4ar7fboOwQ0HBZYpjQqZ50JcwLWvF4Y6oiWNw3gRk2Eh0Zc wwn1jqwJKNyYCATBgHFDO0NBoCagGpSRgOM5PoxoXCBSJL4Oh+/n7cq6/YNUAbleASc48T7Wicvc /bX/9P64f1nc73dv7y/7VwfuJiOwUeAlS3ty+ltgppZa1ZWhjNtK8HWlYAjaIKt04G0NoFPwgVY5 BsR4MCaZAS2Cg8XBLnthaIxpNqc+ay1ydkMwTPI1DNq4KER77NwzK4ClUTXYWIxQRmbpfCAEuARw p8RcgArjLQBc3waPQYTkns+Dt0ibW2NT6j2UQjODfweRsargnMpbgZ4CfRH8U7CSh0FORGbgD8pz oOGHQDNFjeTOvDLLGoFhbcnCGOL7yZSuVqyE+EuXwWZym8PZ5KKyLivC8zDih0M7vEMBVkWi3aeU ZilsAcdq6opapZmAM1gROOcR0AaPnscc7Dto8pp2VjV9DhMGAU5W5zmx0KwG7+4tAx+bSnrvXalg +XJZstxPxtwSfYALbnyAWUE06wWc0lM4qZpaBwEZSzcS1ttJyAuSgEnCtJbCy7LWSHJTmCmkCcQ7 QJ0s8GSiJxrxuI3OTfjrdkEzmptx5gbXlEAkZA6TmZsSornW1vRL4H6WBjGnF3C6ILOHDfsG7ESa CursuYOBZ6uJQ8mKnxyf926pKwFU+5f755fPu6e7/UL8d/8Ejo2BqeXo2iD8akOEbvjIk3SU38lx cOxFy6wNRyJVxmSUWchj19QJylkSGOq8TugMLFdzCJbAnuil6GOAmWmaDGKmXBpwEHAIlaeqIXbF dAr+Nw2WtaqzDBLqisE0oBuQLlsykQZ9tqJoDRMEiDKTvLdM3tnGYgId2Thz5NxYEECHtYFBCSp+ MShB9fJ8t399fX6BKPTLl+eXt2C/K46GfH1mmotzKqDq8aK5CPzCkLJUNTFMfPhwfIy4QJ8vOiD1 egz2qY0BYTrv5I4L8CwM8AkpEFLwEJZVGMoszQTqmTuT4ylzWl/4XgJnFNFAoB0WMspvdYOSS6Ql dZDlaGf4jGCLooboH0zHinpfRAdTAbXzHrS2F1TwUmoUqbk88dmnSulEOPM46NFUSYYjnBp1dup5 eNwi3IQylcwTmROCZ62LOjKRRcGqRpcQrEgLxpJde3UpigBS/pPfaILecvSMTn79DjrkdxIYUCNs XeHmt7kHxL3jkksBAV2Pcpa4yaQGK8BXdbmeoXNWgCbTmMGYyw8nwzsbC36kjbpNXVVBNRCLBBBY ThH9sVttBWTVNtCbSIk6V1QqUwkPJ5jOb7owwhvByq52oWoLch/Lp06Enkl0AbMqIHXOIFoFy4c2 yffJOHmdJsvm5AKsQFRochs0rcjVsgDRxT5VJkK38RuGQ0YmeUzSyQeLJlolIjrrEEr0rnViB0ac ZNxcntK49BBuA7jj4GCxrS+HZVvndaUwc3nuGx0MDUqwd5pXxWCnH3dv6FQ9Mz3IXBVeIcrjYxSn bNWVAFvRWUnP3bZzdipE1UzBXS/rtjQ8hH+sgiieaYY5ejiLytocALMWiBKDCjniwSRBkHwN6iL9 iLKo/AQWn9oYMoitEVqYpZ6kjug+spf9f973T3dfF693u8e2ejG+JpxDcNlXc3k+MbpnLD897hef Xh7+u38B0DAdgr3GCeb/QajeQ5ql2jQ5g5AteJcAXYiScn8BjRVqhvkK/EkXj7cqo7ZCV3xY5SJ1 iw+y6XkaXyjtO3oQXxaB12+05aRsJ/rrh6DPX7D9FISaq9vm5PiYCm5vm9MPgeoC5CwkjbjQbC6B TWi3VhrrV4GmMbsC+1639RyqAwKjMK80tk4g/LfOfHlNiGtBV/8dpsHmEJWXCxcpstai+SWDHuxa NCRjriFgaNKadPitVRS54LbvIWBZMo/sZgavC2hw4owwqq4kTqFlnosly3ub32xYXovL438+fNrv Pn3c7++P2/98K3C+dm4xMr/OU5qVzMDZDPa164F14MFgupg5pnVlaHT+za0qhdJ46E7OhlNTpM4e jU0ecQ1mqgszTVBaqYpDmU6vuG3N+f3V0+TQDoeGOU94UAP2Bg4hgSohBAB97HpVvjMG4agsg/gE hHt3HP43WOu2wwU89CEyjFAh1xgJYwI07DXL5e0kH0F/0EbWEzPc5x27l7u/Ht72d1im++nT/gu8 L2SD1HFftx6a0Nk/QJXBbiYiDzKrXjYQYINCZ/F58AM5kUE6JTHPrEt4k2WJNT3OhYnTdMjzXe/S yrJJsKk34h0jCT4Sg0dYa9xCW8cRRgvVwpIIVdHwjg22bbOoxOTwWV265ljjOrJUs6wNGSGahEO8 NNMQcWz4OcoVhAfT+BF9s3Mr7ZkjqhlwwKzMbvpi5JQAtLMzMfHcpkCj03WNYwFgKNZAnt3Gv902 NcyPEFq6oEISFzyasogHOGwY6I1wLPB0M6LlpKQVqOEBLFE+wkRuCY4E5miNN9YMSDTW179B0ppG eRvLfMtAvzGJQNMAcoMlMItZ4mRvYM0gnsawTIAlrK75ahnzEmyN7yGwHMb4VS01PZ0z1dgg7e8A EERdlvVdtCpPPXpK0EZwJDiAQqcaZByTIXOEbdaHWoAHHA6WCiK1EEPFAla5dl3EkU/7pz6a7qh9 g6LrfwXGadoCiyjg1PUuXHAsMXkKptI6B7uDFhCsqVNigr+4hhwNrI/rsaM4YuGpzCIOSNS2jEkG 2+BmcMW0QI/HrQxy/UOFAq8GQIz2Evw5Jj5JlP+DDGR7FWdIxam1lhtIcMCge1PwHLSgwULwlunU Q6B+G7k0NexA6ZnVbhUdmvHY1Xb4s1NYp9vkudgOpeuKTqCKqevsDDywaOCXWylfO57ESSOk9etc bX76uHvdf1r83QZAX16e7x/iJAvJugDk0EIdWX9FioUVp0MzBXuAl8uqvF7KMqgee2AyfvvOCGWI yMGZYfPDd9iuWWAKXPhJdI6CFMKBuog0Vywlw/aOqi5jihE/9ZizrrTjBhoM+QCfIozm/fW+Xu4R wUzTtkOjPmp0zPHthFnCuD05Q+a6j7NM4lZjTIgV9m1TSGPa+wSFgGQFo6HClYHo+V3EBa7Jri6P fnn9+PD0y+fnT6BsH/dHsXW04JRhC9W69rxJggfLf2z7t4lxxzasG4+4XCZTOKZNSy3tzQFUY0+O Lz/HaMxy0nDUNglvtLSgprgixNCywbKVX0LyocMMAUODxb6KUacc0e3FSMgTub6pwjCVRDdZ1z8b Khi7l7cHPIkL+/XL3suqIJKw0g1h6Qbbx36MypUuRwp/zRGq4XXBSkaqVEwqhFHX30UpOWlaIyqW +qKOsa4sYwU/tHgtDZfX1FTymn59ZbIRQQ0swPnMSA7SQ3lwcME4PbQwqTL00NE5pcU3KMxyZvrx ymNutf/mVJutDhWjTz6ZLmbeW2TfmhbveF78dnDart4QzdAXxiIdD+zKpMCO56a4ciGh3yjvwDr1 b3O0HFYQVUDeEFxRaa9xqvEujXe0gI1UbT0Mb0x0hdtxN0f0+iYJrzeMd3s6iiSjC63h1KM7K0/G 1ddlZx9MBek4OsZJLDnU95mFUJI3utheTqPNopBqmxCIEsNU8IM5qyp0GCxNnZtxTqOXkvhnf/f+ tvv4uHeX6xeusf3mySuRZVZYjGkn8RyFgoew898RGa5lZcGyjwa2RYA7o0uIyCau8w0Snlu2e6di //n55eui2D3t/tx/DisyQ450oEjY1wfBeNYsLMsMxcEWR90paQd76dgwZgP/w8A8Liy2pQ9mbLP0 Pa/byLUQlbtbEepHt3D/Kp4/qp2hp1opi7Hit2g0/OXn9abKIRyvbHtQsZF6Hk2fYEQSuL0W0CpI VLmhYC7b1wK1PMjnwFBrFg+HnG/Z3jLwGGAygIrd2LgHuzbeJvStNif/QpZuzOX58e8XQ0HSb2Wu iyA9yQX4YAZHnjR+nkmChzakIEC+P0Sg62aHIGzCmstfx5lvK6UoJbt1cbkviB7iKofTilfb9+wK fJH8XSUMy2kj3IEccprVY6TUBIYYpIZCw5n9a9111X9pMUnhrGiTdBaX5hG7qpeiGsx4unvbLdgd 9ucXxfPTw9vzS3BjN2VBDOoem43bXm8LW3CaLOMLBJ09mZulx8+blFF/bKTrFmFg4sF1gdkNuwDY igSp6qBIi0BBwMAOSnBufh96nWBNX5R9YuREVe7f/vf88jcsfGrw4OithR0j6/a5SSVsu2eUwStR IZfNg5wPHjEsl5w6EIi0yrMj15kuwic4xcvgaxcHjG8ThljXc8nYzO17R2LqpMFWLL+Zp2ktC7Xu dmGrUUQOAClWtHZZuXKbJzTco7Wgbrv2LGDxvAocSUE7veu0wnYKbA0VZMtWycY4pGrvYXI20y0D gj6BaLSCDJ+q6wFRVfpfnbjnJl3xKRBb3lOoZjp4O6fd1cyF3RYJag4qVNSUtrUUja3LoDGEb+te Ykw8vSgVnY5aS7LH0jLcWDluLoLqdDoJwjNVTwDjgrzDifsRqIwDtCoz7kEHw8L1bH2kJ3KKMrPz o+b5QKdi3VuEGBLoDnz4AjAjBUbpdObBB2u2nViNgTNsqbFaUUcBZ4E/l0RGO6ASyX22A5zXiaTP y0CyhYm3SlG1pYFmhYfw8xRsrK/pI/wm8avEA3wjluClp3zKDUGM93jjRveAzOkT4s1UqsMUN4LR H8gNFDIHF6QkdSwGmpRH5mmUfEpexxw2LPH6C8OXhJ3cRufbf4TCI+WeUAC/g3gdCSRC9+u6PPrr 68fH3ZG/3iL9YPy7+mAPLjzVhqfOQuJHRFlI12Lc56kRor3Hb7BXm7I0PEEXE9Nw4WxDdHAu5oxD TDNxIi2mtQoz5h+WWMjqYpbtYCPCMWAqSUcBKCNtJDaANBfBtxwILVNI7CAHTYW9qUSEnJgmBKLZ nUBoUuf1qrz7mNhE3CEOwFJmDG6dR+g/B3DMcu71K1mYov3WJZhSLC+afDt1WT1uVTA+mVpX+TBo fgOlYsUFSddRFVVgwJw1drDIrAMcP8rGBmHB9Dr0cZWt8Ht1Y2QWfGzXD4JMy7VmIMovqrnv3oC4 7TpSFdMqbkiCS005jx0agnoP02YAAFhwLtPXyef8fqDjxiHZaZtvzQVEA90ZnQnMzTaupbscs9rd /R2kIj3zPgMMeUajvEGGW08I+DRYtDamcdqDFiwIeebozIqd0KW8uREzH2E6+m+t4NDM/ra2k0eB g05Jv4TNcT8OwOZ6AUkQw6BkZkDjquyefXbAMIBhNsjr4RFUnmzcICpnfq8bIUWlmL8whCX69OK3 c1Le+aklv6nzdzvRMl2K+LmRywL0olSqir5A7fCFpi1+h+ZZQUzsTpdhceoCIDB6mID/fnZG7Z9P lGhe9PH35zmCeUyDV+j4zQEC8L6ueUxSrESeczDtaxq9NFtZ0Sj899CyD8hFwB/fkEphZ1a0Nrc0 Qtv8vJmdUnGRKzqlm5I1vx2fnlBtL5/0ijN6IaDlv58dn9FI8wc7OTn+QCOtZjIHe04ir7X59fj4 2n/BDUw1u9ZU8KCC0j53IdkIhu33WcLjKSklZllOfVh1feq9TM6qxOdWrcAOUtfsLnK1rVjp03ag vrRHLqKnKVfUfXMphEBpfDj3POIAa8q8+8N9ewmOt7R+tcyjbOsFnhcdUcTCwU63yBmFcZ2NvqJ0 9b5/34O3+qVrZwTurqNueHIVhhIIXNmEAGaGh+qC0NYqR8BKSxWfDoS7MG1O2ZFA+5llDzQZsRqT XU0XY8VVHoU/LTyhfvhgFIGZ8odYaAq0rHuzCL4k150aF6hNqOFfQQgt1ZqU2RXOOWtNnCzWSUwT v+IKP7QguF9lh7aDd62VybDsqsUdGsv8TzvGgVN5rFaEqCtJrhcmBsyBafu6LDEW2ygHRgpLqEEX cFEJcdw+jNAT+UwoDCn7HgsBd6ZcY2mapHeruzz6cv9w/9zc717fjrqPPh53r68P9w930W9m4Qie Ry8IALwoFP3cSoewXJapoG8V9DTOwM/ZIiTIttMZ67PgtwY6kLvrSrcSOwI8TAem0mYTRQ899GK6 BkjXt9RL4w+eHHphHDdT5+5JCvzOguX01rtCoaM48CaM23htAGqL4zM/X9OR4O28GcaILqRGQ/U5 hhtICvPJcUNMyebjGLcm/Bm3AzMaWVTEfOtEBD/01CO4qYspOSzOTGkxIJnS4o/vUPMVinhvmQlK 0G2qPtMUGEVtRcgRuLmZ2uQ8rJi3qIOGvKMhjp1HZHnfPSJMq8yCtkzKqZ/YSkuDPyai8o0fmSXg xpm7r+QlMwOs/3MT5DIeOqevKXkkKaPUxCMo+QzzIu6PEMyHlH2KwxYo/Vm6gkxlAzmH9b/q9IDN 5jpQMR+FndmNt/+bru0zhURJ7ADOITt0t8lGlLs2Q7EKEVQm5BqVYb1oemoQAlmWCqGlCb4KWxmq /ON0zL16+v+cXdtz47bO/1f8dKadOdv6HuehD7QuFhvdIsq2vC8aN3HPZprbJNnvtP/9R5CURVCg s3M6s901AFEkxQsAAj9GO/x8OpNLnqhVFI/Fuq1qdIYLv1uR0QcoiimnHfFmxcoS7q5OeSAoL6cy O5p2vRWHFsN/rG/PuYfmqHX0cXr/GCjFYVWUrexf3kXYGzfQ4CGHYR/cduUlLKtYqGKhTMjg3V+n j1F1vH94gZjhj5e7l0frnJdpC6c3hORvOP1mAOew8679VUE5DKpCRJ0XjjW/TBejZ9OE+9P/Pdx1 KZJ2dNUNF9a4W5ZofK7L2wiyPFAYEDtAgi9kqMQhtWhZAkmIDMoDo5PXLlbV0goYNVqcsFJA6IhC 0p8pB64d51RT1pYSIp1cEKsq4hqtw5LGIGG9cetAWJk9s8sPQ+V0xDYKwsQp7cyjYROkRByxeqtO aPWyqPP/Hr+fPl5ePr55v/66Vsf0KarJOsjQ7yTg61qEKLxPUbesqikafHq0MFisZO60rWOsA+Fp WyfB6mR243nao3tZErM9r+jZZAmpzrhcC9071MO3AeV1shuwWTaN5+Gs2vlfHNbpZPhJZsGwrHW6 jQJW0UuuFtnJPz62WwvEq29gEHhmRn2jRgOeSbdyHXDW/555Dhnt005949VaHWO51Fcl5Z6RrBvb kynqKmKZzvHC2foB6eWN+bqttuhwCoZMiqJ7OgpWx/aQToWjKBVJlAeHAtiMPSmIN+Dasb6tdiJN VBwWBDkOZWHLjdICAt8gE0bqOYIQCiJIhzSgPW2RbymhKlKZdAolC+JNok24JsQgB8tk7yoRleRK yOmc5bNIyCsrodl6qfwRpek2ZXJ/OAMEUWKQ9NUATienzS+rS4z9TLv1LDnfqtx3XBWyYZLqmb13 lnrjnKOc8B0LwuwgTC5R6d8qU3JsHajEN5yEOgO95No6JNS/7VmDGYMjtPOmyWN7WsLvi8JQIKze T4i4FWuLEpVJCxkjdrmGBucBdX3wn+idBWFc2aYJ1QmxFTEpf0h9d8PBrfpkE/OADwitWY4sauKK iSRMg15BPL6N4ofTI+CCPT19fzb+lNFPUvRnsyJZW6cqgGe4RJOcPqxRHJYDQsunASaW+WI+J0hG sv/kmjGbAYPWEI3EVPUD3bNtxoOqUPnUTyR5WEHYH4aU1l36z4xL1RP1dCL/Zq4QEhn2pKZRPZI3 JbB8Zc3ifZUvnMI0kSpNs1bDDrSMgB8aMd37yrPLxZq42h1hCFY4Qn9gYmium8qwQ8BugkDnvpRN VagF1vVsGh3SJUOseCZw0AHsMWBe9kQVWlwiqLOY8bRAHgVpKtRSpDNOu5kV6s08dJVPne4eYAhc 8vuVAeg0Vm2CLOAMPwcUlc7YBnwI4VoGX+6Ob/ejP94e7v+jpnGPC/FwZ+o2Ktwg3K3OT02itLQb isgmVc+C6JC9V2elD/msZnnIUl+eolw9VdkxrzK5v2v8h3DQnvjh7em/x7fT6PHleK/wf7qvsled YNf2TFJfMZQl2ukfatPu3mZt2P1TCozA7QKSbefLDeS6rElb43ObcdaVIOUX8gi7nBIUBaCyKm0u 6VJXoFcdvDWmRrvKVvM0VRlY+oHWzaxQPKZgMo2EytLs57KFL6jgeTV7ENUP7N02lT/Ymqe85nY1 pMqDYv31b7U2uTRR2ngShrifDEiQajQs0AaP7woMAkv1C8H7kMjxoAZLjNVnYMZRHuhUg4hcGj3z 6oxK0++m/Vc1IeMQ7V1UbZp57JBJy0oaQVPxGtq2SbiQ/S1/tKnn+gNl+rW8KedN00b0G8CekTxO H2EDkE4WgB+K0gYTDpx+xBjCMPbI7h9LayrkLuDBedjktgsHfoEFzm01SRGlkUYzBK9imrNdNwNG VltLsfzR6m3lyU2RfT2+vTt4rSDNqiuVeEgvjyAhTezlrGk+kbKTGMkelzKFzi9FR4M2HV41vx6v vC85C8KGJg6iddP3LVltabYKG7Bmnnz1Xq6u6IM2EIHJV8rhdKltcnYqPLuugQRLZ6GoxC+VjfZl 4i1AQREpOC+cVT0UBM2wyNMDOfGHX199/q385yh7gfxKDb1bvx2f3x+1upQe/8EuSRgC6Y1cpQUe Z11KndbXXz5Oo49vx4/Rw/Po/eXpNLo7vsvSt2s++uPx5e4vqN/r2+nP09vb6f6XkTidRlCI5OuC frEHZlyTNpgkI1NL/m6rPRkx54hWcegW2qsAIg4plVdk8Aj+lIUG8nAHkE7bleuz9sMP9IOKZb9W RfZr/Hh8/za6+/bwSrh+YRLFHPfx71EYBc7mBnSA0nM2NfO8OnIpVL68GDLzwuBW4bkrOWup2xwg r8x3WU0nmP6o4CYqsqiuqCM8EIF9bs3ym3bPwzppJ7iyDnd6kTsfNpRPCNrUbXhBup7O8rAHIc/S uY8zqemH7jIGHKlPUm7Hjr2teYqLk0PDIRSZWzBbC6mEkjP8wsjSubzH11c4FzFESPTVUkeVrOcM vwJMoga6t8SeLDXOk4NAGpFFHCSi27wOO26FoeNskTSyLt2yGfCV1UfugVRtdhEPZmP3oFQ/6FMZ WwpgTpj8JpGvmE2U8ZxWYpBYyQuVE+vfQ4LFdByE/ikjzTQl4xWoBeBi+xrU2WADWsvyIj9kxdb9 nCkD7FU11roszU8Gi75+4/T455e7l+eP48Pz6R4Wcf9pFrS7jBgcO3K3h0VaMf/n0TWzp3wdulMF gJXqogZ0QnDj2dnIhisVe2Hg0CbTlV2c2nOmmZrE2ix+eP/rS/H8JYD2+mxkeDIsgo0V7rlWQVq5 NEgyC3Wyp9a/zfsO/rzv9GYqbVL8UqB0Lm28guUR8LyDBtLNXAENXBAEslb/kfUYQgaf3xjZ9/TZ VLn6w9FqhrPOaQFI1vQLrQ0kagdMQFTr7OGBblGVT0uYav/Sf09HcqCPnnSGMTkGlRgeTrfqrrxu Zz2/4vOCcfeCekMP4eQgLXBtYvRmz1oaJCxbksGjYW31El7XCrhHitdeUFfJl9qiLGFNaaeSC6AK NYIMlESd006ybor174hgsC8QDRmuRYwzuAsAiZN69Q6UoihzWqNhNSjNQDJDlfHfhfAlURXlbhJ8 BvDlnccKFDBzPtD7bTSJNkw1KNJgRuS7LBoJdy4AVc882yMJRJ3xxGoykAwEkj1KrVe0mK0rHohB YbHHMws8OqVVsdi2Tpw3aJTawQvMHQklE6JOKgpG2haD2BhfETHpH7YEUJqozTC17Se03eNaXXl4 vxs696X2I4oKrD4xS3fjqeUhZ+FiumjasCzQ97fIXq93uM2yA4xiyoAIxPVsKubjCSq1zgAzV1Ad EOVBWgg49IdRzwOE3cqX8+lktxyPzaTBLoyg4Dm4iKlT1DIU16vxlKG0aZFOr8cq56D3lyiaR3vo +q+WQlKHuCizTiZXV5SW0QmoKl2P0cl5kgXL2YK6QyoUk+UK6d2C3vYbuLukaUUYR9YpRzA1M1vv WVEJKh1xE4nmyA80pZZWwzW5M/845Iw1y9WVdRRh6NezoFkOpKUu2q6ukzISKJbGcKNoMh7PSU3d qby+n/H09/F9xJ/fP96+P6mLcN6/HaV9PPoAgxzkRo+wFd7LafHwCv+0G12DuUG+638ol5pr+MSJ QfYhA22+tKziKEgsn+YWEHmtk4FdCVdDoJVZk5QvlKw7WgK0xgmBZkZPIq43EBwAiJC1z3iobtSl tkP1gAu9B0T8y0C09BUwbx59/PN6Gv0ke+6vf48+jq+nf4+C8Iv8sj/bn6YD3hNUtEWQVJqJlqwz 1XMPVw8OeKlIDDCvGnJemeh5r7sD0MRp0E8lkBabjZNJp+gigCBP8MQPtlPVaXU33t6dLyZKrr+Q PYkUJw4ufzqu/t89i8qEC5cV/Z8BPeVr+RfBYDUbtgpQdApvgJeWqUqrAZ1+77TZKTct9uo+D/+H CBNyTlAz4Dwta8vsE4B2D1W3FCZ9Z9S6AOxegAXHLAU8inoAqCVuuB7a0m55e3kETLbRfx8+vknu 8xcRx6Pn44fUjUcPcHvXn8c767oLVRZLAq6sTbjLD22nwOCZBzYRmEG088AvAve2qMhUJvXWWKSD Vl0w5tUtAeTlrJkDnAnTzKZl+gbIMKoRxJAkgz+c2XZHqFamMRICymRIGQrNF0tEO6ufiKrU5gMi BekWnJK2yWqOqPvFRVEu5H0bAbOQiM8l9elcFW24qCvf7Rhdd4ZZh6s/7OoQDZkw8wbLqEJiHIzT iRusQ0Dz3ESVwjqmI9KhEA52IRcIFSuD5Bgh2wKHwSGacpK3latnxUs7sUNSVUI1ooiclfjCZUms E658uTsOYFSwyKJCcDRBR5Er063T0H3F5Qh0UdZsiYg0D0PlJsGvSBFMeZipvJXCOXeETUEdNytA RLpkGLjOU1+jik7BgNdcMKnUt5RGI+qeUA5tRFC3s+MX6vAA3yul/UunmUgeXLVXH+wd6kzU1/Ad 2qooahVN5oNE7p+IyavcYFg4GRCma9UHFU7rOgRgm+qg+3a34aEAyDqQT+sTmyebBuDa9qE00Eql DfVpviZPgrAs9V6s6YP9AvJoR5PZ9Xz0U/zwdtrLPz9TunvMq2gYDdxBgV4qxPLFQIpJXcDVNiog wINipUHXbEcodzCtHPDNIg/xjASbsedDtTdbCIaxOuVM9C5V0a26MsVek3mMwvdUplHkxuf3jYXU K8psLU1OVv+Fyl3NKCVGp9agkIgd8nGsWRXRcBEbG4BBVkZEOCsZtMkidRO7DLUNDznLOOlDiGqc MKJwVSRFgVdW8h928Ei9RQhm8me7U1+wKoTU9+hVcBeRa4vJ78kdv0maeUB9IVqATnVnFc7D17/b yXQ8sSwpQxwvhsSK7Qe0gJVDWpFdj//+G+lTiEPGhncv4XI1oIqcjsfTMcp/wayWBq+us27e2cpl dp4utgfF487SgbBuIYpa4zVY0fQXG6w54YM0eR/++P4hbV0hldS7byNmQfgTKRcL25e/mEmFSQ4E E6hkzwZgwUmPZtGqj5QRFVt/KgP5GD6cPQCAWMtFWMRTd0EAFizGtKfQCCS8kkag1HTyHu3jwqvk IlDzWx/yR1ZfLWZjqh7ZbrWKluMl5Sk6y0DkapDwEmA8vOghSOp6fnVFvs4V8oWpUvKrq+vFp4Wu VssZHFNd7Fzd6qbx52SD1KdAMAS4h8P6pHmdFAxWqpTbgK3oa747iSoCd86N22JHSmQi8COc2Fwn OJmSMJV1RHag5MC1PyK4mjXNpwK9JWnZ3T867c8bMGTRoXUa3ibVxLCo2llg3+JsDlFnweLKigXv qatrZ5/ritFYzb5p3gmlLFB6u6X+GT9bLSKyeixjXzF4J2LSR4Eg0sAef5nb7igfrl2+1FzkgsHo mlWBsx1Bpj3tfAeGVJ6DG5h/3kqZgtdVwcKgoLUhSy5gIURCesUCljZRKKfPxlctVNiOb30wUJ2M NIu2KCAoKq9hkDpqBlm+Au2m3Ruh3MHJo/4wjwbIBKa86KvblUOZmFUsZAfy68GVYoC2ifQ22maB 0Mo4s9VHoJS3zhQHoupqh77hLI9txwgIhiVj0G24TKBOOwMJycNttEHLo2qgN3ft2f7Oa7H97DNs imJD3rJpyZzDVdGZB28WSThtvWMJnpId6GeX47l3oCS5gNWPxsIAZuTon1Tbki3bR/4dzUj5siwt EbVTwu1ddgfcFJUzfocPpnJtQydFiqD+/wNTJGPVLrqA49GJSRmWe65fcVrxQy2FiYSchyWrmoUZ hj/ylkJE3n3ViOWsBiHPZFYp5XlB3uRli1mHFjmHNdz4uADuSg0RcqqvZtfjwQ7HGmdtYc1Kak4U 9ql5ogwcgyktg8G47HfcwlEQTGXKKBdw2RtZUzCUQXHEZ6ZMKj9jXyqVjgrXcKGGVGW5DfBllQ+X Wutzgf5jJ95JWbEdBehhlwcAERXZFMEysXWOUJrNOvqRvUJEkQ8kqpMoUlbF8s8AT6UTyMhja1RE AEGsOKNSyGHlwz0AHkStkXuEXXCt5oR1SlFnsCvAav/k0Oy8qP5Fmtdpfp+87ZAXpTigAsJ90Dbp j+z6O07vyJbInn/9gbVXn2dfrmrDK0rXBPK0tDx/ZXJQGVyYYGWIiL2kWDsnh3vTEUnEZRfplnE+ krxh1F4/8zP1NO36iESRt5sm9UqwEBzaCeVT7dRHVbenwVKz9pbZaYCecqXBvJhP5mO33HPqgu+p q0Zz7cORbDVfrSa4+4B6RYhqF5X+GraLgktVlHleaxQ//IJQapumfch9F5TpVni7JW1qz0t0/kyz Zwdc5xQOhOvJeDIJMMPstTRxMt7g6naM1aqZyv/cpxp99NVu8EMAQQtGJyQvIobamN229+Y53cSe X0+G5akteFBkURcw5XzjKFcnVSw1xfXzuinbYL5oa8DzHI4nJPeZDKtX45lvSN6ea22lhGlL3SGq fQv3e2dOOTMfbHCnH0QdTcaNBz1fGp9yVPNgMOy6oVquZiv3mwOxDlaTifsqJT1fXSpreTUsa7W8 xs3o3ACIaIKGNnJJm1Yb5MzXfjzl77dPQyVxbUdCx3uAWNcGih28iAldYZVzdyeQ5aY+9wSIA9tv dSs2E2UUkTd6qqryes2wzqDpcJpTyu/tfTCAU0kOWhDuEQhUd0i9neI02EnDVjQ5mCAlnXtOJpRI 0QxytG1+EdSRz6+uXlvezseT64sCq/ESRVnpjQ3wULLvjx8Pr4+nv3EUsPnwbbZthr2p6d3WNpnS KgCSVTvL0pOp5ggOPxUtCt/G+0G7OhqY/cYBUUEyGVxUtRn0TxkIb6i+5LVNGaBoFkL+LF5a3mL5 o12L0L3WB8hhBPHFntzqsrtjmzIxJDMrywi/RbXeSYUvywIQcPGLC8pwghJUXAIuVEUq1PaZqki5 fR94mqB5ANxz+jQ5d5UEQM9iVRqogCKj/rUcfJ7k5f3jy/vD/Wm0FetzuBlInU73p3uVjQGcDgaN 3R9fP05vw5C4vT7Z6w8kATi689GFWU0eCCChGkWRyZ/eo0zgATiMOdXT6edAGGDJkJIAjKMSVulw DPnM8iZ1SpGU1oU+cvn++BQj4AclNAIAnFNkzA5JwV2U2Thd+qfrHnao9vOdQmupmFWQ4fQwoMRo We4oEC9SwyofUkwDDmYpz3IN8jcY2OF64xsxARcBtSzZMh1qGVmAunlMcNqNYwtecvcgOaNHfi7Y aYifSlYMVpVPWmk0MXpAVIL7OoAEz7QFau+jXw8ho1OcbSllVUU56YwzQ7FiB/uib0Pdp7OFOpju D8i5nJB7HlPeqz1eVgCuh5ACVPgBSqGFqU4EaKjlD0I7HuH+QPka2xjd75mjLJjtCT1gWdeQqcwd EFsLHaWvkwjzQS348+v3D2+wMc/LLb5BDgg+iELNjGNIgEkjGxRAc/TNpjcop1JzMgZ3FRvOOWX7 8Sgbfo6yfHeq1UKKX6Shopz6dRwAvSFvjHPEBJwa523z22Q8nV+WOfx2tVxhkd+LA1mLaOdk3Qz4 zpptfRBfQp5+8iY6rAsNSdN7pw1N7njlwpdWiYVWK6JrHJHr/iP2nPpmHRL0W2lnL8ZkpYBFZllY EtPJkn44SEtxJW3MS4+HBo+2Wq4W/eg6s9MbXeVh4foA61LR2IRAZBWtE9EF1wFbzifLy99BCq3m k4vfQc8NogJptppNZ2SPAWs2u1xqczVbXBNdleFsrZ5eVpMpfVHQWUbkO2lF7ysnwnAo6ERBu+w8 2td27MSZAYjIELImiIoTDuf+YxVpGHNwdUNsJLV49cXUxZ7t2YHsBKEmnwjcJXogt83lgPtEJtFl Xa5MVkZki/itWE7pI6C+t+SCSuUHWcNvJqd4Q4/fbNrWxTZI6HDRXm6fzsczeuY29ae9ELAS3EeX haRReVkAsGVKJ8qO2hS8e4FcxOFWPkvZ6Sgty1laWDGRPWMWUtQQIUef6UGxrmhD+yyyiaeUTtbz K3xOhxit51K/XmjL5WKVFZR2dhZSyiuzA/vPLMHDaA9Q8RXZvjoL6e7vy1a5F5dl9qyqOAkzdBYB gJsU4aT3VYTI7AIfkWPm2ndPQi8Gthlpo/dN3fNQ/iAq8DWJ8mTLqFEkpOY5IRigPmiIMZdzu+c8 IF4SC86W66Fapm4i9FzsqwVgOmtF5tJE4eSaVGV8PkgMVkQ6dkuxRGbhdipKPJ7ZB5WaokCeCkdy GprkPFfe7kZDmbqU2XhAQdDPhkZZEJplX7NkKItOOU2Ob/cKN47/WozcbC/Vkkvp246E+tny1Xg+ tTwyiij/j4FgNTnl61IMhCGQ1va+KKJxGDel3JYFjRumBU0Q1mUhyQWPFOUB0oVUQatr5pZeri+X XMBROisFvYSZ3gDHs1vD/6fsS5ojt5k27/MrFN9hwm/MeMx9OfjAIllVtLg1wVrUlwpZLduKV93q kNTvZ8+vHyTABUsCpTn0UpkPsSORABKZEoKrZGLTHJTG3mVNqb6dn2mXllCFFy3AAqnxt67YgFgs +rEdFt/w/XX/ev8AR1raC/BxlO5jjvishujraXLpxzt8z8wfAFv4LOYqvOZSY8lOnk9en+6f9bNT 7pGN+1PIpVelnJF4oYMSL0XZDyVz8iW4bJK6eUa6URg62eWYUZLhnaaA3sKydYvnmS/W+RiTqIN1 5jR0s9eg8UxEVDswswzya4Bxh0MLIVBtkPI8lnRJLUzt0GQthJXA/bCJQH6xcjnKjodFBHOpqD7I l3sHnvSpHgJQ6EBwVUbEUKXNS/wQ34FLvac8XRSLdLqaTYmrhiKk6nJsMyRVaPSS5GwqBijSV3Oh IsxNDJqs1KVjFMbxVRid3P2+MpjVS7Wj6kprUH1FXH/GVjsRwdxfmtoArAe8GDPznlDgrHB99Tp5 yfv2M3xM0UyUsBN+5EnUlELWbOgSVDuuyWUDR8Epsw3A7rVsAOxAQcVMu0kbhDWXDWB667uyFyFq w8GUBgtCa3H3F2IIrDAh9gRGqO+hhx1zw0ivwQSiIEW11ibWCXgcE8WLl4pQJ5dSsWpbyQ+1JgZ/ yWH5MM/bc498SHI3qkhsn6lUbm/KocgM76om1GRvYy7EpFb9NmY7VDBPfDmUic6D4xq2CGiLiAja ZIdigCBhrht6jqNPHAE79ad1Gp0J1Q1wo8MJMqmXVLs8qJd/GOBDuVL9DXF6rtRksIo7sJeu+2uJ 5GD8xzw9V7sqpyqQVdQyD8yo28xZ6LSXz64fal1MetF3uEC0zCkyNr5ZXYbcjuXmcLWZupNVTtLh bc2jqjclVQ6pEm1wXaIoiGqL5ONQ89NTvYYt98BRmILF9GBa22f9cNkfmf/NfI++AG0vOyJdhreH ugb1GcHuj/nqknu91qHUQ7HBbgqnsjI3JAdMVWVewKGWNEPV/9aqycPz+nYU1NOVdmH+MX5d/PhN DzM1nbXqm4puINtCehLKqLDmKk/0OZ25nWHnnSgHnvDLp6WMyU2I+DnQFn/nznCi/xhOoJJaS+0E gSeLDmtcXhQIbtltpRAhkyZ7mxOO2TQGK9ae2TNeB04JQrQpG4wyNx+p/v5E99pt0UlG2gsRVg7Y czYlZre7wjZZ4AvnGCuD+wDHOFPwla/IN1STGNpdjn3FZAnGYGoSyhDH6kouz3dtRzAO9ARGh+Pt UXKtsPJyOm9EZ4or50z1Xu6hYbLigavbmwfzZhkMWtj1j7ybg6ANEJMxcAz6xwoIDApKPngBen/Y L7GZJPshQ0nXFOnwwgdGe5S8fdKpvsv3JZw1wnASfRjQP70k8YSh12NJs08qMp/dyVQxoRlYefkl H0Lsuk6EKNYeIquilLYUb3BEbns4dvx6R8rarNcD90grB2YgZ0yyz6mT0fc/916g5ztz5HebVD2p 78CMLK8z0dvjTBdn+ILtMK85cw8MB6oogLvyJQzGMjz04x5+4evlyMW7GHUB2oxdc4APT5m8uCFe pRhQ6U7fePdM+Q1+KU45UyANOBKSM8rqXbcRA+jOxD7PZkN7qMlyEgZxBJCdHsuEvyTTr76hJf55 e3/8evM7RCGYvPL+9PXl7f35n5vHr78/fgHDsF8m1M90dwnuev+lZsAVXWP1dRtUmT2m+DUnY57P hhcTrJf4kYeNbzGOnBG3HWrZwthD3pBxowwCGJXTLbWUGBjZt4ZdIeOXEHuNxXnB3prIWExJFvhl Ux49tQB8yQgNn6iq4UzjTp2oEPlNCzmhjKPdnu4CC8MZCYcQc/WrBt/ec96ZbiFMrjQZout9wx4S 2L99DuIEX1aAfVs2fW0eg3Sn7uH2Xmz+qgccIq/P1TaFAydLSZsxjgwX+4x9jAKTBwDGP+OHy0zS cxXNUNSO3+QrY8Z41saYJ+w9I3CoHJKfyou81lx85VRM4nFvpZYJNFQVtiVkrFv/rHYE8XMvMBxu Mf7+0lApa9ggM0TVjKV5TMK20sw0XA0yFtURt8EVPn5myfiHNqLKvHcyNxVV0j4dqEJtnqvsOO2y 6Q332ACxnomKgAv+kBggiwt8I+LUYBs54PDjDHV4nWtzgc51n1omz0B1UG0dLP+mSsI3urGmiF9I Ayvp/WT7rN3FsIbLOkI3esupa/f+F4WuHwuLqbpSNvU5V+SQ2FRajFTjEv8/pNFyEG59GQViScuL FiNNbmTVBuU8MJMGN+CGwnFHd/KJ5UoH1USdfpNrvIMir4SqabXxRd+8EC2SUqagJ8L7nRNKJsdc pi+Faaq+YixTLFzSY/aoctgtwo4E6PrmR7FkfcMYDWmYQcwlG7A7rL3o8Iz+kPRLfsdNF86H1QPm HLKOkZ+fwMeuEEoPXKruRQ8HfS8a3/ZinKl5wzP2wNAGP9CmDHS1GFKiWz147H3LN0aip92VyS42 kWoLkEn5WPL8E2KG3b+/vIrZcu7Y0xJBSB+9PLQSbpgk4EFQtB2S6dNdZ1YbAcVYGnnM6+esYpff 7n9/frzhLzBvwD62LcdTN7DncmyvSMasgWAmN+8vtOYQo+iRSo8vLCoSFSmsIm//x1QFcPeceL0v eL/RAbnki0ZvnuVLqnyOg1DrOQ7dxIBYkQdpoFQtf6+k4+Gdz/bQ5kqcH0iJ/g/PgjOEvTdM/ylv /NBxKldG/NjDzAwWwLn3nFR6gzNz6OaBjix8KV1ABq81M3/TuIlBd5whRZbAld6hx2T3CkqdSHJG PnNsd5Uzpsl7zyeG6GQzCHNpo0AIHY3yKffCObuhYy9EX9EBTTPAX78sCY3NFtMyl6pk55gquQ5W COT6VK3jbeII5/ozucvLWvbCv2S3vNQl6hs3DWs6o1/HIju83F0ZURMK33qqKNwoeRl9sIk1mWRK IMNOV8BEvmsfPwzjfQBjsMyRMBF+ZyJjPlKeKyC2hdeeL2qw/G7X8ieKVpghpt/K7q9n1RLvA/n0 VzEg+eyCZ1MOVAe+bHZBju8nluz0vZ8+u8+ZF16HxFcEleEGeuazbRzTiowmwjKUbD4ArSG0CJwR aCrMQFWJt/u3m+9P3x7eX58x3XsRLfxdu70FttPpylXUkGRxnKb2WbkC7SJFSNA+IhZgjL9h1hP8 YHqpIXQHAsSPL/QS2uf1mqD/QdwH802jj/ZJ9NEqRx/N+qPD5orCsQKvCIgVmH0QaLh9UXF+Zh+w w+fM3iYUYG+M4fPOs6/Ga5k/2grBB3s++GA/BR8cmsEHZ3eQf7Qi5QdHXHClG1bg5lp/tddTIvvY c663CcCi603CYNfFGIXFhid2Gux6vwLM/1DZ4hA/g1NhyfVBx2B2RXCC+R+Yx6ymH+qF2PtITc9K WnP0T8PKqifDbx7smwY4jb2ioFBMdBUDtjwkT5Mrsns6ZPXsw2tCXRmE04FsYO/ACfWRtPbXBAtD Nb17ReefYVcG6lhdqo6FN7Dsu+bDXGyLtRz01oV9PC1AqrN/EEnqwq4niGnam2NFng3vH5AKRXj4 dwTp2uWjgLwircRySuOAO5p5/PJ0Pz7+G9Fop3TKqh1lu5FFOWdEXWcfvdixl57dS9kHJYPYR3cz JtfGLEA8+3iF4rr2DmzGKL6i5gHkipIMkPRaWWilr5UlcaNrqSRufK11Eze5DrmiYTLI1Q7wrzZd Erqob8614fw0Fu8qjKNWPx0qpIgwy/6dBHHthgZGYmKk6DHT2PTHODZYIi2r1qdDVVebAXfEDKcA khvEiXDZZmSEKDKXumqq8dfQXWJHd9v5oFv5pBo+qV5f+fmowSkTu5cnd2RL5LQuOfg+0EmXo6tQ p5PZ+cy94WFmv95///745YbliuyT2ZcxXYG1+HkigNt7SG+6etTXGMa3nNFx1Lg3zFnGHmgqm3IY 7vqKKh341SUDYpYdOuK8IxYLEQ7jNiCmxpicgmutYfGiwPjFKeulh7OMWlaWW2WOwA9fuCHHCP+Y 3nOIw8Lm7JTjBtVchJFVAw+JV58K7YPKEE+CMetuV+VHS9vbzs1ngPrEQgY0myQisbEXmrL9TBcj wXk4o/Y5zfWsVcZiusH5Z0tdTIYb/BUl3B1e73yT9QSfGsrltsI1WJ9zpvlagUuirMnCwqOCs9sc tGbhb0bMqZMW7gEVl3EKxFptKm6Zr1GLoMxl7+mMbH4wtLJdw6aMI0iQGJYQxrfaRzDEEbxbtSN+ 7cwQPDACwXVQjtBc/Sr82thv4Gl3K8fs5LOyGH0v8JVEl4XcuFQsdoOM+vj39/tvXyTFlOfKvd0o kyor2l4h7U5UDhWaEGTOUtD4BCvbQ2YnpxsfVPIZlGdp6BvlAWPHjlLOPt8mYXxWVtixr3IvcR2t /HTYpOqwEawelLbjy/O2+ECbemrBsqH6TNc4hbopYif01PanVDdh6pWyvgFdvhKS2bRB3OZ01Kqp 29TJkjmJ/bP2EZDDCDNRnPqQ6YbYiIALRduQoLsBvStI7SUGi5tJsigOX3gHcj8tpo/GnkSh5yb6 d8BIIstcZYjUtkBPCFzJ54hPzTnBdHPOnfzDfFWpkRM4kr6uDzk2FI9Pr+8/7p9VHVEadrsdlebZ 2A3qwknXkYM6y5d3FEvWaBbzNyd31lndn//7abJ7au7f3qVynNzJ0OdSEC9IJFPYlWdakMWv3ROu Ua0Yo8K6QsiuQuc7UgWxauT5/j+Pcq0mY6x9OQjvExY6acpGqSpnQCs4+J5OxmATXUK4gjGK/Gkk DniJZTg3EDGJg016KRVx1MoM18TwjY3h+1TlwMwMZFSCpxw6Z5wRJw7SLYzh4oykdAI8raR0Y3Fa yINC2K7Cq63LUBI09AXnkkPf15ITC5Fu9K/agxt5AIpfUmmapF7IGchHYCm4fDXRwB4NYt/COuVE rpjcJhvpFLmDiFVJGoS4RjaD8pPnuNhImQHQ1JHQByI9MdHR8jAOLmdnCNngOvtcW4KGvJ2/3nzy YmUbobAMvnxU1L74JIQFmJi0l9wYZPpXPfmJh9lUSRDPPetdSLUd2oXy1Jp5bGg4mHeHGQELvBfr qaoPJtYUWdBkazPXox+FmCuEFZAHbuTVerZQzSCUo/7NPO6Ao5tAUYitqUI6moYx87gVQ7PBzghm DO3KwA2lsSCxUky3ERFeiDQqMGI/RBkhzw5hJGJ4HpGRJoIEFhmRGDlvGfzNxg9ifWDussOuhC7x 0sDVJ+TsGxAbt8MYOqgjxTnPYaQSJMQ6geRe7ON78xlyyInrOPiMX2pbpGkaYl789icpviT7SXUb afvCiZOptLKf5h46eCx7xMcPeN4iVHzGgSssFxI9weiN63iuiSG1lMzCt70yJkWaQUL4hpzdOEYZ qSfLq5U1xspLDRThGj822KJJGNQjqowIkWLvRxcvtGq8pfFzOC5CUjxXl23WzmGH8bQNcRsWwHju 0dbYQLSSI+5EgiNy+ldWDZe8HzoshZnfy9HtFBR72T+WTa/XryCRh7YYVbZN52czhMdCs+S7jUM/ DgmW/HakuvhhzEY0+u2M2tWhm5BGLzZleA7KoApHhpI9hMpf1LVYAffVPnJ925ipNk1WIkWg9L48 Y2n+lqPL/Mymatrgenh3sCg6O+zN/YJgQhyZFJyBTPKJoQb3kNjoWicjkIZla3yITCdgeC5eyMDz DEl5ASocGctgWSBjcNdMHAEKhRdj6QMnctADEAnipnqxGSNKTMka7jEFiO/G1tFHIREqsRjDx4sU RQHSxIwROgZGiowcXr4U+yTvfXSRG/MoRBbLsSeen0SoeGzKduu5mybna7W9xYY4NBk7LWOhia4B DNe+AsA2Gigbm2ZNjGgDdZMgzQfeqdGh3hjslgRAbC0Z1lmU6uG5pbhTuIUdej7SmYwRYNOeMZBp 3445Pz+pCD+h0srS5iPdK9o1BsCkDqYILghucY1mQDLfYAIyQ7o8v/SJYQO4SG84eE6FyvcNuEnQ qmwggyrmRZGBgSk7G4iTti0RRp9dBhI5SI9vSX/x73Q6XbMu+XbbIwUrepJ6TrbB2q5qSX8YLlVP etsyXg1+6GFCgTIiVFpQBsR1QvMcehIGBgOZBUTqKHF9+5Tw6NYZaXC2qsWo6J5YEBHmUGcj+uJf wPoJttSB/A99Bxd5fMmxDWW+sji45Pec2McFOeWEpiypLL8iXgAUBCZfMCsoidDICQui95IEEYaU nmJDvK+awPeQD/omiqNgHBDOuaQrMtIGn8KA/OY6SYaKPDL2RZFHthWXLlWBE3jo55QX+lFs24Qd 8gIieesFA4bnoFrfuehLF31uNyM+17SySKL9qTFptqJZxvWFlZhvZRbIZhTfzK5kKtYRMt2joeoc ZXg2RY3y/b8NHwZ/2z/M0aFfNrkboCdkAsKjW2fDxxEcgNoybkgexI2Lr7JkHElseJ6xptBEVgU0 K3LXS4oEP3AgcYLNnowWPUHFbpvxx5sIHV89Kcf3rN025jEqx8d9kxtesSyQpncd2+hnAF8vL6Oj EpxyAmufAQDVX5s+dJGsTokfx/4OZyRugTNSt8BKx1gebl0hYWxjlgHQGcY5IBfApO5aLjVdFAy+ lUVM1AqVZ7qc+Jp7IkDkJTlY38wgYzZW4Lyf6LyyKYdd2YKf7skZ3oUZRl8a8qvgw3OGd7hni5l9 GioWBuAyDhWqrszAouRednbdEcKj9pdTRaSLZwy4hWMY5kfaWgjxExb2joVesH5iTh0BWssLAAgi yv66midevAlYlMftUH4SOlxLo2xAR6pQdzwzBkwXBdduEH4USRE8Dk1ktNSUnzSNFXLrY+yJOZss YHmTHqIX25ImhzaprIglGq8VlF/JhwHodEBrsla0Gm5PXVdYQUU331sbABnlFJmlyfj7eX2+Q7SZ lTiF7Xp/fAZnC69fJZf5jJnlfXVTtaMfOGcEs9y32nFrQAEsK5bO5vXl/svDy1c0k6nwk/motWVY pG9yFUIMfTkV1FgaVpzx8e/7N1qZt/fXH1+Zuw9LocfqQrrcmtv19HhQg/uvbz++/WnrBhOEYT79 uH+mdcKbeErAiBFn3ICO3ok9+00VbvAniuLxZiG33Sm76+RofQuTe45lfgkvZQvrA6bqLnCIssWc iUB6DpIeMwTHLSyWLAfmoOXSD+WUknb3dLp/f/jry8ufN/3r4/vT18eXH+83uxfaUN9eJMuaOck1 KRDdSBvIALqEi8FIDaC26/rrSfXgMhdtWgEoLnuQrK2JDZ/N+cjtY4oGSLrtuA6VryhZyEm69wcr unNz2KIuelcZSiVg6H0AE17HRL7NHfAka/XasPsLnbyem6K8z06UipylJJNHcktJPlfVAAYxwhxc lydm89TbKzvvJu2oafXxwYWxHUia1IucK6AxdYcGttjXcSRr0it5cvvqwA6aXhrYQdvxVIyOe6VY k2c86wA5oT3C4zfaUweXgnZE354Dx0muDWLmTtMOojoYlXx2zNCGY+ReyY1qXOcr6cwesC2tNllf olMBbE19MOcZxtyeEbc7v4aJPXtZ4KZF7CtBoZp1U6yYVPGlcqEweC9szvGh7o18KvwO9nI33RlC E5gSICO86bhSdea50AphJjmmPJg/z8vuvNlcKSrDXYHwWNFXRvLszNUOm167XBnM3IWJpQc4f/ic mSDTQyz7dBjhSYprBy3PWO0lHgvXvSoBQUGzIuanFFc6hOS+618RkiQPYfAbmofbtRvZVBMPmBQw 88FVk43PHqbZALHjJ5Y5uOuL3Dy6e6idVr1Z8la3LGfBLqvrbktG+qqRFmVpVmn8RBz77SXzXGNB Dk1tE0+EbC59R0i1kaKFEcGXJUAI81j5j/QVc/y+75gVKpKAAJDpELle/WwdFALAUFwezUJ54km7 K0MTBIamhDc/nt+f/vjx7QEcBM5h6jRzsGZbzBuPtbkpzWpCCwAetG/XZ4bgoCwR4scudlw5M0WT Ce7Ucnp8opYmG70kdlhJzblRDehyIKbQKRzSlPVlW5dnKrVN5WKYfZ0Xkl0JsGg7h6mDuj1mbOEB i5gg+BQ8YzTZZz3Q1RfLK032HM+6bXnFLBWSkX3s1H3hii+cF2KqtTon45fXvAer3PCKHPoSFH/1 4Zfw9bQDMXniXiCmmiw+EFWar3YbpbqGs3pgw6u4242f+hYIczrCPYMZQTu6PIPHTnLZEXOdmtz1 zfbYDNF7kZeqlYCgTfVgm21UmwqpsqZABMC+igIqRaFz5GabGJMbWilRygrDs+Y0bULsqX7Zs2Eg fgdUWj382g0S5SGu5TLwtVCeDUnSN1Q9lYGcqA17Ro4c09wUrLNl6uw+UqOKJkUrNYm0jmF0g2Ox BZAYvLBMgCR1sNv+heuFWhGTdPKKoJFxHyeMP0Y+eks8M1O1gebNuExux3OpjCHQx2XKYt2/elOY KHDvJwj+maoa7rNEmsTko56tQlbnf6xcY5D4pjWIW4GrrTjk4Rgm2CUV494mTqJ9wjd+5nKWubZ4 iewqiKOzFoSZsZAbbBnQhAZzEsa9vUvowMeFeLY5h46+qoqfT68W+Qnr2Dw9vL48Pj8+vL++fHt6 eLthfHZw/frHPXqSBYBp7VrPYT+ekFQY7ox+EGMSMfr8KFugUe09a3yfiq6R5Jm+lNe9nwamLoaX JYnWxzTJujkYW7rP6iZDL+Z6ErlOKGgA7OWlI9t6c5rBISbLngEMj8hXgMEH4wLwXJOggfrNT2l1 chiFCn19m6pSk+iMUFPXQakeki6lqoa9E4+uB+h0ns9D5FN09tHEyQ6FqE1PD1WRD06168U+wqgb PxQ9aLPU+QteJUv2cFYdQHWX79tsl2F2V0yfmt5Y/4MQDeqfF6iZnJoQtzqYma6m550aWEuMw4ax zaKNsgOD64KJ7buauqNBINytHZIa3JpyMXUKEtQDChPO3b7hj8/PytieOfIjdvkbTxnhE2c6ZdfE NbhJoxOG3ZFYygsohsEkBoewYxG5VMwdttZ9eZH6aDQzvp3JPaoWKYOKExe5LOph+6zIwBLaLOkg 2Mclg5WjNKma7FSMKW9Cu85n7NgyN5DmoI+A+QG5bSu7pD5bNUrH+jPR+C51RWyrM8TZ7uox20nl WyHwwPzAI4ySg6mDVzjYIDATBPQDDU5V2B2ITjTrSRe2JgCb9kSU0wKrCP00wZsma+k/2KWWAJk3 69jnfDDZv5/3wMj3k7uIK21pdh6hYMQ5LrK0vbnCVGezMHTYTvNK+fjW8zrIRc3NJIgnLpQKx8XK v83a0A/DEPuK8ZIETXFSuDU63w+aOcfQd/ChNPGjKwO1IjXdbqPlBdtnL3YzjAdKW4yWi3E8vEzs nTCuV8kg1FmLDMHbeNWPDCmjZsUChCsRhuJTZhRjL4ZXDPb2WOaGqB8PCaMFUlC5aOBICZREgaEW jIluPWVMkvrmBJRNsgnlXZMlDGXYEymoFNOW1YaRDwVUruFoQIElqNKmgrwIG/1N3ru0dzxsbDZ9 GLim8vVJEmJW3zIkQiVq03+KU9FPkMAaI991Df0IvKsdREEetjuTIWFiyj1MDTVmZxxXcu83FbqR ExB5lgbyE32Ryc8zruWyTc5XxGS/PXwuXQeV3f2RCvUIbX7GwiU+Y6U469Tg9WG63NA3e2tZl1gM eLcz9oFsLkctTJeGFU38x+6Q70k+lHAFNI5Vi7lmEz5lhzNIm4DWjtLHIHFcrD30syGRF7lXhBmF KA8/Rd4nz/WxZzIipjmaxDH9Poqvyi/iNX1mOBqSUcTgblhAhU0SGxzPCijNM4IOqXd0f+oYKsb3 RZuuA1dH1zJj2ONQbjcH3HRaxfYnu/69brnQJNgW83JsDFHGBehd4joRFulVwiRegApWxopbvIXg qY5LheOVIsxnTh+Aeb7hEbIMo8uLXSDPB1jYXGI81/ew6i7nSCaedJqk8FLXMJKszs0EGD8buraP Q7wv6ntDeJVgkaB1tqk2uPPFwXg4nE/nxtIuHSxBGAdcLnUDdofMMRNf/3hi0C1vbZpoM3BTDEcW NpqUdZnrtp7MGfO8J3//57voZGwqadbA/eVaGIlLN591t7uMRxMATFpGuuc2I4asAAd7OJMUg4k1 e6Y18Zn3KbENRf/TcpWFpnh4eX3UAwoeq6LsLpJL5al1OuaaoxZdXBXHzdrrUqZS4pMTvS+PL0H9 9O3H3zcv3+GA5E3N9RjUgmq40uQzRYEOvV7SXu8rlZ0VRz3iI2fx45OmatkK3u5Q1xgs+W2dkf2l pmgenf2rzD21XSEcvDJiRu5a6QoBq7bUCXOAS71R1HaH5sZaWkuBpV88/fn0fv98Mx6FlJemgJ5r lDjHAqstR7mXqVZP2zTr6Swkv7qRnFBx12Zwkc7aFGtNBiohgiIpWQDFS91B6CrRAg8wh7oUem2q JlIRcUKrFzl8ki1l/UemwwWWaOPAQxrLtBXpineCyyTkDC0JV7rP54mMZRbG6DvjKZMsi2Mn2quZ j+WWbtY8tfT8wF/Mhg66iVeRbLLGQU9qp7HUgDcmHg2UzNdl8FAAjitZSxrmJlVdvPk8VKOzeYvQ m7LpxLfuwhdNVtedcLdKGkIbMWu7S1OMR+UTPrXGfqfWfOkTpOISkJbEo38sDQTDT01OlB1M1CUw fPst6h+Gim/b97wi7HER5W715anJfwFbqxua0RxsWbyehPaBbqarnCSHuPBfM5TbrWqkq6mF6uEO Pmd+TgUbdmIOjaDkJzUQ/Z7OUvRkXJqswvy9//bw9Px8//oPYvLF190Rwm/OYzX78eXphS5pDy/g nvV/33x/fXl4fHuDoLkQi/br09/Kux1epfHILtUwQc/5RRYHvqe3FWWkSYDtoCZ+mUWBG+bqiGV0 0V6DkxvS+4G8qeCMnPi+IdDpDAh9QySvFVD7Hm4INxWqPvqek1W55+P6HYcdioxu+rBTHs4/0S1W HKpVA6qf6jU79l5Mmh47suQA0rV3l824vVDQr4Lvz491NY93WJAFqHc+FbJUOU/QcSl9ueopltSo ZhG7hlB1IgI/VlkRQWJuEuBHToAoNUAGlRpjJQEygCcGfGPMbgMBUvSeo2TUAeTCjSK1GLfEkWIH TKO+TiJa8ihW4bD8ua42Szj5rM0pOHym01SFz/SpXbSp34dugG8wBQR6ZrzwY8dRVzi6JfMSvY/G U5o6ehGBGukppMq+cJ4yZ9+TneUIQxMG/700N1Q9mrVffEakzNkLE9W9iKioonPh8ZslG9mnl8BI MHtIYYLEDj5YXdT308r3A1+vGGMYzq9XRGg4PpoRqZ+kNsGY3SbK5b06kvYk8Qx+7pW2FNr36SsV bf95hCejNw9/PX3XGvrQFxHd/buZXnHOUoWNlKWe/LqS/sIhVAP8/kplK9xazyVAhGgcenuC5mRP jBtlFcPN+49vVMNU6giaE3jOceNQFP8qnusMT28Pj1Rd+Pb48uPt5q/H5+96ektXxL7sUmQSRqEX o+72OFuydJ6qPtKdTV8VjieWz1IU3nr3Xx9f72kG3+iSNW3CtVLSTUrVwga/1gu6r0KL+IU3SU6i yhmguoE+TBgdu0BZ2WGCfxZju5eVLTo8W6i+6Ktvpfp4yXxDdLQVgNpWL+zA1U4NuqPjZZho7Y5e ZFHngB1qZQdqotWTUUM0i9iaRRgF2pLHqKGeBaXGWBYRfsm5fhajWcRoFilai9hDHUwv7NjTVmhK jQKkoeIoxqhxgPZQYlM7umPqJYieQ+mRwXHWCjAY1y+AGL3rmNmun4gXedNaTaLICxAxM6aNg7rA EfjYjgMYLvoYZeH3kpfhhTw6Dkp2XU13oeSj47ra9gTIPop2dTQZHN/pc18baW3XtY47szT523Q1 euTG2dk59WL3IoWZmzbPRZY3+p6Kk7XSDb+FQauXObyNsgylakobpQZlvjsj9HCTbRH1KjfXqxyT 8jYRFxB8gWBrR01p+n54VlPCxMN0p9vYt6hOxSmNRTfaKzXSxjSlJk58OTL75aW8UqFYMbfP929/ GZe2Au76kQUYjFPRO8mFHQWRmLGcDVcm+kpd/VfFQeUph+WHlh1h80X6x9v7y9en//sIp4tM29DO Hxj+Qqqmr5HbDc4d6Y458VCJrMAST7zU1pjirZSeQewauWmSxMbSsUNI9GmBhpK0eZHdjJ7hQZkC igz1YzzRJlnmSU45FZ4rijuR92l0HdEJocg7557jJaY2Oeehgxo1yKBAciUoFetc0xRCYqgs48bI jdbEz4OAJKhPPAkGanEU2saEm5h6bJvT9eBarzOQh1eR8Qw9NmXu4UUrze22zammaWrTJGEOVR39 hotneshSaY2TZ6jnigEhRF41pq4ceUvkDlSemp7FS13qO+6wvdKgnxq3cGnDBZ6p7xliQ2sZoFsp TCSJsurtkR0Rb19fvr3TTxYXT8z2+O39/tuX+9cvNz+93b/T3cnT++O/bv4QoFN54LCWjBsnSVP5 BoYSI1c+oOTko5M6mPfHhSse40zEyHUdyZXkSsfGJbtnohOHGcZidXq4//358eZ/3bw/vtIN5vvr 0/2zXDv5Vmo435rOsCdxmntFoZWvgilnKl6bJEHsyTXlRH9eUyjpZ/KRDsjPXuCK4msher5aqmb0 DTYCwP1c0z7zMaV55aodHe5d6YB67khP9Bw7DwlHdpy6YFNsTyn0vp586ihEWPecxJfzhA5ynCTS qIkXuTLxWBL3nKrfT9O+mEx4NBZve18vihed1cFPxQ7MCUNNeUqR+hEnY0aZay/r04yOPcMjQlYQ Qpc0UzkK4jv6xIVgrxkatnptZmapvAzd8eYn4/wSi9pTjUNrKlovL7Y1FeV6Wq1hKPrYfcM0jQv1 i5ruihOTCOGVCs5y37bnMZIWpWlWhVpxYLr4IbY0s+JUG2jnZqN+NjNM92aUHwNfLtZE7eVyUWqK TLmpZvg1EQDK3DxOYfL5Uaz3WEH30w5mMrSwA1eOhsZaqXDpcgi3+p305HMZR/kkry0SGqZuYhzQ vLaeq01HTjd1EJdL8Tyks5HQkrQvr+9/3WR0w/X0cP/tl9uX18f7bzfjOs5/ydnaUoxH44inI8hz RDsBIHZD6Hri1ngmuvLeHsibnO580JA+bEzvitH31fQnaqhS6RqljGU2i0SvwkDMDknoaQXh1Ity V6sDjkGN5OEqApgu2VHqzatfRYqPy5DUc7WBn+izFGSY5xApC3mF/Z//X/mOOXh00CY+W8eVEMCS KY2Q9s3Lt+d/JvXsl76u5Qz4ea626NDaUWmryWiBmeqXPqTMZxufeQ9888fLK1czEJ3HT893vxkl RN1u9p5Ju2FMRU+gtF7tJUbTmg8exJgCbi58Q6yjlW+a1rBzVpbrekeSXR2qo5uR0a0qS2fc0G2G 72iaRRSFf8vpV2e6pQ+PmioGuxQP3z2yHLapYo8N1H03HIiP2dqyb0jejV4p578v67JdHrXn3Epn fXn+U9mGjue5/xJNwBDPpbMYd8zKWi/dbJg2Gtxp6svL89vNO9wU/ufx+eX7zbfH/zbNs+LQNHeX LWIeqFt9sMR3r/ff/4JX9qtZ4lIN8E5c9Yejb3auUwyNNn0ySltPqNYLK4HMz7Je778+3vz+448/ aCsWwgdT2lv8YrBpejpzSS8z54MrLE3uJvf+4d/PT3/+9U4lV50Xs40mUm3K5daHYJNZoU6iN1l+ W1e7/SgB17G08m/HwgsFVXnlqC/GVw48t5DCdc4M2xOmFcXdzuHuXlcU4k5JYiYJemKoYMQ7D6EC a/BOrNqR72R4voyJzRkB0iehGFNS4sTiTkooT9YWneg7aWXJ7xyFxI60ceK6x77ZFHRzEmOcbMjP edtirOmFM5pXWYjC4MpInb/fF9ODmukzbSbPQNIdWtFbP/y8gDGo7MVApoMLWTq2K9HrmZRKW/BH 6jKpzxuZQMpP8+yQ6EN2aqqikom/0SaR8mOUS9X2zGXuUebRooIndnEkAbmpznRt7wh2MTAVEbji GiOQqSJx2FUtbtc448wv+AExeUE28q/a7rJ2m8zwu7qQja2BeSyHTUegj6p2vFUbwPScfeqOA/hv HdTqs36CxcNY7OVTS9tCKtCtl/JYtqM+EqYul4urG4gyabwvfmY2DuIastDEhPcQPnoomXkr3c9/ Ln+NAqnD+1xuPu6ZT22247nv8ls0ujX7qGD2jPlWa7kODZ4FKc4x53l9qkK3/d9XwpyiP9agz+NQ trtxL5aS8um0QTvoAKljDEhzR3Waocp1Pff74wMo1vCtdvUFH2bBWOZ7uYBZPhykc92FeNliJ7WM 3cNNjpzMAXpMqXtZ31atTMv38NpPpVX0l0rsDrtskGlNltNRoQD7oSuq2/KOKN+zA2OFdkfHFVGA tA92XTtAJApRTV2o5mYoG0KZcmrwcqZrFNpnWjyZtCubTTUUarvvtgNuVsyYdTdU3QGbq8A+Vses FiUwEGnG7FmlmtHtHaYGAeeU1WPXy6kcq/JEOvBqrpb3btACZ0iACvxLm7mjmfdbthlwS1zgjqeq 3WdYwA5e65ZUdLZ1rVrgOjfFn2fcUusRun/ojp2xHHW3q2BOGRJssl2VN7TPSjXdhjbzYGm4Jrtj b2cMCQ8lH6BaslU+dOA73lSgrqXiSB2NdNUdq3mcCPR2VIYTXcHKW2X6ZS2EQKBjU5B8AhFmyD/S B+WY1XftWUkGfBnnWvNP5FW5MjbYjKR9iC/2DFRn8BSIjmTTLKKIOxbpRwzLLRD16gwVVePVcpOM Dgvs7oQzG3Jod9o3ZWP7CNwrs8hEUruRscwajVTWhK4QJdGyOLRUHzLVXYqCxqY3vMXOiChGF5LW EKTJhvG37g4ykNZUgW6WpWN17OTMqRQiZaksp+OezutGrdYB1tFLT7CTDybTqqrpRmXFOldt06kp fS6HTm0hGXBX0MXRMnF5zKnL/rAxLZ51T8TtAbZq80M6L1eUjHUn7+V8PmLNuTIvu46ujdKTADVR 9aPFYdOsoSFYeNvf7fPqUlfjWJeXsqUrrfSIGRCWF6uNoMD1pwE0yRIjIiYjTX7ZUL3wFm1/9rzn kJkyZQ9vpCtsSsuHu37sNF2KPybi74n2L2/vN/l6QqR5PoR0tKeSQCQFbSbDqUe+uIy/AmjOLJ2P oCp8pWIo5iLfyGbhFVTTZLF6PG6CkU98cwHHxlIuWjVzqthrbLlYuPrMEt7DPxVqbQBJQ8bR0NWO OiJY0AbDV/kn2qGChQSEfCaftBHKvbWb+2LExy/riBMeq6mh2uZY5djy0JYntuyt5YJf04tbhMZf 5a7SUOAwTYBFFVA+3Ayw+rZUe77sT1Rjh1e/xXywCmdT2oaDfZa1vuOFqbQ54wy6POEV5WwIWImJ c16YvIl80VnfSpVtsBl9PAxUj6cjvK1whZKh2PEddkK2cqVboJWMv5mY+RH6DGzhpt5ZK7DuEkfm s0Arhktu3pfdhqqXl0+HDa5fi6Ah+2QqH7i+CUVbVpGqOKxnLPnwjdcRnK8GCg6I8q3xRA5xA7mZ G57P0wNcLcEw9Fy9e4BsHEXAFR8IT8QkFO2jZqLiJHYmJwaHGmtLGRxzLIAIdUXG2adGKcjq+FCm bwovcZAGHf3Q8KSHzzJ+ZmzKvyVq67TleN5UO32K5Rk4BDElNNZ5mLrns5Ka4HxbmQC6Oy593oV/ m/nd6KGXSzx1wdG1/FlFfHdb+25q7JIJ4bG6KLKP3Sn+/vz07d8/uf+6oSrQzbDb3Ezn9j++faEI RNe7+WnVa/+lSM8N6PuNVkzuBNlYvfpMh4n2ETioNPYPc3e8Ti1diKG+0fi3q8tjpTF7g7MVnuhO v2jiVsrwOG98eX34y7asZKPL380oA5pQeRtiV4SMDZc3UaoKNKif4+oVGMYgNFzGTvwkNLg34920 a3w30K+joVrj69Offyq3jLw56Tq7w9/XZ3leQkCQiqrdd/PwoyPq/t8/vsMF5tvL8+PN2/fHx4e/ JGNuHLEo/vTvttpk4mXASuOxVZvMwuTFsnxcSrdfAptqrUXZwP/6bFcZAr0K+KwoBtpAWYu1joCD c9pL0Yh+Jekvups4iX0sfFD1XYVt10q617tQcQun+yQfDsJ7CsbSnMlAEC7p2QUQIHZ3lLiJzlE0 NCDt87Gj8xslzhcv//X6/uD811oTgFD22O2xk2vgapsTILZH2jXa6KScm6f5hlwan/BN1Y5bPXKk CuBXO/qH4GnmUJUs4K6ppMNx3qYtm2Aoku6kZAJzZ7mi75GJkW024eeS+HJTck7ZfZa9Bi6cc+IY PGlNkCn0qhXDXehaalgQ13dirAScc8nLlqqt+OWNCEWfFgqAKPawXPZ3TRKaXNhOGLMz0wkAoeBS 2cROYBnCXEgIMdSFxJDDXQgs5oHTkqwWsWFhkDCnnWL5tCK1K70HlRke2pATD/fUP4POFIIZDM38 Pt8moefrQ5gxpCcfEsePkMHNOMZPEoTRBO6Y4N3IOIagX8uEUH2qL4xPvnerF3Dyw4YwdA9wIkcy j585hO6/UifTGVu69oomSss4oBMcS4jSw8TF8V6INU7Z0K2tbYgPR9/B2gXovocUARx2+tjgJSEW wWrhFlRiJLPAhLdbRoEJp3yw4BF2A73gQeXSBS0icOi21DaF6BDzwJ0BUjXaFGmOVfoccQN+lmH/ fP9OteivdpGfNx3RU6LS7v9VdiXNjeNK+j6/wtGnNxHdry1ZtuVDHyCQlFjmZi6y7AvD7VJXKbrK rvAyr2t+/WRiIbEkKM+lykJ+BEEsiUQil/nygiw/N81LzfJzsruRby7P+4TlaUbGIB1xl4u5P8BR M1+cLsiavcjh7li217PLli3p1bhsAzlBTAiZD8wEmN7ZQ3mTX8ypb1ndLJanRHldnfPTGfWNONSB KIv6I/n8kjznDwBMZU+yNm2G5NV5f1fc5GRgewWQeYzUPHt++o1X3bH5rpL2Tm/CE3rRAaMzdU6i kibrkzbvWcYCl8DDYKHC9zii3wpZbgJWOuHr/JEKaHUHHigS905CtvUi5JAx9POQ9/goDNMeTwyy sowgpyWc1o68QCQdOobYTSPy7fQ3iITRZ2TgooG9yZTM1EckLfx1OjvyGW0+Paqf7heXAUf/UfgL qxgNDCpCJjETOb1GaX8duOgf+nQ3PQuB3m8D4V91nxTbQChqXUf4emSAtPPLUJTZARKOOT5ALi/m 07XscBpPIurLs1C053EShCIH6zpkBt0jBxm8dfbOiKi+aqTT/eRGvS6zKEkby+gpwhyXeIz1LcSA hPGdvfCJGBAU49famVVvRTmxjjpZj/NSKIFB3sZ9UbZpQp+sFCxkcKfITZwleEQ1ZBBF2cSsaoj3 igNzjxYE9CQ0Hxcn+djZAHQcT7uDBsVQt0MD6ozdjS3iG1a79hTRYnG5PFVqPrIhCkIrYnJM8szT FA2qKQ0bj+aGGqhiRZypiyLcjxrMtPPTpq7Ksh1ov/zitL1fZX2ZWONoUugvMBCe/cwA6ug4hol5 oYG/YK6k0Fmd2QRRrsNUBmrpc9SAGCEhajLeI+74GzzWFvD9lHJWGRz+tH+jArvzCldoL2kbBCmK MLcl+0HXF7qf3UZVIBiiSHiM7fAWsUj39/r819vJ5ueP/ctv25Mv7/vXN8uKYYj/NA3VX7iu47tV Z3UdzOE4oi5pYZGhKtHePLOMVO8BYXk5P1tZ5iolb2OQnWK0Xypiut/US6RPutcD7Onzy/PhsylR wkTMA2tao50PgLXB6mgc5XXTJ9Wa4YoxW9sVKfCLpiITzalYtTy77ndZscM/bu9NM60cRxFQVVnE RWt1sCAVpPWsIIlII05NUZrPnSIr7PR1c2mdvdW4CjZg5ePWBGlNO7RJF4cNwQYEmRx8pJbVyjJN 1xRhHGh2gyY4droOdZuuapWOzP20Oo3WcdRXmzuf6CZB1eWh7HhDK2/p84Gmu3YgDhkNZKhvZDXf kM4xPJfD7Uan10npt3yT3tALpYgmjSFUHEwfoei7NOvZLoW5nybGbEvSOIuwyRab3eRob4CfAv3e GVsRenEoihmD3XqwqsskxZjd5ngo8SWw0cCUjYedIGA5E2cZK8oduWGMLAck6X5X0mEbN+hnAivX MLZTJWgkDwvf2FXHBT+4vH17fvzbvJ/Es2W9/2v/sn/CxHr718MXU9RKuZneHl/SVEsVBU77tn2s SqOnoJZNE9EmLwaPmlDv2qirhZnV3KB52l+DJrNbH2tDw3P6fGdhKmrnMRHp+dliRjYRSeeWpYJN nFEKfRuyWIQfv6RlNwO0ymfLJXU3bmB4xONLM9iqQ7uan9M04dTZ8yrQQKHiyOJdUx3tZIQ27Chs HedpcRTlH2PI7vPT4xgzWOWQDcwuYFL4Pxzc6LcA5KasA1wSqVkzO50vRRzLKKVvQs3t3D0h+hAn x5NBKXcFo9mVAdpymmObKzGv5r0XFJycczJZ49Exl2klQtKo6GeR+yDQenwTS68xgQh9OBYI2Gwu Z7M+2tJKEo0JbVmK3l+EFF8moF+zgIuDRl2XBS1iawC/WxcBe2QN2dT0SV/TC9e51qNPP9/QikvB ccf8XcdGd5MC47vg27OAFs6FXn0EdX4V6D0LdhFQ4jqo48wTUJdXS74NqSrt/WYe0oPHTdwCoDna ZyuQoQMHXVR6ASQ4Mmm+W+a0vDGQw8xKkMOzRpAtZiYv65++7J8OjyfNM3+lFOtwromLFNq97qaU kC5sfk5ft7u4wCi7sMAwu7CQGsSA7WangYlgo5YBSyiNannnj6U22Kf6lJws6AMHs4XmN22qzMbc F9Fioogx0O7/xteaI2iy/3Z+GVBCOqiA0tRCXVxeHN9wAHV5lC0gKqCDtVBBNayL+sAbl7PQbmGj Amn1HNQlbZjhoALpERzU1Qe+cXk+uyDn3vS0MGaO0tjI48D3b89fYML+UHe5VtCIj8ANHte0rIZ/ +dnsrM9BNDr2LVXaYKqT4xIJxlQIcjcx6mEJogbZqzkuQSkvL/pcjBbcs1MDPgGbfwiGyRumYfKI kKTbsESSFm2coQc06prod1V1FHiR+Rq8J3POkVgEf5X8uqEoVY2SBt7ATVGXk9QrKyOpemMgu70x UsAbWRScXQCYdEMR0vs6R1ZPazDSYgfidBeQxv2L61EbfwsHpcL1djKWXfP8/oJHbvf+RcQr6EvD QU+WVHW5iq0ObGouBG5KrROOeqAF1wmIuhefQuhb8SnMbc+q1QQgadu8PoWVFIaku2oBkngYIC7L LyYA5W02Qa2jqX6ASbiY6gWgn6f9pgkjxP3oRA3yYnsCUFQ8v5zsAXXj3Lctn0Apc4ipeuSEilY7 bBGuysDay6oG09NMDcqumfokWBh1PDXoheg2zMjJquMtPrJvSJDMLJvRa5XV+fYyF5d3acA7kbU5 MNcqpVXXktrQRN0CuaUEFcDahGRiKuPZv6+rqc7N2+upCYsbwNEO/SRjzgS+tdkohsTzI4C87UJ5 XcUm3MMBie6LoYo2MAlj1U/Q54FzmJobu0AYApDqYTHkNR1MciC7spVNr+jGyZZhwC60++btZGc3 GCuJvjJgLYdBmE3yh+EUchQBbSkDM1RDHLqeUhiWANZXhVPiYrHy9cnOVmbMRpZmq5KylxFXsyqY ztgOUUj4Hkvj9v3357c9pkEjjBZi9BGHLdI2HxpKex7FtHGP/vZt1cHSqsuAJ2wrVMekoE20S7b3 x/fXL6SJWpU3+v6WrtF60lgWGBXqNrXDv0lDUGj3v5qfr2/77yfl0wn/evjx3+gs8nj4C8Tz0edZ 3nEqqR3OAVTzpP0ZZ8U2ICcrAArbMWu6QDQ67SCNEmlaJAEn4sH9mQLpW1aivfJDpGo48B2SiqsL 1yAtIBqYpijLwPYgQdWcHa1o8jP81ppr/WqGT/eB0EEDvUlqb/RXL88Pnx+fv4d6QguFXvgUY2Zx 6YIb0IsK+oTzBAqVVb4iv5tsnWhesat+T172+9fHh2/7k5vnl/TG+QRjb4wqZnki6zKMSsSvHQ8k A7PKWeEFD7AIyIaCW/KNRpGImy7lvI+LdUqq9LF9eAIsmjKzwkAe+3LRPYd/57vQkIqpgoo8ssu9 J6WGD4Tpf/4J1ahE7Zt8PSmKF1VMvpKoXNQeP4nIwtnhbS+btHo/fEN3yoE7EW3J0jYWPMG48iXf +vHa/2vIuapUICTrAzbP84i+6UEi7CIssFsjGdZ+zXhC6w0QUKE32m0dsNxVuwzs1EFynntUM7Ko +23i427eH75hkuPQV4sYlXiqRe+CiF7gAoM7Zd/Q7F4CmhW9kgQ1yzjddYIKu+KG/DCy+fZKmNLq wJZ+LaSXtZ28wgekZVSCxEIr1gXvndIKlVye9uan/bbMWraOMQxa5c1cF382iTfRlqzUiWOav2OI gd0dvh2e/JWuOpSiDg6nHxIkBkvAHFdEUsc32mZB/TxZPwPw6dkJxSuJ/brcqvAwfVlIL1KKeRro Kq7RAoMVZmBXC4BbW8O2lo2LCUB31qZiZARZqyLWNOk21s4G+nsiQr6o8z7e3RWlsFjRlYQOmOI8 8BGcVBkQKK/PVWBH4oMFQTeuKF3BdQpdVYEjl40eFk2UUPYU8a7lwhtd7gH/vD0+P6kQwFRXSjjs t+xqEbi6URA0uwq+D+1LZovzS8s5cySdOYkUCYgbucAGVG2BmXLNHlcUwcEa4O5wWmoou2aFq9vl 1eWZEZJLlTf5+fmp4WGlijEUk2toNpKAJcC/Z4GLSsw+H3BCTck+lJGOxx/S8tn8WCz07KstqlCE 0HUrJckm4xG3o2OMxJav3PehZJkGz2UaEbyJV4CgRYCgx3UW4PqCPCH3Il0rxIIA39HGICq1jznC WLxJV1ta5ERqmoeHAPgMff2hiHP6GktR+7aiVTKCLm4YnOgPNuKmuZifhocCDlpoTAJSTvjjplxT JF1EBp6wyUNU2M9KUFGW8+KWW49HKXMC+tmAHeVFjxTprOZMYxGiK8rDahoEiZAyy/BEDWmzkGYY c8BmSMtoAsdZuAFaMRfSbAmM4vxBwJSUJOjhayxBzuZLXmX0MVgA0MFwglpPPBrQFkpayOlmoDr6 Y5tcxe6Yi+uDYI1h1ylBTWMeOCUo8qZ2NMkm+TazWTkUiACpTgu3KVoVTHSK73gnT5L1jUgu6cdQ BgpOjvHtDNhbatiRowcRyDeAMxsjVc4sDfiKqWkJ/Ifjk1WAWw84aMQkoL5nszBKz0DxPlrD24CY coo1TCtleRfE6KZslk34PfDwcH8JvRMFXBpEboj6BmOHBs7uCCjavKO5qhL98G0gx6zSIlAN+qis UWFX8Q3GbKXlDvQccD9aKwnceTNMmwpjy0s/ET0KcZ3C5EmrkrfMmM7SAIsPegFb4Ys01m4CliaK vmtmoUAhAiA0YQuaDytEWGRQgKlIIyYCf3FGKxWVrVnI/FqSYWwDTouSLPbsNR1qUUKu5yGnVEHG sLsB41cFkJv6BCLnm6pHR5fdVKdOuEaPdGm0Cielqb7FW9MJ8vSNocQIiZ450fUpTBWFeA1C6vv1 PENhvdrchbXHEhu0X1dkcWKeAkyYfihEIDqmpA5mZpaPviBNGlHYkH6ddVOtRJsJkqzsKrSB5THD T41zLTVlLIrN3Unz/uerUGSMu5NyMXc8esZCOL2B+BRJ8rgRAkELriJ8bhsQbgA3TC1EBlEhE3Ax SVDfjHGyeIxOh9bBAMjCymZsZ/AVaJtwmmIraFMz8bXyimY2Z4gLCD8e7gzYfxqQ3wYw260/ChPf gtieFcxx/pp6ZPLz1WUGtpd2ARJdLcyzp9spbajd0VSAwZYGu68npo200J7u3RETHqmimU83EwE4 N6OQ9IsvEpZWrA1InRoxNXFVb7hNsaavMkcp69pKO2ISxQpzJramNcBDaioKoAVimRlyHEl4kJam zfgFNg3T0GThxS2ZyOSHS350FHJ5DII7PspYU3MXjcth3y7K6Xkj9+V+W+/maLAzNTsUtAZ5NFil im5xeS5US1kH0mTdTy4xIQMdmVASQ68eMTLbeNX18Fr4hK7NU3doNH0pgsc6zTH57o7182WRg7Rk njYsEn66Wz8Sp0Ysz6uz4wB8aRiBJjlTHYmALqEFDU3fNcdqwBRUkwA5/QN+C2LLEAIaCt5RHG5N yeOsbI+hhAw+2XFCeEqrm8Xp7ANAnN7hpSAgIUvMETC5nARExL4vqqZP4rwtQ4FJLPimEbPrA/WG e0v3xfL0Yjc924R1M/ZGEFIzDDw7WQvqgFDsOZvedEYdv/i1o8UxCym41uRMtKG8SSe3cRsdfRQ9 yQwHVHtXxeFlqw7FUSUdnY/hxOr6EHKycdpKcIofDJip6TyI4x9GhSfCgJrY90c1hQyyb7e4lVq7 2dnsFHtqSm4doIvj0HSzOL2cnOpSbyfPX+GxFrq42dWir+YBxSeAIqYOAGGml1+cL45xxk+X81nc 36b3JELoiZVyI7j7wkGtSqs4PGDy0H8dx/mK3Yn0HB+ETn3doP0XAkx4mo+4yRcr43rKhF5pi+xD nPE03vU62lFFyrkh+cEPPLdZp1nmRy4aQ35oSaiI6jI14neogn6VFhFadpo57Gxa0gSfUoGT/vjl zwOGE//163/UH//z9Fn+ZUQF9t84RC4iu8oNRBIxIxKniBXs/PQv92Sx0BSm9G42IkpetvRmK53v +jjpAnYishJ9nI7ROnPqbRoYep9EocF5uE0o/HgNsp4vcM4WUYmvMftEig3JkTaKe98mYgGVk95u wn0yQKa/Eg9f4a9UbRH8DqNb0K0Z2PSxEdomF8CrJzpVW24eqwijt8EwrivKrkUlYlXzwMo8JeJM TtQubNmnh7XGef/d60Y85Bbbmvnxsze3J28vD4+Hpy/+zUZjX9LCT3TJaTF4U0j6HzFoCB4KR5T7 uT4NWlN2NY8HG8LvBG0D22W7illLUpO2ZtxKYScZdEtbWxFdMD4ZVC8mgWNFG1OjIxLVVFm8E9p7 aZn3/u3t8OPb/h8rifeA3/UsWl9ezQ3LCSy005hgyeAPpa3iiHoNS5qystZ7k5LG6k2W5vbdBBQo s7m2tpLeYt/W8HcRc8qWnpcdAtzRqOuuanteBKcIrGnldDGJyappFAgO8U1ML2j0PbnpWBTFlP3K 6ATQwq4Km2/b1cZsxHhRxkCI0FRWfB9RJJMWjxHxbHsgmUbigFkOxI5vWQht4VQTsRamMwjQrKbz YQAtRQHKsqOZ9/Y+p4r6HWtbqhKgn+EjtsnNmXhx2aQwEzmtxNeoJuZdnbbUggbIQtZtF4w1Oy1d fKRCnSBAb8GryAp3jr+DYRGh+nzFGd8Yg1nHaYOijNMLQ7EwuKY3svFJv3/HBgkA0Zid904s0dmX t1R0HQTcdGVrmYbvjo4VIshMc0goC5BM4yFphPWQomHwppSaPYi5ZXXhPhfq/3XSzK0ZoQp69BzF UHJRZmXgKLmkU8roVo2Z6Q6qyujucEFiYJX7nUxT4ldUd6jyK4AsrOLDDXFmpSxkDcyPlq44TjCX thPeU+/caaY6aqgwmXtfK4owUmcfOD2rZ4JrX9BlL/ivYrBrQed8ikUYG68hQj+JGYpTOw2PJmf3 lNHdSF34NULhhvvF900beW9IS/xw8qvvyyIOLTkcKPOs4PCigc3gCnT5qCyTKR1hJyWrT7NYT2Xb eaOPC5G9MSWTIQEd54I9B4fCCSPEEbPqUpAyYLKm64LhbkV/vowoaxnRTgSZTSUtlJApYUN1+gTh 8iZRgMEZhZZObP6JY5U8HnQxpbx6AplKKM2ORIQ75SbJgX3SVoGSRiUGELXy1pgGrGvLpFlYa0OW 2culw8TbFh/ntJiuYpja2BIGMGN3znyVwsDD41c7r03SiM2LFGUVWsKj3+CA8Xu0jYSIMUoYemCb 8goveExW/KnM0thiVvcAI5dRFyX6K/TL6RdKo/Ky+T1h7e/xDv8FWY1sUiL4mCFVNfCcVbJVkO/m I1EsORUvI9ik1vEfi7NLip6WGG63gQ/85fD6vFyeX/02+4UCdm2yNFmB2y5ZQlT7/vbXcqixaD12 LYpCG6Mg1rcu/sxj7VqYnOpTaRPwun///Hzyl9XXxlorOc0ipf/oJs2iOjbY/nVcF2Y3aIWKFrXz yvtJsVZJEBuSWwjMJoovjH0Bg3xqcajpN90auMjKfEu4qK+sEM5wDk6intdwaLRCUOJ/4zhpPZzf ceOxoJHRvWXga+O9ZY3Box3OwCK6QA60LkscUCw2Cltu1kUqArXcXvQnO8/Db5m/2mIzq+CeuPJm qg81hNmgQMZrlpuNlr/lfulk2VKkvKUcYRo4ljUbu/W6TO6wHg8kUVFag+xinXc1HQ59IL3AXlms s8mKFFCc4KdqEgB02+EVFVllgOuJ71d0T8d9HuhSYvKfc+QsH7C7n6rWFa4GwkJ4/61EHJ77gEpK Y+N8FcNBemow+6Rm6xxdacTgiUr/OBs4u38UwliZO3qilbk74yv9uN7Li93CwUDRhY+68CX32qte lmAU5jjqV3dyRlt2mA7AmddB3Kq0tVIWrCz8F1VNW9bkhL1rtlabO29Ry5L+FqRFqobO74m4dkUd XRJCuox9KKeEbE3T532CdJ9a+ioQI2/L+trkwNRWmhkthh96r6Y2fiRryaEHycF6m0m7PKOtTm3Q JW3vaYGW51REWwcyt7/AoJwHKeHGO5l/acgsVPGFpV5xaFSCKAeyGFecQzkPUi4mvoW2dbZAV2dU ZGYbcn4aePvVWfiDrxZUSh+7gZfOB4MQjbOuXwbeN5ufnwb6Hkgz+ymR1YKu3xlAXTx3u1IT6PtU E0FpoEz6eajqUO9r+qXbwZoQHtvhK483m4xLbQGcRXRdpsu+dj9GlNL340jOGUdOzajzvKbzGFPU 28Mly+Eg3NWl2w2CVpesTaervavTLDMNvzRlzeKMeuG6juNrH55CAzGbrk8ourR1O2T44unWtV19 jYl0rEaIU9VQglo+84e7n3RFimvAK+gL9IrO0nuGipThjthI6Fv2tzemMG8p2GXsmP3j+8vh7aef uweDkpoHnjvU0t10GDJDqoxHO7u4blLYf0CcAVgNYqR59qnRmDCS1Y0ivNT/jOVD18LvPtr0JVTK vIjRBkboWlIuMZbMpjbQPoLTgbA7b+s04HGosaRgJaL1w3E2iotYZkTmZXXXY7YWrnJGDBV5MEo/ BAc3VB/Jqznj7NjCR3DxZA6juomzKq6PkOFE127++OX31z8PT7+/v+5fvj9/3v/2df/tB1oS6Kmk TuVjjzBjkWRN/scv3x6ePmMUp1/xn8/P/3n69efD9wf49fD5x+Hp19eHv/bwBYfPv2Ji4S84UX79 88dfv8i5c71/edp/O/n68PJ5/4R3huMcUgE3vj+//Dw5PB3eDg/fDv/7gFRD61KkLX4dv4bBLIwO EQQ09saeHr7C1LpqRAILmQRwDkMCEhPITjBbM3TCgMGp47U1VQgyqV4IfIgmh/thCKzgrjLdzl1Z S7nWPBaLrFkqZYdVBgd3Xt25pTszfYksqm7ckpql0QV8IS+3Ri/hGiz1LSx/+fnj7fnk8fllf/L8 ciIn0zhcEgyDspaBu6jiuV8es4gs9KHNNU+rjTn1HYL/CIzxhiz0obWpJBjLSOAgI3sND7aEhRp/ XVU++rqq/Brw6OxDVY6pULn/ADIKW7tg4tHxma2yOHh/48DjXYsxLO2kaQqzTmbzJZz1PULRZXSh 31rxX2SqSWRndO0G9gjiO1wrKJsqgzHpGV29//nt8Pjb3/ufJ49icn95efjx9ac3p+uGeQ2L/ImV JJF5U6pfybmHjDnxOBQ2zNKL6/IaCOT+pBdAHogFrfqwq7fx/NwJvC/N297fvu6f3g6PD2/7zyfx k+gG4EAn/zm8fT1hr6/PjwdBih7eHrx+4Tz3B50o4xsQCNj8tCqzu9nZ6Tmx4NcpJvn1l3Z8k3oM CXpkw4DFb/U4rkSgQdziXv02rvzu58nKL2upVcGn1kBsB6RQpVlNJZRSxDJZeROkwia6hTtiPYFY g9GhiHeyCITMtqM8vnVbMXCN7q/Nw+vXUHflzO+vjSx037qDhoffuMWHdKCcw5f965v/spqfzYnh wWKvdLcjufkqY9fx3B9PWe53IlTezk6jNPFnLll/cM7m0cIbtTw6J/oJSvuqmuiqPIUJLXyQ/IlQ 59Hs4tQrbjZsRrwKi6ffBYj5+YW/0DbsfEbsuRt25r87J8paELVW5doj3FZYr5oH/PDjq2W8Nax/ f6CgDKNaeZy/6FZp4xfX3B8OkJxuE+tw5RCUu6hH5wzze6U+1+dM5tXLLWFypPnTBEsvvBdExAcn 4n8Per1h98zfATVPpSZBMCWSptdVXEzskk3u92Ub+73R3pYqCSxZPnaUHPzn7z9e9q+vlpA/9EeS 2bdNipnel17ZcjGn2O79YuqbhbXEFABV+972WMPx5/n7SfH+/c/9y8l6/7R/0YcUjwUXTdrzqiZD TeqvrFdrJ8enSdlQvFdSmJ1q16Rx0ljFQHhVfkrbNkb/y7o0TwuGfOjG3nVIoj1TnTkAtWgebuEA pQRwkwgraUvtfQMGTw0feE9cCPm2XKHJbBtT68dN5+ufHzCYo3sw+nb48+UBjoEvz+9vhydib83S FcnpRDnFv5Cg9jEjAXoQQz4v+cTk4xJCPz2IjtM1DDCSTHE7LNd7KwjYeME1m4JMvX7Yo8MdYAif FCiwKwoSwQw3t9RqjLeokrhNi1CIFAOIDsmcsQmJDVEty9K29DvPoFG8EMnKn6wOWAUZyOaccpYx AMWObECxG6zaQr0h4raxgL+TB2xDnlEeEoZruskSlhLC5UjFg5m/LxuvmJ8uWODLbgJGWRYE45Ye 7/40X7cxP8pSESrdodwO9XFDdmlyuFkS7zgZiM9AcQ7yHHEYRZpwQW9IS3CzG/OsXKe8X++yQD0G YsI+zWr6vAvkchlB2lut5I2QIWH9/n8e2XDKJIE1d3keo6JYKJfROdTSoGli1a0yhWm6VRDWVrmF Gabh7vz0qudxrXTXsTJnN4eyuubNEq3/tkjHWoIm7/o1qpIx6gFUcanTs4+vsKgi9jU8bNmVpGvU YVexNBkRBrlKxe5b4+1f3jAs7MPb/lWkg8LksQ9v7y/7k8ev+8e/D09fDPcZcT1t3gXUltGOT2+M rPKKKlVRRud5z3sIaVixOL26GJAx/BGx+o5ozNgPsjrYdvl1ljbDtQZtaPiBjtBvX6UFvlqYdiZa vMiCcoVU2lZWsDRd1q/igoNEWVOZnNBMndW9sMIydheMs2X1+yqFYx0mtzf6UkfEgRNfwau7PqmF C7s5g0xIFhcBaoFxgdo0c9K/11FKcRbokzzuiy5fQXPGyuQlkBkCTNjBoZEpz6sd36yFhXEdW+d9 DvwNhGCT/fPZhY3wtQS8T9uut7S+UlFh8BQoCDhj2hDgEvHqbkmyUAOwIGpn9W0w96hArFL6bMdN c0GOAqf563L8BWKPr5rhxo3koIsxpl0RlXng4xUGDm6mxGCUSrswuxxNvFDMts+F91KedErhmEjU jKVUzXAWJNFwQqTL6fbBgZGAi2IKv7vHYrPPZEm/IxNjK6JwZ66ox1J2Qfq8SCqrc+IZKG03XU6Z zikEhvngbqP7Ff9E1BYY5vHjoe9yQ2tgEHb3ZLF13LfKjbmq+Yd50ar5FTeUEazBhBvAH7YxfHjN jKMu3v2lpeX4LIuEL5HlJIblkfUVOUN/Bq+gX91hePCxvBA5PyQduOC63Tg0JKBHP543TTkBGRjS WBTVfdtfLFZpa78OuiZjNTp+bsRB3hwc8SQGcwnYTuu3DvuDsU2uM9mrY5HMuuPeOUc3BsNdZ+XK /kWYGhSZbevGs3s4wRjPYXxJOKIZ9eZVCovdeGmaW7/hRxIZHYMu8TVeJLS1NdQw/HrKbKOm9CfS Om7RM7JMIkZEfsNnhH9lX5huDGXRamtrw8QeS5f/mFuJKEI/COgTaWA7dDZ8b+luXDjdKnREti5R BxJQ6lhGgcgrBhVnIJIRuI5xjgsnybpm45hjDCBhrpBzhyJu3G9ZZs4LmIC5ffFQYUQoOh5jufrE 1rQs5Iky41otZmj0UUZCTrXNB7SwKEp/vBye3v4+eYAKP3/fv37xDVO49IaGfX+dgfCSDbe1l0HE TYe+HIth5inJ2KthYcqA+apEWT+u64LltJNJsLGDQvTwbf/b2+G7kgVfBfRRlr/4n5bU8CbpQgiH 0+UwPnCoqDCLH7bKFI5iFgllF5DMwdvEGGcXfQhgsDPqJCiYBwrDwnIoT5uctSZvdSmiTej/aDtL iVqSUniad4V8RExZZGqUyw+s8AIdtU0ObNZyG7NrtCfqedX9YaYa+2hXio4XitzDo55h0f7P9y9f 0DAjfXp9e3n/vn96szO/sLXMkVZTORdU+xriyxvBTm97FkhBOsDw1l0gc/QBn3iJqtA2iBE8Tize 63Vk3Unib+o4O/CJVcOUyyachLDysVZBMzg2N55YYbIx22DaKCe/Vb1qkya0YCzpUboVZjcTkK6A ec03OLEnUCvgrRPkuOgCMTC8HqEO1ng4lv3z3RoEODrD08icUx19WM3QD805e7TRiSjO/GnlZpEw jamGei33JWRmcPiNiyYNBNGVNSNQCAC0xyFWU94WpK5BEKsybcrCOkKOFffWIWwIrNpGXW5vLaJE Phjwq5GVwkYT0xf0in1lpoAhxkd1LGyjGXASt5XHynH7FZu21BvMLk5PTwNIW/pxiINFWpL4ozug UGjoGx7YZdUnCvO4Dncrys8B9v1IYeIiks7LhCAg69rCt61bXFZ+o7aUopp4LFBzWredeUafLJa5 SYQNHzl64nvQDzQBTug+HCAq5nXNcHn6VwmSit4S6FVflOPyBxFc67dtY79xoTkN2Mjo9dLuAUEn 5fOP119PsufHv99/yG1p8/D0xXYwZCLFJmyhtKu0Rcd4F138xzD1UMHR4YJpYTmYx6GmTFqfODq5 lWULchzLTaB4E+X3FgQPzTHmDL6s32AcupY1lAbq9gZEBhAcotLgFYKtyqrtUCBTvSjNlGHP//yO G73NALV9JUG2hw0F/Os4riTvkno3NCoa+fS/Xn8cntDQCFrx/f1t/88e/ti/Pf773//+71FCE+7u okp0OxxOCKOKrYbJSTm9S0LNbmUVBXQFnbZQkPHI6E59PLl2bbwzNXpqTqpk495Ko+G3t5ICDLS8 FYbE7ptuG8udU5aKhjnHR+nNWHkFqKNq/pidu8XCsKtR1AuXKjmZCFmkIFdTEHFRK3EL70VpzTs4 Q4PoH3e6trnL9hQ6yPtYW2LOviaLY09g1TE6xDW4Og9bEpPoL1iSGH4gnERyHIywYq3hiVWRodds IvmeW5a2g9/AeB77f0zxYZGKvgUml2RsTQi9mkK1EwdHRpwaOkscHISJdYF2K2hmLVSJxMYo93tP 8pHs4W8pV31+eHs4QYHqETXsxtFJDUraeOumogqbtd8A6VIAByeKPaI8AuIraxnqsDFkkw71YXGx QDPtl/MaOqJoUyZ049IShXcWa7O5Bu9cDoPRnO3voicI4jDFBFXuPDHqeoGGAVnG52j/TayiDoWv QGp8Q7j4Wwjpm9GvxZyDc19aOpZNOimy1T0OO7tRh8daHxsVuSgr2UBjyxRyxnBWnaZCq6oNjYnu CoacIXFmu6xALqFcxPsSNvd15EAw1QIuCoEEqbowzUEFgqsHZS3GMIu6uc3u8XIKpmiSmA2VufoQ bwns8B+wm7ZvblM82LufV9VxnMPkrm/oxnn1qQJjKxxGOAlPj4ZhPgtqpRmypQw5qc7EIoGRVBE9 /2f/8uMxcBaq+GDjfhvXdUkeZgAkieaHY7wVub/AngC74sXCrjbOO7yWiMIGROiUVaHYIzpEK0mH V3zCcw8cWuKsT2IRlkaK7BaXDYGCCl/o5T5JdyCuGW8cdRtN2kv13tQOg5+HQ46yX5+DSOf6oO1y O7AR/tbnHOq2QZBhGBqQJFbZnV3RcECqyz63PNukZGXNR+x4Vmd3boscgnCOKU0VLgJUYV92bdW1 /nWwiUmLATKbLw0lnzfdTPVlu399w70VBVaOeU8fvuzN+XjdFeR1nd5sejENjdBSo0o9boUZDgW0 0lnbsammltS17f4jj0VwGIJixVjsqyjE07wbeBKqzFspxgqjx9CL8a4W+tibmLKIZPdTfWuITiha 5GnTiFBpJe8wogKtUJBSyCqVnUh7eTn66P8DpLBqito2AgA= --===============1146835177474927738==--