From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============6964795872872942657==" MIME-Version: 1.0 From: kbuild test robot To: kbuild-all@lists.01.org Subject: Re: [RFC 2/3] powernv/cpuidle : Interface for an idle-stop dependency structure Date: Tue, 05 May 2020 14:57:43 +0800 Message-ID: <202005051413.WO7w5xlE%lkp@intel.com> In-Reply-To: <20200427021027.114582-2-huntbag@linux.vnet.ibm.com> List-Id: --===============6964795872872942657== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable Hi Abhishek, [FYI, it's a private test report for your RFC patch.] [auto build test ERROR on powerpc/next] [also build test ERROR on linus/master linux/master v5.7-rc4 next-20200504] [if your patch is applied to the wrong git tree, please drop us a note to h= elp improve the system. BTW, we also suggest to use '--base' option to specify = the base tree in git format-patch, please see https://stackoverflow.com/a/37406= 982] url: https://github.com/0day-ci/linux/commits/Abhishek-Goel/powernv-cpui= dle-Support-for-pre-entry-and-post-exit-of-stop-state-in-firmware/20200428-= 044920 base: https://git.kernel.org/pub/scm/linux/kernel/git/powerpc/linux.git n= ext config: powerpc-rhel-kconfig (attached as .config) compiler: powerpc64le-linux-gcc (GCC) 9.3.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day GCC_VERSION=3D9.3.0 make.cross A= RCH=3Dpowerpc = If you fix the issue, kindly add following tag as appropriate Reported-by: kbuild test robot All errors (new ones prefixed by >>): >> powerpc64le-linux-ld: arch/powerpc/platforms/powernv/idle.o:(.toc+0x0): = undefined reference to `stop_dep' >> powerpc64le-linux-ld: drivers/cpuidle/cpuidle-powernv.o:(.toc+0x0): unde= fined reference to `stop_dep' --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============6964795872872942657== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICCQBsV4AAy5jb25maWcAlFxfc9y2EX/Pp7hRXtqHpLIsO3E7egBJ8A45kqAA8E6nF44snx1N ZMmVTm3cT99dgH8AcHl2Mm0iYhcgsFjs/naxvB9/+HHBXg6Pn28Od7c39/dfF5/2D/unm8P+w+Lj 3f3+X4tMLippFjwT5mdgLu4eXv78x5fH/+6fvtwu3vz8y8+nPz3dni3W+6eH/f0ifXz4ePfpBQa4 e3z44ccf4H8/QuPnLzDW0z8XXb+35/f7n+5xpJ8+3d4u/rZM078v3v38+udT4E9llYtlm6at0C1Q Lr72TfDQbrjSQlYX705fn572hCIb2s9en5/af4ZxClYtB/KpN/yK6Zbpsl1KI8eXeARRFaLiE9KW qaot2S7hbVOJShjBCnHNs5FRqMt2K9V6bEkaUWRGlLw1LCl4q6UyI9WsFGcZvC+X8C9g0djVym5p t+N+8bw/vHwZpYOvbXm1aZmC5YtSmIvXZyjqbqayrAW8xnBtFnfPi4fHA44wyEumrOhFcnIy9vMJ LWuMJDrbpbSaFQa7do0rtuHtmquKF+3yWtTj2nzK1fXYHjIPMxg4iTdnPGdNYdqV1KZiJb84+dvD 48P+78Ms9JZ5b9Y7vRF1OmnA/6amGNtrqcVVW142vOF066RLqqTWbclLqXYtM4alK38VjeaFSPwl DCTWwEkiFmflxFS6chz4QlYUvRqARi2eX94/f30+7D+ParDkFVcitQqnV3LrnZWI0hZ8wwuaXoql YgZ1wds1lQFJg0hbxTWvIu3OZMlERbW1K8EVrmM3fVmpBXLOEshhc6lSnnVnRFRLbz9rpjTvRhwE 7K8s40mzzHW4EfuHD4vHj5FI4xnZs7oZdyEip3BS1iDRyuiRaHcPLYQR6bpNlGRZyrQ52vsoWyl1 29QZM7zXA3P3ef/0TKmCfaesOGy2N1Ql29U1moPS7u4gJGis4R0yEymhi66XyAru93GteVMUpGJb MqXYYrlCHbIiVdqO2G3BZDXD2VOcl7WBMatgCn37RhZNZZjakTPpuIi59P1TCd17maZ18w9z8/zH 4gDTWdzA1J4PN4fnxc3t7ePLw+Hu4VMkZejQstSO4fRxePNGKBOR2wqO1oaTE6XYYfNJXlRnq5Qj L8mn05U9LVyVrMAFa90o+vWJzoBBpsCCoxqSCZ2RNsxoSpxaBLsDp7i30ZnQ6Ogy8uh9h8Q9Xwby EVoW1kL5w9nNU2mz0MRpgI1ugeZPDx5bfgVqT2mGdsx+97AJe4McimI8TR6l4iB0zZdpUgh7lIe1 hhMcDNva/XHxOW6xO+JZwPUKzB6em4GzkOiEczDrIjcXZ2/8dhRWya48+quzUflFZdbguXMejfHq tROmvv19/+EFkNri4/7m8PK0f3YHpHNqAKXK2ioEuatE78Ay6qauAfbotmpK1iYMgFka2PMOX8Es X5396tmwGfawfdA8XlnF84ZdKtnUnp2u2ZI7E8DV2ArOPF1GjxGiGNumb3G0NfzHQ33Funt7PJt2 q4ThCUvXE4o9wGNrzoRqSUqag/dgVbYVmVl56mhm2F1rLTI9aVRZySaNORyCa19CXfuqWXJTJF57 DXjH6NBQyxRf1dGIA9cNlvGNSPnkHdANLdJ09lzlk0br5T29AGgI0ADM2tjWoNp5zwgD/WeYpAoa cO7+c8VN8AyyTde1BF1F32akCvyUM8IIoO0MaUO907CBGQcTnYKHzwgZKV6wXahOIC8L/pUPyPCZ lTCalg2AJQ+Xq2yCsKEpgaYzclJALK5LNkcLYXnYS86Tzmn3IyV6Yvyb0o+0lTU4PIisEALanZfg 06o0kHTMpuGPOXQNYUuGcVYqM94CqmItx9Cp6rHvGAYdY6ScRxQduGdwNimvsQv4E+YreVJ7Suxc kmdIwIgJ1MdAoeDIlWB42w6RHtEogqM3JSuwFsUkxBlwWeAn4ue2KoUfz3oHjhc5iEr5C2SAyxEp enasMfwqeoRT5o1SS59fi2XFitxTcztPv8EiaL9BrwLzy4QX1wvZNirwHyzbCM17eXkCgEESppTw bd8aWXalnra0QYAwtFoR4AFG9OdvJWz+kT3Cjbd+zF/XEFiMM2uxP/qPwOxCOHRJqgb041lGGhl7 NPB0tUM8M5rx9NXp+QRzdbmeev/08fHp883D7X7B/7N/AADHAAmkCOEA1o9gLB68wwvfOUw/yqZ0 Y/Se29sKXTRJ7AVsW+ew7bkIjzhmSJiB6GtNH6WCJdRBh0GDY1lImo3huxXAjA6V+PMCGrpWBIqt ghMpyzkqRuEQCwYa3uR5wR2EAQ2Q4DqkilaNIBBCY8xLRT45F0UUNwyAFwyUdWfBFoXZp2E/6/Tt eR891U+Pt/vn58cnCOO+fHl8Onj7Dk4WjPz6tW4t/ziTnsCBQMp/CIHrhg7x5JarX46Tf43JPvEd Ej3bwmCzXCwGEysowsWJzV6+O5kuz7OV0Jb7A7MCz78H6Df6KjrYDkq2ui6EaesSYjKD+YFwUMUy zEaVzUzzVNGQ7JJvDa/D5mlLx8gmjKyONw7b3GEjZOsvCHGi1VMiv4QDlSWoqwhg2jCVGlbUxQvB +7EZTzO1rdDXWprU+LbBpo9aXfqJQf+hUhajQhz11h8pk1IlvCj80zBV9TBKTdDQVplgFEZABthg A4JxPMGq3547OLRVrK59v/P2PBE+OPAVwAq7LGE7VAWgTgDGhdjv4uzdMQZRXbw6pxl6m9gPBGHh t/lgvF8CVwJw3wF1l9lQ3IssbJjck6xPanOhwNKlq6ZaB5uC2ceLN2P0CvADULsIdWXLTLrKpG/2 DfhEa808/fGNHA6cF2ypp3Q8dYDHp4TeGq22XCxX4RkLJ9R750rq2j/1nKliN0VWrOpSjbIx3t2E lW8AJ23eetJuowxZgtnIAf/DqUED7muP2ze26w4V2KYsmnCTJcv21ds3b069XpiAtn2nIgiBX81q ZRU3xioi4crBZQSZWiQ+7OyyASAhUKZKVhBkytAch/kCa2ysy7Meb46tAQeXxNYkY1tPHvXSXb/Y ZLi+OPc5MQcNKl3GNvBKpNGYIq27rF7MSbWMrM5f3t8cEO/Q7tL6pmrj2zxZ2zweBd3stHnZRxeB md4wTSMb0CI6L+5PA1Co4JSVXQMMWzbcT1c7LQDAwTCfGQqAEpPMna3DmBUwv6gmc8+cJ87BkM+s Gkw4BEpXoP6BTeB8Fb6r/gWmEG1oB0lEGArhvoJdhmAcnLANHPrk8CJ/2v/7Zf9w+3XxfHtzH+SD 7TQV9640+pZ2KTd4M6TQIBJkzKkGgLQn9Hks7O8FveRe0Z1QhzSbyTmTXTDqsCmT7+8iq4zDxLLv 7wE0eM1mkg4/1seauMaIYkbAc1mBgOf75PEX5PD96/8r647XO+jfx1j/Fh+e7v4ThljObuBgnTr6 yXZCg/vBxYf7fTfccF0PHbA51PL4Nqhvs9MuGASXamZxA1fJq2Z2CMPpBFLAtAI4jWmCSUhq11+n w9wX2REJDUvpcd1sZ1+GTiReiy+6IHJplUnDtfQvim2/H04/fsGaCW/Gq+v21empLzBoOXtzSooJ SK9PZ0kwzimxO6vri1djpYSDEyuFd1zjceuyvS7ixOwaeBYlWBIbT7DmlWYpunzwVy4P4yfU8BoD jB6GpwjaiNmULkDtqwW8KUhTF82SgAg20kWvj5kWznQMMrpIpLun78b5Fo+CvyKfBfB8gBkdY85E 0fg5rzW/8hEfTAiD805QY4ZmbJ5UZ4w6r5hetVlT0pnjnM3T8MIPjA2nmHxAkUYZa7xetJdbEAtR N8KNf0FQyYzr7u7oVy9zheYYt8TezCATnFxvGxGDOukVeOVoR4nBHGwjOOBOxiVwFDGHvfQHhm7j ZsnTzMtOj7vYqXXu3wkUBV8i1nIoGvS8aPjF6Z9vPuxvPrzf7z+ehpVFDly7mVolnAgI8H0r8Sp2 WGsAc87X9lTNYZy3PT2CNPYgunu7IWTtKoi65uFKkBt+ZSbMNqMdN7o4Ge8Or2XFpQJ7fvHOf69u EvtqWOokBtGliReX8QodcyH0JGs+6nmZIfyzKRfKeTiyd50Bq1Gsy8nooHLJizgocXoptrqMU4XQ wrINYogsJm0vneNoeZ6LVGD6cdSrMbsKsdGyU1cqHchTDN2i7Aeo6JrvqIxo7wkGxdRwluFEMQt1 rc9IXp6nDmOofHH8/gxzXbRFQnslf6xRgSsEqDBYbIytDsg8R1R7+uftafjPaAxtGReMoY6x1aud FikbGWMGe8biJKlLVvX5YD9Rjo2b3E/7Y0scNw/90eglu5ppTRA3Nn2hdxUIVAbXOxjmNFjzFyW1 oFf44i7ScNcuiqSBZzlGXm2I+DnoPtYFRKNugDKW1QW0WpHHMXwvvxIGcxNzhSbIjbHx7G0bwN0a XX0ABPrGCYLrU803T7e/3x32t1hA8NOH/RdQ0v3DYarszkeG107WBUdtdi6ygxb+VNYuXUCu7Tfw nABqE/I4T/IM9hWjgWgAAIllhRfAKdbWRB4K/STWUBpRtUlYX2AHEjB9zLSFdtapJfnmteKGJMia bu+GgRAGQ+zgZtLS86ayOK7lSkkFYfFvPI3LAzGv4V8IjuWLdsQVqNE0eYRRu8Xyzl3FSSOm0QsZ ke/6i+xoeF2ije3qU+NVKb7ULRwllwLsZN/ZzIBP++G6bVpt2wQm5O7zI5p3eUWsGLOS0ySkG5Sp DDOKtkDBgARBlGFObRwf506127IEtx4Ec5S4R1UNssTtklng4ZAm3iiRZKxd+gbLAPwn29Wt3xYU pWV9la5ibL0FofbQHHbkshEqHmbL4MgIi2uwmLOvSiaYurTzd/HKIvP4Kbl1TrkFsxCkTOfaXW4b twIPpt1OLyfhKpdCcl+92A880zfqpI2SVaxL8LdFcni21mJChiMDL1tdR81EeeG3OfCwxhZpWno4 c/ArhN9oA/u7H4oPae2mnBg+ty0yx+JBZXYRFQ5+j/B5KsDWesoqswYiCmtZsRYBb92JJViHBlbN lkSboPRqsD+2u4UbgcKP8wtuU6IBQtp4y0L09q5Q5gbxWX6JtKHe9YjfFFM7WAh3oThchVALqTaK leAi/JqmQiIYh15bsF0eAU+TFssuZe91cFPsyCxyEh319VnigER88mXdJQs6sKi28c2oO1PgOUzI MyazY+LclSSOhGCzNTKGxmij/coCPYUmqdz89P7mef9h8YdD6F+eHj/edZngMfMDbN1Ujk3DsvWp DhbeMB57U7CF+CkM5jKE7wbDRm9efXOb7twtUoFngS6e9rgLZlAq8H8FGvctbjw2sB9NXEcclRJ8 A98N6RPTllgi5IMXW1KjS5TZaXT2Y2PQhbOF9FFGR2qqrnlYRdDHkcnVeuBjjo7jaJUO39DMlGr1 nILG1h0ZtwoLuI/x4JXYti0FBAmVV/vYitImOsiuTQWmEs7srkxkQbPAwSp7vjUWNFEJu87u2mrp AhCfX+eahDUMWLuoUy3APF2G10d9VWOil2RjIYKSm7EI0vClmtPingtzGfRe9hxgR6UxcVlMwNan KSzqoLLcyLRNTDzNrjxVSHuQ0vmZDoypJL/X6sZvy8tYQngxmuv4xbhpmOWbpslvng53eNAW5uuX sLrbFgxZ1N/nQqgd15nUI+s4G56LoHlMeUdvDNRjEpnj5MvLtk7FpA2hih/YY7NN67jvo+RYfh4s C3oK6ZJUWNKJ+0ifppFvvUs4HSD3HEl+Sdq4cBZDkmP4mAVwmggK1/D7QataugYLipZngkqGjCUz AErSVpXeV17WRLrOsHFyW/mIVW01L+eIVvwztLHcsBRy6xV6x89jhbgVOf9zf/tyuHl/v7ffji5s Wd/Bi9kTUeWlQZA1QQkUCR7CWB6fbCA0FvsDXus/kPgavUanStRm0gymMh2TJjhkF1oN2zi3DrvI cv/58enrorx5uPm0/0ymJo4msccEdcmqhlEUL4+M9TK2IBhcdpww9/LpLu3vx65emvwKbzQ4RdrA v8rhY4YjHNOXuvNrc/xTes60aZe+N7Aqtea8Hvp6i8Tbgp6G38B6CudWN3z+E70HywhwlvbDWZzL pOfkyids71Y6S+7VTEZfAs9fFnVVfMaZNywsO486Jeix/aV0De4kUBg6arMBueJoFYJIjCiz8y+4 zKqmWDC0RM6wssZiZZZlqjVECdpgyrzUm/bUthebVS7YUjvSxfnpu7fBxL55pTbXvtrWEnSi6lJT 42k+HoJSVFj4lu0CD0qyla5anLqlKDi4SwaOwTeMINUwt5eGUQc8zhZRDjT/dgobsZjOCwZR9al4 +bp78/Ay2zCgSanGr/R4jtiEmMNsl+JaftfQv57TX5gcGZguBT7WYZX+tS7X2lCwZo7/4uT+f48n Idd1LWUxDpg02VQcEc/rHCLkIxON2G2EI6nvcgn2i5P/vX/5cBIPORouapg6KHHu1tA/2dmOR6qf jr/KvowOjndN15P3vfoypz5c7BLBrhyyy3QHdoMrhZGJjSOdpcOvm0YWmz627dNc2BjpGyy8D1NM GAlMvjbDAAAHQzvlUiHDGh0rlmxu6BPSJ/O1+8IZ3tbaulJqPt1FXy8+d0tuv6r1ZAMRQAJhwqpk /i9H2CwP+J+dNeD4iVNOvsJwlxlj8YU5Uq0Zy3yMMw9jRuwxfCFd7Q//fXz6AyuPJmAH3NOah7e/ tgWMEqM0AyCvl+bBJwBqQbGIbYt7j9Z5JmC9ylVp8+X0x+kcs0Q7Yj6i8svzRO3gVvhBPrQOF8QQ qZnw2yyByeIEI2beTr6U9rkGIGdPzyybfUPHzMzqONuGq0RqOqYBprqiy0RQHqIWx4hLxNq8bK5m l2NnMPMlGsIZuRYzq3Rv2BgxS80l/WUHblfLZoSCNK7pRQn3TjTy8/R5rUvRP1TLY8HxwJM2iZ+e 7m1xT784uX15f3d7Eo5eZm/mskEgqbd00FpDzzkR4g/Q4G0GmpOjPID1bHoWdLecMefAOtyH+P1d IymU7pd/nvZoPSCMOuyfJr8ORAwF748zXhMe+Avg+Nq/354Q8Qt3ahD8UrGqrO8YfRy02o/n3Yf9 /rCOAGOCO6CF6A1ov3qeqaoO+Ox13Xfw5WZmd30moWgQFDDBChIh8Rvob/PqObOAn9b08qVlWzET SBWeASToy4Yr5kdnQHI2MBK2azwub4MB49yvZCB55ocsLMl9OjhLj5QmpOGvLM1ScZWzRIA0Yv6l IKRZmmmq6DohIMvkN8XzWfJlIw0jdwrn1IVOkYAwGzM7IGAJ2vQiUeOP2hxZCpyOK8oDj2f3alAK axyubA7meXH7+Pn93cP+w+LzI6bWnmnLcYWbEJq6YJTDzdOn/WG+c/el34zd8Dmdlh8dpcLPtWdN 85Q9n9U8gtuqE0+pTDHF7h3Ab8wavEmpp/dfvQQ/3xxuf9972C8SvsEfr4JI3+xq7pmBKZNvgud2 EvkQTUUAoy+/PuZYApSiZ1QSSJvpUkX9zyP+ajRU4NMVs575PDB47gz07YFps+pvKbTt7Gyf7fp1 elKJMQGv4K1BNGLAgMD0CEP/UqZmTf43XmH9Y9Q9JE5kFK5n3A4giXqwAEF7Bz6i1uE4hEkgRwxc UcBPnwfHUrJqWcyiaZw829Kp/yOKQ0k8USJb0i8KnPERPkdCdp4ciSiS2klvblFZOoMh8fCkMwhE ZTPXhoCwSQIzJdlenBlKd7Spx91zC/U3q1u6WJYwx0rKGdjqSpQQ12sWIVdsIie0KVjV/np69op2 5xlPK/L3bIoi9WcIj3T+ixlW0Hj86uwNLSJW0z8lWK9kNWfdOOe4iDcz55YbV7lNrzGl35fAJjJ7 P0iSZc2rjd6KqLpzlKwzjrMRoYXtsxFYWc8hZvvLPPQrV3o+HeBmOoszEQq8RluBHukYV5VqQeiD 8itrVG5/Yc2/B7oK003dFTAOWCsx8ynUyJMWTGtBRaFIVfgDYHrXhr+oklwGPweBv0PyG/lTlDb+ xqoC98OiYRJo8X/Orq25bSRXv++v0NPWTNVmY0m2Iz/sQ4sXiTFv5kWS86LSyEqsGttySfLO5Pz6 A3Q3xW4SIFM7VZNEDbDvFzQa+HDenc4NyxdZ6/uCFcpxuWUJ3HGTOCiSxpDoDbSVfYNgKp+MARYR QiAw/SXo+kzpNSNgd19l3P7lr+8degtbBmjhyFhpLINI0BqUzL8POChF6LA7euN1REDL+46Xztcc 6Gjs061Kc4HGQLyOxqdp4bJ9MTEH21vIC7r9ZGVrnfFRJ1mYh7pXzAvUbFfXe/utz9PztZqO7u6/ +y3h56c93Q0DAmXWYyU1f2jg0dxObKF/QqJ8doPFZSk8IVkwB6yk5WlE9BSS1mnkNbNap8xZKYnT JZ0V+q/b1edAVZGGlrj3zUa0H6QsKjrvMWWLJmwQlJwsGGbY3+zKpCIP3Ob36Sh1GSCy6nUW2FoC PKZtD2/n4+EFkQhrR1krc7+AP4eMvyQyIMRw9VrBj8UK4XRWrTq4u9P+x9tyA1cUrI68q+QXb387 C3e5TkOhMI3Z2kRe3vSg0ptjV1HKXOHwB/TA/gXJu3ZVqtcAnkvVePO0Q3wmSa6792SAGNjNckC6 jnHV0W2rbnG92V7MiOhhvQy59/b0fti/NSuCUCvSqJ8s3vrwktXprz1cc39hEuVLLUMUHuPu25mb mZkjMloUy0QaNI632ltmv9Xb3yC5vMpcviyVSevcC1PSZg326CJK/eabl0yDg7qMSZTUQsSuCC27 9jRTJflBFi1Fppxb3Gqb9vfH179wer4cYLCP9T7tL6V1pnUASO++Kh/Lve/CrVwi2q0iOGnrST02 zXoZJ700qMSXwco2iLkIoO2dmwULpiKawVtkzMVMMaATsM5mrYxJ6G0gWj8k+fq+ROB31nFYZqZ8 1nSW0tWH5FVZVWwsVryBxCUBLRueriZ5UYbwQ0xhVywCrw0k1p6vF1/GJ3maWxM4D1A0wYZPS8YC dh60aYZTY5WpYeoSK+cL46I2izn7WtJUwC2Ml53EN1dP4uPTZcH0I1DR1qKw3GwgUT2Fk6T7ZPrV SkDlmuVQBmkWnjr8tp4z4XcE20e9xBJ/rR3E3aaPPpBQHgsFpaMFoiutUtRTlpPMvcyyN1O+C4iO dMEaSkXWwu9SSUQB2hz3P4Slb1yGIf6gZXnN5Hdb+eKhlOfQ5iJIx6MVLZF/ywQteFW5lNBlfN3R BNrUWhip0ghKgY5ftbN1sse0SJCvs3Q3m3Y3Mu6h5/c99NWko3XQOe3GoQ+JalftSW7SpN/Y8HY8 uTZuKnAXjPC+6LgLukLon45zEa8ERI2UKTCWY+mEqmJ7eiHLV22RLV5EHiWjXboW6eQtCQjr5u2q urmamSppbH/aUnsdnDLRIy5lRs8j4iKhp2YR+JE8qIh+AgksTBD9fY0rXiLtGf01T9dwAyMzzbmF YIpU/CmkxOJ17vpNwajKZpEi8hl9jx01dwhlW+zBwRNR8qairO/GzuqWHIbGp0ZR0y/Dq1bnqTgL u783p0HwdjofP14lJunpGaSFp8H5uHk7YT6Dl/3bbvAEA7p/x3+aVSrwskTW5X/IV2YsUK28Gfjp TAy+V6LL0+GvNxRf9LvY4DdE+dkfd1DAyPm9gvMJ3s67l0EE3f3PwXH3IkP7EN24gF2KO0y7sjBO Ui9ePtCrxHPmjIpmRWtAEo6A1tsgojmICO3Q5iOSJSvyFcsxF1MRi7WgqYg8Tr86WcvXUjgEro2m 4ranFHq/6I/b2G/SNSZKDDu8TASuxA8zHkOQyzA1xm8azmwyTYKv++3HLVkDXfTg/PMdZgzMsz// NThv3nf/GjjuJ1gnvxvm+tW54Jr7hjPPVCq9+C8f0VLx5Wtaz3AhkwhBsnWXXc2slKQ4ErQiZjTM kiVMZjM+cgYw5A5quFGCpruvqNapLavKT9NADRifu+/0cQTyzxaTVQ6Gp9ITo1EDoITBNBf8t1lq fFsFbmg07B92jy2r0EH19JYUzvZIUSXEXwurtTFgq9l0rPi7ma77mKbxatTBM/VGHUQ9Y8fL9Qr+ kyuPL2meMg9Hkgp53K0Y8bJiyFlkRhx5VhWgyMLprp4InC+dFUCGux6Gu+suhmjR2YJoUUYdIyVt xWBedHBkTsTsHmoDgOJHND3yZkJunLG35F4jLjyI9sQ45V14uluaFuM+hlEnQx6JrEgfOrqr9PO5 0zkdQRRmAkzIKjxm9JNARe2qHSej6ZNnNR7eDTvq5uuIYNwxLJlmLmPiqrbDtGuvxNh7jASr6YJT 8qoGFl7HPM8fo5uxM4EdgX7J1RXsmKkPcNwEzno4mnRU4iEUfbub64zvbv7uWDFY0bsv9Fuv5Fi6 X4Z3HW3lNf9Kooh6tp00mlxdDblTR/tuNo8rtzHw5nHUEJQuaoZCGOIQ3hNRuWyeTZimjaIVfg1R KeSRr0KW6ISpqa3t00astWL6r/35Gahvn3LfH7xtzvv/7gZ7jFPwfbM1ADNlXmLuBI3KRskUvf7D NMK32cB5NNUBl4+k1hxhTenLMXI43oI+hST1IckC+iopy4B14QxvR8x0kLXAU0jmxfPkQTiiZ5yk +j7R8ZFLKXgi5sIunao6NBJuVAEpGY/cF1rd9W4L8c1F33YEj0g9S7aFdKmMofW1EeyIIsUAexy9 mON2lyWLAL3/OUETS2k2zSTK2FCdHF5Gjz7mjNp5SnEZwcUI14PVL2igdQG9bfQEu+KBhpDdTCGV 30Ijt0s6bHhcrjUPc7mQI9nQUFrEktS94nDLRwrrsSNCrWvDKcSkwi7CwQvgVOANXXS/ymFkVP9R D35BFXYiYUL3+WVORcNDs5/BcHx3PfjN3x93S/j/d+qy7weZh2YLdN6aiCD2jdpV+oCuYgwpSjjQ ygShTOUrA2PVrzytGrG2mvik0yR22fWE2jOSgs2YlZxE7T1IFL8OlyHGbAJJhScoXTC0GS3IzMmP SQVjDBykyE2SFiuOgm8uzDPRVGRe6dJlzRhbPqhfzijqoKF4qU4YE5GipCsI6euFHEUZJjek9qOF J7eI6pdS6eLjhWniGkYMcijcERqmb+rlfX86H/d/fKCCKlcvr8LAm7FecqsX9F/8xLBSQYgf0yHa tWUbbDhsNm6SrccOo7Y1eIQr0tYLMsE285j1ajKFcD/Ew4Ox0jc5C4/rW7QWFeuC8SozM4nENyYT i4tefiYLrMS4COhDzeTjfF0MFhyfhDcVrNhKOApJ34iaR4XmTaxXsuk1E8zOQZ8UxhoTtRO0uqtx w6rWTzBL4rHx2gLfryzx+RGuLhH3lBavrFWEv6U9n5chcCuLbmS3HC04+ti0lUc/2yIoe9cBiDth zlznTDa4K/UzSWwERkbiRsn43u1fj27TC4pi8kCiYa6YJtc3Z97hiqm5ZknCmaYbXPNSLD3erVJz ofBBS2FfuXeu+uNIZAuPDF5nMgGHiBPD1TcKV9drL65ntUyQ57x5WGIifxu9fIOHIL2mgOWGF5yA mi87yf6ytwMCJ+Ocsmyu5FcGVjLmHqM0MxkfM5rH90QY906zWBS/Ugr8EyOD927r8M8siZP++RL3 F7kI3P7NP7mnM4ITOeldrtop3YtnQdzhlFxxe3GOKJB9fErB08tV4oNV1LsDZm5vVpmHp3PvvpPB UcQpHk02NMjnpV/NlYsoLxnR22TzmNiTJk8SigxuXf2STB5w0q/F1NvEPMp7OzVPHJjv3qr3WMgL uVZ72Rix2GR5jJOU04IbfIU3Lzu85CuuXo5F//JaBt9+4WRsm7zWu5DrMs+tQZpSLhIoOFeQHK9W IhpYm4eCTHNQaRPAbYXeuSVPUEwFM1WrjDFu1HqWMpKGxYV4Z3CB/AVGjeywYlaTZJ4HqBP3OhsA s9WBAyGg9wrJkjis5C7pxbyM3a56rFIStD2dPyLUYq2wXEJKZcYJVRrAz0otS1jEChdVX3P6Hi4w qiVH07I/z7CaTL7c3U5ZBpgZ+ObVRZ986aJrOb8zg+vJZMgyOAGIwnwLtDjK0l0QkLvKd9PJeDIa ddILZzLkKyhzuJ5002+/9NDvmvRq5QcYiQ2ni+lQ6aRhmbM5ShF0vVqKR5YlxMe+Yng1HDo8D9xt OJoWVHvpw6sZzyNlvU6yFPJ+gaPgh+ciArIcKrSJ4Gvy0Pm5lhs66FJg4OkgKXQ2E89Znlh4w6sV LQijrgHOl8DhC1+gHhWjCzF0bW82g01qlOGfJFeaMs+kYdB+85kfTudPp/3TblDm04vNDHLtdk+7 J4SElJTKa008bd7R8ZfQtS4bgowyZ3uT8JLLPXqH/dZ2cft9cD4A925wfq64iD13yYtIcKzmAaWg lGrN2veqXo+5S2GFxQtL/QE/12nDFldbmb1/nNvmTcZyT8u2vm6+OT5JU7bgczJoW9V4DU/I6kBo 2xpLVrOiMxF5Tf3IRedHFVvbuhENUbV63hw3Wxzl2oKzmsQSfq+esJRaBmGt7mAHLmy0QWWFIJPp Dc6VFm8lGgiLNsJOvjvuNy/tgIDYIbBbSOtyx8R71ITJ6MYKLWckg0iWZp4jCokiXLBo1+YnMoKs UKHhWAMsg9/H7Z8CkTGZHKV6JuuuXfjIzL2VoF59TZY4W5ciK/L/jEcUOcMoB5Gnea65YgqQiUko KKupech2NK1qsGpSjCYT5qVWsSU++V6szJoPb58wG0iR00TuYsTq1Flhc8OARLvTHDYer5FoDFYz 1685Lc1qch74AfOaUXE4TsycHppDq6y/FgJfe+hLjM3ax6bPlDTv5eR00pqcpYz1iCLLwFxpXxmS K4h9uGH0sTp4k8UQKG4wA6EyZNytG/tGY0Qjp8hCeUkixlPFBotd7lkNo5upmMDzxXr6iK8R3Dm1 njFzI06+JZyqCt1BCu7dVEX+pgGq5ovKLcrwLYY0y4UGExA73Ww4pmGg7K5+l2j1jKMS1FbH/iZq pZ++qvVjHMlVyNIkxUjhjMYxSEHs0yHE6Nv3Ukc0oS9XaRoGjVeqSub3FhYYNfy+b/gMySAitMAo lt3OcYv26VxVGG7wztxz7iUqHf194cD/KV00LN3wkTNlbx/iZp2xm2AOlbmMisM0zGBCI1flbdgW iEYOKQeNaF8Rk93gHjN7S8oY5qTMopkz5mVp2jYTT4t0sH05bP8kvXuLdD28mUzQzsRpi4FarlW6 hMEG2saiDBoC7ubpScYIgA1JFnz6t/lC266PUZ0gxq2KmL24eanQEXaCBCeXNiYK3eJmeJEA4CRt bnnKR5PVFiGhbeRs4sS/bt7f4b4gcyAkeJnBl+uV0jXxZahTi6d3vcRJBnfJochIsl/gX1dD2k5R slSenp3GaYoz6+6webikTw5JjaaT2/wLLfKo/uYFBknvUFGqvozctd98IbdhcalRU6Pquyp19/c7 zO6GLQFBvbiVE1+ZlZoWE8bmWpIlogIq74Y0EmjF5CkuxjBPcmWuMx4NV2TziYo2R0dGtCNzXw7p LUY+PIsFfTQqKvqkMvAHko5I8SF94s+XnI0IGgJEgj41q5h9lKSbT82Ym/XemlPoF1PEZafYkdDe FD5ezvvvH29bGRGFV6bCRELELA8kPxD5OCOSmmseOszbEfJEeEDRmweS58Ht9Wi4TtG/i+zhwpEQ Hc6YvqCCuBcwBidIyxkaFv1VxN/WTpRwCD7Ic+9FKQOfLhtX3I7vvrBkcrYb9Dy6uaLnrZiubq7a 7oH214+5w+nigVygafZ4fLNaFzns0PwIFQ/RasJg/AJ5sZrc3ND7VdeEMoQWb1bCtt28C1RFeG4g qmgMrTk7O27en/dbEutDzOitYDHDYAX0eeMS91QBaaZbrG6dmazQJI6b193gj4/v30GAc9t+tP6U 7CXyM4U9sNn++bL/8Xwe/HMAa6hDgQVUhbqlX6zItmHsvFAqpnjWCqCgp+QLckKz+40dKSljSvtQ wg6WoB043OWL0EOP3UAYKiCk69G2cNchuQxTAlPBYIB/xi15yKDXkPOO28ic+UIpcmRXI5NUUdRb 4iU9ff552m9BQgw3P2lMlhjuSZjhyvECGq4NqfIUW3DXg46SGtkId8YcWQh/Si91/DBLMO4bbzwc Rcw24UV5ETjc0/9yHXoMJqOKhBtIWA7uLcYP4mAqyNnkucKpgk/lTlYaIrUkETMJ04mcMjhIGtHc MEkuFXqrwJMLV1BbvAbStPSNcAT1qkD0EwyuyGUJ360RZ0VFseEMvCXb3BNNB6TKbNQu3+jtcuUG ecoZqZfMSYdRy4kuqyOUIzQp2oDWvS+Dt2rLajvVRPzQ6oXIi8smk1x6hr6hTu3yjdNcCzelzCer PFBR0KxDFfmoVbeIqnCE1xodea82Ea+rAeXLVlGVkPBdusn1FzKVs3FQ1GarGmRlz6z2U6KPNMbD 9ng4Hb6fB/Of77vjp8Xgx8fuZKtdL/7k3ayG7J15bZVGNeELwfo0z5LQ9QMOHXOJAeDIe7wj79v5 4ePICKj1u2dQ3F7Txy6ZiZGHCMJpQoVsDRIMMmWF7lZJ9V5joWBJ4iDd/NipqG0Ewlkfq11OHX2p 3iM1QQHg4IrEyJHljPJTR1geZDc05VIfWmBcTk2QlcvgunnevR8PW6qTEQ6qQPAKWmVEfKwyfX89 /SDzS6O8Wl90jtaXDUGj6S+inp+gbr/lP0/n3esgeRs4z/v33wen9912//2C9nQ5xsXry+EHJOcH h7LEp8jqO8gQrfOZz9pUJdodD5un7eGV+46kq1eTVfrZP+52JxACdoOHwzF4aGWie+ahDBxHWxWS XdqXl8xs/+9oxVWzRZPEh4/NC9SdbRxJNwfUaRiNKaB3jDT8N9dW/SSycEqypdTHl9eGX5omxq0f /bwWfsZYFHqrgr12yZBxtJTDGZcX9EUFkX24PTddti8xCN+zhZa10T2Agnul5aYKV/vmfbtSBTfz MZqQSkxwplJSiyID+oGQGRI6aTSgyD/+OMmBsFRQlW6PN4Ba3yexQAmWN0NCFW8F/Ah3TQQI6+Vz uzLDZ68AbsbRQ/P+YLFFwcoL4U+4unRml67EejSJI9SGM8BEJhe2lS9TpBJ+ex250e0thyQKjMrO yWuJ9ZXGzRoS41PUezmM11hkA3Srsd0dZZxSxNN8Pbztz4cjJXJ0sdXQM3l1QIm3p+Nh/2Td+WM3 S5qeodVerNmrnEDajxduEBHIYbaEGLsazqv+DdeCwAyFChyFAemPP0xi6huCpSpUpv1spLnC8EnA t3OrHkBtPRFaX0jfYrEyvlAJzXx06r1KNd4Tau7OYCuLBtScMpdZIk7UFu2EqEd8BjZYzcAmykJl CtPO0lCnINwUlaXPoRUECWOrEAYRt21h/TJHYTOSDGTUngsArgUDrTFH4ahVK8qwiHGlR/V6maBn u7wSW5cDEQauKDxoGh/nG2ggvtmxNeEsGq0ZkBugjRu0mnKNgfxeLeZr9MWWMf4wVy7La1nHJA9W 0A5avV1x5Z5Tsj7Gkol3tZHkGm+UasXXqTsym4C/2aimCCurXPrNTzIvgL4GGtOFX1ukShqRBDMr TGlFPLKo3Z2GHMyTNJISHakbVSAs01JktFyCRL6v4a7BTqJp0dE9cRB2fOqPuN7D2pjbmvoN25Jr pV06zND5rPAGZt+NqjQd1T1JySIl8kVSxew0roGxi6rpR4uD2XYQ/QsBGjj/JOBou+1faErhY73v tnVAl11JUiQ6gola386Dn3Notefn19zwKDI7eHIvoGkaqJUCeXM2OkaT0ScElsQFgVtyK3aJ//YZ QTlxB6030HqnzpM7EHa4WpWu3yJV5dB5K1Vekn/2RfHZW+GfIDMypUc5cHJlL+BbfhvuIMYFMQTV 4dJVMyV1nXYfTwcZJr514GgYPhMpGxLubRM6mYbPSEXYSFRhp1XEjAYJLhOhC+J1nXzvZbFZlLRJ qH9WSN/mT2pxK8IKEeIMi6hy5hXh1MxAJ63t+Nogsvggt2UenKMNZGB8EZgFM3T0cKqvjPMd/+KH gehkUxOVK3Wv8olmPaqkEUovHxNjBk5BJ3Epu8ggWS8fTKRrS/jQJrrbj+P+/JNSVmNgYFpg0if3 2o28XF7tCrigcZZ/Had8RSSPAWk+PRcZ3MU8V251TpI+qmDwwpp3LaYOEuySYYhPYV08OAny1HyW 8tFLBnbcPCmb0EyFwHmD30YwDB2Y9ko7V3eeMNC6wzxCTHnZ/fe749vuZfC8OT7t3uy4xqb1zh4u SPvNy/7/GhHgEHkMa4WRNRUYfn2UO2giU86CWEexDj1xzwcmptmnj1xYxw5+HDbyIAswDrgaVCMw uKFb1Rx+5nk2Q9MuptkfFZnvztretLEIqsIV+HV133SOP9/Ph8EWI1DAzfR59/JuBg1QzNCUmaUc tpJHrXSMWkkmtlkF3slG1uakCPr1hb6fKJbmGJMZrN0gl7HTpUxNFITmrV2lpDxAleaQf9EGE1Ur y2LuxQz+smJpgjur0+7jj5f99tOfu5+DrRyoH/g4/dPc0PTnGQOSqclN5Deb6jl99IyL3lZ1QZkt vNHNzfCu1QbxcX7evZ33W4li7L3JhqDxhIR2E6fTYbuXJHdz3hAtc5goUJo86yY7cxDFxOgqTcLH 4fiKDvhWjZI3C/LhaNLFk3sPzFv3pa/mAtb3otUPU/k483p4soXFqp7TztnhNA0tGuSic6E4jIPI pcqdmYcZ7TWhyUl31dL/r+zIluLIke/7FTzuw6wDMGa8DzzU1d01XRd10A0vFRh3YMLDEYB3Zv9+ 85BUkkpSsRPhiEGZrdKZmcpzYWb78NiAX+9aj1ZObhsaB3tPvhM5xa5zbMnm9u2Hf0d8ccOSni3A 9wsTv7J+L/Ip3R/e3mf0N2mTz6eJg3gxYLxqyi68AIQYHO7eW2tYYMSYvO00uNmMEtxQGEh/cpz6 iqqJS700lo9c5zJ1O2Uq8MKvv2BgRRAlh7tOivAgWlumC0QFMc7dGu0J4/SL219twvh8Guyj20Ru xzsNvjRlwFkYB2B8OQmeNMBwOzZKeBkGY9mW2FO9WTLTdXvy7+Agdo01SqYADy8/LCOc4g3BUw1g X1IIiVENsSd7hsRok+CBjYt653UtkLcrwjxAntwTCqfrg0cfEYJ7nIYXY7UoMW030Y0nKZbc5ajo ovB5lqw9zK49JVcVvG18VjN1HIO70mfBxYZnsL1nIsft48vr4e2NXznzBcb6RK7nr+TJN7WDG3w9 C5754iY4EwBvgpf/puvn8bDt7dP358ej6tfjt8Mre33It9v8CmB2+ab1KBvl3Nt4PfMzspH+wLJP aO9s4Wm79EZAx5ZxiaMoxG6b5M1m+eVByAtzUXhR5gglFm+vPx++vd7CW+/1+df7w5NTFsEwmg/w VkTjW7GI5ZTX53iSz8L7ggoLOTv7CDOehvYxWXyzmy/X4fUd3RfgsfBG+QCwEuMt5Yy8+3G4+ylr 5EoN6AfQlW0zr6JWhAyu5NO4COwLugW4S2DHeY/1+drOUbMNWFeVoLoG6+eYem4dpcgqDxRzCQx9 Xpjv2LpNc5f7p3IyoOqgbEazQFYzqQ5RDZ6UzT7ZrMk40GYrh3IRo7pkGp6cxqptYQKvNrihzrOV nJwb1U0AOSgQwiD7YfT09dlSHUAD0PRi5akJJxCKPMni66+OnzLERyYJJWp3Fmm2MOLcx0+Sc2/P XsDvjmnAZXK9CBJXNTGW6w0XYAqoDC/UDd5XjH82NMvUKniTpua7qVXpR7M1zbR29f39jZ3uUQAm 9HF9kze6vgyI/VVUjGbFvn3UttE1H0Jd80lGYz2QlpvQZDYaxx3buZ6PaKhAXBg78kYe4Rau+40F QwB0QQpSW+WOMCwYOPbj+Vmca94SXMkvwTRsjkp+9Lsmn6dM15phUJqtYV2wctH21mQ9raZja4Yy 6raYhJR0pwYEXgz6WqSXmlliXdSG1zj+HTouVSEsGOoX6EeN1dBd25yXRpwo/LFKe316VuVqRXPI icNQREJDm61zXbmqsAmGm8VuH7Bk+dqFN7Bzwrgqhm7D9nI/Upl00UrPyIDrmmZNrU8ANt9yWkBj QrV2LqFiWDN+YyrOJYOj1pfXh6f3nxTv+/3x8Kar0yWHovB3igDWjVnUiEW2jXPCofCYRJ1KD0md 9MXvXozLIc/6i7NpH7oOzWezHhRGel1FGNFgnfLuuoyxMAOWjgAEbax87uGfKC6ha8e901ey9cOf h3+9PzwKXv9GqHfc/uqyC/HXgOK5ggKyijTIJYWpY6C8ZkNpYdDkhXBxenz21dzxZoy6Eqfocx+M Uuo48iRl2GQYSAi0C/M/uO8SE4csQQM9muZKjLPUlteC0EjRs8I0ylMvXLZ9h+aNBqv52vH4Uq76 6OoaHuPiGKeHb7/u79FgoZXkmw5tGWH5lu660xNEaI3KWMI7cnH894kLizNEuHuQKU6yywH9IdFA Za6CbnVFax2RgO06NQgi/u3YjolcxF1UgayABXNustEoak8wI1SLkHtLzWkAY3QI76w+yBRrtwW+ OVY1E8HS4D9Uw5gHpdUo+9DGmUuHNnGzbhm34zBn0rwwcKl+NcqFhIRy/XQGYefOEGoxPwsgr6sk Qf81Oq53lU76qK2p846qh+hjNyG4drS0Hm9XE9lXrUMNdDSEam6v4z/gtnaeZsU7fPCVIRuZMMrr 4e0ZLfU+WJsMRIZ8cKAUKEsIj0EflrUj6tJ2xRBLVD1lFjajiGXfRXHOgLOjFdb+2lI7SgQkWnCV 3LNj+M+DSQv9aB9kBVY2W2fRHQsZvY6ozKE9LrZnD53h09EBg0kFKEOvXJPf8C+vynkLGRRM6UWB 2tjR2KxBkF9rS6yol0DJ237QyWiwmeMAyLQ9u5nMTVBwdm8ozRZdrDBpvnOV5sAkoaFuIyRs4jmr uedQMwu9J/+wreoT0bF3uNtYNYHZKoP4R/Xzy9tvR8Xz3c9fL8zyNrdP97rIhTV20a5f1/pEjWb0 ex2y6QYUlN4Bvt5zofTpHNSrfg5Uw8VEOE1EFZ8nxMYu8ruILIZzPG1Km37oqxri8ldtZPVVbfXx Y+NmqLAUT+cOut1dOtNIKDgxssKRL0O5Loe2kV2HQKDB0r6vLsbEV9MWYKkRBUerTdKvySPD0bd9 /pBQb7OssXRLrI9C+/HEh//59vLwRMl7fjt6/PV++PsA/3N4v/v06ZNWUpb8Q6nvNT1AVDyfehFg YK7DS5QBmFWKuqhgbX1eooSA0/XSQnx4D322z2Y8SAZEzsiJG323YwiwiHpHRa8shHbXZeXsZzRC S2rANni4zcUVAfBORoZmF5nv17jSpEoWLNuTaBIHBResx4Lh3tre04yDb8f/42wouY9StwG9s9gA UU0C6rOjhwMsIdZxy7IUznwgyZnggMz+lzFAGgJO2c2jHfnG/mQR9Pvt++0Ryp5UJ0gPNOCFz826 hIL3YHNgBJ6yyAwk1+PcXUeLZZYxxdp7Sd22A/lI6/c9OHj7U0kLa4pZuYu5hzHIYE45mW9oYsRc o7wG/HblP1CI4Tt1GgoybHp1Ek2qh/7i/NjqBI+I9xPZpdP/Xga+GlOyFwMIPT9GW8cz1FQP0CWC xwIG+njUrjCRDXCigmWJPpMBe+5LCQhVct3XrvuPCS9o1q0lxqyGit/ZYeganngbN45Uj6zkxfMD x13ebzDDjC1MCXBJwjggoGHAQkHHZ9pTxIT3SjUTsRPxQ+5FeyXSqEGG24/WEPmriUnFSd0VD6uV PlMqCUj4zGzkDsF+4BZyko7Z+jTwsCnhdsHj3TnsWX/SuGF3JBDnTHA1o3You1BCWvEbx2Hw7vnC dvt2OrDJaliqa7j76FrtzLpLTwf1VY2hq2WkffDEhrWXICOuxO9d3ZO8MzvDO7g485UQ2UH50HWz wySre+rDtEDq8dDtnOqRGLgQnBmxHjPnYNkeVUDLKcEy/yBzknQRKYk1Hcy9GaCfOBPrNt8yu92N 7bmek7YPOBV8OF+vM0+RaO6Ab1FeeXnrdEvGGIjZpoxat0CtXdIFTPllTFUbNf5sL3LH+wgYTBNg QtqXF5G1k0vFSP2YXVQ2hXNvtbctRnqOeUfy4C7TKCR7/M/SsOb1DMI6+Oe/Dq8vd07W3CTK73hH RZEtHby4pSBmggh7fqbNFXP5lpg7jLUAPu/IOs3gLQXk0m9WmLYE60XAAgfRyi4f2W4RxsMB4k7g S3Ik65I/ym7vyxSI5BX4iK8CEC0CJlL3xzZGeWGn/MBfNX06lIZUjq1kqxZJZFyZoKRVYbahugWm P7y9o2yNL8bk+T+H19t7rQA1xW0aGmIK5BR5TRwzmAI9Na0FtWV7OsUSpnqU0ihX2RYEwIrLU8uD 2imFodEgWLeuiGKzhVWS8n00nRwEldEWtZWXg/d88VZIMdGPsxqs6u/uwTr08DbG9EJBSmToHdU9 3yb11Uxb1AHtr68ENWuMySK+SxQWiel7fv/OchwV29QTBc7aCKTpnS/xMqGUeUVpr/wY3t/Hahnw ygaobYyG8wCczN51UZcozviwDCt8gFqzRtgL5+fz+VmYzNDEN9ker3NgZdisynZvD88UeF3icRQj hC1g9J5QekJg1yA/nElnEA5315NWky0wg6f6N0HZ18EPlwpSP0aLfiA9sqTAgvuc5Aiap26/Mj7p 28A1uCqJuDjuF08d3eQoxu3RXrYmtOYFnP9NTYYFd0zGKscsFvmSHES9rfK23EWe3KN8iihq1CUT E8BJ0okAGABr1/3l1cXJpUg8b9gin96ydiUSJJiptLfXF8SZBAR3l2QtP4/qrFzLAyJ/J1onASIr veqpIAudxbSxp8P/ACihdmMq9QAA --===============6964795872872942657==--