From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-10.2 required=3.0 tests=BAYES_00, HEADER_FROM_DIFFERENT_DOMAINS,MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING, SPF_HELO_NONE,SPF_PASS,URIBL_BLOCKED,USER_AGENT_SANE_1 autolearn=ham autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id 98C62C43461 for ; Mon, 14 Sep 2020 06:45:56 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id 5B66D20770 for ; Mon, 14 Sep 2020 06:45:56 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S1726067AbgINGpy (ORCPT ); Mon, 14 Sep 2020 02:45:54 -0400 Received: from mga07.intel.com ([134.134.136.100]:38418 "EHLO mga07.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1726039AbgINGpw (ORCPT ); Mon, 14 Sep 2020 02:45:52 -0400 IronPort-SDR: YHrQGwQPl3HO6AE9RaY17LlLFc9T7pHW6JDCtOMxTkSq2jJxCgE+uGIgGlBCQn22lEY+Ticwqb 70ArY58ZKOBQ== X-IronPort-AV: E=McAfee;i="6000,8403,9743"; a="223217659" X-IronPort-AV: E=Sophos;i="5.76,425,1592895600"; d="gz'50?scan'50,208,50";a="223217659" X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from fmsmga002.fm.intel.com ([10.253.24.26]) by orsmga105.jf.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 13 Sep 2020 23:45:20 -0700 IronPort-SDR: oR3HQYuIcU/RVA5fkchFr8/N9PeHuKXLj6138xh3gCrB+ikEGCNdmg3dpPwOrblfb5mpiFSE5e h4IBi5OWjFcg== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.76,425,1592895600"; d="gz'50?scan'50,208,50";a="338186097" Received: from lkp-server01.sh.intel.com (HELO a75722977aa5) ([10.239.97.150]) by fmsmga002.fm.intel.com with ESMTP; 13 Sep 2020 23:45:18 -0700 Received: from kbuild by a75722977aa5 with local (Exim 4.92) (envelope-from ) id 1kHiEj-000117-8X; Mon, 14 Sep 2020 06:45:13 +0000 Date: Mon, 14 Sep 2020 14:44:26 +0800 From: kernel test robot To: Luc Van Oostenryck Cc: kbuild-all@lists.01.org, linux-kernel@vger.kernel.org, Masahiro Yamada Subject: drivers/net/hamradio/dmascc.c:1238:56: sparse: sparse: non size-preserving pointer to integer cast Message-ID: <202009141408.tNbmuKKg%lkp@intel.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="vtzGhvizbBRQ85DL" Content-Disposition: inline User-Agent: Mutt/1.10.1 (2018-07-13) Sender: linux-kernel-owner@vger.kernel.org Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --vtzGhvizbBRQ85DL Content-Type: text/plain; charset=us-ascii Content-Disposition: inline tree: https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git master head: 856deb866d16e29bd65952e0289066f6078af773 commit: 80591e61a0f7e88deaada69844e4a31280c4a38f kbuild: tell sparse about the $ARCH date: 10 months ago config: alpha-randconfig-s031-20200914 (attached as .config) compiler: alpha-linux-gcc (GCC) 9.3.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # apt-get install sparse # sparse version: v0.6.2-191-g10164920-dirty git checkout 80591e61a0f7e88deaada69844e4a31280c4a38f # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=gcc-9.3.0 make.cross C=1 CF='-fdiagnostic-prefix -D__CHECK_ENDIAN__' ARCH=alpha If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot sparse warnings: (new ones prefixed by >>) >> drivers/net/hamradio/dmascc.c:1238:56: sparse: sparse: non size-preserving pointer to integer cast drivers/net/hamradio/dmascc.c:978:48: sparse: sparse: non size-preserving pointer to integer cast drivers/net/hamradio/dmascc.c:1025:48: sparse: sparse: non size-preserving pointer to integer cast drivers/net/hamradio/dmascc.c:1025:48: sparse: sparse: non size-preserving pointer to integer cast # https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git/commit/?id=80591e61a0f7e88deaada69844e4a31280c4a38f git remote add linus https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git git fetch --no-tags linus master git checkout 80591e61a0f7e88deaada69844e4a31280c4a38f vim +1238 drivers/net/hamradio/dmascc.c ^1da177e4c3f41 Linus Torvalds 2005-04-16 1180 ^1da177e4c3f41 Linus Torvalds 2005-04-16 1181 ^1da177e4c3f41 Linus Torvalds 2005-04-16 1182 static void special_condition(struct scc_priv *priv, int rc) ^1da177e4c3f41 Linus Torvalds 2005-04-16 1183 { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1184 int cb; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1185 unsigned long flags; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1186 ^1da177e4c3f41 Linus Torvalds 2005-04-16 1187 /* See Figure 2-15. Only overrun and EOF need to be checked. */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1188 ^1da177e4c3f41 Linus Torvalds 2005-04-16 1189 if (rc & Rx_OVR) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1190 /* Receiver overrun */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1191 priv->rx_over = 1; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1192 if (priv->param.dma < 0) ^1da177e4c3f41 Linus Torvalds 2005-04-16 1193 write_scc(priv, R0, ERR_RES); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1194 } else if (rc & END_FR) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1195 /* End of frame. Get byte count */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1196 if (priv->param.dma >= 0) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1197 flags = claim_dma_lock(); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1198 cb = BUF_SIZE - get_dma_residue(priv->param.dma) - ^1da177e4c3f41 Linus Torvalds 2005-04-16 1199 2; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1200 release_dma_lock(flags); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1201 } else { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1202 cb = priv->rx_ptr - 2; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1203 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1204 if (priv->rx_over) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1205 /* We had an overrun */ 13c0582d91ab63 Stephen Hemminger 2009-01-09 1206 priv->dev->stats.rx_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1207 if (priv->rx_over == 2) 13c0582d91ab63 Stephen Hemminger 2009-01-09 1208 priv->dev->stats.rx_length_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1209 else 13c0582d91ab63 Stephen Hemminger 2009-01-09 1210 priv->dev->stats.rx_fifo_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1211 priv->rx_over = 0; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1212 } else if (rc & CRC_ERR) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1213 /* Count invalid CRC only if packet length >= minimum */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1214 if (cb >= 15) { 13c0582d91ab63 Stephen Hemminger 2009-01-09 1215 priv->dev->stats.rx_errors++; 13c0582d91ab63 Stephen Hemminger 2009-01-09 1216 priv->dev->stats.rx_crc_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1217 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1218 } else { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1219 if (cb >= 15) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1220 if (priv->rx_count < NUM_RX_BUF - 1) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1221 /* Put good frame in FIFO */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1222 priv->rx_len[priv->rx_head] = cb; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1223 priv->rx_head = ^1da177e4c3f41 Linus Torvalds 2005-04-16 1224 (priv->rx_head + ^1da177e4c3f41 Linus Torvalds 2005-04-16 1225 1) % NUM_RX_BUF; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1226 priv->rx_count++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1227 schedule_work(&priv->rx_work); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1228 } else { 13c0582d91ab63 Stephen Hemminger 2009-01-09 1229 priv->dev->stats.rx_errors++; 13c0582d91ab63 Stephen Hemminger 2009-01-09 1230 priv->dev->stats.rx_over_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1231 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1232 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1233 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1234 /* Get ready for new frame */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1235 if (priv->param.dma >= 0) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1236 flags = claim_dma_lock(); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1237 set_dma_addr(priv->param.dma, ^1da177e4c3f41 Linus Torvalds 2005-04-16 @1238 (int) priv->rx_buf[priv->rx_head]); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1239 set_dma_count(priv->param.dma, BUF_SIZE); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1240 release_dma_lock(flags); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1241 } else { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1242 priv->rx_ptr = 0; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1243 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1244 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1245 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1246 :::::: The code at line 1238 was first introduced by commit :::::: 1da177e4c3f41524e886b7f1b8a0c1fc7321cac2 Linux-2.6.12-rc2 :::::: TO: Linus Torvalds :::::: CC: Linus Torvalds --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --vtzGhvizbBRQ85DL Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICB4MX18AAy5jb25maWcAlDxrb9u4st/3Vxi7wMU5H9rm1bQ9F/1AUZTNWhIVkrKdfiHc xO0amyaB7eye/fd3hnqREqXsBYommhm+hsN5cZjffvltRl5OTz+3p/3d9uHh79mP3ePusD3t 7mff9w+7/53FYpYLPWMx12+BON0/vvz33fbh+fft7P3bq7dnbw53H2bL3eFx9zCjT4/f9z9e oPn+6fGX336Bf78B8Ocz9HT4z8y2evOAPbz5cXc3+9ec0n/PPr29fHsGlFTkCZ8bSg1XBjCf /25A8GFWTCou8s+fzi7PzlralOTzFnXmdLEgyhCVmbnQouvIQfA85TkboNZE5iYjtxEzZc5z rjlJ+VcWO4QiV1qWVAupOiiXN2Yt5BIgdtFzy8WH2XF3ennuFhdJsWS5EblRWeG0hoEMy1eG yLlJecb158sLZF0zZFbwlBnNlJ7tj7PHpxN23BEsGImZHOBrbCooSRsm/fprCGxI6fIpKnka G0VS7dDHLCFlqs1CKJ2TjH3+9V+PT4+7f7cE6lateOHsWw3An1SnHbwQim9MdlOykoWhXZOO CVIoZTKWCXlriNaELgJrLRVLedR1SkqQ2+5zQVYMmEwXFQJHIWnaI++gditha2fHl2/Hv4+n 3c9uK+csZ5JTu/OFFJGzEhelFmLti0ksMsJzH6Z4NmyeKY7IcL8xi8p5oiyHdo/3s6fvvYn2 G1HY7yVbsVyrZmV6/3N3OIYWt/hqCmglYk7dPcgFYnicsqAYWnRYQPl8YSRTRvMMJM6nqac/ mE0rGJKxrNDQvT2wlVIpynd6e/xjdoJWsy30cDxtT8fZ9u7u6eXxtH/80S1Gc7o00MAQSkWZ a57PHVFXMW4fZSBcgNfjGLO6dHmhiVoqTbQKSGGhuEsKn+3pibkiUcriIAv+wars6iUtZ2q4 a7CyWwO4bg3wYdgGttJZl/IobJseCFdW99NOzR+yld1l9Ysjzct21wR1wZWOclRmKlDRJHBC eKI/X5x1281zvQTtk7AezflltXx19/vu/gVMyuz7bnt6OeyOFlzPNIBtdfdcirJw5lCQOTNW KJjsoKBk6Lz3aZbww7ECtiej6MK1DQnh0gQxNFEmInm85rFeuLIhtdsgIEv1SAWPlacOK7CM MzLeKIGT89VdWQ2P2YpTFugOBBBFfWIaTCaB7kAXOQIm8LzVKKJJh0GzoQoCR6qDlVqZ3LWk YDByb6mg0yWAQueMx17bnGnvG1hKl4UAeULlAxbbUdKW39bu2Zm6A4IBgO2KGSgeSnT/rDYb x1JyG5hTlC6Rv9amS0cC7DfJoGMlSgnc7yyrjM38K/emAKAIQBeBAQCVfs1Ij3rzdYzUsev2 +8pzekQBKhk8HJMIaXdXyIzkPeHokSn4JTBa38xbY1tQVSyh55Ro7NpRr4UjSH0dlYGq5Ljv zn7Nmc5AM5mB0a62qwO7+wgTajCBGScLOJKpt9bKCxmaKU85uZ7S3GvtrzYoOBFRwMYyPKFS s42jT/ATpNxhVCG8pfN5TtLEETM7cxdgTb4LUAtPkxHuCAgXppSefSTxiivW8NA7l9BNRKTk TAZWskTq28xr0MBMeDdatOUQni/NV97mgMxM7CbKizWy3vKziMWxq4orsQRS03pDze4hEHox qwxGcM1XQc/Prhrfow52it3h+9Ph5/bxbjdjf+4ewU4TsEAULTU4Mp1ZDo5ldWZoxNaO/cNh mg5XWTVGY86csVRaRgMljbDKslXnRzguKQYcREO0svSOU0qi0LGHnnwyEQUFH9vDkBJMbu0N jZOh5Uq5Ar0NJ1SED5JPuCAyBlc3ZELVokwSiJ+stbd8JmALnN2VIuFpJfYt+/0Irj0OabFw DNr1VeSGF1nmuFGt4w0RZCTBjsCqwWR0BF/BnzVxRoZNwEf0EcVco9doUthiOISXXrxiA5pG OJV10Nq4s2WWnXgzQIBHFZ6k1DKm12yTBjegQkZCLM8n8GRFwOc2frjWo6EkgmgjZWGJqGji 4uL6agLPovNX8NdXxfQ0gOT6FXQxhedzXxf28Olmeobpbb6ZQGdEwu5PEXDwtibxS6KmCHJw h3haqikSgU7cNBtzIbkmy3CQWJGAQp1kRXGxnMBKsl7weKp/CSeek3yK4pXNUK/h8UBO4UEh Ta0BGETk1GZo4OHUAtY8jRMuQ54Y6A/HplfKxBDXj6gFdvW+D6KcDM8/0F0HhmnU1WINkr/Q /a5uWFYO+1K+W9TgMCeTkdvGaTRJ7Jhfi4XIGT41n4PVMixH9vdHXEM060wjFzFTdez4oZvH islIgM3NbHgQzld8NZcXY5gRsQDM+cXHMdRFkIPY5uziyuWS7ebsLEj8GYkdAyiREatgKsGz A15Kcnu4+31/2t1haPzmfvcMDcCrmD09Y9L26NoMURnGkITZbWrwQwOmssKmiYxeSIj7+wk4 lZlMxHXuUfX22ZLkGa8yADQrNnQx79GswaTYSLEgEr2nOrnZz+YqTSD+k0IzTNc2CSR3KisO AbifG8JF9KhgstW4qmCUJ9wRTUCVKUgZOnEsTazb6p+9qFT+2RNxjGE/+O6Eam/aArOufK5K GCePe26Gde17fMhFkx1z1g6rATgDBUg5OoRJ4nnhkiV2qWMxCOYJXVeyTRfOqVi9+bY97u5n f1S+6fPh6fv+wUu2IZFZMpkzJ0yxQBtZanNlPnhu1kSnrfeTlnOe28QzpRg3D5y0V2S6jX81 RJYQUrn5BxtsKHS/P5/3NtULdiwIF0ExLUXCSYGaqsynKBq5n+pBSdqm5tOwzW8o+XwKja68 ZGpyMHSJ1+AdKIXi3yZpDM8KIXW4aZmDxIO03maRSMMkWvKsoVtiYBcQtub4aJBiYKtY+pmY CIUxZC5Ufu6mVvAuBw4nCAmyHuTXy5vXeNRENX4KF2y7Bo+GjTV2kX7rLgFnTxD77+7u5bT9 9rCzl2szG9idnFAx4nmSaVQkTgSbwnl1M1c1kaKSF4O7DVFqj4EVLYLDyYh6wOxj2PGt8SAb NIjHmcVlVgRt0NhyLS+y3c+nw9+zbPu4/bH76VugJkZ2LL7D05Roa9oxfAWfwblHyxmIms0d FSDW1vx7aVy8OuJKYGLBVbuqSEG9FtruH6hP9fnKi4R7Wjrjc9nroZqm6WcNFreg+uNYGt2P FK3d0QKNgxc+wqQ1GBg38bVUzuKba4QM1g0TyW33n6/OPl17PCiYtHZgmXl5vJSRnBJwe0IJ XjfehI9+wqAFJcoHEjg7CvyrJqothPCycF+jMhSUf71MwNw50bByUiANA+uwFxYLZ3se6qVu habeE3zrfdiAX0twAsKtE4mxzMp6B964TCL3Btc7LcG8LEzEcrrIBk5+Lfvj4u0kq/3MNcwR NXWjLPLd6a+nwx9gCIcnA2Ryybz1VhA4MiS00DLnTloRv0B9ZD0ItnW71CN6fZOA34nJtvAl IMxiyUKZcZ77U+ZFdVYpGbnWBgISrzAbDQ4TaLBgohGIity9S7ffJl7QojcYgiMhdDiErwkk kWG83aGCTyFh++CIZOUmMM2KwugyrzwjJ0mdg/oQS87C3K4arjQfxSainMJ1w4YHwG0xJBzP Wxx4A+NIcMKFr/5dbLtcF1jLmUdHi4H4WUQZFwOZ9ikkWb9CgVjYF6WluA07KjA6/DpvpS2w nJaGlpHr/zcKucF//vXu5dv+7le/9yx+3/PTWqlbXftiurquZR09+2REVIGoupFRcHxMPOJr 4uqvp7b2enJvrwOb688h40XYbbBYnobTGxbZE2gXpbgesARg5lqGNsaic3QVrMHXtwUbtK7E cGIdjctgzc3IMbGEdmvG8YrNr026fm08Swa2I+xTAeuxlAio6NC89GjAxbBxIZiqbMREAikE 6d4FdwtqJd7zGSWPwW62RG6fdUXXYYfmCZy60+4wqPoaDNIZNnf+NRJ+Ayd6afr3zhOktkTo H9KmIqwZhpRChU9bnuDBzq0bMUaAdQrQT8xWYxQTktVNZROiampDppjumTE1kkYH1EoNNpMX /5nYS3cJeK9fGaNwAgxXWUixuZ0kicF1msIjK0dtcIWeai7ZF3DnxkmACUAFgdPUSUcSmMPE bkxxrWbrn9f/f8aGtanH2FGSmrGj+I4zoyQ1c8d0+vU461q2TK3aUTHFULW4/I8pHfXSFB3x 4GQ8kocAMxbKIWgvOIJPCJBG/DtEpiQfyfcDMpIX1x/DEpde6JB/pLTjtGbS+aj0b//bQBgM i8+FKPwCtgq7gumZiqkeusqmohekSE8BIygwMdvTx7OL8xuXvoOa+UqGFuRQZCvpOd8xozkL lqamjisFHxdOflOTdOn6WSsDUWDKfHCqCy9ipKIIpZl4Ece9cAAABiI4ElrK5sK5F0lJ4RSU FgvRC2OuU7EuRm5qOGMMWfL+KjAKbkFTJmY1ws3L7mUH8d67uoTNS6zW1IZGN54ht8CFjgLA RNH+liMc5HU0XkB8IbkYn27lLAXmIH0vogGrJFQ00GEDPWl2kwagUTIE0kgNgWBPA80Jris0 Q3BOwv5zQxCrKT/MksBPFrrXaruQcjil7GZsSmoZvbILdCGWLNT0JrmZagYucoC3yc0YhpIl C9EHxG2RhOZT8FC2qcWmfgFVy69hgUhlNB+2x+P++/5u6GyC9u7JAgAwvc8HpwARmvI8ZuH7 9obGevtjRxcJknWo6/IyVLfXdqpWRagVwkN3hO1YqVtJ3kDpoHqxXXmRvNIbk6F2GdF0MXbp YPMBlmKScSRYQ9pKD0+cmrOYOrorzhWWagp8mODe0eiMYK5z5cUpLbT5dRUY1KVyr+YceEx0 EJ7TIDjDhFW4o35+tI8LYmz9lbswUbB8pdZ8jMurymEcjSZsODOSCcyK/ilBiJkrTw9ZGEr/ aEhpcrVwvAw1EKVq/qMREVCklyBrCuOeKaqcqlCmoK4vRopaiQ4RNCVK8dhfrtxg0v3W+IWU 0U373qPOu85Ou2P9jsCbT7HUc9az9rX3O2jZQ7ip3M4/yySJ7QLsSMX27o/daSa39/snvAo9 Pd09PTiZX+L5JvgF4psRLIZb+YpaCs+/lUKxgTolm7cX72eP9bzvd3/u73az+8P+z6qAsZOH JR+5QrwuxsLiqLhhehHM2caw79TTyvAZPLu3VGQG67+TeOMenha+CMAL4uiOW5K5982TK25l iLh3O3BMJVn7gMjNniNg3iP4cv7p8lOzqQCYxdVQcctch3g1GHC1qUCdYwtAlVLfz3RwcIT8 HihJqYm4xixhPu939YXkXw2H3y5H+luuCLK3oJy55bS25yF/LMgt8vYGq7E0nMK2FPTDh1DJ C+J4wvFnfxbZcBbZ5CwKRpb1ekZGUl/I+dnZmd8pyxS28oHJx/Prs3Mf1nHMhzfjhqGM9uDp ZkhcT6yu6/JlokaFSs4dMq3g/96ElUh0QDSqyuTqichIKmoozs6xH6nhTEDzyiKc8QTkkoam vuaSpb3UIU3mGFKdD5RZi3jc7e6Ps9PT7NsOpoz3z/d49zzLCLUE3eFrIHjVhxVDWIO2se8b Pp91I645QAOzk8mSu0ak+jY8L/xL+Bo+L0Y9+k/eJTZ+2xviYXDwqX5tM3KSeDiFSVmxMCkP l2XnSXhTCkXADRhz3nniWJsm4z2E+M88YgVGGe+fOxBYa5he6jolCeGpWHVVE2Oa06Y2mFfr OPzCw9SA26VZBDK4JCkYRhFyVi2NrYXpJVO801nVA3mg/kf94FP5wMBzFdQGWHATlcGXJ9Ao U72ub0oul6rXyYSE2JF1GYrIEUX0oC8uQk41YsDt8idTEM/ZWgiN0Z3PDwdo6ChGLWxWp3KI wGzcPT2eDk8P+Kavc06qM7+93+HLCKDaOWT4jPT5+elwch8GvkpbS9tx/+NxvT1Ywirtroad TZI1RCNzb9fFHu+fn/aPJ9fVsvKax/bVWlD7eg3bro5/7U93v4c55W7+unbMNfMem0x30fVA iYx9EckoD75ABMKquKWe4pu77eF+9u2wv/+xcyZ1y3L3haD9NOKiD5GcCu/dZAUOXiLWKKEW PHLfUNip9t9qS1Lw2I0faoAtPrJXa1hRdXnWR1cFyBhNaDDYWCYX6AL8cpbPq78z0FmDBts/ pYMRyqxNX/RwWHiSD8G2Xs/QyhesXgpvn/f3YHZUtbcDmWhaasXff9gEBiqU2QTgSH/9MUwP 4dHFECM3FnPpSt3I7Lpy5f1drfZnol8CU1YlqguWFm6qwAODStIL708YrHRWJN4zrApiMix1 9SISTfKYpGIk6V/IaqCEy2xNJKv+ZsLAJ0n2h59/oZJ4eALtc+imn6xtFak79RZk65ZifPjs 2LKNlqQdrSqCHbSzL2WrpQcEq6NrikLdvejPtHXBsNQas+9NqZ/LpKpy1MUGmVW7lJKvRq58 Wp9TjtzJVQT4By7qboxkGTgJ4QsfJCPqNqcNsT30AZa0b7SK0qzKFD5IxFOuuWv1JZt7VYbV t+EXdABbnw/J3HpQ1Aj4MKTa3cRPwCEyYTmtStZYUPmPnAora9HLsQ5ovffwLrjVzgKcs0HV mxS0fqcYYlWuHJ7gF8bfnHgujAVn+Hrfoka6AQdbJl1rF1NGmwEi057JgU+7w8Or7GJ7OO2R IbPn7eHo6TlsROQHTA24mhrBNIttFW+D8gaCncKSsQoZNseDQe1cSvh1lj3hHyKoHmzqw/bx +GDT1rN0+/dgdkIUg9FxVI6VpljWalNlgzVLkr2TInuXPGyPYLt/3z8PlbxdZcL9ZX9hMaM9 Y4hwOBKtjfQmAz3YdCUWHongc3ykQpGPSL409i8dmHO/8x72YhJ71dsnGJ+fB2AXAViuIW7c 6CGGZBCExEM4qHsyhOJzuIFIkFCkajF+xs3KXKTYiB83sXNVgfT2+RkzhjXQRrCWansHR7m/ vQJjtU1TKTuUpMWtyoJ3nRZLe8Jh+WdW+GRGDrpKie7xoKt6fWXO1RPV3cP3N+hlbvePEJlD n1OZRxwxo+/fn4/MXaWSDNheLAYzdOVMxz105fnvj3+8EY9vKE54EHR6PcSCzi+DHHh9ce7k c/AxjF/db3VBzhATBOJjJ57cVo8OwhS1VeszpUGPVcC6NBcbVALzcVm3VIxSjCgWJMu8ooMR AqMy6hNh8Wa9Um8abuPIvwWp3dq/3oG63UKU8jBD4tn36hR1UZ1/PmyHMawt5YFpVoj6GAwn QkkSdjRaimzDQ/nrFo+pn8C4TqqyOvL7411f1Cwh/qf4uERbIhsjTZNAULMUOV34ZS52yLSI Yzn7n+rnBQRs2exnVcMetCeWzF/SDc8T4diO+kS83rHbSRn1NggAZp3ah4RqgS8G3McODUHE ovrvpnV/R6jBJWA4K//N4wei5mnJolAIiQSLW3CjqxC2u5kLXalWb1fxBWz7ehUMN4ZiTvDZ Ajp3qwKZkZxogyabjx8/fAqXZDU05//H2bV0uW0r6b/SqznJInP5EB9aZEGRkMQ0X01AEuUN Tyfue+MzTuxjO3c8/36qAJICwIKUmYWTVn0FEG8UClWFIKVuqZVd/I27mZSHYw2yPxwxCAlq feFU8kzloy7HzjWj1CEGfRnLmjg6n6pYw9ueQ2fxsDp7gbbGZUUURMNYdK3RTBoZRW76dHCq 6ytK2nQrHeEI01ILmSj3tbX8SlIyDL5ehjLn2zDgG4/ahEBir1p+giMgCK5Saa4ptUD+r7SZ n3UF36ZekOn6zpJXwdbzjOhiihZQdyJzEwpgiSLttmIGdkc/SQi6/PjW007zxzqPw0iTnwru x2lgHIPpLUDXUklp/JbHgKE0QIov9vrdBnqQjSBDD4YqP8DhuxqFjMEiUmsqvLmlJX3MRKCJ hhOxYocsv67IdTbEaRKt6NswH+IVFcTOMd0eO8aHFcaY73kbfWGziqlVa5f4nhxXq6qJt++v X5/KP79++/LXHzKYy9ff4dD9/ukbHg8wn6ePIDg8vYfZ8+Ez/qnvBwLlVFLs+H/kS03J6VCr ne/hzJGhcNxVq7qUf36D3beGne8/nr68fZQxQ299ZrHgKVQJUzPG83JPkM9tZ1JvZg9tN1q6 cesjx09fv1nZ3cAc9Y9EEZz8nz5/+YRSK8iw/BvUTnfm+iFvef2jJh4uZdfKPRvF3mknTRFx eTEd6eH3IiCMrO9b1HnkeDFy1S+nWH6kbpXkfJPhXCzpfp6Hll4+g4NXNmalPsCNJdy4AymL JRIjR/uMScRdzVgE0cdXz5VKsKioTqYfv/qtLuUO7GfY5yykag8HJXqq3mOMPfnhdvP0w/7D l7cL/PvRuAqYU5c9u1ixM1YgHH74lZxtdz8zl1D5A5j7gbRKUfvN7cK0bQrLzMbc2EiEvZxk ZFq3E55griNQlqOtLm2w2jmh8+BC8LzhUMUdXGeNLOfM6f4Cf/HWEV2lR3UIfccsTnT5gD6e ZdPLGLKOjM9MOMyt5E3s6PpqU9WtI05L7zB7RotxpZk0pEtJdvY4osJhFj/Zp2e0hQWirHFj OOC56F3jBVneuYyGEWxAPhKZI/KRkNtqkgQRHVAFGbJ6l4FEWrTuPI5tX75ztTN+wxFKB6sH Ey7wPLfx/tENwTBs1zuOvGi/7azWnWTxAXbhD7/+hev8dLORafEpDC3HfJv4N5MsMgkaVq0c iM8gkcF6H+amHopVIVm/MI/8iB7xIJU5TGPFtTu2LWWFpJUgK7JuvmBcxHhJQlGid0Qt0jM4 MHORZMIPfZdf7ZyoynJUiuTGRSGv4FjMKXWlkVSw1rC3ynKYMQ5rFSUUCf6oEnX2zsyUwRY7 d92jtIZOBH6mvu+PriWqQic+x40/5OqIZdSUcfSgGLDLNKLMyHEGyxtNxxq21spWuWZ/5Ygd B4BrWla+q2MejZATSFFmfCtJGZtdmpIxl7TEu77NCmtq7Ta0z88ur3FTdMTcaAa6MXLXiBPl oW3oSYyZ0TOVX7lgtX3A0hM+GINQ4TwrTFmloe76tTSYQEWPpbBzeTKaTxxPDd5HQr3HjjZd 0lnOj1l2B8eypfH0Dh5VvrFziCxV+XLCm+y7oFVGohGOrOKmXddEGgU9ExaYHgALTI/EG/yw ZGXfm9qunKfb7w9mRY4xWsw1rqRUoXoSGJJlY0zD4uGCWLCVF4c4We56RCo0hTc+VDkCC3IY H/Yius6P1aeKGSqMHQselp29Q40rOScObXswAxAfzg/KcDSUesfOf7RwHU/ZhZXk58s0iHTz Dh3CKyCjY+kPsclk1uBzCFvlgTZBBLpjbpeDKwkAjo8g4spu4yoZAK40jp11X/sePZbKA72M /1I/6FoV4dO8cTzXrjWHPx/okvHnq8sBaf4QfCVrWmMk19WwGW3PhhsWrRRaOsovd+H95UF5 yrw3R9szT9MNvU0iFPmQLe2i9MzfQdLBtjenP9pOM1OXpJJN+ECOkCk5q+lJVV978x4Jfvue o6/2LKuaB59rMjF97Lb+KRIt1vE0TEnVsZ4nQ09hUzzlgWOknYfDg5Er/U2atjZdEvcPlufG rFM5wndgEjQg/tdoXGWLUOsc0nDrmfsCnPQ8ullY8Ox0QjhVwhGM9VKk3nfKS0Kvxxn2fmND k3bBhSWurxO2z0YLAH/7YPOc4jwps0Jjtz7CeQNGM1mJK0NDrX354NzWsYZjvG3jJqJ9uKG/ VO3BvG5+qbJwGGgx66VyyrmQ58Ca0QW/kJF59IKcUONZGzLmC3oEMVeslb5+OMT6wqhaH3ub B3OrZ3hENOSO1A+3Dt0NQqKlJ16f+vH20cdgHGScXIl69BPsSYhnNYg8hvMHx43TPmISKRl7 obNsKzjbwz9jEeAO9wKgj3vsrgdjkpeV6QnF823ghdRNnJHKmBvwc+tYFgDytw86lNemJz2v 862/pQVu1pW57/oW5LP1fcd5DcHNo3WbtzkqQAda9cOF3JqMsooaBv/f6NZTY64mXXetWUbv sTh0GK0QzNHn0qFsbMrTg0Jcm7aDg6shsl/ycagO1gxepxXseBLGcqooD1KZKdArAWQZDKvE HRGcREU64Wl5ns29AH6O/bF0GBIjCkIfdKugguZp2V7Kd40ZekJRxkvkGnALQ+hg2BcF3VUg FnXukHN857u22VrZtp9dYjM0rcsTqXOFX+k6ms4rwpAFLwF/+vrh/dvTie/mWxnJ9fb2fvIE Q2T21s3ev37GWDWry6uLtfDMzmggElDqRGS/KUBrtQFQmPkAFPy847ADaLSSWshMa939Soc0 vRWBzud3AppPgA6o56ZtJIZnzhz915e8JmOg6JneTj8UyEDCcrZpn00HfQpbdmMK1D2qdEC3 aNDpwsH/7lrom7AOSR0qa6TGQ9k3SJ/Ep8sHdCv8Ye0c/iP6Ln59e3v69vvMRVhGXlz3dPWA Kl/X3IWp6bIkw5k9O9/RB1teNKv5Vv75+a9vzhvg2RlS/zlWrOA2bb/HCL2Ts6eBoKe+cmm5 FUQCXEZ/f64do04x1Znoy8FmWmy0P+Irex/wKZ1/vhqWSlPqFsNXUx+fEfSTJCN+WmwcTrgg 2w4qhv9dnuvPSZyaLL+0V7IU7OyKnTDj1sqidZnLr1KlfGbXXWt5e800WN+6KAroDcBkSukX ESwmSsa9sYjnHV2MF+F70YNSIE/ykCfw4wc8xRRVo49T+tJs4ayeobz3WWxXYJpDjnxHbKKF UeRZvPFp+0CdKd34D7pCzZQHdavTMKDXFoMnfMADa1oSRtsHTDntDHRj6Ho/oLXmC0/DLsJx b7zwYMAVVF89+BwX7SW7ZPT1/I3r1Dzsf1EHo2hP+dGKlLzmHMTDzNDfpqvJQ7q2vmgmQPgT li3Dzm8h4lMqdKT+mWF3LYjM0BSnhP93HZ0tiPZZJ0pHlxJ8cCDaOZ4iunHn13uvG8xcMnbn yqN2xcYq3KjzI1W7GVOlclSRocREdoRWFtntxqthC7bHJ5ldJZg/bABrFyxFV6Hy8FN3mmaX 19E2ocQyhefXrMvsL2JD2GaCJuI007XYHvUvDF7X5aViwBG3Ix0UVKPlvu912WqwnvkwDNmq YpONvvWN23C8X6sbH54t3BsybOQYs9lxcSBZZHxNRwhXxYD9qmQF95wveW4LUmna1WnsDWPb wOKxFiYkPsPOnLMi8TfDOrWiO5tJMe3qzI8oFcckroSDN+5OQpiK6alKvIbTMj6l15KB4Cdx b0iSeBuiAkOUqyYAON0G0dICJpj7YZKGY3fplzKYDDVso5G3LtqhCxyxricYNvMdY7RfrsZT MAzD19vflZisOtHsopS+sILRtyWLNAfScjNx3mMcxC/0tjwL4xeG7/jcy+PK5HHsDkde+x4l 8ym0Z4dThb1860Qrfc/E6dZNdz6UDV0AI7pj1Csy02y6VKjSXdrXAE/k+aXL92mUbFbkSz31 8rrEiMkv3B8AfSuy/oo+BdM4sLIpsq0XRQ9mKDLFIT3GLyCc+cO4HttZMVThZnCQTW/nuROz 0AiXZJCpFGXBYEdBnz34a5dR9evPAa5Qx7WssOaLo5nP/pCCExfcow0z74yJrl0JlBvaeP/4 +uW9dJEv/9E+4THXcGwxotARPkEWh/w5lqm3CWwi/Nd2FlIAnHctadCE81JJdQa1KneWrKfo fUZdyipsMnEjcgMSBoC1yVBfiludYnT6yWqGQ1Yz01VqpowNh5MhQa82BJHVJ9979glkD3ua 8uaZjC2pbrzZ6hPKDKV1+f31y+tvqChcOTUJYWymZ0rKxBdWtunYias2GpXTipOo3l/7OYhi s++yCp+yUwEqekfo2vZd67oeHg+c1v/IsAMjdy3dzamqsK5E7SoZLQUfU8cIE4b2np1rMjIu AM/qXafJKfjLh9ePa1/Dqb4s66trbjzapIA0MF2gFqL2fvvssk7zWV5yOrRHPSe1c+hMuTJW dxRCf1XJ+KrufaEDbNCjF+pI048nGaNgQ6E9vl5Ys4WFrBAbBGsKh0rBKPflIUsvgjQdVotk 8+nPnxAHiuxRqX0nXDCmrLC4VSko84KJw/TP04hay9u5/uIY4RPM87wZHFcKM4cflzxxXGFP TNMq+YvIDliNv8H6kK13XJYquO9oGW+C97waq+7RNyRX2ewrNqxZFy92YzZajV/noq8sn+YJ Qi2mcUzV6DIVrB/23gYkvCFoBDXTJGBKQlU39zzF31l60sl7w52i7OoSNummqAzBG6nofoXR gU2xWyIZWpLKYCm09IlM6hZMXZbsM9K2UPLpdxCKwMu9RbpgzOWiPdglRHG83Zvcu9WXb/Dx Mj2pTpBkuCvYnY3n9m7oOiJhLyhdRyH0CP2oiSgts2mRw7/OsSvYw2Moq+q60hTMMd1Wu7JW uqlS/YkL+fCXin601obDiXV9b2FIr0E+Sl0bergbYwEA+UQgteVLUD5Lfzazqk/DvO3Vf338 9uHzx7fvUAMsh4w9QRUGE61UFDO9Evkm9Kjg4TNHl2fbaOOvyjEB39cAHMLWxLoa8q4y3Pnu 1sAs6hSUC8UER1FnHdfSL9nHf3368uHb7398NVsjqw6t8aDiTISjGUXM9CJbGS8fWwRD9D+1 PFm7/AkKB/Tf0ceUDLNn1BVOwH4UUu4VCxqHdl9K8kAZm0m0LpIoXqVRriGONOUs++o07tAK ItiV5eB4OQfQRtq1UcalEpVmcDBKT/YneQmy/NbVGoDGoWd2G1rsxIOdz5mMNTghXd/qQ+er egH7Vwx/NYWj+eEP6LyP//P09sevb+/RFuAfE9dPILRgnJof7W7M8fVmR+BWxAvGy0MjA8CZ cooFUkGJLRYZwdvZ8npeDhtGZLtT1GdWd/qDm0hr5a2HSYPJohfX6JPa8upCqvL3X62q7Dus yn+CEAE8/1BT53WytHBMmSmahXtoTtEuKjxWO7lE1nLYmNfhfdpvv6slaiqNNjDskrCKPQuH M+LcRCXPyB3JuZBYM4KOwiohM5T7QpoiEayHEIb0cFpf31hwJXzA4tpm9S1yKVdo2sR1lI0K 73Qj6SM3fxibqlKx8NIK4nMjf/yAgQ30vsIscIcl5UAzlG3H71jZNKJDjtWQQdr0WeoIg5nm lXxj/VmKTmTmGpc8JtOFnVnWkWNu2LT9L0X7l3z9/NunL+vdSnRQ8E+//ZcNTKYnkxEW2j40 rpcENRuU1/fvZYg7mM0y16//qTuvrj+2lL1sUOjXKgME+EvT0kwBGG+ApjnAETllQbeswnAL pBp1Quu8C0LupcZwmDA++JFHGW9gU0MLaQVVBBlNCKOKTuGGIj+wOcr+xXYqUFVxrM1SRza/ AaLTbmG8dKq8OfduAqQKpfTH6+fPsJ/JTxCLmrpcuGQdfTUlYVQ8uIq3dBOxjalS7dIYjsuu 9GqPsGoC3TbuJ2dd89VkqjbLvi6pb98/w+A1NEUqT2VzsirfRLdjBK0b1ltXDekOH0ul30QR mnQZmWC8LLArL7oyD1Lfs+VSq3aqj/fF36h14NntKz3mM4u6K7ZR4teX86qi6m7BXU/5ZoQQ ZFRPxG0BQxKrLtxuwtW3+jwSUUpJulPr8Djy0niVbrqscRdS3W+QmxjRjEv47LvNuxPpMKyK IqOjo7GrTx28ZhamePSIRaoBijwM/EHvfqIc5gBt8+eT5kZ4MST7i486jtUW5v/03x8mQaR+ BVFXrxwkmR7gQdOfdtCzXp7m4cHGjAilY/6FfLVh4TB1RDc6PxiBZohC6oXnH1///WbaPvqT SISu5rSqb2HhtP55wbGGXmSUUgNSJ4CGpcUuy58dHH5otZqWmDbXMngCanLoHKksNJ04pI2i TB7aRMvkSR8UIvIGuvpJ6rkAnwZS5m1ciJ8Qw2UaFst+j6qwMTubcp8kwhmH9PJRKD91XaVd lOpU+9kuAzteav1Goisyhd9Is7mBRZ4uYXHwGBNakTv7ZTYZz1pSiTrsMgEz6roYd9yyQ8n4 IN947iIv1lp9ToK9EXs0PXXRHfmYa8SM8B11hTsXjOuPNyq3P4s457N7CZJhGJyAqa6zwWPx QhVuhgsxnqDvoJXH5kwtFUs9s60fEe0CvewnsCtRH5kwSmVisKi9wGogd5/KgSVDBlpA1aVJ kKzp5kp8y0Y2ul7wJSMRxmTQXa0I0tJmnSs07MaPiFJLwHTY1KEgSu58DzmSMHIkjlLSiWsZ avUu3CRUBx2y04GhBjXYbu5VtxfbTaRtE9bklz/Hc2lcfiridECngq42r99AuqVuPaeQjUWy 8bVV0aCnFL32vcCQC0yIUsGZHLEr160zV9IZT+PYBubcuEECquFwlTN47n8AOOKAKjYAifvL yd3G4CEZQ5PnSRz4FNAx8wHcBRFDR2/HM0fB47uRPjEqJ92pa5HdYiijZ4yutS7vPvFBgNjT QBrsDxQShUnE18BsN5cV+Ro8VJGf8poqPUCB57ypnXhgh6JN6zQOanWd4WN5jP2Q6MpyV2f6 RZdG78wYHwsiUmqBmuFf8g0xCmHf7v0gIAqArw5kB0YAcjGKHMCWHNF4BeST67XOEfh0rpsg CFy5Bpt7A0xyxFTtJEDMFNzuYi8mCiIRn1xnJBTTDgw6z/ZeB2EwWHLySkA+10gBVK9KgAq+ K4Ft4qhD6CfkNnWbSV3oUSUUeRwR+0DNmn3g7+p82Y7WXVjH1EniBichnezu8ggwWUeg3++k qk7vNQD6jhBjqU6pcVunCUXdUqMRNiGSSn5tGwUh0doS2JALsYLutViXp0lITRQENgHZmo3I 1Qm35K7riIU1FzA/7vU0ciQJ0Y4AgLhPzn+Eth59H7jwdHntNpSZ67hPoy21OnW1ZS4yJaDJ KG4EVB1g0R7z/d5U+i9gw7tTP5YdJz1bFrY+jAJ6nwUo9eL77VD2HY/oEOELC6/iFPZKegTB YTqmVEnG6p+kZGIF3Syn72cTptQ+MC3M1DKTDYGXRGTTqFUtvTf0kWWz2dCrZRqnZJW6gcFm cF84FB3fwNnKZRS1MEVhnNBG7TPTKS+2HhnfSucIPKIO7yooJkHnR0G1MpCpBR7I4XeSnJPN Ttyor6XDmvlJmNzlYSC8bTxaFaTxBL53b20BjvgSeHRJa55vkvq+CDwzbe+JcoppF26JZZ8L wR0jlNd1TAa6vK0quR+kReqTAzEreJIG93e1DOqfOjwRb6tQFnj3RyGyDNRFgsYQBrR8kBDz VhzrPCLFRVF3cKS7P2+Q5V6nSwayyQC5vxAiA73SnsssTuP7Ev9Z+MHdI+FZpEFItNIlDZMk JI42CKR+QQNbJxC4AEKqkPSIqrJCxn22uulcM1aw0gpiY1RQ3NB1i4PkSBz1FMJISKoib3Qp heiPsk0EjOcrSm46WcwYq1l/YA0as09mimPBquw61vxnz2ZeCbAzgA8dybc2RV92tL/gzFqw fXaqxHhoz1Aw1o2XktNWEVSKfVb26nU+og+oBPK5Rd4p+8q7WU+66apqc3t3XqX720Uxarlu fYR3WXOQ/6Hh+xX4vxYcg2NmaGhP3ytMBqzU+OY7+BDn5c4y5uaUicwurzOdXSObv2REEvUy Mcm94IZacAE4GYpN4vOrkkTSCcJwRWNe001hMLoiASgm22TlZjT6z7/+/E0+Jrh6O23KoN6v nhYDCuq1fG1pRMdx7f54+bzkzUSQJuuXTDQW6ULs6Zp5SdXumPX8pDMeRftfxq6suW1cWf8V 1TzcmqmauWOtlh7yAJGUhJibCVJLXliOrTiqSayUbNc5ub/+dgNcsDSUeUjF6q+xg0AD6MUx KF6hi4Aw8vjkk5XGu68xfezA5PJqbGSbo9oMU7M20nJuZNdE2dP5szEeBWTVg+F4b3dKQ7Sb CgKejJwd0DIYwpAijykVlzgH0HR3jiRLv1Org3zCD5LMiHiMgP12jzRlDnxDEacEUb1TWEO4 H06mt9SdTANbLwgddT5xqfPFzS1BHE2JYueLBS339jj1tCnRcmZImJLW3rOYZDRDtQuHoy6c 48a+6aK/++vkcnrjT6OUJcyyRRQ4oUQknU9uZ/tr36xIpqak3hF9KkqS4e4wh8F0Pg4Uyokk bLmf3txYCxBbjoc+YlbmTtYHEXh2E4RLjOY5Hk/3dSkCkOE9FXc1UBR1fjv3TQHIOU7ckWVx wqgLBNRWGd5MjUFVGixD6kSpoFtreaBUXnr6wuNsraksNObKUiizmM9+wbDwHLY1Bmc5tZlg ufCoHpS7GI6Z3r2kNcKmZvQuHo5ux1f8C+NwJuOpR6FBVu0+2c+puxUEt/u5vQ84qlMa0Xxr lrusmNzGuoaPrHUyHd44XwtSr/QziN3XFi4J0yfRBp6QdxgNaEj0PY3afBGZ3lwdblkZynlI a13djaVuKeITW7rE7Q1WX9PeHYCljNEDK76PYCCzuDQeVHoGtF2qpN1gKqokInNHaVgKwzpX 1+SeD3a7tfU1UTzm3mlBM30r6zEWlPP5bEqXy8Lp2DP6GpNcTa/WzRYBNaQV0IiMW0nvF+V3 ot8v+LwvlyaL/q5rIKPhDV1PiVGXA9psYel0PJ16utmzB/YMXMSLsS4CGRCcqIeMzhkWqRmp J6qxwGZ1S46NRMjekCofex8yJSuKl8DT+cIHzW5nFITy3HTug+azCZmhhGbkt+BIdRY08oyR BD0BpQwuKV9e7fFWLDeFEhO/nY899QBwTt5ZajwgT9KfGyKjsQ9ZkN2Sr6pP0ZBeWfLtfH4z 83wXEiTf3iyeBZ33LqHI0ru2aeTRg47c2kNilOTshuwVhATdYWKazG9nZL9o4qmLxeupHaWi R/F9YDgbXx9ETcQjsdGYnuBKeht5pk8rCf5iHrei4b9hG3piPfVslIZ0yxRckbLQAavUfKRC tq4vDz++nh5fXdtVtjbk+u2agSBF3euEplkB/KzDvGbVvjWiJasl2aSClYjiFWpK0lnXd4lo DFD7cWrpqyUJrZZott5da1EgukqVt2MfYIKZtVIMccSkbY1w9JANZjRNrqGHQwzGl+yYZxCa Tgki0u4awLJMzGpuC5aQjRPBRuordXYVx5fH89PxMjhfBl+P337AX2gRaahdYzpl1Xx749Fh blkEj4czSjpsGdJ9XpewWS/me3vYDdj276nZSvhqLKvMikTz1NKl08l6lQoWRvYQK5qUC/PS 6j6WhMrO1aEZ5vwaOeB3dkMbpCnA258N25oVpZrrK9dUjQX54Hf2/nQ6D4Jz3gau/QN+vHw5 Pb9fHlDo7r/KJlsQOHNdRP93ubRRDn98e/g5iF6eMbCwXY7dgDoMyHG8mo2ZS5pV24hRHuTl RF9H9tSHT9vu8SqkHlhkHXWPz0hI1mw9MjcNJKswWfU9LAuenO73sZnTMgs2Tk0avxQwsJ5s cpZK99tGb+cPL8dvduhIHTHKLXioH4e6XHvEyJy3bpAHy8vp6Vl3cSR7KGXocXEPf+xvW4sY qxZuFnoOUZmyLd+aNWqI2hOTuTJKd0jX+qjOCjSPlGt0fV/x4q5zIbC6PHw/Dj6/f/kCi0No +22CZT9I0K+v1kdAS7OSrw46Sa9Tuz7L1ZqoFmQQ6nqQWAj8W/E4LqKgdIAgyw+QHXMAnsBZ dhlzM4mAnYTMCwEyLwTovDAwKl+nGMids9SAllm56el94wHh6wYg1yvggGLKOCKYrFZkuTDK DKNVVBRwjtcvp5EZZAbDFhNrwYI7aQduUJMsjJrNzsy65LFsfqnFijbmxtfWYtt5RsHRaCPj 9aQ8GVn9AhQYmFVWo41vlqYwPr4OCg7LqLDjFPUwg70Tes5sGk9EaVLKNbOqAMujbZTeg6SP Y62Th6H1ZoFfghvXqCV6r4Z6DufxyuGgR7HgW7tMJF0rUeJXypO4XpqemN96DApxRknDOG+x UkDwjGJ5GI7mVlGK2NfElzEjvazhMI2tHMUYlxtfPoJtmS/w2BJ1iDzDE2WwYHBzFbs7FOZ3 OQ5Xe4cAEkWgR41oycalKRC3WRZm2dCklfPZyG5gCVuV5WVJ66fizvosx8bvAMQ9tbwb35+i wg4CsnG0ZZRIYPAElSh1j0nYd80jijaNlkm93peTqSkzYLvU5SPdhESLnKZRl9AV1tfY0OSL 9toMSqWh9IOnHO0kj+2uEMntcEQKZuTWKRfO5cPjP99Oz1/fBv8ziIPQG/oBsDqImRCNOyq9 aMRaY3Lqlb39QOwMHNwxku8hdWXRP6h3QHO1RD+798mT+WIyrHcxGXWs57Mv1nvEMcs2oPl8 5oduSYh6Z+1Reb14QznIsXgWVN5xPp9OyWa4VytaZdu7ZKI+ftWGvtAt9M9tTIUJ7JmW4Wyo 3xFqpRfBPkhTCmoeIXQZ9Rfzts0DpA1UatJ9poX6/RbIwJn5Cy050DkWfMbGHO8hyNMTokFj CuKqHI1oJWPJFuKTL8XUNNC5hGkrKbIq1VXVrB/K75tJyoPEJGx2YZSbpILtEtjH9TYjORMC 70woRR+VcVeekSw8pAz1OmDZzQpazwvZmhuoOovh9Er7nMFSiiyodY8aSNxGxTJDx/AAroRd fo/argjNanq9yMjaRfcVBsAmFbBTjFhRTW6G0g+kWbcsj8e1IeU21IlLVapDVuPCKkkOJgmO a1luNzMpc7b11a4Uhvq3bJBy0zmcTS1N0q41viGAUUpYOtpbOe6EcJsk8CCUGBaVijyvQ5Hb xOHMpXLB7Oqx0Io0ZmDD+XBGJBnOJ5RagOpPMbxxOoF9KoezG48mtsJHY9JHRIca1mFADBI+ H5vCY0cee1TiU/kOPaJtMVtwZpYTiaHSvjdpc13wkD0ezAxH30hbV0LuzaZ79gaJ9mURkdGO GwbYgq3hQ1eVO8PxlUGuRWlNmY/s06fhzP2KBBs5K1Je8sVof310WybVi2QWHh0L+Snwgno1 bCaxO4FtCttFdpFyngeC2hwlLAKWW92FPbUCcbK087J8ximnWuFf8qpPv03qaMbSj44NMIxa nOHF7qfow2xiVdXUlVTZ89CVCTeWgTQPe18BMGXSNRmmEthgr+mbWhHZNIKgUw3x4/iIvmyx OoRnJEzKJhhzhS4XzjNFpc3VjlSvVnYVZNAVWosPUVFRxy0JVdi7ZiHLKL7jqUkLNlFRHGwa h182MavWuhtppCUsgBG0GGErDDkGh7DSy9cei6ZC7ZhEGJd1lhZKAVm7t2up0EueNkf47rIy c4viyPIUK6mffFGS1LgnS+7xgi7xFXnCQAiylaFU7ALvDtTKhciOxaW5pSJ1y6Od8IRJllU4 FNYDElJ5wMLIIpUW4SMzYlIgqdzxdGPeyqm2pILD50NeRyBDHFhOSCQxCm1Cmm0zi5ateROU yCiypeMPT4DOjsWcBgZeVMkyjnIWjq5xrReTG3oyIbrbRFHszid5iWFFv1L0GA/dNvGwgg1t Y1KLSE1mi1cGgM9WpUXOcLuKrG8M4yXwdqJp9NSMPYskEJlIf/PyU2UpXpHHmRmTTyP7P7Y8 Kll8SK11LIeVAw5CdiUacr2iZCedgbi+02GVNQWo8I9moRhfq8CPyLdIglyupAYjnWDc32V9 kGudiI4azHChklxGzFl6gAjzCvYV8tpUclRpHldOc4qEOpnIxQDDNjGhr64didhTQFIqyo/Z AQvxrnEl31LCh4SyXFiOKSR5A4uFb10sN+gyW7nq6mupU4mKVrhF17mgtG3k0sk5hh6yk+15 mvjq/ikqsqZzG2pLIcrHAKzwUfqWP2XYVG+qpTXsiq5u+Jpf1m4fN1bFrW98QqDoXUhTQo/0 fc0Nr9kObyeAacRO3IHTUbYJuPmyoolDgDfHYr1XkFzFOXe9umoM8GfqU3lDXAay2TBRb8yF oiJtczCFsopRAVaBScZ/6AWvjp5//fl6eoR+jB9+0j6B0yyXGe6DiNPKH4iq2Fi+JpZss83c kGxNZ1+ph1UIC9eekGzlIY/o+3dMWGQwXmLHS9okIzEPT+gp0Bu+AZhrW79FmQMlwd8i/BtT y+DX+IjeeicP3W7FfHxPJYiJcKPHJulINbqUDAIQATP97qHHczsZiOPZxm6kxh+XKzJ6H3Ds liI0M2NxkBV2RiVfwUdLC3+IB8tbn7Z1In2GQ2L4y8tRQU35DAbRn0kTXtoTo1tW4n5jGorJ mmdiw5fM68wbeRIyIkYCkjNGENS6p6F0+tGaZ1jxdnr8hzAOa5NUqWCrCD3kVUlEJfXPKDsr ORimykWHfZQSU1qP55T+a8dWTHU3HT257+MeTaOdFUgaf6kHA+MatqPWUr6jREhkWRYoyqQY 4H2zQ52zdN0rSOEjgNOJMhl1Jy8BaZJAPfH26IhKNCOdxUnUVhiVROV+1s2qofuv4SWXZ+lX lUHLmYlVHBKnRMXxqYM2/ehx2kCjw2e06mKDz6fkVWPfVv31Qqc6YTM6kNbGlnBjGoEvAZU9 xQxdWEnRrRbMYpbhaH7jHVDC8FINtFI69iXDmM9T/UlEUeNguhju7V7AmTb9r0XUDdqsCT74 cr4MPn87vfzz+/APuUUW6+WgeQV7R7+0lAg0+L0XK40oCqoXUN6mtR9VdeI9dKGvuagf53SR stFqbo2dPRGrW15Oz8/W5qeSwpe+jgpKpFcbHF9yELWMoG5FGahFhNJEQlNVfKDUJkpPs61W NGRrLNgAuDpKQAR5b23oKCGtM2iBdSqFM0qPNqGmErFWwce6JuBdalxzoJKKmVKVe4NwnawT 7UzXA1oVdliLwNKab6gum7FwAzGyqtaQpMNkZyyxX4LO+X/bSnFIYRfdmxHWQoxMaijydd1X F4yHWlcvq9Xg/APVDHW/i5jpiltm6DtJp8RdlY/REknplJHpcApW8X1qVu1DLuAsTN935aju Rsua5CqOk8R9LXLjODW6iLAoVE7vJ6fHy/n1/OVtsPn543j5azt4fj+CSKDHQ2gNvH7Bqp13 D1YQbVhn1xh0gDi87UTO0ziTAo/6lGWsAXF+vxhm731MKArXZBLG46VHp4fDalJ5ldwLEIve jj8u50dXFlCBdfFlUT/mESlUTj++vz5TZ54ih++2GQ9y6pgp1Q13Fgx+FyraTfYiAzD9MXjF JfrL6VGT15Se8Pdv52cgi3NA9R0Fq3SQ4fHJm8xFlarI5fzw9Hj+7ktH4soz6j7/e3U5Hl/h aHYc3J8v/N6Xya9YJe/pf5O9LwMHk+D9+8M3qJq37iTeLSQZHk7aGbs/wXb6XyujhlOFRqi3 QaVPHCpFdwHxr8ZbWzXQQmK7KiIq9EG0LwN5u9kG7Xk8vzS7kCvqK+Z6JdhiojuIbui2nNWQ 0f3XmLT16xksPwA6YLgCaIC8TKeG14WGXpTzxe2YOXSRTKc3I4fc3h8YR46sMEOje6TntKQu QLZJVGshzOBnowjtdiayggw6DPa6g0mkloIPJ8brL1JX7M7dG2UB54fLE5U/x2S3yltDx+0b W0OoxWjVbUiSfh4BURnTEw1HUIrU8640jLXxaMaz6++/bEzr8JzBkc93oSNdueOtVQmn8piI 5pdvDgPx/lnF9dICObQRTDba7fwySOo7NOmGiTAyIQyHk+9ZPZqnCcYZMu4wDBDTkku1WRMt Nb7DBIx+MUmCpduk4wVk8u8PLzBu388vpzcQ0Ik+vcamdSAjjEdeni7n05O+EbE0LDIekg1r 2TshS3/MT7dG+Ej50w5v01qIdwGt1IPxbvB2eXg8vTy7V6hCt2uCH/hwWWb1ktn6Bx2EKuaU 1ihy2LoyQBJZVQQREcy3xzYRK8plxIxLViVUlxuyo4gW9SlX+drj5ox7pBMR82RJPiJLNYlA aZ3rtZMBpO24VK0Qai4ESif+BDuomrCGWLJlMUcP+bD0Y4QqQeo3IZYJtA8JYn2pRVlKH/2W AqdCkOpq0wwAZO8ayVx/tkkw0jWcrg8eHPKK0qA45OYrK5BB8rUOch3xiiZXz7OseFxy2ED4 OmVl5VGfF7bJSGgTuCI4R9kVUwBZjfsqKyl1TgyguRITQ79N0WpzxV5V+AJPL6RouYi+2Ahb suDh8athJyMCFmxM1WFFkvcjdP4txwZ9164LRp/+W65rY6E4suVHmNx1zAUdsriptFowX4/v T+fBF5jN/WRuV99OM7BfkJF05/FMIkH0RFPqccyQmLN1hE++3PBWIaFgw+OwiLS5eBcVqaGP aK6IZZI7P6mvSQF7Vur2iLDCoheSIjK0VtV//Zxodwm3b7p8MIg7fmFQuTIyb3KzAu9DZW6U ICc/PqtbOyJUUAjf+e7jaiVGxlRuKc3FyY1DR4+AAK1W+vNkj+L5Gz9f/ftTqIB1n5niXZdM 9ik5AxULhvlF/Rc0MmviyXtb88nQb1S0ApVejRe0JXe6s1+44YMhexr2y3ZIDQq+xUdhvTzU SRnaIIrSpak1gA6lqenehgM0p0ELWkXj7+3I+m0YcCgKzmGqLAQnH76b7GLnEY0Ue01fMhdZ ViKHN2WzjnhxXCqVrSGs3lTPt0z4JcOWD0xWQykzAVj4QHLIMaq2Niq4gdk/sSeMjnT0Yau0 yAP7d70WQu/BhupfT4Mo39AfcQDzUc8Kf6sFnrq/liiqBO7Qv3YUwN7Y9J8hgCDXTtrA7/AZ mQ48LLmqHPXT/Ljzgepge5FqJpFU+lGhx1EazFEXzPMlSsZ/Ub9rEyzIQub71Jl/FVjk9Eil +n0v/Gh9jX747fR6ns+ni7+Gv+kwFB/J3Wpi+h43MMtTNMmiu143kLl+GLeQkbfIuSfcoMX0 y3oZljQWMvQiIy8y9iITf1tIF9MWy+xK8sWvki909W0T8fb+Yuxr5WKy8FfmlrZCQSYuMpxh Ne2IyshmOJpS7582jzVCTAScm6S2zCFNHtHksd2+FqBeP3R8SufnDF4L0A7bdA7f2HYNG3sa 7My3DvF/OXcZn9e0KNPBlRfG5xqQGkjL7RYPIjgUBWaVFR1Om5VuJtohRcZKQ2mpQw4Fj2Mq tzWLYvN03yFFFNEWOi0HhyrCofFKG3ha6ebwRtMtq/cWg/PfHScVCJCjKlfGnV0YU8otVcpx 7vcFN4Q6zdD7Af+k3Bi070e65G4cztXN//Hx/XJ6++k+ZDUq3V1l8HddoHGSKGv/PoWG83DA khGtIxiYdE1tP8u+gFbUV6fvKKQKrsMNWp8rHWiPYRfKD/JMDkcFeclXFtxjQN/yXgXJbXOD 107Sl1AKNcWjODpM6L1b9+1xmPQmuTmsIAsUwMkybWZc9kSufwrSh3ogOdCDge2th4RrDEL9 4be/Xz+fXv5+fz1evp+fjn8pNzjd3t+6IO+7V3+cjUXy4Td8K3s6/+flz58P3x/+/HZ+ePpx evnz9eHLERpxevrz9PJ2fMY59ufnH19+U9Pu7nh5OX6TPhOOL3i51U8/PSb16eX0dnr4dvo/ y/cMhxMzNiq4g0lvGmdLCKa/MjVpq+/xAdsyr2A18PKagaXtKrWwv0Xdo4v9qXXiJ078Lix7 cPn54+08eDxfjr1bor7pihmat2a5tscZ5JFLj1hIEl3WZXwX8HyjTx8bcRNtDK13jeiyFvq1 W08jGTup1Km6tybMV/u7PHe5gejmgOd0l7UPdEnS3QTNRR3JjS4+VEQCfOF3uNar4WieVLED pFVMEw0JuaHL/6gNrG1oVW5g2SVSkkqi+fvnb6fHv/45/hw8yjn6jMbCP/VL3nbkBHXn2ICh O1GigKpEFITURtmhRSjtNdXzw/vb1+PL2+nx4e34NIheZAXRR+x/Tm9fB+z19fx4klD48Pbg fEyBbqncDgFBCzaw7bHRTZ7Fh+HYDJ7cfVNrLoYjykKw4RDRve6/qGvOhsFqtG0btJQaCLgi v7rVXQZu1VZLl1a68y8gZlsUuGnjYufQMqKMnKrMnigEdvFdwXKqy1AVvawoUaetoBB9z2we Xr/6OgY1h77bq5BSJ7JL3UPFPfdmEt9CMleP6PR8fH1zyy2C8YgYEyRTRe/tawwnXTm8CfnK nZTkOqtNR2uxCSdOdyQhwcdh9kUx/u+uwkloOaHRgBkZMLfDR9MZld/YVFdsv4sNI4PnNvOR L5GDytFPng7dVRnIY6r0hHqSbsES5IP/r+zKetvGgfBfMfq0C+wGTdfb7YsfKJq2megyJcWJ X4QkFVIjayfwAfTn7wypg8co6D61mRmJIk3OxY/DKFsSz5VL5d045Uts8r/d+ihGYe7efzib 51Y/mQiXj6GF2gZRjB+1ztIqImv02O0pPg0bpIhRnG0WkpiCHSNIOXZTmiUCQjRG9IGzoqQj UUuAOvtu9WAu3LRja2nIrbaWudD/Et9zu2JbRp8H6KYDiwtGXrfr2Ylw8gkRumFYulGkoY9T JOEPUNqV2TraJiN/k5Y+/CRm4r3t34/N6eT40/2ALWLmHrDqBnlLQ1ha9rfph0sg3lL5koG5 CtXOtih7qKV6PHx/20/Sy/6pOU6WzaHxa1H2k72QNc8pD3OuoqVGJ9KcESNheKM5Z0uI04nl QSJo90bioXWBKJT8IeDqe5UoD79j0C53zy3G3OBeghqlnknGCzqRT4zSakNnpYuHBEtTQeCJ ITyecgrVYHM8I+YMfLOTRo2fdi+Hx/MFYp/nH83zKwRSNrQWN5NA1+hDo0WfYLDiXF8CB0lv +M4+fbI2en+hVYMB3z0dHyHoO75dzrtD4wAaEGNE70dGEuwFAmatHE0HHgJTknKM91WWeBGC LRKLdISbirKuSmmn73mm5rbl7oFK+sRVwqwQR5/Fwy0onuT3fGU2jpRwPA0O/rAsHX3Er7+6 EqF/wmtZVrX71F9fvD/dpJTLiSUX0QOdE3ZE6MRyK8LUBjQYuRSRH0n3C91sPBBGX07tIIDp CV0/bpXqMJ7e8Ldi6TxL3HFoWbjhW8u0U8A2dVDLXbtbXcmp3U626aBKa5JOyqOSJcQ1mZK/ 3yLZHjFDqembUVqmBqvl1GOSPkbQcplK/KaRVq4q+zb6llHkMLUDasRvApqH7u+7WS+3MicZ 8dY5IGAzshH6NFyNRI5QY67uWFyjf2kt0qLIuGSlvBPQYcXsYgCswCVtw+MMSRe0cpY60p2T DSk4H3VhDjTEuk6Kx9PnNlhee7XLtM5AHpvPVV3WX6dmFQ1pauSB0Rg7ElosY9N7a7DWVhoj jV0wCo+3dcmckrlSrTHMoSAASS4drESmSy5AFF7adU50J9IM+68jbctmlGhDyHR5oP7d3GVn njT1/bg7nF8n4KpMvu+b00uYUDdVwWofxNGScWeYxMRxgyfEIhUxWJX+VsjZP6MS60qKcjbt R0hjZ4g3WCV4IgQ/tJ+ib9gk9WBXYI3ABrRDNjoMvfO5+7f587zbt6b2pEWfDf0YDprZXwe9 6Ky0joa1NSru1iawuEUeS7KY/yAy3zC1mI48H5VUNYzlHEJRrmTuOkMi1Qm9pMLtkZUgU/kL BXFQDU2msy+fp9/sKZjDskeUqw2WUeCF6ZcCy+++C5RaCbzqUN9TXjJymSDgKJFbASKxTB2v qbv8gOt9o0QWCV60aa0Qj6M7UGdp/BCO2yJDnKuBa4AvyfOKnCa/PBH6OYx1WNC/U+vhwyxi n703P8Ps889rSsrUovO7bvA4PhWxbDPnOPNk3jxdXl4cp1RvQYv7EovnuLfPmrcgXys/ChiI z+aZxMo/qZNdcDlacbFUkiV9PVGsbxF+hYE+klfXx1XUCdlaEcmBw9/OFL3xUhVjdYGN1B2V 0GtHVh+R0LsvQ4u3DLpo3j27DrZdhpHvtR7XJg4e4tkdWFCNkuLE167wpECQycP3TeK359fL u5l6q8fDi30yGxzjKod3lDBwttHGmj0h01Gk4IuwxBbMGV3aaVwY8dIVTGO7N9hYvapSrElR 0JvXmzV5S66F2Ka7bc88bB0RillOzReH33+lw0QLl1XlQNYXhYQAK00eh/+ap8xkE+k8VKre D43N3gqRe0GZCeQwm97Poclvp/fdATPspz8m+8u5+dnAf5rz89XV1e++7UG/qirFvaBWQnuu 8IOvap8dXQtqUzgASUNt8ekmlO8OgloOPaLeYeIhnNxzaDcb0yTt0PyPYehfiMoeNBjWeQAv UczbKCYcjVujZUa7quPwUEH6eGx3hAM7pdHu0jmOahgcnAGRlqDf+8NTileUzqZHDoTRZi1q P0BFhv0IhalFEeXdgo1EsS4+8Jbc7/NHBhazMaeKMKSd79yORi2Uwtvj0htjrGkgvLaQpEw3 MlqN8vas7NCTKsXVpXuP09I/5tsLgmb3R2jQjNrE1HNWMkxZqCof/dCCYaFxatFUUeEiazQB DSSL5TJN6DrvRqiXsJ/HzzV84jkW5yvWYXpBE6lSB0FOUAwu0UokztFLL0L4Dza4XIUiMwEA --vtzGhvizbBRQ85DL-- From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============5472800960831379033==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: drivers/net/hamradio/dmascc.c:1238:56: sparse: sparse: non size-preserving pointer to integer cast Date: Mon, 14 Sep 2020 14:44:26 +0800 Message-ID: <202009141408.tNbmuKKg%lkp@intel.com> List-Id: --===============5472800960831379033== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable tree: https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git = master head: 856deb866d16e29bd65952e0289066f6078af773 commit: 80591e61a0f7e88deaada69844e4a31280c4a38f kbuild: tell sparse about = the $ARCH date: 10 months ago config: alpha-randconfig-s031-20200914 (attached as .config) compiler: alpha-linux-gcc (GCC) 9.3.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # apt-get install sparse # sparse version: v0.6.2-191-g10164920-dirty git checkout 80591e61a0f7e88deaada69844e4a31280c4a38f # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dgcc-9.3.0 make.cross = C=3D1 CF=3D'-fdiagnostic-prefix -D__CHECK_ENDIAN__' ARCH=3Dalpha = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot sparse warnings: (new ones prefixed by >>) >> drivers/net/hamradio/dmascc.c:1238:56: sparse: sparse: non size-preservi= ng pointer to integer cast drivers/net/hamradio/dmascc.c:978:48: sparse: sparse: non size-preservin= g pointer to integer cast drivers/net/hamradio/dmascc.c:1025:48: sparse: sparse: non size-preservi= ng pointer to integer cast drivers/net/hamradio/dmascc.c:1025:48: sparse: sparse: non size-preservi= ng pointer to integer cast # https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git/commit= /?id=3D80591e61a0f7e88deaada69844e4a31280c4a38f git remote add linus https://git.kernel.org/pub/scm/linux/kernel/git/torval= ds/linux.git git fetch --no-tags linus master git checkout 80591e61a0f7e88deaada69844e4a31280c4a38f vim +1238 drivers/net/hamradio/dmascc.c ^1da177e4c3f41 Linus Torvalds 2005-04-16 1180 = ^1da177e4c3f41 Linus Torvalds 2005-04-16 1181 = ^1da177e4c3f41 Linus Torvalds 2005-04-16 1182 static void special_cond= ition(struct scc_priv *priv, int rc) ^1da177e4c3f41 Linus Torvalds 2005-04-16 1183 { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1184 int cb; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1185 unsigned long flags; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1186 = ^1da177e4c3f41 Linus Torvalds 2005-04-16 1187 /* See Figure 2-15. Onl= y overrun and EOF need to be checked. */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1188 = ^1da177e4c3f41 Linus Torvalds 2005-04-16 1189 if (rc & Rx_OVR) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1190 /* Receiver overrun */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1191 priv->rx_over =3D 1; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1192 if (priv->param.dma < = 0) ^1da177e4c3f41 Linus Torvalds 2005-04-16 1193 write_scc(priv, R0, E= RR_RES); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1194 } else if (rc & END_FR)= { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1195 /* End of frame. Get b= yte count */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1196 if (priv->param.dma >= =3D 0) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1197 flags =3D claim_dma_l= ock(); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1198 cb =3D BUF_SIZE - get= _dma_residue(priv->param.dma) - ^1da177e4c3f41 Linus Torvalds 2005-04-16 1199 2; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1200 release_dma_lock(flag= s); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1201 } else { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1202 cb =3D priv->rx_ptr -= 2; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1203 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1204 if (priv->rx_over) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1205 /* We had an overrun = */ 13c0582d91ab63 Stephen Hemminger 2009-01-09 1206 priv->dev->stats.rx_e= rrors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1207 if (priv->rx_over =3D= =3D 2) 13c0582d91ab63 Stephen Hemminger 2009-01-09 1208 priv->dev->stats.rx_= length_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1209 else 13c0582d91ab63 Stephen Hemminger 2009-01-09 1210 priv->dev->stats.rx_= fifo_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1211 priv->rx_over =3D 0; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1212 } else if (rc & CRC_ER= R) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1213 /* Count invalid CRC = only if packet length >=3D minimum */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1214 if (cb >=3D 15) { 13c0582d91ab63 Stephen Hemminger 2009-01-09 1215 priv->dev->stats.rx_= errors++; 13c0582d91ab63 Stephen Hemminger 2009-01-09 1216 priv->dev->stats.rx_= crc_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1217 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1218 } else { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1219 if (cb >=3D 15) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1220 if (priv->rx_count <= NUM_RX_BUF - 1) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1221 /* Put good frame i= n FIFO */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1222 priv->rx_len[priv->= rx_head] =3D cb; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1223 priv->rx_head =3D ^1da177e4c3f41 Linus Torvalds 2005-04-16 1224 (priv->rx_head + ^1da177e4c3f41 Linus Torvalds 2005-04-16 1225 1) % NUM_RX_BU= F; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1226 priv->rx_count++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1227 schedule_work(&priv= ->rx_work); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1228 } else { 13c0582d91ab63 Stephen Hemminger 2009-01-09 1229 priv->dev->stats.rx= _errors++; 13c0582d91ab63 Stephen Hemminger 2009-01-09 1230 priv->dev->stats.rx= _over_errors++; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1231 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1232 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1233 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1234 /* Get ready for new f= rame */ ^1da177e4c3f41 Linus Torvalds 2005-04-16 1235 if (priv->param.dma >= =3D 0) { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1236 flags =3D claim_dma_l= ock(); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1237 set_dma_addr(priv->pa= ram.dma, ^1da177e4c3f41 Linus Torvalds 2005-04-16 @1238 (int) priv->rx_= buf[priv->rx_head]); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1239 set_dma_count(priv->p= aram.dma, BUF_SIZE); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1240 release_dma_lock(flag= s); ^1da177e4c3f41 Linus Torvalds 2005-04-16 1241 } else { ^1da177e4c3f41 Linus Torvalds 2005-04-16 1242 priv->rx_ptr =3D 0; ^1da177e4c3f41 Linus Torvalds 2005-04-16 1243 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1244 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1245 } ^1da177e4c3f41 Linus Torvalds 2005-04-16 1246 = :::::: The code at line 1238 was first introduced by commit :::::: 1da177e4c3f41524e886b7f1b8a0c1fc7321cac2 Linux-2.6.12-rc2 :::::: TO: Linus Torvalds :::::: CC: Linus Torvalds --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============5472800960831379033== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICB4MX18AAy5jb25maWcAlDxrb9u4st/3Vxi7wMU5H9rm1bQ9F/1AUZTNWhIVkrKdfiHcxO0a myaB7eye/fd3hnqREqXsBYommhm+hsN5cZjffvltRl5OTz+3p/3d9uHh79mP3ePusD3t7mff9w+7 /53FYpYLPWMx12+BON0/vvz33fbh+fft7P3bq7dnbw53H2bL3eFx9zCjT4/f9z9eoPn+6fGX336B f78B8Ocz9HT4z8y2evOAPbz5cXc3+9ec0n/PPr29fHsGlFTkCZ8bSg1XBjCf/25A8GFWTCou8s+f zi7PzlralOTzFnXmdLEgyhCVmbnQouvIQfA85TkboNZE5iYjtxEzZc5zrjlJ+VcWO4QiV1qWVAup OiiXN2Yt5BIgdtFzy8WH2XF3ennuFhdJsWS5EblRWeG0hoEMy1eGyLlJecb158sLZF0zZFbwlBnN lJ7tj7PHpxN23BEsGImZHOBrbCooSRsm/fprCGxI6fIpKnkaG0VS7dDHLCFlqs1CKJ2TjH3+9V+P T4+7f7cE6lateOHsWw3An1SnHbwQim9MdlOykoWhXZOOCVIoZTKWCXlriNaELgJrLRVLedR1SkqQ 2+5zQVYMmEwXFQJHIWnaI++gditha2fHl2/Hv4+n3c9uK+csZ5JTu/OFFJGzEhelFmLti0ksMsJz H6Z4NmyeKY7IcL8xi8p5oiyHdo/3s6fvvYn2G1HY7yVbsVyrZmV6/3N3OIYWt/hqCmglYk7dPcgF YnicsqAYWnRYQPl8YSRTRvMMJM6nqac/mE0rGJKxrNDQvT2wlVIpynd6e/xjdoJWsy30cDxtT8fZ 9u7u6eXxtH/80S1Gc7o00MAQSkWZa57PHVFXMW4fZSBcgNfjGLO6dHmhiVoqTbQKSGGhuEsKn+3p ibkiUcriIAv+wars6iUtZ2q4a7CyWwO4bg3wYdgGttJZl/IobJseCFdW99NOzR+yld1l9Ysjzct2 1wR1wZWOclRmKlDRJHBCeKI/X5x1281zvQTtk7AezflltXx19/vu/gVMyuz7bnt6OeyOFlzPNIBt dfdcirJw5lCQOTNWKJjsoKBk6Lz3aZbww7ECtiej6MK1DQnh0gQxNFEmInm85rFeuLIhtdsgIEv1 SAWPlacOK7CMMzLeKIGT89VdWQ2P2YpTFugOBBBFfWIaTCaB7kAXOQIm8LzVKKJJh0GzoQoCR6qD lVqZ3LWkYDByb6mg0yWAQueMx17bnGnvG1hKl4UAeULlAxbbUdKW39bu2Zm6A4IBgO2KGSgeSnT/ rDYbx1JyG5hTlC6Rv9amS0cC7DfJoGMlSgnc7yyrjM38K/emAKAIQBeBAQCVfs1Ij3rzdYzUsev2 +8pzekQBKhk8HJMIaXdXyIzkPeHokSn4JTBa38xbY1tQVSyh55Ro7NpRr4UjSH0dlYGq5Ljvzn7N mc5AM5mB0a62qwO7+wgTajCBGScLOJKpt9bKCxmaKU85uZ7S3GvtrzYoOBFRwMYyPKFSs42jT/AT pNxhVCG8pfN5TtLEETM7cxdgTb4LUAtPkxHuCAgXppSefSTxiivW8NA7l9BNRKTkTAZWskTq28xr 0MBMeDdatOUQni/NV97mgMxM7CbKizWy3vKziMWxq4orsQRS03pDze4hEHoxqwxGcM1XQc/Prhrf ow52it3h+9Ph5/bxbjdjf+4ewU4TsEAULTU4Mp1ZDo5ldWZoxNaO/cNhmg5XWTVGY86csVRaRgMl jbDKslXnRzguKQYcREO0svSOU0qi0LGHnnwyEQUFH9vDkBJMbu0NjZOh5Uq5Ar0NJ1SED5JPuCAy Blc3ZELVokwSiJ+stbd8JmALnN2VIuFpJfYt+/0Irj0OabFwDNr1VeSGF1nmuFGt4w0RZCTBjsCq wWR0BF/BnzVxRoZNwEf0EcVco9doUthiOISXXrxiA5pGOJV10Nq4s2WWnXgzQIBHFZ6k1DKm12yT BjegQkZCLM8n8GRFwOc2frjWo6EkgmgjZWGJqGji4uL6agLPovNX8NdXxfQ0gOT6FXQxhedzXxf2 8Olmeobpbb6ZQGdEwu5PEXDwtibxS6KmCHJwh3haqikSgU7cNBtzIbkmy3CQWJGAQp1kRXGxnMBK sl7weKp/CSeek3yK4pXNUK/h8UBO4UEhTa0BGETk1GZo4OHUAtY8jRMuQ54Y6A/HplfKxBDXj6gF dvW+D6KcDM8/0F0HhmnU1WINkr/Q/a5uWFYO+1K+W9TgMCeTkdvGaTRJ7Jhfi4XIGT41n4PVMixH 9vdHXEM060wjFzFTdez4oZvHislIgM3NbHgQzld8NZcXY5gRsQDM+cXHMdRFkIPY5uziyuWS7ebs LEj8GYkdAyiREatgKsGzA15Kcnu4+31/2t1haPzmfvcMDcCrmD09Y9L26NoMURnGkITZbWrwQwOm ssKmiYxeSIj7+wk4lZlMxHXuUfX22ZLkGa8yADQrNnQx79GswaTYSLEgEr2nOrnZz+YqTSD+k0Iz TNc2CSR3KisOAbifG8JF9KhgstW4qmCUJ9wRTUCVKUgZOnEsTazb6p+9qFT+2RNxjGE/+O6Eam/a ArOufK5KGCePe26Gde17fMhFkx1z1g6rATgDBUg5OoRJ4nnhkiV2qWMxCOYJXVeyTRfOqVi9+bY9 7u5nf1S+6fPh6fv+wUu2IZFZMpkzJ0yxQBtZanNlPnhu1kSnrfeTlnOe28QzpRg3D5y0V2S6jX81 RJYQUrn5BxtsKHS/P5/3NtULdiwIF0ExLUXCSYGaqsynKBq5n+pBSdqm5tOwzW8o+XwKja68ZGpy MHSJ1+AdKIXi3yZpDM8KIXW4aZmDxIO03maRSMMkWvKsoVtiYBcQtub4aJBiYKtY+pmYCIUxZC5U fu6mVvAuBw4nCAmyHuTXy5vXeNRENX4KF2y7Bo+GjTV2kX7rLgFnTxD77+7u5bT99rCzl2szG9id nFAx4nmSaVQkTgSbwnl1M1c1kaKSF4O7DVFqj4EVLYLDyYh6wOxj2PGt8SAbNIjHmcVlVgRt0Nhy LS+y3c+nw9+zbPu4/bH76VugJkZ2LL7D05Roa9oxfAWfwblHyxmIms0dFSDW1vx7aVy8OuJKYGLB VbuqSEG9FtruH6hP9fnKi4R7Wjrjc9nroZqm6WcNFreg+uNYGt2PFK3d0QKNgxc+wqQ1GBg38bVU zuKba4QM1g0TyW33n6/OPl17PCiYtHZgmXl5vJSRnBJwe0IJXjfehI9+wqAFJcoHEjg7CvyrJqot hPCycF+jMhSUf71MwNw50bByUiANA+uwFxYLZ3se6qVuhabeE3zrfdiAX0twAsKtE4mxzMp6B964 TCL3Btc7LcG8LEzEcrrIBk5+Lfvj4u0kq/3MNcwRNXWjLPLd6a+nwx9gCIcnA2Ryybz1VhA4MiS0 0DLnTloRv0B9ZD0ItnW71CN6fZOA34nJtvAlIMxiyUKZcZ77U+ZFdVYpGbnWBgISrzAbDQ4TaLBg ohGIity9S7ffJl7QojcYgiMhdDiErwkkkWG83aGCTyFh++CIZOUmMM2KwugyrzwjJ0mdg/oQS87C 3K4arjQfxSainMJ1w4YHwG0xJBzPWxx4A+NIcMKFr/5dbLtcF1jLmUdHi4H4WUQZFwOZ9ikkWb9C gVjYF6WluA07KjA6/DpvpS2wnJaGlpHr/zcKucF//vXu5dv+7le/9yx+3/PTWqlbXftiurquZR09 +2REVIGoupFRcHxMPOJr4uqvp7b2enJvrwOb688h40XYbbBYnobTGxbZE2gXpbgesARg5lqGNsai c3QVrMHXtwUbtK7EcGIdjctgzc3IMbGEdmvG8YrNr026fm08Swa2I+xTAeuxlAio6NC89GjAxbBx IZiqbMREAikE6d4FdwtqJd7zGSWPwW62RG6fdUXXYYfmCZy60+4wqPoaDNIZNnf+NRJ+Ayd6afr3 zhOktkToH9KmIqwZhpRChU9bnuDBzq0bMUaAdQrQT8xWYxQTktVNZROiampDppjumTE1kkYH1EoN NpMX/5nYS3cJeK9fGaNwAgxXWUixuZ0kicF1msIjK0dtcIWeai7ZF3DnxkmACUAFgdPUSUcSmMPE bkxxrWbrn9f/f8aGtanH2FGSmrGj+I4zoyQ1c8d0+vU461q2TK3aUTHFULW4/I8pHfXSFB3x4GQ8 kocAMxbKIWgvOIJPCJBG/DtEpiQfyfcDMpIX1x/DEpde6JB/pLTjtGbS+aj0b//bQBgMi8+FKPwC tgq7gumZiqkeusqmohekSE8BIygwMdvTx7OL8xuXvoOa+UqGFuRQZCvpOd8xozkLlqamjisFHxdO flOTdOn6WSsDUWDKfHCqCy9ipKIIpZl4Ece9cAAABiI4ElrK5sK5F0lJ4RSUFgvRC2OuU7EuRm5q OGMMWfL+KjAKbkFTJmY1ws3L7mUH8d67uoTNS6zW1IZGN54ht8CFjgLARNH+liMc5HU0XkB8IbkY n27lLAXmIH0vogGrJFQ00GEDPWl2kwagUTIE0kgNgWBPA80Jris0Q3BOwv5zQxCrKT/MksBPFrrX aruQcjil7GZsSmoZvbILdCGWLNT0JrmZagYucoC3yc0YhpIlC9EHxG2RhOZT8FC2qcWmfgFVy69h gUhlNB+2x+P++/5u6GyC9u7JAgAwvc8HpwARmvI8ZuH79obGevtjRxcJknWo6/IyVLfXdqpWRagV wkN3hO1YqVtJ3kDpoHqxXXmRvNIbk6F2GdF0MXbpYPMBlmKScSRYQ9pKD0+cmrOYOrorzhWWagp8 mODe0eiMYK5z5cUpLbT5dRUY1KVyr+YceEx0EJ7TIDjDhFW4o35+tI8LYmz9lbswUbB8pdZ8jMur ymEcjSZsODOSCcyK/ilBiJkrTw9ZGEr/aEhpcrVwvAw1EKVq/qMREVCklyBrCuOeKaqcqlCmoK4v RopaiQ4RNCVK8dhfrtxg0v3W+IWU0U373qPOu85Ou2P9jsCbT7HUc9az9rX3O2jZQ7ip3M4/yySJ 7QLsSMX27o/daSa39/snvAo9Pd09PTiZX+L5JvgF4psRLIZb+YpaCs+/lUKxgTolm7cX72eP9bzv d3/u73az+8P+z6qAsZOHJR+5QrwuxsLiqLhhehHM2caw79TTyvAZPLu3VGQG67+TeOMenha+CMAL 4uiOW5K5982TK25liLh3O3BMJVn7gMjNniNg3iP4cv7p8lOzqQCYxdVQcctch3g1GHC1qUCdYwtA lVLfz3RwcIT8HihJqYm4xixhPu939YXkXw2H3y5H+luuCLK3oJy55bS25yF/LMgt8vYGq7E0nMK2 FPTDh1DJC+J4wvFnfxbZcBbZ5CwKRpb1ekZGUl/I+dnZmd8pyxS28oHJx/Prs3Mf1nHMhzfjhqGM 9uDpZkhcT6yu6/JlokaFSs4dMq3g/96ElUh0QDSqyuTqichIKmoozs6xH6nhTEDzyiKc8QTkkoam vuaSpb3UIU3mGFKdD5RZi3jc7e6Ps9PT7NsOpoz3z/d49zzLCLUE3eFrIHjVhxVDWIO2se8bPp91 I645QAOzk8mSu0ak+jY8L/xL+Bo+L0Y9+k/eJTZ+2xviYXDwqX5tM3KSeDiFSVmxMCkPl2XnSXhT CkXADRhz3nniWJsm4z2E+M88YgVGGe+fOxBYa5he6jolCeGpWHVVE2Oa06Y2mFfrOPzCw9SA26VZ BDK4JCkYRhFyVi2NrYXpJVO801nVA3mg/kf94FP5wMBzFdQGWHATlcGXJ9AoU72ub0oul6rXyYSE 2JF1GYrIEUX0oC8uQk41YsDt8idTEM/ZWgiN0Z3PDwdo6ChGLWxWp3KIwGzcPT2eDk8P+Kavc06q M7+93+HLCKDaOWT4jPT5+elwch8GvkpbS9tx/+NxvT1YwirtroadTZI1RCNzb9fFHu+fn/aPJ9fV svKax/bVWlD7eg3bro5/7U93v4c55W7+unbMNfMem0x30fVAiYx9EckoD75ABMKquKWe4pu77eF+ 9u2wv/+xcyZ1y3L3haD9NOKiD5GcCu/dZAUOXiLWKKEWPHLfUNip9t9qS1Lw2I0faoAtPrJXa1hR dXnWR1cFyBhNaDDYWCYX6AL8cpbPq78z0FmDBts/pYMRyqxNX/RwWHiSD8G2Xs/QyhesXgpvn/f3 YHZUtbcDmWhaasXff9gEBiqU2QTgSH/9MUwP4dHFECM3FnPpSt3I7Lpy5f1drfZnol8CU1YlqguW Fm6qwAODStIL708YrHRWJN4zrApiMix19SISTfKYpGIk6V/IaqCEy2xNJKv+ZsLAJ0n2h59/oZJ4 eALtc+imn6xtFak79RZk65ZifPjs2LKNlqQdrSqCHbSzL2WrpQcEq6NrikLdvejPtHXBsNQas+9N qZ/LpKpy1MUGmVW7lJKvRq58Wp9TjtzJVQT4By7qboxkGTgJ4QsfJCPqNqcNsT30AZa0b7SK0qzK FD5IxFOuuWv1JZt7VYbVt+EXdABbnw/J3HpQ1Aj4MKTa3cRPwCEyYTmtStZYUPmPnAora9HLsQ5o vffwLrjVzgKcs0HVmxS0fqcYYlWuHJ7gF8bfnHgujAVn+Hrfoka6AQdbJl1rF1NGmwEi057JgU+7 w8Or7GJ7OO2RIbPn7eHo6TlsROQHTA24mhrBNIttFW+D8gaCncKSsQoZNseDQe1cSvh1lj3hHyKo Hmzqw/bx+GDT1rN0+/dgdkIUg9FxVI6VpljWalNlgzVLkr2TInuXPGyPYLt/3z8PlbxdZcL9ZX9h MaM9Y4hwOBKtjfQmAz3YdCUWHongc3ykQpGPSL409i8dmHO/8x72YhJ71dsnGJ+fB2AXAViuIW7c 6CGGZBCExEM4qHsyhOJzuIFIkFCkajF+xs3KXKTYiB83sXNVgfT2+RkzhjXQRrCWansHR7m/vQJj tU1TKTuUpMWtyoJ3nRZLe8Jh+WdW+GRGDrpKie7xoKt6fWXO1RPV3cP3N+hlbvePEJlDn1OZRxwx o+/fn4/MXaWSDNheLAYzdOVMxz105fnvj3+8EY9vKE54EHR6PcSCzi+DHHh9ce7kc/AxjF/db3VB zhATBOJjJ57cVo8OwhS1VeszpUGPVcC6NBcbVALzcVm3VIxSjCgWJMu8ooMRAqMy6hNh8Wa9Um8a buPIvwWp3dq/3oG63UKU8jBD4tn36hR1UZ1/PmyHMawt5YFpVoj6GAwnQkkSdjRaimzDQ/nrFo+p n8C4TqqyOvL7411f1Cwh/qf4uERbIhsjTZNAULMUOV34ZS52yLSIYzn7n+rnBQRs2exnVcMetCeW zF/SDc8T4diO+kS83rHbSRn1NggAZp3ah4RqgS8G3McODUHEovrvpnV/R6jBJWA4K//N4wei5mnJ olAIiQSLW3CjqxC2u5kLXalWb1fxBWz7ehUMN4ZiTvDZAjp3qwKZkZxogyabjx8/fAqXZDU05//H 2bV0uW0r6b/SqznJInP5EB9aZEGRkMQ0X01AEuUNTyfue+MzTuxjO3c8/36qAJICwIKUmYWTVn0F EG8UClWFIKVuqZVd/I27mZSHYw2yPxwxCAlqfeFU8kzloy7HzjWj1CEGfRnLmjg6n6pYw9ueQ2fx sDp7gbbGZUUURMNYdK3RTBoZRW76dHCq6ytK2nQrHeEI01ILmSj3tbX8SlIyDL5ehjLn2zDgG4/a hEBir1p+giMgCK5Saa4ptUD+r7SZn3UF36ZekOn6zpJXwdbzjOhiihZQdyJzEwpgiSLttmIGdkc/ SQi6/PjW007zxzqPw0iTnwrux2lgHIPpLUDXUklp/JbHgKE0QIov9vrdBnqQjSBDD4YqP8DhuxqF jMEiUmsqvLmlJX3MRKCJhhOxYocsv67IdTbEaRKt6NswH+IVFcTOMd0eO8aHFcaY73kbfWGziqlV a5f4nhxXq6qJt++vX5/KP79++/LXHzKYy9ff4dD9/ukbHg8wn6ePIDg8vYfZ8+Ez/qnvBwLlVFLs +H/kS03J6VCrne/hzJGhcNxVq7qUf36D3beGne8/nr68fZQxQ299ZrHgKVQJUzPG83JPkM9tZ1Jv Zg9tN1q6cesjx09fv1nZ3cAc9Y9EEZz8nz5/+YRSK8iw/BvUTnfm+iFvef2jJh4uZdfKPRvF3mkn TRFxeTEd6eH3IiCMrO9b1HnkeDFy1S+nWH6kbpXkfJPhXCzpfp6Hll4+g4NXNmalPsCNJdy4AymL JRIjR/uMScRdzVgE0cdXz5VKsKioTqYfv/qtLuUO7GfY5yykag8HJXqq3mOMPfnhdvP0w/7Dl7cL /PvRuAqYU5c9u1ixM1YgHH74lZxtdz8zl1D5A5j7gbRKUfvN7cK0bQrLzMbc2EiEvZxkZFq3E55g riNQlqOtLm2w2jmh8+BC8LzhUMUdXGeNLOfM6f4Cf/HWEV2lR3UIfccsTnT5gD6eZdPLGLKOjM9M OMyt5E3s6PpqU9WtI05L7zB7RotxpZk0pEtJdvY4osJhFj/Zp2e0hQWirHFjOOC56F3jBVneuYyG EWxAPhKZI/KRkNtqkgQRHVAFGbJ6l4FEWrTuPI5tX75ztTN+wxFKB6sHEy7wPLfx/tENwTBs1zuO vGi/7azWnWTxAXbhD7/+hev8dLORafEpDC3HfJv4N5MsMgkaVq0ciM8gkcF6H+amHopVIVm/MI/8 iB7xIJU5TGPFtTu2LWWFpJUgK7JuvmBcxHhJQlGid0Qt0jM4MHORZMIPfZdf7ZyoynJUiuTGRSGv 4FjMKXWlkVSw1rC3ynKYMQ5rFSUUCf6oEnX2zsyUwRY7d92jtIZOBH6mvu+PriWqQic+x40/5OqI ZdSUcfSgGLDLNKLMyHEGyxtNxxq21spWuWZ/5YgdB4BrWla+q2MejZATSFFmfCtJGZtdmpIxl7TE u77NCmtq7Ta0z88ur3FTdMTcaAa6MXLXiBPloW3oSYyZ0TOVX7lgtX3A0hM+GINQ4TwrTFmloe76 tTSYQEWPpbBzeTKaTxxPDd5HQr3HjjZd0lnOj1l2B8eypfH0Dh5VvrFziCxV+XLCm+y7oFVGohGO rOKmXddEGgU9ExaYHgALTI/EG/ywZGXfm9qunKfb7w9mRY4xWsw1rqRUoXoSGJJlY0zD4uGCWLCV F4c4We56RCo0hTc+VDkCC3IYH/Yius6P1aeKGSqMHQselp29Q40rOScObXswAxAfzg/KcDSUesfO f7RwHU/ZhZXk58s0iHTzDh3CKyCjY+kPsclk1uBzCFvlgTZBBLpjbpeDKwkAjo8g4spu4yoZAK40 jp11X/sePZbKA72M/1I/6FoV4dO8cTzXrjWHPx/okvHnq8sBaf4QfCVrWmMk19WwGW3PhhsWrRRa Osovd+H95UF5yrw3R9szT9MNvU0iFPmQLe2i9MzfQdLBtjenP9pOM1OXpJJN+ECOkCk5q+lJVV97 8x4Jfvueo6/2LKuaB59rMjF97Lb+KRIt1vE0TEnVsZ4nQ09hUzzlgWOknYfDg5Er/U2atjZdEvcP lufGrFM5wndgEjQg/tdoXGWLUOsc0nDrmfsCnPQ8ullY8Ox0QjhVwhGM9VKk3nfKS0Kvxxn2fmND k3bBhSWurxO2z0YLAH/7YPOc4jwps0Jjtz7CeQNGM1mJK0NDrX354NzWsYZjvG3jJqJ9uKG/VO3B vG5+qbJwGGgx66VyyrmQ58Ca0QW/kJF59IKcUONZGzLmC3oEMVeslb5+OMT6wqhaH3ubB3OrZ3hE NOSO1A+3Dt0NQqKlJ16f+vH20cdgHGScXIl69BPsSYhnNYg8hvMHx43TPmISKRl7obNsKzjbwz9j EeAO9wKgj3vsrgdjkpeV6QnF823ghdRNnJHKmBvwc+tYFgDytw86lNemJz2v862/pQVu1pW57/oW 5LP1fcd5DcHNo3WbtzkqQAda9cOF3JqMsooaBv/f6NZTY64mXXetWUbvsTh0GK0QzNHn0qFsbMrT g0Jcm7aDg6shsl/ycagO1gxepxXseBLGcqooD1KZKdArAWQZDKvEHRGcREU64Wl5ns29AH6O/bF0 GBIjCkIfdKugguZp2V7Kd40ZekJRxkvkGnALQ+hg2BcF3VUgFnXukHN857u22VrZtp9dYjM0rcsT qXOFX+k6ms4rwpAFLwF/+vrh/dvTie/mWxnJ9fb2fvIEQ2T21s3ev37GWDWry6uLtfDMzmggElDq RGS/KUBrtQFQmPkAFPy847ADaLSSWshMa939Soc0vRWBzud3AppPgA6o56ZtJIZnzhz915e8JmOg 6JneTj8UyEDCcrZpn00HfQpbdmMK1D2qdEC3aNDpwsH/7lrom7AOSR0qa6TGQ9k3SJ/Ep8sHdCv8 Ye0c/iP6Ln59e3v69vvMRVhGXlz3dPWAKl/X3IWp6bIkw5k9O9/RB1teNKv5Vv75+a9vzhvg2RlS /zlWrOA2bb/HCL2Ts6eBoKe+cmm5FUQCXEZ/f64do04x1Znoy8FmWmy0P+Irex/wKZ1/vhqWSlPq FsNXUx+fEfSTJCN+WmwcTrgg2w4qhv9dnuvPSZyaLL+0V7IU7OyKnTDj1sqidZnLr1KlfGbXXWt5 e800WN+6KAroDcBkSukXESwmSsa9sYjnHV2MF+F70YNSIE/ykCfw4wc8xRRVo49T+tJs4ayeobz3 WWxXYJpDjnxHbKKFUeRZvPFp+0CdKd34D7pCzZQHdavTMKDXFoMnfMADa1oSRtsHTDntDHRj6Ho/ oLXmC0/DLsJxb7zwYMAVVF89+BwX7SW7ZPT1/I3r1Dzsf1EHo2hP+dGKlLzmHMTDzNDfpqvJQ7q2 vmgmQPgTli3Dzm8h4lMqdKT+mWF3LYjM0BSnhP93HZ0tiPZZJ0pHlxJ8cCDaOZ4iunHn13uvG8xc MnbnyqN2xcYq3KjzI1W7GVOlclSRocREdoRWFtntxqthC7bHJ5ldJZg/bABrFyxFV6Hy8FN3mmaX 19E2ocQyhefXrMvsL2JD2GaCJuI007XYHvUvDF7X5aViwBG3Ix0UVKPlvu912WqwnvkwDNmqYpON vvWN23C8X6sbH54t3BsybOQYs9lxcSBZZHxNRwhXxYD9qmQF95wveW4LUmna1WnsDWPbwOKxFiYk PsPOnLMi8TfDOrWiO5tJMe3qzI8oFcckroSDN+5OQpiK6alKvIbTMj6l15KB4Cdxb0iSeBuiAkOU qyYAON0G0dICJpj7YZKGY3fplzKYDDVso5G3LtqhCxyxricYNvMdY7RfrsZTMAzD19vflZisOtHs opS+sILRtyWLNAfScjNx3mMcxC/0tjwL4xeG7/jcy+PK5HHsDkde+x4l8ym0Z4dThb1860Qrfc/E 6dZNdz6UDV0AI7pj1Csy02y6VKjSXdrXAE/k+aXL92mUbFbkSz318rrEiMkv3B8AfSuy/oo+BdM4 sLIpsq0XRQ9mKDLFIT3GLyCc+cO4HttZMVThZnCQTW/nuROz0AiXZJCpFGXBYEdBnz34a5dR9evP Aa5Qx7WssOaLo5nP/pCCExfcow0z74yJrl0JlBvaeP/4+uW9dJEv/9E+4THXcGwxotARPkEWh/w5 lqm3CWwi/Nd2FlIAnHctadCE81JJdQa1KneWrKfofUZdyipsMnEjcgMSBoC1yVBfiludYnT6yWqG Q1Yz01VqpowNh5MhQa82BJHVJ9979glkD3ua8uaZjC2pbrzZ6hPKDKV1+f31y+tvqChcOTUJYWym Z0rKxBdWtunYias2GpXTipOo3l/7OYhis++yCp+yUwEqekfo2vZd67oeHg+c1v/IsAMjdy3dzamq sK5E7SoZLQUfU8cIE4b2np1rMjIuAM/qXafJKfjLh9ePa1/Dqb4s66trbjzapIA0MF2gFqL2fvvs sk7zWV5yOrRHPSe1c+hMuTJWdxRCf1XJ+KrufaEDbNCjF+pI048nGaNgQ6E9vl5Ys4WFrBAbBGsK h0rBKPflIUsvgjQdVotk8+nPnxAHiuxRqX0nXDCmrLC4VSko84KJw/TP04hay9u5/uIY4RPM87wZ HFcKM4cflzxxXGFPTNMq+YvIDliNv8H6kK13XJYquO9oGW+C97waq+7RNyRX2ewrNqxZFy92YzZa jV/noq8sn+YJQi2mcUzV6DIVrB/23gYkvCFoBDXTJGBKQlU39zzF31l60sl7w52i7OoSNummqAzB G6nofoXRgU2xWyIZWpLKYCm09IlM6hZMXZbsM9K2UPLpdxCKwMu9RbpgzOWiPdglRHG83Zvcu9WX b/DxMj2pTpBkuCvYnY3n9m7oOiJhLyhdRyH0CP2oiSgts2mRw7/OsSvYw2Moq+q60hTMMd1Wu7JW uqlS/YkL+fCXin601obDiXV9b2FIr0E+Sl0bergbYwEA+UQgteVLUD5Lfzazqk/DvO3Vf3389uHz x7fvUAMsh4w9QRUGE61UFDO9Evkm9Kjg4TNHl2fbaOOvyjEB39cAHMLWxLoa8q4y3Pnu1sAs6hSU C8UER1FnHdfSL9nHf3368uHb7398NVsjqw6t8aDiTISjGUXM9CJbGS8fWwRD9D+1PFm7/AkKB/Tf 0ceUDLNn1BVOwH4UUu4VCxqHdl9K8kAZm0m0LpIoXqVRriGONOUs++o07tAKItiV5eB4OQfQRtq1 UcalEpVmcDBKT/YneQmy/NbVGoDGoWd2G1rsxIOdz5mMNTghXd/qQ+eregH7Vwx/NYWj+eEP6LyP //P09sevb+/RFuAfE9dPILRgnJof7W7M8fVmR+BWxAvGy0MjA8CZcooFUkGJLRYZwdvZ8npeDhtG ZLtT1GdWd/qDm0hr5a2HSYPJohfX6JPa8upCqvL3X62q7Dusyn+CEAE8/1BT53WytHBMmSmahXto TtEuKjxWO7lE1nLYmNfhfdpvv6slaiqNNjDskrCKPQuHM+LcRCXPyB3JuZBYM4KOwiohM5T7Qpoi EayHEIb0cFpf31hwJXzA4tpm9S1yKVdo2sR1lI0K73Qj6SM3fxibqlKx8NIK4nMjf/yAgQ30vsIs cIcl5UAzlG3H71jZNKJDjtWQQdr0WeoIg5nmlXxj/VmKTmTmGpc8JtOFnVnWkWNu2LT9L0X7l3z9 /NunL+vdSnRQ8E+//ZcNTKYnkxEW2j40rpcENRuU1/fvZYg7mM0y16//qTuvrj+2lL1sUOjXKgME +EvT0kwBGG+ApjnAETllQbeswnALpBp1Quu8C0LupcZwmDA++JFHGW9gU0MLaQVVBBlNCKOKTuGG Ij+wOcr+xXYqUFVxrM1SRza/AaLTbmG8dKq8OfduAqQKpfTH6+fPsJ/JTxCLmrpcuGQdfTUlYVQ8 uIq3dBOxjalS7dIYjsuu9GqPsGoC3TbuJ2dd89VkqjbLvi6pb98/w+A1NEUqT2VzsirfRLdjBK0b 1ltXDekOH0ul30QRmnQZmWC8LLArL7oyD1Lfs+VSq3aqj/fF36h14NntKz3mM4u6K7ZR4teX86qi 6m7BXU/5ZoQQZFRPxG0BQxKrLtxuwtW3+jwSUUpJulPr8Djy0niVbrqscRdS3W+QmxjRjEv47LvN uxPpMKyKIqOjo7GrTx28ZhamePSIRaoBijwM/EHvfqIc5gBt8+eT5kZ4MST7i486jtUW5v/03x8m QaR+BVFXrxwkmR7gQdOfdtCzXp7m4cHGjAilY/6FfLVh4TB1RDc6PxiBZohC6oXnH1///WbaPvqT SISu5rSqb2HhtP55wbGGXmSUUgNSJ4CGpcUuy58dHH5otZqWmDbXMngCanLoHKksNJ04pI2iTB7a RMvkSR8UIvIGuvpJ6rkAnwZS5m1ciJ8Qw2UaFst+j6qwMTubcp8kwhmH9PJRKD91XaVdlOpU+9ku Azteav1Goisyhd9Is7mBRZ4uYXHwGBNakTv7ZTYZz1pSiTrsMgEz6roYd9yyQ8n4IN947iIv1lp9 ToK9EXs0PXXRHfmYa8SM8B11hTsXjOuPNyq3P4s457N7CZJhGJyAqa6zwWPxQhVuhgsxnqDvoJXH 5kwtFUs9s60fEe0CvewnsCtRH5kwSmVisKi9wGogd5/KgSVDBlpA1aVJkKzp5kp8y0Y2ul7wJSMR xmTQXa0I0tJmnSs07MaPiFJLwHTY1KEgSu58DzmSMHIkjlLSiWsZavUu3CRUBx2y04GhBjXYbu5V txfbTaRtE9bklz/Hc2lcfiridECngq42r99AuqVuPaeQjUWy8bVV0aCnFL32vcCQC0yIUsGZHLEr 160zV9IZT+PYBubcuEECquFwlTN47n8AOOKAKjYAifvLyd3G4CEZQ5PnSRz4FNAx8wHcBRFDR2/H M0fB47uRPjEqJ92pa5HdYiijZ4yutS7vPvFBgNjTQBrsDxQShUnE18BsN5cV+Ro8VJGf8poqPUCB 57ypnXhgh6JN6zQOanWd4WN5jP2Q6MpyV2f6RZdG78wYHwsiUmqBmuFf8g0xCmHf7v0gIAqArw5k B0YAcjGKHMCWHNF4BeST67XOEfh0rpsgCFy5Bpt7A0xyxFTtJEDMFNzuYi8mCiIRn1xnJBTTDgw6 z/ZeB2EwWHLySkA+10gBVK9KgAq+K4Ft4qhD6CfkNnWbSV3oUSUUeRwR+0DNmn3g7+p82Y7WXVjH 1EniBichnezu8ggwWUeg3++kqk7vNQD6jhBjqU6pcVunCUXdUqMRNiGSSn5tGwUh0doS2JALsYLu tViXp0lITRQENgHZmo3I1Qm35K7riIU1FzA/7vU0ciQJ0Y4AgLhPzn+Eth59H7jwdHntNpSZ67hP oy21OnW1ZS4yJaDJKG4EVB1g0R7z/d5U+i9gw7tTP5YdJz1bFrY+jAJ6nwUo9eL77VD2HY/oEOEL C6/iFPZKegTBYTqmVEnG6p+kZGIF3Syn72cTptQ+MC3M1DKTDYGXRGTTqFUtvTf0kWWz2dCrZRqn ZJW6gcFmcF84FB3fwNnKZRS1MEVhnNBG7TPTKS+2HhnfSucIPKIO7yooJkHnR0G1MpCpBR7I4XeS nJPNTtyor6XDmvlJmNzlYSC8bTxaFaTxBL53b20BjvgSeHRJa55vkvq+CDwzbe+JcoppF26JZZ8L wR0jlNd1TAa6vK0quR+kReqTAzEreJIG93e1DOqfOjwRb6tQFnj3RyGyDNRFgsYQBrR8kBDzVhzr PCLFRVF3cKS7P2+Q5V6nSwayyQC5vxAiA73SnsssTuP7Ev9Z+MHdI+FZpEFItNIlDZMkJI42CKR+ QQNbJxC4AEKqkPSIqrJCxn22uulcM1aw0gpiY1RQ3NB1i4PkSBz1FMJISKoib3QpheiPsk0EjOcr Sm46WcwYq1l/YA0as09mimPBquw61vxnz2ZeCbAzgA8dybc2RV92tL/gzFqwfXaqxHhoz1Aw1o2X ktNWEVSKfVb26nU+og+oBPK5Rd4p+8q7WU+66apqc3t3XqX720UxarlufYR3WXOQ/6Hh+xX4vxYc g2NmaGhP3ytMBqzU+OY7+BDn5c4y5uaUicwurzOdXSObv2REEvUyMcm94IZacAE4GYpN4vOrkkTS CcJwRWNe001hMLoiASgm22TlZjT6z7/+/E0+Jrh6O23KoN6vnhYDCuq1fG1pRMdx7f54+bzkzUSQ JuuXTDQW6ULs6Zp5SdXumPX8pDMeRftfxq6suW1cWf8V1TzcmqmauWOtlh7yAJGUhJibCVJLXliO rTiqSayUbNc5ub/+dgNcsDSUeUjF6q+xg0AD6MUxKF6hi4Aw8vjkk5XGu68xfezA5PJqbGSbo9oM U7M20nJuZNdE2dP5szEeBWTVg+F4b3dKQ7SbCgKejJwd0DIYwpAijykVlzgH0HR3jiRLv1Org3zC D5LMiHiMgP12jzRlDnxDEacEUb1TWEO4H06mt9SdTANbLwgddT5xqfPFzS1BHE2JYueLBS339jj1 tCnRcmZImJLW3rOYZDRDtQuHoy6c48a+6aK/++vkcnrjT6OUJcyyRRQ4oUQknU9uZ/tr36xIpqak 3hF9KkqS4e4wh8F0Pg4UyokkbLmf3txYCxBbjoc+YlbmTtYHEXh2E4RLjOY5Hk/3dSkCkOE9FXc1 UBR1fjv3TQHIOU7ckWVxwqgLBNRWGd5MjUFVGixD6kSpoFtreaBUXnr6wuNsraksNObKUiizmM9+ wbDwHLY1Bmc5tZlgufCoHpS7GI6Z3r2kNcKmZvQuHo5ux1f8C+NwJuOpR6FBVu0+2c+puxUEt/u5 vQ84qlMa0XxrlrusmNzGuoaPrHUyHd44XwtSr/QziN3XFi4J0yfRBp6QdxgNaEj0PY3afBGZ3lwd blkZynlIa13djaVuKeITW7rE7Q1WX9PeHYCljNEDK76PYCCzuDQeVHoGtF2qpN1gKqokInNHaVgK wzpX1+SeD3a7tfU1UTzm3mlBM30r6zEWlPP5bEqXy8Lp2DP6GpNcTa/WzRYBNaQV0IiMW0nvF+V3 ot8v+LwvlyaL/q5rIKPhDV1PiVGXA9psYel0PJ16utmzB/YMXMSLsS4CGRCcqIeMzhkWqRmpJ6qx wGZ1S46NRMjekCofex8yJSuKl8DT+cIHzW5nFITy3HTug+azCZmhhGbkt+BIdRY08oyRBD0BpQwu KV9e7fFWLDeFEhO/nY899QBwTt5ZajwgT9KfGyKjsQ9ZkN2Sr6pP0ZBeWfLtfH4z83wXEiTf3iye BZ33LqHI0ru2aeTRg47c2kNilOTshuwVhATdYWKazG9nZL9o4qmLxeupHaWiR/F9YDgbXx9ETcQj sdGYnuBKeht5pk8rCf5iHrei4b9hG3piPfVslIZ0yxRckbLQAavUfKRCtq4vDz++nh5fXdtVtjbk +u2agSBF3euEplkB/KzDvGbVvjWiJasl2aSClYjiFWpK0lnXd4loDFD7cWrpqyUJrZZott5da1Eg ukqVt2MfYIKZtVIMccSkbY1w9JANZjRNrqGHQwzGl+yYZxCaTgki0u4awLJMzGpuC5aQjRPBRuor dXYVx5fH89PxMjhfBl+P337AX2gRaahdYzpl1Xx749FhblkEj4czSjpsGdJ9XpewWS/me3vYDdj2 76nZSvhqLKvMikTz1NKl08l6lQoWRvYQK5qUC/PS6j6WhMrO1aEZ5vwaOeB3dkMbpCnA258N25oV pZrrK9dUjQX54Hf2/nQ6D4Jz3gau/QN+vHw5Pb9fHlDo7r/KJlsQOHNdRP93ubRRDn98e/g5iF6e MbCwXY7dgDoMyHG8mo2ZS5pV24hRHuTlRF9H9tSHT9vu8SqkHlhkHXWPz0hI1mw9MjcNJKswWfU9 LAuenO73sZnTMgs2Tk0avxQwsJ5scpZK99tGb+cPL8dvduhIHTHKLXioH4e6XHvEyJy3bpAHy8vp 6Vl3cSR7KGXocXEPf+xvW4sYqxZuFnoOUZmyLd+aNWqI2hOTuTJKd0jX+qjOCjSPlGt0fV/x4q5z IbC6PHw/Dj6/f/kCi0No+22CZT9I0K+v1kdAS7OSrw46Sa9Tuz7L1ZqoFmQQ6nqQWAj8W/E4LqKg dIAgyw+QHXMAnsBZdhlzM4mAnYTMCwEyLwTovDAwKl+nGMids9SAllm56el94wHh6wYg1yvggGLK OCKYrFZkuTDKDKNVVBRwjtcvp5EZZAbDFhNrwYI7aQduUJMsjJrNzsy65LFsfqnFijbmxtfWYtt5 RsHRaCPj9aQ8GVn9AhQYmFVWo41vlqYwPr4OCg7LqLDjFPUwg70Tes5sGk9EaVLKNbOqAMujbZTe g6SPY62Th6H1ZoFfghvXqCV6r4Z6DufxyuGgR7HgW7tMJF0rUeJXypO4XpqemN96DApxRknDOG+x UkDwjGJ5GI7mVlGK2NfElzEjvazhMI2tHMUYlxtfPoJtmS/w2BJ1iDzDE2WwYHBzFbs7FOZ3OQ5X e4cAEkWgR41oycalKRC3WRZm2dCklfPZyG5gCVuV5WVJ66fizvosx8bvAMQ9tbwb35+iwg4CsnG0 ZZRIYPAElSh1j0nYd80jijaNlkm93peTqSkzYLvU5SPdhESLnKZRl9AV1tfY0OSL9toMSqWh9IOn HO0kj+2uEMntcEQKZuTWKRfO5cPjP99Oz1/fBv8ziIPQG/oBsDqImRCNOyq9aMRaY3Lqlb39QOwM HNwxku8hdWXRP6h3QHO1RD+798mT+WIyrHcxGXWs57Mv1nvEMcs2oPl85oduSYh6Z+1Reb14QznI sXgWVN5xPp9OyWa4VytaZdu7ZKI+ftWGvtAt9M9tTIUJ7JmW4Wyo3xFqpRfBPkhTCmoeIXQZ9Rfz ts0DpA1UatJ9poX6/RbIwJn5Cy050DkWfMbGHO8hyNMTokFjCuKqHI1oJWPJFuKTL8XUNNC5hGkr KbIq1VXVrB/K75tJyoPEJGx2YZSbpILtEtjH9TYjORMC70woRR+VcVeekSw8pAz1OmDZzQpazwvZ mhuoOovh9Er7nMFSiiyodY8aSNxGxTJDx/AAroRdfo/argjNanq9yMjaRfcVBsAmFbBTjFhRTW6G 0g+kWbcsj8e1IeU21IlLVapDVuPCKkkOJgmOa1luNzMpc7b11a4Uhvq3bJBy0zmcTS1N0q41viGA UUpYOtpbOe6EcJsk8CCUGBaVijyvQ5HbxOHMpXLB7Oqx0Io0ZmDD+XBGJBnOJ5RagOpPMbxxOoF9 KoezG48mtsJHY9JHRIca1mFADBI+H5vCY0cee1TiU/kOPaJtMVtwZpYTiaHSvjdpc13wkD0ezAxH 30hbV0LuzaZ79gaJ9mURkdGOGwbYgq3hQ1eVO8PxlUGuRWlNmY/s06fhzP2KBBs5K1Je8sVof310 WybVi2QWHh0L+Snwgno1bCaxO4FtCttFdpFyngeC2hwlLAKWW92FPbUCcbK087J8ximnWuFf8qpP v03qaMbSj44NMIxanOHF7qfow2xiVdXUlVTZ89CVCTeWgTQPe18BMGXSNRmmEthgr+mbWhHZNIKg Uw3x4/iIvmyxOoRnJEzKJhhzhS4XzjNFpc3VjlSvVnYVZNAVWosPUVFRxy0JVdi7ZiHLKL7jqUkL NlFRHGwah182MavWuhtppCUsgBG0GGErDDkGh7DSy9cei6ZC7ZhEGJd1lhZKAVm7t2up0EueNkf4 7rIyc4viyPIUK6mffFGS1LgnS+7xgi7xFXnCQAiylaFU7ALvDtTKhciOxaW5pSJ1y6Od8IRJllU4 FNYDElJ5wMLIIpUW4SMzYlIgqdzxdGPeyqm2pILD50NeRyBDHFhOSCQxCm1Cmm0zi5ateROUyCiy peMPT4DOjsWcBgZeVMkyjnIWjq5xrReTG3oyIbrbRFHszid5iWFFv1L0GA/dNvGwgg1tY1KLSE1m i1cGgM9WpUXOcLuKrG8M4yXwdqJp9NSMPYskEJlIf/PyU2UpXpHHmRmTTyP7P7Y8Kll8SK11LIeV Aw5CdiUacr2iZCedgbi+02GVNQWo8I9moRhfq8CPyLdIglyupAYjnWDc32V9kGudiI4azHChklxG zFl6gAjzCvYV8tpUclRpHldOc4qEOpnIxQDDNjGhr64didhTQFIqyo/ZAQvxrnEl31LCh4SyXFiO KSR5A4uFb10sN+gyW7nq6mupU4mKVrhF17mgtG3k0sk5hh6yk+15mvjq/ikqsqZzG2pLIcrHAKzw UfqWP2XYVG+qpTXsiq5u+Jpf1m4fN1bFrW98QqDoXUhTQo/0fc0Nr9kObyeAacRO3IHTUbYJuPmy oolDgDfHYr1XkFzFOXe9umoM8GfqU3lDXAay2TBRb8yFoiJtczCFsopRAVaBScZ/6AWvjp5//fl6 eoR+jB9+0j6B0yyXGe6DiNPKH4iq2Fi+JpZss83ckGxNZ1+ph1UIC9eekGzlIY/o+3dMWGQwXmLH S9okIzEPT+gp0Bu+AZhrW79FmQMlwd8i/BtTy+DX+IjeeicP3W7FfHxPJYiJcKPHJulINbqUDAIQ ATP97qHHczsZiOPZxm6kxh+XKzJ6H3DsliI0M2NxkBV2RiVfwUdLC3+IB8tbn7Z1In2GQ2L4y8tR QU35DAbRn0kTXtoTo1tW4n5jGorJmmdiw5fM68wbeRIyIkYCkjNGENS6p6F0+tGaZ1jxdnr8hzAO a5NUqWCrCD3kVUlEJfXPKDsrORimykWHfZQSU1qP55T+a8dWTHU3HT257+MeTaOdFUgaf6kHA+Ma tqPWUr6jREhkWRYoyqQY4H2zQ52zdN0rSOEjgNOJMhl1Jy8BaZJAPfH26IhKNCOdxUnUVhiVROV+ 1s2qofuv4SWXZ+lXlUHLmYlVHBKnRMXxqYM2/ehx2kCjw2e06mKDz6fkVWPfVv31Qqc6YTM6kNbG lnBjGoEvAZU9xQxdWEnRrRbMYpbhaH7jHVDC8FINtFI69iXDmM9T/UlEUeNguhju7V7AmTb9r0XU DdqsCT74cr4MPn87vfzz+/APuUUW6+WgeQV7R7+0lAg0+L0XK40oCqoXUN6mtR9VdeI9dKGvuagf 53SRstFqbo2dPRGrW15Oz8/W5qeSwpe+jgpKpFcbHF9yELWMoG5FGahFhNJEQlNVfKDUJkpPs61W NGRrLNgAuDpKQAR5b23oKCGtM2iBdSqFM0qPNqGmErFWwce6JuBdalxzoJKKmVKVe4NwnawT7UzX A1oVdliLwNKab6gum7FwAzGyqtaQpMNkZyyxX4LO+X/bSnFIYRfdmxHWQoxMaijydd1XF4yHWlcv q9Xg/APVDHW/i5jpiltm6DtJp8RdlY/REknplJHpcApW8X1qVu1DLuAsTN935ajuRsua5CqOk8R9 LXLjODW6iLAoVE7vJ6fHy/n1/OVtsPn543j5azt4fj+CSKDHQ2gNvH7Bqp13D1YQbVhn1xh0gDi8 7UTO0ziTAo/6lGWsAXF+vxhm731MKArXZBLG46VHp4fDalJ5ldwLEIvejj8u50dXFlCBdfFlUT/m ESlUTj++vz5TZ54ih++2GQ9y6pgp1Q13Fgx+FyraTfYiAzD9MXjFJfrL6VGT15Se8Pdv52cgi3NA 9R0Fq3SQ4fHJm8xFlarI5fzw9Hj+7ktH4soz6j7/e3U5Hl/haHYc3J8v/N6Xya9YJe/pf5O9LwMH k+D9+8M3qJq37iTeLSQZHk7aGbs/wXb6XyujhlOFRqi3QaVPHCpFdwHxr8ZbWzXQQmK7KiIq9EG0 LwN5u9kG7Xk8vzS7kCvqK+Z6JdhiojuIbui2nNWQ0f3XmLT16xksPwA6YLgCaIC8TKeG14WGXpTz xe2YOXSRTKc3I4fc3h8YR46sMEOje6TntKQuQLZJVGshzOBnowjtdiayggw6DPa6g0mkloIPJ8br L1JX7M7dG2UB54fLE5U/x2S3yltDx+0bW0OoxWjVbUiSfh4BURnTEw1HUIrU8640jLXxaMaz6++/ bEzr8JzBkc93oSNdueOtVQmn8piI5pdvDgPx/lnF9dICObQRTDba7fwySOo7NOmGiTAyIQyHk+9Z PZqnCcYZMu4wDBDTkku1WRMtNb7DBIx+MUmCpduk4wVk8u8PLzBu388vpzcQ0Ik+vcamdSAjjEde ni7n05O+EbE0LDIekg1r2TshS3/MT7dG+Ej50w5v01qIdwGt1IPxbvB2eXg8vTy7V6hCt2uCH/hw WWb1ktn6Bx2EKuaU1ihy2LoyQBJZVQQREcy3xzYRK8plxIxLViVUlxuyo4gW9SlX+drj5ox7pBMR 82RJPiJLNYlAaZ3rtZMBpO24VK0Qai4ESif+BDuomrCGWLJlMUcP+bD0Y4QqQeo3IZYJtA8JYn2p RVlKH/2WAqdCkOpq0wwAZO8ayVx/tkkw0jWcrg8eHPKK0qA45OYrK5BB8rUOch3xiiZXz7OseFxy 2ED4OmVl5VGfF7bJSGgTuCI4R9kVUwBZjfsqKyl1TgyguRITQ79N0WpzxV5V+AJPL6RouYi+2Ahb suDh8athJyMCFmxM1WFFkvcjdP4txwZ9164LRp/+W65rY6E4suVHmNx1zAUdsriptFowX4/vT+fB F5jN/WRuV99OM7BfkJF05/FMIkH0RFPqccyQmLN1hE++3PBWIaFgw+OwiLS5eBcVqaGPaK6IZZI7 P6mvSQF7Vur2iLDCoheSIjK0VtV//Zxodwm3b7p8MIg7fmFQuTIyb3KzAu9DZW6UICc/PqtbOyJU UAjf+e7jaiVGxlRuKc3FyY1DR4+AAK1W+vNkj+L5Gz9f/ftTqIB1n5niXZdM9ik5AxULhvlF/Rc0 MmviyXtb88nQb1S0ApVejRe0JXe6s1+44YMhexr2y3ZIDQq+xUdhvTzUSRnaIIrSpak1gA6lqene hgM0p0ELWkXj7+3I+m0YcCgKzmGqLAQnH76b7GLnEY0Ue01fMhdZViKHN2WzjnhxXCqVrSGs3lTP t0z4JcOWD0xWQykzAVj4QHLIMaq2Niq4gdk/sSeMjnT0Yau0yAP7d70WQu/BhupfT4Mo39AfcQDz Uc8Kf6sFnrq/liiqBO7Qv3YUwN7Y9J8hgCDXTtrA7/AZmQ48LLmqHPXT/Ljzgepge5FqJpFU+lGh x1EazFEXzPMlSsZ/Ub9rEyzIQub71Jl/FVjk9Eil+n0v/Gh9jX747fR6ns+ni7+Gv+kwFB/J3Wpi +h43MMtTNMmiu143kLl+GLeQkbfIuSfcoMX0y3oZljQWMvQiIy8y9iITf1tIF9MWy+xK8sWvki90 9W0T8fb+Yuxr5WKy8FfmlrZCQSYuMpxhNe2IyshmOJpS7582jzVCTAScm6S2zCFNHtHksd2+FqBe P3R8SufnDF4L0A7bdA7f2HYNG3sa7My3DvF/OXcZn9e0KNPBlRfG5xqQGkjL7RYPIjgUBWaVFR1O m5VuJtohRcZKQ2mpQw4Fj2MqtzWLYvN03yFFFNEWOi0HhyrCofFKG3ha6ebwRtMtq/cWg/PfHScV CJCjKlfGnV0YU8otVcpx7vcFN4Q6zdD7Af+k3Bi070e65G4cztXN//Hx/XJ6++k+ZDUq3V1l8Hdd oHGSKGv/PoWG83DAkhGtIxiYdE1tP8u+gFbUV6fvKKQKrsMNWp8rHWiPYRfKD/JMDkcFeclXFtxj QN/yXgXJbXOD107Sl1AKNcWjODpM6L1b9+1xmPQmuTmsIAsUwMkybWZc9kSufwrSh3ogOdCDge2t h4RrDEL94be/Xz+fXv5+fz1evp+fjn8pNzjd3t+6IO+7V3+cjUXy4Td8K3s6/+flz58P3x/+/HZ+ ePpxevnz9eHLERpxevrz9PJ2fMY59ufnH19+U9Pu7nh5OX6TPhOOL3i51U8/PSb16eX0dnr4dvo/ y/cMhxMzNiq4g0lvGmdLCKa/MjVpq+/xAdsyr2A18PKagaXtKrWwv0Xdo4v9qXXiJ078Lix7cPn5 4+08eDxfjr1bor7pihmat2a5tscZ5JFLj1hIEl3WZXwX8HyjTx8bcRNtDK13jeiyFvq1W08jGTup 1Km6tybMV/u7PHe5gejmgOd0l7UPdEnS3QTNRR3JjS4+VEQCfOF3uNar4WieVLEDpFVMEw0JuaHL /6gNrG1oVW5g2SVSkkqi+fvnb6fHv/45/hw8yjn6jMbCP/VL3nbkBHXn2IChO1GigKpEFITURtmh RSjtNdXzw/vb1+PL2+nx4e34NIheZAXRR+x/Tm9fB+z19fx4klD48PbgfEyBbqncDgFBCzaw7bHR TZ7Fh+HYDJ7cfVNrLoYjykKw4RDRve6/qGvOhsFqtG0btJQaCLgiv7rVXQZu1VZLl1a68y8gZlsU uGnjYufQMqKMnKrMnigEdvFdwXKqy1AVvawoUaetoBB9z2weXr/6OgY1h77bq5BSJ7JL3UPFPfdm Et9CMleP6PR8fH1zyy2C8YgYEyRTRe/tawwnXTm8CfnKnZTkOqtNR2uxCSdOdyQhwcdh9kUx/u+u wkloOaHRgBkZMLfDR9MZld/YVFdsv4sNI4PnNvORL5GDytFPng7dVRnIY6r0hHqSbsES5IP/r+zK etvGgfBfMfq0C+wGTdfb7YsfKJq2megyJcWJX4QkFVIjayfwAfTn7wypg8co6D61mRmJIk3OxY/D KFsSz5VL5d045Uts8r/d+ihGYe7efzib51Y/mQiXj6GF2gZRjB+1ztIqImv02O0pPg0bpIhRnG0W kpiCHSNIOXZTmiUCQjRG9IGzoqQjUUuAOvtu9WAu3LRja2nIrbaWudD/Et9zu2JbRp8H6KYDiwtG Xrfr2Ylw8gkRumFYulGkoY9TJOEPUNqV2TraJiN/k5Y+/CRm4r3t34/N6eT40/2ALWLmHrDqBnlL Q1ha9rfph0sg3lL5koG5CtXOtih7qKV6PHx/20/Sy/6pOU6WzaHxa1H2k72QNc8pD3OuoqVGJ9Kc ESNheKM5Z0uI04nlQSJo90bioXWBKJT8IeDqe5UoD79j0C53zy3G3OBeghqlnknGCzqRT4zSakNn pYuHBEtTQeCJITyecgrVYHM8I+YMfLOTRo2fdi+Hx/MFYp/nH83zKwRSNrQWN5NA1+hDo0WfYLDi XF8CB0lv+M4+fbI2en+hVYMB3z0dHyHoO75dzrtD4wAaEGNE70dGEuwFAmatHE0HHgJTknKM91WW eBGCLRKLdISbirKuSmmn73mm5rbl7oFK+sRVwqwQR5/Fwy0onuT3fGU2jpRwPA0O/rAsHX3Er7+6 EqF/wmtZVrX71F9fvD/dpJTLiSUX0QOdE3ZE6MRyK8LUBjQYuRSRH0n3C91sPBBGX07tIIDpCV0/ bpXqMJ7e8Ldi6TxL3HFoWbjhW8u0U8A2dVDLXbtbXcmp3U626aBKa5JOyqOSJcQ1mZK/3yLZHjFD qembUVqmBqvl1GOSPkbQcplK/KaRVq4q+zb6llHkMLUDasRvApqH7u+7WS+3MicZ8dY5IGAzshH6 NFyNRI5QY67uWFyjf2kt0qLIuGSlvBPQYcXsYgCswCVtw+MMSRe0cpY60p2TDSk4H3VhDjTEuk6K x9PnNlhee7XLtM5AHpvPVV3WX6dmFQ1pauSB0Rg7ElosY9N7a7DWVhojjV0wCo+3dcmckrlSrTHM oSAASS4drESmSy5AFF7adU50J9IM+68jbctmlGhDyHR5oP7d3GVnnjT1/bg7nF8n4KpMvu+b00uY UDdVwWofxNGScWeYxMRxgyfEIhUxWJX+VsjZP6MS60qKcjbtR0hjZ4g3WCV4IgQ/tJ+ib9gk9WBX YI3ABrRDNjoMvfO5+7f587zbt6b2pEWfDf0YDprZXwe96Ky0joa1NSru1iawuEUeS7KY/yAy3zC1 mI48H5VUNYzlHEJRrmTuOkMi1Qm9pMLtkZUgU/kLBXFQDU2msy+fp9/sKZjDskeUqw2WUeCF6ZcC y+++C5RaCbzqUN9TXjJymSDgKJFbASKxTB2vqbv8gOt9o0QWCV60aa0Qj6M7UGdp/BCO2yJDnKuB a4AvyfOKnCa/PBH6OYx1WNC/U+vhwyxin703P8Ps889rSsrUovO7bvA4PhWxbDPnOPNk3jxdXl4c p1RvQYv7EovnuLfPmrcgXys/ChiIz+aZxMo/qZNdcDlacbFUkiV9PVGsbxF+hYE+klfXx1XUCdla EcmBw9/OFL3xUhVjdYGN1B2V0GtHVh+R0LsvQ4u3DLpo3j27DrZdhpHvtR7XJg4e4tkdWFCNkuLE 167wpECQycP3TeK359fLu5l6q8fDi30yGxzjKod3lDBwttHGmj0h01Gk4IuwxBbMGV3aaVwY8dIV TGO7N9hYvapSrElR0JvXmzV5S66F2Ka7bc88bB0RillOzReH33+lw0QLl1XlQNYXhYQAK00eh/+a p8xkE+k8VKreD43N3gqRe0GZCeQwm97Poclvp/fdATPspz8m+8u5+dnAf5rz89XV1e++7UG/qirF vaBWQnuu8IOvap8dXQtqUzgASUNt8ekmlO8OgloOPaLeYeIhnNxzaDcb0yTt0PyPYehfiMoeNBjW eQAvUczbKCYcjVujZUa7quPwUEH6eGx3hAM7pdHu0jmOahgcnAGRlqDf+8NTileUzqZHDoTRZi1q P0BFhv0IhalFEeXdgo1EsS4+8Jbc7/NHBhazMaeKMKSd79yORi2Uwtvj0htjrGkgvLaQpEw3MlqN 8vas7NCTKsXVpXuP09I/5tsLgmb3R2jQjNrE1HNWMkxZqCof/dCCYaFxatFUUeEiazQBDSSL5TJN 6DrvRqiXsJ/HzzV84jkW5yvWYXpBE6lSB0FOUAwu0UokztFLL0L4Dza4XIUiMwEA --===============5472800960831379033==--