From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-10.3 required=3.0 tests=BAYES_00, HEADER_FROM_DIFFERENT_DOMAINS,MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING, SPF_HELO_NONE,SPF_PASS,URIBL_BLOCKED,USER_AGENT_SANE_1 autolearn=ham autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id 10254C433DF for ; Thu, 15 Oct 2020 10:10:15 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id 766242224A for ; Thu, 15 Oct 2020 10:10:14 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S2389033AbgJOKKN (ORCPT ); Thu, 15 Oct 2020 06:10:13 -0400 Received: from mga07.intel.com ([134.134.136.100]:52163 "EHLO mga07.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1727554AbgJOKKM (ORCPT ); Thu, 15 Oct 2020 06:10:12 -0400 IronPort-SDR: +wfyA3eWz/7q1C/rp9++S+tmB+9EGDKOjuohffmSayBKjDz0vQ49VKOxFvWvqn8ySo55BsbKAd p+Beix6ZQm2g== X-IronPort-AV: E=McAfee;i="6000,8403,9774"; a="230505707" X-IronPort-AV: E=Sophos;i="5.77,378,1596524400"; d="gz'50?scan'50,208,50";a="230505707" X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from fmsmga001.fm.intel.com ([10.253.24.23]) by orsmga105.jf.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 15 Oct 2020 03:10:08 -0700 IronPort-SDR: PJ1aW4kSq0Oqxc0wwt5Tfa+jteHsDHbttC2M9OFH9/CRygPdZcPVpprodxqkzm3P5dzPV44WMH /cvjpwJffDsA== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.77,378,1596524400"; d="gz'50?scan'50,208,50";a="421118333" Received: from lkp-server02.sh.intel.com (HELO 7220befbd762) ([10.239.97.151]) by fmsmga001.fm.intel.com with ESMTP; 15 Oct 2020 03:10:05 -0700 Received: from kbuild by 7220befbd762 with local (Exim 4.92) (envelope-from ) id 1kT0Cy-00005F-Sn; Thu, 15 Oct 2020 10:10:04 +0000 Date: Thu, 15 Oct 2020 18:09:37 +0800 From: kernel test robot To: Sheng Long Wang , johan@kernel.org, gregkh@linuxfoundation.org Cc: kbuild-all@lists.01.org, clang-built-linux@googlegroups.com, linux-usb@vger.kernel.org, linux-kernel@vger.kernel.org, Wang Sheng Long Subject: Re: [PATCH v5] usb-serial:cp210x: add support to software flow control Message-ID: <202010151833.7b7sgEmo-lkp@intel.com> References: <20201015064710.19786-1-china_shenglong@163.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="UlVJffcvxoiEqYs2" Content-Disposition: inline In-Reply-To: <20201015064710.19786-1-china_shenglong@163.com> User-Agent: Mutt/1.10.1 (2018-07-13) Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --UlVJffcvxoiEqYs2 Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Sheng, Thank you for the patch! Perhaps something to improve: [auto build test WARNING on usb-serial/usb-next] [also build test WARNING on v5.9 next-20201015] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Sheng-Long-Wang/usb-serial-cp210x-add-support-to-software-flow-control/20201015-150459 base: https://git.kernel.org/pub/scm/linux/kernel/git/johan/usb-serial.git usb-next config: x86_64-randconfig-a004-20201014 (attached as .config) compiler: clang version 12.0.0 (https://github.com/llvm/llvm-project e7b4feea8e1bf520b34ad8c116abab6677344b74) reproduce (this is a W=1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # install x86_64 cross compiling tool for clang build # apt-get install binutils-x86-64-linux-gnu # https://github.com/0day-ci/linux/commit/87886eacf097dd70bd9f9391eb329fa40706038a git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Sheng-Long-Wang/usb-serial-cp210x-add-support-to-software-flow-control/20201015-150459 git checkout 87886eacf097dd70bd9f9391eb329fa40706038a # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=clang make.cross ARCH=x86_64 If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): >> drivers/usb/serial/cp210x.c:1553:6: warning: variable 'result' is used uninitialized whenever 'if' condition is false [-Wsometimes-uninitialized] if (((iflag & IXOFF) != (old_iflag & IXOFF)) || ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ drivers/usb/serial/cp210x.c:1592:6: note: uninitialized use occurs here if (result < 0) ^~~~~~ drivers/usb/serial/cp210x.c:1553:2: note: remove the 'if' if its condition is always true if (((iflag & IXOFF) != (old_iflag & IXOFF)) || ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ drivers/usb/serial/cp210x.c:1436:12: note: initialize the variable 'result' to silence this warning int result; ^ = 0 1 warning generated. vim +1553 drivers/usb/serial/cp210x.c 1427 1428 static void cp210x_set_termios(struct tty_struct *tty, 1429 struct usb_serial_port *port, struct ktermios *old_termios) 1430 { 1431 struct device *dev = &port->dev; 1432 unsigned int cflag, old_cflag, iflag, old_iflag; 1433 struct cp210x_special_chars special_chars; 1434 struct cp210x_flow_ctl flow_ctl; 1435 u16 bits; 1436 int result; 1437 u32 ctl_hs; 1438 u32 flow_repl; 1439 1440 cflag = tty->termios.c_cflag; 1441 iflag = tty->termios.c_iflag; 1442 old_cflag = old_termios->c_cflag; 1443 old_iflag = old_termios->c_iflag; 1444 1445 if (tty->termios.c_ospeed != old_termios->c_ospeed) 1446 cp210x_change_speed(tty, port, old_termios); 1447 1448 /* If the number of data bits is to be updated */ 1449 if ((cflag & CSIZE) != (old_cflag & CSIZE)) { 1450 cp210x_get_line_ctl(port, &bits); 1451 bits &= ~BITS_DATA_MASK; 1452 switch (cflag & CSIZE) { 1453 case CS5: 1454 bits |= BITS_DATA_5; 1455 dev_dbg(dev, "%s - data bits = 5\n", __func__); 1456 break; 1457 case CS6: 1458 bits |= BITS_DATA_6; 1459 dev_dbg(dev, "%s - data bits = 6\n", __func__); 1460 break; 1461 case CS7: 1462 bits |= BITS_DATA_7; 1463 dev_dbg(dev, "%s - data bits = 7\n", __func__); 1464 break; 1465 case CS8: 1466 default: 1467 bits |= BITS_DATA_8; 1468 dev_dbg(dev, "%s - data bits = 8\n", __func__); 1469 break; 1470 } 1471 if (cp210x_write_u16_reg(port, CP210X_SET_LINE_CTL, bits)) 1472 dev_dbg(dev, "Number of data bits requested not supported by device\n"); 1473 } 1474 1475 if ((cflag & (PARENB|PARODD|CMSPAR)) != 1476 (old_cflag & (PARENB|PARODD|CMSPAR))) { 1477 cp210x_get_line_ctl(port, &bits); 1478 bits &= ~BITS_PARITY_MASK; 1479 if (cflag & PARENB) { 1480 if (cflag & CMSPAR) { 1481 if (cflag & PARODD) { 1482 bits |= BITS_PARITY_MARK; 1483 dev_dbg(dev, "%s - parity = MARK\n", __func__); 1484 } else { 1485 bits |= BITS_PARITY_SPACE; 1486 dev_dbg(dev, "%s - parity = SPACE\n", __func__); 1487 } 1488 } else { 1489 if (cflag & PARODD) { 1490 bits |= BITS_PARITY_ODD; 1491 dev_dbg(dev, "%s - parity = ODD\n", __func__); 1492 } else { 1493 bits |= BITS_PARITY_EVEN; 1494 dev_dbg(dev, "%s - parity = EVEN\n", __func__); 1495 } 1496 } 1497 } 1498 if (cp210x_write_u16_reg(port, CP210X_SET_LINE_CTL, bits)) 1499 dev_dbg(dev, "Parity mode not supported by device\n"); 1500 } 1501 1502 if ((cflag & CSTOPB) != (old_cflag & CSTOPB)) { 1503 cp210x_get_line_ctl(port, &bits); 1504 bits &= ~BITS_STOP_MASK; 1505 if (cflag & CSTOPB) { 1506 bits |= BITS_STOP_2; 1507 dev_dbg(dev, "%s - stop bits = 2\n", __func__); 1508 } else { 1509 bits |= BITS_STOP_1; 1510 dev_dbg(dev, "%s - stop bits = 1\n", __func__); 1511 } 1512 if (cp210x_write_u16_reg(port, CP210X_SET_LINE_CTL, bits)) 1513 dev_dbg(dev, "Number of stop bits requested not supported by device\n"); 1514 } 1515 1516 if ((cflag & CRTSCTS) != (old_cflag & CRTSCTS)) { 1517 cp210x_read_reg_block(port, CP210X_GET_FLOW, &flow_ctl, 1518 sizeof(flow_ctl)); 1519 ctl_hs = le32_to_cpu(flow_ctl.ulControlHandshake); 1520 flow_repl = le32_to_cpu(flow_ctl.ulFlowReplace); 1521 dev_dbg(dev, "%s - read ulControlHandshake=0x%08x, ulFlowReplace=0x%08x\n", 1522 __func__, ctl_hs, flow_repl); 1523 1524 ctl_hs &= ~CP210X_SERIAL_DSR_HANDSHAKE; 1525 ctl_hs &= ~CP210X_SERIAL_DCD_HANDSHAKE; 1526 ctl_hs &= ~CP210X_SERIAL_DSR_SENSITIVITY; 1527 ctl_hs &= ~CP210X_SERIAL_DTR_MASK; 1528 ctl_hs |= CP210X_SERIAL_DTR_SHIFT(CP210X_SERIAL_DTR_ACTIVE); 1529 if (cflag & CRTSCTS) { 1530 ctl_hs |= CP210X_SERIAL_CTS_HANDSHAKE; 1531 1532 flow_repl &= ~CP210X_SERIAL_RTS_MASK; 1533 flow_repl |= CP210X_SERIAL_RTS_SHIFT( 1534 CP210X_SERIAL_RTS_FLOW_CTL); 1535 dev_dbg(dev, "%s - flow control = CRTSCTS\n", __func__); 1536 } else { 1537 ctl_hs &= ~CP210X_SERIAL_CTS_HANDSHAKE; 1538 1539 flow_repl &= ~CP210X_SERIAL_RTS_MASK; 1540 flow_repl |= CP210X_SERIAL_RTS_SHIFT( 1541 CP210X_SERIAL_RTS_ACTIVE); 1542 dev_dbg(dev, "%s - flow control = NONE\n", __func__); 1543 } 1544 1545 dev_dbg(dev, "%s - write ulControlHandshake=0x%08x, ulFlowReplace=0x%08x\n", 1546 __func__, ctl_hs, flow_repl); 1547 flow_ctl.ulControlHandshake = cpu_to_le32(ctl_hs); 1548 flow_ctl.ulFlowReplace = cpu_to_le32(flow_repl); 1549 cp210x_write_reg_block(port, CP210X_SET_FLOW, &flow_ctl, 1550 sizeof(flow_ctl)); 1551 } 1552 > 1553 if (((iflag & IXOFF) != (old_iflag & IXOFF)) || 1554 ((iflag & IXON) != (old_iflag & IXON))) { 1555 result = cp210x_get_chars(port, &special_chars); 1556 if (result < 0) 1557 goto out; 1558 1559 special_chars.bXonChar = START_CHAR(tty); 1560 special_chars.bXoffChar = STOP_CHAR(tty); 1561 1562 result = cp210x_set_chars(port, &special_chars); 1563 if (result < 0) 1564 goto out; 1565 1566 result = cp210x_read_reg_block(port, 1567 CP210X_GET_FLOW, 1568 &flow_ctl, 1569 sizeof(flow_ctl)); 1570 if (result < 0) 1571 goto out; 1572 1573 flow_repl = le32_to_cpu(flow_ctl.ulFlowReplace); 1574 1575 if (iflag & IXOFF) 1576 flow_repl |= CP210X_SERIAL_AUTO_RECEIVE; 1577 else 1578 flow_repl &= ~CP210X_SERIAL_AUTO_RECEIVE; 1579 1580 if (iflag & IXON) 1581 flow_repl |= CP210X_SERIAL_AUTO_TRANSMIT; 1582 else 1583 flow_repl &= ~CP210X_SERIAL_AUTO_TRANSMIT; 1584 1585 flow_ctl.ulFlowReplace = cpu_to_le32(flow_repl); 1586 result = cp210x_write_reg_block(port, 1587 CP210X_SET_FLOW, 1588 &flow_ctl, 1589 sizeof(flow_ctl)); 1590 } 1591 out: 1592 if (result < 0) 1593 dev_err(dev, "failed to set software flow control: %d\n", result); 1594 1595 /* 1596 * Enable event-insertion mode only if input parity checking is 1597 * enabled for now. 1598 */ 1599 if (I_INPCK(tty)) 1600 cp210x_enable_event_mode(port); 1601 else 1602 cp210x_disable_event_mode(port); 1603 } 1604 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --UlVJffcvxoiEqYs2 Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICBoXiF8AAy5jb25maWcAlDzLdtu4kvv+Cp30pnvRie047szM8QIkQQoRSTAAKMne4CiO nPZcx87Idt/k76cK4AMAQaVvFkmEKrwK9UaBv/7y64K8PD9+3T3f3ezu738svuwf9ofd8/7z 4vbufv8/i4wvaq4WNGPqNSCXdw8v3998f3+hL84X717/1+uTPw43F4vV/vCwv1+kjw+3d19e oP/d48Mvv/6S8jpnhU5TvaZCMl5rRbfq8tXN/e7hy+Lv/eEJ8BanZ69PXp8sfvty9/zfb97A 31/vDofHw5v7+7+/6m+Hx//d3zwv9n9+Or/d73fv96efbt+dnXx6e777/P7m9PRi92n36eLi zz/fnp9/+vP891f9rMU47eVJ31hm0zbAY1KnJamLyx8OIjSWZTY2GYyh++nZCfxxxkhJrUtW r5wOY6OWiiiWerAlkZrIShdc8VmA5q1qWhWFsxqGpiOIiY96w4WzgqRlZaZYRbUiSUm15MIZ Si0FJbDPOufwF6BI7Arn9uuiMGxwv3jaP798G0+S1UxpWq81EUAiVjF1+fYM0Pu18aphMI2i Ui3unhYPj884wkBTnpKyp9+rV7FmTVqXGGb9WpJSOfhLsqZ6RUVNS11cs2ZEdyEJQM7ioPK6 InHI9nquB58DnMcB11Ih6wykcdbrUiaEm1UfQ8C1H4Nvr4/35pFz8fYSdsGNRPpkNCdtqQxH OGfTNy+5VDWp6OWr3x4eH/YglcO4ckOayIDySq5Z40hI14D/pqp0V9Zwyba6+tjSlkZG2hCV LrWBOmIjuJS6ohUXV5ooRdKlO2QracmSKOlIC7ovMo05bSJgKoOByyRl2QsQyOLi6eXT04+n 5/3XUYAKWlPBUiOqjeCJs0IXJJd8E4fQPKepYjh1nuvKimyA19A6Y7XRB/FBKlYIUEcghVEw qz/gHC54SUQGIAmnpwWVMEG8a7p05RFbMl4RVvttklUxJL1kVCBFr2aWTZSAgwcqg8ZQXMSx cHlibbanK54F+jHnIqVZp/qYq/FlQ4Sk80TLaNIWuTRcs3/4vHi8DQ55tBM8XUnewkSWFzPu TGM4xkUxEvQj1nlNSpYRRXVJpNLpVVpG2MVo9/XIfQHYjEfXtFbyKFAngpMshYmOo1VwTCT7 0EbxKi512+CSA6VoJTZtWrNcIY2tCWzVURwjU+ruK7gMMbEC07rSvKYgN866aq6X12iUKsPK g0RDYwML5hlLoxJv+7GsjGkXC8xbl9jwDzo2WgmSrixTOTbRh1kOnBvYWyYrlsjNHT38pXYc OCHJQE1BadUoGNV4CKPu7NrXvGxrRcRVlAIdVmSVff+UQ/f+YODQ3qjd078Wz7CcxQ6W9vS8 e35a7G5uHl8enu8evoxHtWZCmVMmqRnDk8EIELnL3QCKouH5ESWyzERmqF9TCkofEB2mCCF6 /dYdHpkOfTUZp4tk0WP4BwQYeAV2xyQve/1rCCjSdiEjbA3E1gBzFwg/Nd0C/8ZOR1pkt7vf hL1he2U5ioUDqSmoRkmLNCmZK+AGxtMEF+/qP3/Zg5pd2f84inc1cA9P3eYlKGFgbcft5uj0 5WAAWa4uz07cdqRcRbYO/PRsZEtWK/CySU6DMU7fepqorWXnCqdL2KxRbf0pyJu/9p9f7veH xe1+9/xy2D+NR9GC9181vY/sNyYtqEfQjVYm3o30iQzomQHZNg145FLXbUV0QiDASD15MFgb UisAKrPgtq4ILKNMdF62cjmJC4AMp2fvgxGGeUJoWgjeNg79G1JQuw/qmFdwm9Ii+KlX8I/L mEm56saLMKYFWKKPA+WECR2FpDlYJFJnG5YpZ5OgHaLozulYhHCLumGZ9NSybRbZjDvdwXMQ oWsq4igNeI2+ovA7Z3TNUjpZCvTrVFI4G0h1fmw1xgWJmQ7wtMF9AZ3m+bTIWHE9ZhRoHVs6 ety1Pwy4U3FcoKnF7ZdBVdAXTildNRzYDg0ZuGw0uhwrixj6TfhnxLmSwBMZBQMEzh+NxSSC lsRxHZEh4QiMgyUcZjG/SQWjWT/LCV9EFkSU0BAEktDix4/Q4IaNBs6D315gBS0zQVXCOVpW X3mCYPMGDoxdU/QdDJNwUYGq8Ax7iCbhP/Ewy0ZTnlJk2emFF3kBDliZlDbGjUbfZeLSpbJZ wWrAkOFyHLI3ubuuWVsVTFpB2MiQ15x1FFRhhKMn3q1lhklzvgSV4TrJ1qe0/pPTaoxF+FvX FXMzD84R0DKHYxHuwLO7JxBD+N5h3oIDGPwE2XGGb7i3OVbUpMwdjjUbcBuMM+42yKXVxr3W Zw4HMq5b4ZuVbM1gmR39HMrAIAkRgrmnsEKUq8qT674NY7FYQmEAJ+DpwH6Raa3vEGIYeqHg YkzrmZMm7xcYmWE0jH3GAfE/ME+rIjcZYB4TNjMEmsxx0zBhnQYnDTHhR4+fq4RmWVT/WLmA OfUQcBm/osuONvvD7ePh6+7hZr+gf+8fwD8k4Cek6CGCEz/6Gv4Qw8xG+1sg7EyvKxMIR/3R fzjj4HZXdrre8jscIcs2sTP7QU3VEKC5WMV1dUmSmO6BsdxREA2oL8Dl6E4x2gmQ0AyjS6oF CDiv3OW5UMxSQCzqJd7kss1z8PeMZzOkDmZWZ3zMhgjFiJ9xEjxnZRBqDNT2k6X9eBfniRvY b03O3PvtmiSpRGtyLkCKlGeuANoMsDZKX12+2t/fXpz/8f39xR8X524OdQW2sff0HAIpCDuN /p7CqsqRSCMOFTqXogajx2ysf3n2/hgC2WL+N4rQs0g/0Mw4HhoMd3oxyb1IojPX4PYAT0U7 jYM60cbz8NjZTk6uehum8yydDgJKhyUCMy+Z71IMOgP5BKfZxmAE3BnM+lNjhCMYwEuwLN0U wFfOeZg1gUtpPUEbBwvq7NzEZz3IKCIYSmBuaNm6Fw8enmH8KJpdD0uoqG26DMylZEkZLlm2 ElOKc2Cjhg3pSKmXLRjtMhlRrjnQAc7vreNDmYSp6TwXqHS6DpZuRDYUIy2rZq5ra/Kqzpnn 4AJQIsqrFDOCrplsChsLlqD9wAyeB7GUJHiEKEB4TjS1KUej0pvD483+6enxsHj+8c1G/NOY sd+6I43usnErOSWqFdR68D5oe0YaFuy8akyS0lVNBS+znMll1B9W4Fl4V0Q4iOVdcPFE6QPo VsExI+uMbs0wDyKsYf1RhY/AIwtBMEpiCZog8+e0zWUjZTgZqcaFdKFULF7hMtdVwrwUUdc2 jZeCiINXwIY5xAKDqohZ9SuQJPCXwKcuWuqmReAsCGasPEvRtR2Ze0CRDatNuneGZMs1aqIy AQbU6579erifFYOfulnHxjGA5bryutqmgB2hWaIG6iK1cHgrknk8oOzGjPmCME9AN5sCb1rM sIKglcr3f2GcKEV/nvUbUPu0zjDIB8LKJUe3yawl5g6moh4WOvSrVu+j+60aGc8dV+g9xm/w wHrzKjLzYHVcB7mXDVGDM9CZFJvQunBRytN5mJKB9kirZpsui8ALwbT9OlAzECJXbWU0RU4q Vl5dXpy7CIYXIEaspMOSDHS8UWjaizCN4qi2c6oO5wDdbRXBtBm0wLRxeVW4qcu+OQUXlrRi CrheEr51L6GWDbX85CCTJgmbMjciLMAtBNVinSbnULeBUuxtsLG+Ej1WsL8JLdCZigPxNu3d 6QTY+cQO6TuI02L1mKw8prWNVTqjVsx9u54aF4gTu0ZPDwsqOIZwmFRIBF+BpJs8BV4HzhqD ylfW1mY60cjXx4e758eDvRkYRX0MfDrD0NZpkDSaRRWkcZXIBJ5iWt+/C3FwjLXhmzDV13n4 M0t3qXd6MXH3qWzA9wjFrb+rAweuLYNbWHsMTYl/Ud/+sverCBkqlgqeerecQ9MgUiOzDiDY 7rHRNMf6F1Q/OfHTTOZwZcxOdq4Fy0L0d8a9mumRMQGWTRcJ+noTHyBtiK2ckYqlcW7DgwOn DoQoFVf+ldV4odf6WV7PbzQelR2BRHzfAdyLYwCnJa6/KyzA++YywMA7D71CNrbVT6O+LEta gCR2rgde97b08uT75/3u84nzxydJg2vBjmn86s6QDdOuEFNxvJMQojVZvJkDsNfleGuycfRK pYTwOAd+oz/MFLuOeklmaSSkDhhcCV42ijHxM/YGHEbzOIiEyNBvaSuTkfV22HmTluqdd44R zIpezSsl20nJrTknzfP8qK86Itbx6QcEzFxHhqK5m+TLGbCynwDBtopt/WTSqMZpitFxzCW9 1qcnJ+5I0HL27iQ6DIDensyCYJyT6AyXpy7nreiWxh0eA8GINp7aTwWRS5210X00yyvJ0BiB jIMbffL9tOP4IYAx6ZpOLMcEumEbTHRj9vDYuBDHFzWMe+YNu+SqKdvCd7jQpqH/WLlgj8bW AXahsasfm89YZ9LJwFpxDTW/t6cQZcvrMi7gISbe8MdJX2UmCQE7i2lf4FyWX+kyU9NEuslE lGxNG7x2vHSufI8FvpM8B8ky3et1F2Y1bS++HUXjOLIpIUZr0Dor9662efz3/rAAi7z7sv+6 f3g2KyFpwxaP37D61AnDu/SFE4V3+Yzucs/ZdpcLoUPc5d4aQLxUUtpMW7o4avQrKqMRDCzG nZXekBU1kZ832NDalVZ6EujBi5hv11TBIuauCwGUlitv6j4GsYVYnh3efLSOEZaesZTRMece HzoYKkK0EIP7F0YALDpTOmu0+2QPnrjDOJNfvbQYHQJ05XzVhpmjihVL1ZXzYZfGTQmaFpAP BVbXksG4kdLJpo6uCOIaohdRG2nHalKhVeBpmJU2rv9ocTvS+TMIutZ8TYVgGR1ycnPTgW4e C9dcAAk3mRAFXsjVZLqkVcr3Hnw4xOJXHWmmqC7iGtbLg0lzUgctimRBS2bF3m0ygamgwJky 3FdX2wPByuDwx8EsmxzBAAzafYPhb38ckBSFAG6L3y7YvS3BqSdluJlWKg7SKkETox117qNH TWpJgxqxbQpBsnDpISzClPNH2KTIazyeFsEVcgiswZTM0YXxMHC07JvEMlu2Jw1PuaNCRdWS h7CkiAga/G++LNdweEMdbeC3+5e9EfQRs1jSiexgO2X1h2g7ZtonN2ZZo/JpgOl2npaKNhCP ad4AU3lBYgraapPOQfsDg//nQUYZVG+Q4JDGQ+1r+Bb5Yf9/L/uHmx+Lp5vdvVe210ucn1wx MljwNVZUC7y6iIBRCl1KDID+5hb7O0UNc7U2kU5ITkxZxvywWAe8EDZ1Mj9dD68zCquJO+bR HgDr6o7X/8EWTKqmVSxm6TwC+1UfUYyeGjPw45v/55s+ttkY7rBFl9NuQ05bfD7c/e3dggOa JZfPVF2buRrIaJC4tHFZM8n0GO5P077/TNjXm5aOz/3bCAcG/8afCpj4NWexafyl4FHVfKP9 7LI7SkNpBk6HTWoKVvNAlM9tjrsyutKQ9emv3WH/eer++sOVLHHd+bjUD8fEPt/vfR3gW82+ xRx0Ce4+FTPAitZtyHgDUFE+y3MDUn9RENX5FtRfKoQ7NNsY0i6GR0K0n8cThijJy1PfsPgN zOZi/3zz+nendAMsqU1peb44tFaV/RFzmgFs73P6Jdorb0zHOoodYqA6CfkSK6ECZux2NLNU u427h93hx4J+fbnfBexisvgzqcmte4nbRbrTpgkKZo7bi3MbjQMjuOUJ3SOfoee4/MkSzcrz u8PXfwOjL7JQX9DMyzzCz5kMT85EtSHCxJ9esimrmHs9CT9toVnQhG/6KpIuMbaG4NtkcfIu XnTPMJVMsyRHp86NPkfA2JZvdJoXw2zDJtz2Pp6PSkrBeVHSYWuT3DuscfEb/f68f3i6+3S/ H8nIsBLodnez/30hX759ezw8OxSFja2JWz6BLVT6zliPhWo3nqu3BFpNCW7yX2Q7AMciEYQI vOerqN4I0jQ0XEYfRGJOrSvxHDIeJSeeJkJ8zO/YduPOCl6Gm0hJI9uy7z2zD+XfZDYNlhYJ zNYr5uevMbGq7FOzFcSYihVkJg1rNpuyMz3JdCEkA22Avr1RLWG1cics/8nx9rO2Zs+NS6eh ya9OMquAoBMkdKlNPjygbV9dMaGo9eklRjYYsZbEz87aVz37L4fd4rZfsfUDDKR/4xJH6MET jeB5/Ku1lxXB2+EW9ND13FlgrLXevjt1q1Ug2F+SU12zsO3s3UXYqhrSmgIN7xnt7nDz193z /gbzZH983n+DpaONmdhqmy31CxD7IMte8vXy3nE/OgdOfZLZMrfVas4QfQtGPUMIMGZwbcFM VK98aCvwGUgSTcWY2casUFsbBY+V3CmGxEGaBS/f8T2vYrVO8BFosGwGu8aqsEhN1Cos6bGt WN8SA/Am3t4NA36uzmMlzXlb21sEKgQmCGLPINfUDx3HV6BmxCXnqwCIhhw1Dita3kYe4Umg sHFy7JvEgGqmXIyDcsmv+nL1KQIqGptMnQF2t2zVhOh25fYduC1B1JslU9R//zOUeUmdXdUE A0/z1ML2CIeUFSbvugfd4RlAuAoSVme2rqrjFN/RsXjSjTX948HH57MdlxudwHbsq4MAZu5a HLA0ywmQzHsHYK1W1GDegfBeNXRY4xvhBqxCRd/cPNiwZWOmR2yQyPx9ga/oSIR3J7FTG0Xz ONStru7QqqrVYI2WtMtEmkx4FIwvv2IoHXdZabDPrrrqknAxnUromAtvAwKMrp8tS5iBZbyd qTvsXEz0Ie373f77AhFcvNQe8WNU667cugLNKAaeSQkMFAAnZYLjNZ4HOfqQfMMUeJXduZu6 tJA5Uj55aeqC599Pepp2+oQyFBSOjOiW3nh6rsZbcVT5WO0ZOdJZPN200TERjgXtYWreHJsB 4g0QWFwRnUry3Og4FZpC0EP9NT5NQZKdQwdQi1cCaJbwfQdKSUR7GlB/3xib26uIDhDolqm4 Wvd7jUXWkXGdCum5QVyUyFAd2KDjjWm4TMtv3Wvzqb0DyjB7FzfUkvuhatIGihgFTbKiu2d7 OwkIOzgJrOsQUSbMVmfF6I1cYlcyQmNto/1TYGVV/zkLsXFKto+Awu6WXaLdY6BxvQ2QDyLq 7irbt4iDXwTGO+b8oBVxX2aEXbvXK33ty+B1pnz9x6fd0/7z4l/2Ici3w+Pt3X1QbYVo3d6P PY8xaL0DaW+Jx5cPR2bySIEf40Evtr9bDF5O/MQ/7ocC5Vbh6ymXg80DIolvYcYv+nSy7Wrh 7pDM43sT4cVLNC1WWx/D6D2bYyNIkQ5ftPFTVhNMFr+Y6cAoExBJHp0MS+Q34NxIifp+eAyq WWUuSWNv72rgOpDBqyrh3vuvTimal+PhZWlSehdx+LzSpDIE/ejX9/YPLxNZRBttDjJox7Rc IZiKPuDsQFqdehUZPQIW2kffU+KT5C4nMMStXu9NEq8YsyNPC57dvWMBeeO6LNhqv8nUSyTz Y+IowpA7mhZM7g7PdygBC/Xjm/uwwLxQsq5wtsYrAS/zRSB+rEecmGyz7Qh31LbMY83g7xfE A4xTKSJYfCqnQjb9GYbMuPwJTplVP8GQxc9W0pbm+zHHKCPbOkaCFREViQEwyxFpxrTmxfsY xGFHh5x9Ejo4cE/yJtlV5KbqI+aFJm3oB7pPQLHZlIfYbxPx8csEDldBP8Zt7XAGHov/cMQB rq4S1zfum5P8o7sXf5IxQ1KfOl2tLOA7CKNzYZPe53c6uIlOLfwYLNp3A3qDznV2gX7voMpE cYx5ReV8lMkYIrt0kEO+8W7HxUaCWZ4BmtlmYINHYD5flY1PREaUeUjYWWziXSftg63HdLZN 1DUN2hKSZWh8dH9vOHGO+veyOqE5/oNxq//RJQfX1qt16dwRYyzDsqnq7/ubl+cdpjHxE4QL U3j97PBpwuq8UuiZT1zHGAh+pMFzFrNijKuHu1h087uPkcTUvR1WpuL/OXu25dZtJH9FNQ9b SdWkVtRdD+cBIiEJEW8mSEn2C+scH2/iGsdO2c4k+ftFA7wAYLc4u1N1MlZ34w42Gn2DyB3d d4NQRy/mkAXNNLf3XlNLjE4PPXn67e3970nSW6GGzmy3/I17Z+WEpRXDMBixulAq6ZNjqLNR 2Q98owcUvq4GMlYdbOmh6bGd+qY/ABxHQIwtGy/A0vBBCIFYePXuQAhya9V7IiQYvb6NFhy+ b+f6i6RGC7XyrvYCEcHHVH8fddmF+vb7QknraLiaCWTK4Mrk6luGmqaTtBar3ad6MUwOrqj4 sphuV32j2IX7Vui8EvOOee1qYsOYM+OrbR9ZzJlWdRJSnoUdzjaaARDiWOWXdQt6yDPX/vKw qzAB7mG+z+wUnA8y8ZahhXSxnIlhXQhF44jS3n5aDTrYLlrls90lNeW8KFzVlTY0YVGIURth PlTMdAww1/HErpbjmKhvVYC62eaHEJDoB/yZDAp6ELYSuVJXeiVLHhNWYJdfaFPrPmxm0EyR 0Zgothc31rWOSdF8qK0i5d1tM336/PPt/V/gw9FzKyt6D3IjoVcQYd2X4Zfir46tRsMiwfD7 URkTjv77ItHHEB4JwuG6f48toRlSH2+Tm5QkkBIPrUoRtNJ3rSOkMN2eIspTOyOi/l1HxzD3 GgOw9kGnGgOCghU4HsYlciK3qEEe4MzjSXXFgtU0RV1WaepGDKkDXvG/7CQI+5ApeC4Fid1n 1S1c3yzeACxLzY40Tt11aaTIiQgKje2GawNhw3mgMsxbsFt9FeX0BtUUBbuMUABWrYtiMhnu 7w+tqz8P3W5DhtPRhNXOVnK2x0aL//KPxz++PT/+w609iZYSdUxRK7tyt+l51ex10Gvhuao0 kUlFBFFbdURoUmD0q1tLu7q5titkcd0+JCJf0Vhvz9ooKcrBqBWsXhXY3Gt0GikRsIZY3fI+ 54PSZqfd6CpwGnAlMH7qNwj17NN4yQ+rOr6MtafJ1JmBh/SYZc7j2xUludo7NKY+VZAsGvwl SNYByT3B5gKH100aJWxphbA6/ZJ8kIalJzZ2G1yjk99AKiYUhcRoBGSbI9hyEVH51XJ8almZ oPB4RrSwK0SEinHG+AYMRDriWQNCKzvHLK0301mAuyZGPEw5ftjFcYgHtbOSxfjaXWdLvCpG eE/mx4xqfhVnl5zhWh3BOYcxLRfkOaMVFPiQQyxRUZSCZVjdVNRV+Mtv1mKo5WNa6YZWluU8 PcuLKEOcqZ1lphNFUv3UieLJ0yLJiSPSpN3DmzxKWg4yPVUSKUkRzyHDNHB7iuquKOkG0lBi PLawE04We52G1T6GrzmWqREqzAtBOIr2NGHMpBQYo9bnMaQPlRCvYoe77e4coadJJUZUsQdl uwnQciXgyefTx6dnY9G9PpXqsoH6bQ1KeghbqLYWlSUFi6ipID4TQtHN9mpOCopb7etTiKWs uIiCx9zN2RLuD/AZBgM1dod4fXr6/jH5fJt8e1LjBB3Id9B/TNQ5pAksVWQDgesO3GOO2k1Q Z1OyQuIuQkFxvrw/CdRTGNZja8nj5nevL3UWTiGu/sK5aDquJmSCSOXJ82NN5ZpP9/hC5FKd e0SErZZz9zgOO8BbHgf5oNyLvvqIVPechIDGaO9d1vdMxKAlxFwZy2OpqFtu5mmFeJ+kT++M 6Onfz4+IK68hFtIyyDe/evdCMDyf4x3whISSCDQR+E3CHzRF4xSoJNsM/0g0lbarUQexo4T3 f1hRlT1Qq56MGqj/sBtXPygDJPheUAiGTr7GSC8MtIFhMZtDIjSihiAD9TgZftOTYnErgIVE Bn5H67zEuI1G7S4edZ2gpwtg7ipRnKRPT4bDhmDDNDqiJurYfYVEh8eZ0H0LAvkpHaDOgRQK MEjuiywtnZhjKOFobgAA6kxgo4Ocr4AUdlYe3WDhbaucSeE14fuD9RsKBXoRsz6mFruE2p8h hBQgX4NFIo/ay8rYMhX149vr5/vbC+TDHkT7AP2+VP/1EhsAHB4TafV11Pa4QnrGa89YPp5/ eb2AVzA0HL6pP3r39u6UvUVmFPJv31Q/n18A/URWc4PKHIBfvz9B5hiN7icB0vZ7Hvd6KCGL +PBzaaB6MggUhETdQLVFnbn9eT0LTKWogDLe9c52iS9wt/j89fvvb8+vn45yEFhzGmlfTLR5 p2BX1cefz5+Pv45uJ3lpRNySh46V9WYVfQ0hKyJ3upJQYHlkgNBw8qaLPz1+ff8++fb+/P0X 22x/D/mp+gXSP+ts5kPULs+OPrAUPkR9D6BX4APKTB7Fzk5QzXIRudJNA6pLKdT6YzJ6Q6DV GnDzzqryy3zqoxt2qaTq8lprWynWCsV4+1qqBPx43BDiFgu6bcyC0+K1k0sdmlhA85rC19+f v4P92Sxyvzmw8S/XmEK0azyX9fU6mEtdcLVBu6tKKF6F35ZbouKqiebopie63wcUPD82ItMk 882DlfEWMzp9S71ug3Uch/Nm07lMcjfwqIXVCfidoWNRonkasdjLPtJ+K4VpsYu10i95tQvU hWy8vCn+8t53f38ZxO50IC2LRvCygyUVXsuCdY1YY+pLaU/qbj56GRYjQF1xkCI3nbIgZgvk anRt/ZF3FyqTyvps24zbS5j27sJxHtRaPnBdigpxJhReDQE/F4S20RCA9q6pRolI4BWMrXVS 32XS0vfZPdE1MO0n0NSjgzCQakz5loh7kVZWkkQtnRGPZwH6XMWQi3anJIImJqv9+vjBMb6Z 37WYhQOYVBd8x0bbwm1xqYFdggEoSWzvm7Yd28Gkhc1tbX3CjI+z3uV7d8MCcq/Pcu2Bi+4u gjt0kavf9X3LdvnJrqUdtC9FogPaEs9AfRR1e1Wxokvb6qzLbaZum4Sb+yG1Q4LgV62+PMcw qYEJvOOCIaQo9jim2l17RK8wwx+uK60ZdzPPZHuwOZbE64UKu48hz4EdB6GAxoCMok7Z7mcH 0ETPOLDGx8aBOVtF/U7tRcr2bdK2yE1nbBCgtXRgTeSdZx22st6Y2Ak3N3gP6OUfA6pzVAhv kOy62ay3K6xcMNssbpRMM6ja6oFtN9VGU82S1CVcNiml2kzMn2+Pby+28Jfm7r2m8RN1dHyN 62haxTH8QDrWktjvLIRRYSesa0lA1pZSLUcp8vlMCwxdSw8Fw3X+beFKLeKN5uMsy4ctAlQ7 gphHhzY+XnuXZk3ZQZNRscP14d207Ch/WsDKU4TVKq9YOoMWq6ZhOAzIt2ZG0Cd+t3Fa5afd XOwFAJVqGJ39dWnBDfe0YiFc9KXVe9lWDP2ZgP4KN2ZA1tP4ZN/tkaFaVCBFGAVAr/7XSkZ/ sw1msbg59YW8drfc9JzwYdQ2QL0Q0m5Vz7aXlyY0lkdWOimXNeZ4SQg/Wo0mNJUa5xkGHRQr Dq6jhQUGjYYsjwXhMWARwsa+3YTqINXKwG7ZKt3t+TT3/+ePx+GpKXkqswKytMl5fJ7O7OCe aDlbXmt1pS1RoCts2AhHslDyXHLv+bPuEgittFjiUcmMmZsjts2xXueER0Yp9klNpNQVodzO Z3IxDRxFaxrGmYTEzXDkiJCQF49KlolxiwTLI7ndTGeMcvGQ8Ww7nc5vIGd4/sp2IUpFtFxi 6Rhbit0xWK+nlqDTwHXftlM7cicJV/OldSmPZLDazOwpgaNeTUTNw3zeqO7w7nmcv104S+vh SblGi1XLaM/tUDW4hKu7tXOs5OecpQL/CMMZnKgDQwznSmpOLK1Tv8gao9jgDDuhe+zSunoZ oMmv52wYg0jYdbVZL+nqtvPwuhrUt51fr4shWERlvdkec+5OQoPlPJhOF+g37Y25OxB262Dq cUkDazN3DYHq85PqntXGcDXJC/76+jERrx+f73/8ph/YadLwfL5/ff2AJicvz69Pk++KkTz/ Dn/a016CXhnt9v+jXow7Neym/xTBA0KnVM4xm1CbVdfiRB2odtX1Pby84taCs7n4nxNXT2wi EF4/n14mShKe/Nfk/elFvzc/UIa23CysPTOJDMUeYMgIzlnuXl3OmeN+favhvgF1m7zc4aPi 4RHncvojZXEIwd6oZrz7ihttvFtSIyqJmwWPbMdSVjOsWnhL0Mlk5JxZHVfTcb9uZkD1c7Aw ENDVFB4uiI72SuzsfAVTZw1cfOwXoxSV+8t7AwUgOin2vvuMdLNNeyax7A9qZ//rn5PPr78/ /XMSRj+pj/hH+9PpZE4iW9mxMGjsJteVdS7YXRHUsblFus+G67Gov0EXhgbaaYI4Oxy8V4E1 XGcS0roRfB3K9lv/8NZAQq7BZtbdKvehQeDnkU48pP87IHKqhyQow0XV8Fjs1P8Nh6KL4DbW jkDbcagnZAxVkWP9b59T9SbFm+SLzjPv7HCNwaVRg9MvGQzSLpllvR52c0NGdxiIFmNEu/Q6 u0Gz47MB0tup80t9Vf/T35u3KMfcNYxroKLfKnpqgRUaW0QGhgyqDDuyYL2Yeq0zFjZ98qoS 4fpGBwC9tfXqDQDC46R+uap5O9V6XayhMGmV9LtcdSK/LK283i2JOa0H6fwdLLwr+WVQsuBa dV2W9+ZRSH+0Itwu3Nt9AyJtHIZnns10D2DDPKEWDlKsxIRDXENWJdiuMTw3h8tG5o8AfIjV fvfBReg85aKBXHVi5rwCfGCa4af84iSV6BCJcxvpwUzEuwz31OmIhk8a+BTIHOblHIXOYP60 y9CBfwn69Ap2qVv4GcrjElaU+R0549VeHkP/8zRA1wDfIuroEiruhCN1qcHzol3REHwUb+Db qmkKJzq7r1frjQY8Rgm9uQdUYpY62FybnTmDYiaPiE3XmeP7An0hssE5k98IkvmZYJL64Srd cO910YtO+vWuGlLT4eFQZmlTZBwAvP3qVSPcXOfBNiAZ5954/3iz10CRtT84L163Z7ZPJXJ/ 20NokmvlbcEsQN94MIN03qY1oPtkOQ83iqnNSIzOTmkU1xBJpEM+A4q2DUJgB2mp+Twq+Bo1 hf24kUvhGFWaWfCZloL4zxV3cNd2psF3eguDano6mLm7mI2d7FIk6npIsuBwvl3+5bNaGM52 vfDAl2gdbP2VwE+HPNFnLt2rPNlMpwGNN4pIstf+7ouOdRHZ6eJbqI4eHIJ5gtCyuGL2LQW7 aHSnse0lIUE36zm9MO0QAW5/dhCzAp55scsgoY8bUQconfnDBTVGgn5yAPiQZxExt4DOXfHV XEksv5g/nz9/VdjXn+R+P3n9+vn876fJc5uE0b7A6NrYEb0qdjiEf2twyM/MA91lhbgbjEZ9 /WGwmqGCmJkCJfLpCgZFpYhRbZDG7ffd1U2N89GfgMc/Pj7ffpvodJXW4Nv1i9QtJXKjSnWT d9IzHXo9uuIu/oDbJV5uTGMcEtlPb68vf/u9tFNpqMJK6lwtpp7fJiCSXNhRihqWys16EUw9 KAQ8eaDiAZ6z+fK367nxP19fXr59ffzX5L8nL0+/fH38G3FegtJDxVqCb8tGqU0odfeV9IKt DQTukCS5K2o1MERcajDmuT+/gebWO9T9cM4nwXy7mPywf35/uqh/P2I6yb0oOPiZY71sUHWa yXtHvXOrbmsmWaikkwweJtLuBthNOOWluYNYM5E282yPdpelEeV/rNX4KAZ6f6i861avZLrT OUpvxLBS1hewbnDC3qhGDQFAuJo9J1HnK4UBpwvCVXinJLYqwm/6ByLUSfVPEo9MqXGpv2RG ucCXu2a9cK8rQQYWlRU+NAWvz3q5i0zKmmj3TJkLG1Mf1WoaU6Y1dRHzChl/1uePz/fnb3+A urJxC2NWyizHxa31bf0Pi3SKdsj36PgZwPDPSpzOinoeuoYmHuPWmnNWKHkSn9H7/JihqRis dljE8tZbs50RA9JPhO1xdmBXcODu58nLYB5QIc9toZiFkAnG0+3FIswkwRr6oiX3UiKFnDLL NNr3En2zzK40YQ92AgoH5aZ6SqJNEASk2TqH3TQnIveSqL4eUFcsu0HFitJSOGc1uyOSatjl ihAfAGyzzLnfsTKmYgtjXIoFBP5FAoaa/LFdUCmZ0R2nhtTpbrNBL1BW4V2Rscj7SHYLXFzZ hQlwTiLxWHrFJyOkdlUpDpnvSWpVhn+N5kUr30poF6TC3/oBh95LQ7sUc462yvSO7TbPx/QA TqGzqJx5LY9VCl6YcD3P8SArm+Q8TrI7EDzLoikImljcVSJCr1P2KI48lu7tvAHVJb7HOzS+ tB0a32M9+ow9gWD3TBSFa14L5Wb718h+D9XF2BmNz/SQIjrVjvOBHTi8NN0dPfhIrjUPGY6L UjS1iNVo5B4mJutDLFDfEatUE7vWNxTPcKcdqTaI/2jRsD54ToM7auMdn432nT+ER/flUwOp 0xyUl6k66+AxjdrnJcOazOsQKD8+Vuxi23stlNjMlraO3kb5LwtzXMXEmxuQQzcl8hcccOOn ghOfsLhSRfxzrccsyNZx7vpzMrK2CSvOPHYdT88JFZ0rTwe8fXm6n400pFphaeZsoyS+Lmoi RFXhloNboY2Vl5vo/WWkPyIs3E1wkpvNEudmBqWqxXNJnOTDZrO4EpZzr9Fs8Fmk4Wzz8wr3 EVLI62yhsDhaTel6MR8RDXSrkif4d5LcF649X/0OpsQ67zmL05HmUlY2jfWMy4Dw24TczDez EYat/uSFpwmQM2KXnq+oqtytrsjSLMGZSur2XSg5k//fONZmvp26jHt2Gt8d6VmdxM4Jo7V+ kSceDwtmJ6fH8AbhyGlm8lqpkRxE6mYaPjL9OBA6sfccgjn2YkR4znkqIee4Y8vORk/Yu4E9 5i5mc88Ma+FIkVLVCUYVCn2H5iCyO1KBI4xrDbwL2Vrxfl/vNMBXjJBJ70Lw9KJy1hTJ6J4q Ije+bDVdjHw0EBVcckcSYITyYhPMt0QCGUCVGf6lFZtgtR3rRModfZyNg4QiBYqSLFHCiWs9 gGPRvysiJbn99IaNyGJ1B1f/HBFeErooBYd4qnDspihFzFy2FG5n0zkWleiUcg20Qm4JDq9Q wXZkoWUinb3BcxEGVH2KdhsExL0KkIsxZiyzEFRSV1zZIkt93jjDKxNIozy+dFXqsqI8v0/U JqZk1wPHVYUh5FBJieNGVCOduE+z3PgY9AL0Jayv8cH7eodlS36sSocXG8hIKbcEBKcr4QaS RkkieVUZozGlVp1n9yBRP+viSD2/BtgzZPgXJebCYFV7EQ+p6/9uIPVlSW24jmA+poXoguC7 so1DMbsKmnU2NHGs5pqi2UcRvhuUKEYwdJ1iaAfyPi5hmshg0LDjqqvjPZUlxUieIFNut0v/ RbS2eEwkQ8xzwv8MvxVWcmdSahlDgT23gFI3U3zCAHlSVytCMQfonB+YrHDPAsAXZbwJlvjs 9XhcWwR4EG03xOEPePWPunQDWuRHnN9cYvvRbvjVq28Tc1xiODfEBJ6Su/EqdXlcUvKeW2li p7uwUZZGDsG2+gsE1d5tCVQhhXPpAdM0I7ZaIWSyxGyodqX9BRJDciXQknNq34YQdMHcHDwO rhNtMKTtwWsjbCu8DS8J+of7yJZcbJTWK/NUK4RMdILOCDW5PENSpx+GCbB+hMxRH09Pk89f Wyoksv+C8nUtzWoDEeokZKGbuC2cyydXUKfjDLL6WZSyqonwGPVBLUhzUOOjRFqLdM+kwI9q bQ1EEjL1FwcZoUfd2fo01I8638WnIaTzQWn89n//45P0DxdpXlkbRP+sYx5JH7bfQ+Bq7ES9 GgzkZXNedTZgk2785GVoNriEwasOgLNHqbtbfTy9v8BDvp0TgrNXmvIZvIXimg4dgp+ze6RL /IwCB5NF5bgyBU78fpd5eUZamGKn+NliEeTLJREb5RJtNv8J0XaECF47xf0GeprytMNHc1cG U+I0c2jWozSzgND1dDRRk0qxWG3wJJQdZXw6EaGwHckhJ9QkDoXeukSWyY6wDNlqEeBZaW2i zSIYWTCz60fGlmzmM5xdOTTzERrFatfz5cjmSEKc8/UEeRHMCO1gS5PyS0lYxjsayLIJesuR 5pr77whRmV3YheE+Gj1VlY5uEnVDy3FBtiMRd3JFmOP6wSk2hlt0rO0xV1/qSD1lMqvLrAqP XrJzhPISL6bzke/pWo5OAGhIa8J9oydiubo5j/R9F+InncWub+AVt4a007i5xpDoJMtoGn+D hnmT6oph+7hbQPe9ZwS/2eTJZmUHldpYFsn1ZuFkSHDR6816jV9afTL8k3TJCKOxTVME01kA oYLjpCBv18mVcKaxKSvFEcU1FFgqEJtwV82CaTCnpkOjZ5iKzKYCGThLeS3CdDMPNlRlNtly isWmOtT3m7BMWGAHvQzxh8B9k8ylKEuZU655Q8qFF5GKUTgB5BiBE0huE0RsO50vCNx9ynJX 52SjjyzJ5RH3vrHpOC+JxtVdN2ZXqn6DbXK4jLVxDefGqoggGzEcRx6yLBJkH44i4hy/yNlk IhZqR2IWHJtKruT9ehUQ/ajSB05Oxancz4LZOAfguArLJflfxq6ky20cSf8VH2cONcVFXHSo AwVSSji5mYRSzLzoZZX9uvzGbtdzuaer/v1EAKCEJcDsg205viB2BLZYBroElwov0y+oJr7F 4FgPmwywJ4jjMqBlbjGyOQvdAVl83RzH9NJnsTXtEW13+Eidqy1O+R+6erxb8nN7FXNgLvG+ WXig7brHwowOby0MTS/9pQWmQA0nH5EtUVD2y98TOuZ5o27y94X3wYTQziBNswWr+EZaZ3YA EReUYf+REL/UoiyWZWvAXGCjGbg0t9n2BWk3aBUZb96GbhxmLgLismNxWpQpDeL3N4EUwMeq V56KA3jahTEuNkCMLH4IjC3EV+kQgOuOYafGAQEos582hr5kqN3bH68Q6E+taq9vJHQahGkX 5sLv0Xl8YIrJpgjJJwkmgaUEwZdnfH/mW2kLdPSyy+B3mGljmss0qvl5owXkbw7H0cAwg26S S1ogB4CTKFo21nzFEViyFZhtgUVQPij4ykm9NWv6M/vOxcSm7koavVsLIW+t6Nc2Nm9JjFnE SUopxdhM3VEE9uHyTBZM/TwdK9akAUMIi3Up82wXbMtxzrOI9CJqsr00Ik+SwEh5cayTrH3d 0PLDxK9Pxyww56fhodMb5eA+Gg6fGSlX9amKm0uhoq3HmOvQw9nMv3iT+AoHU4aDSrxb3LQV 1d7MWoi1i9WIPHzAeHSmpEIPsFM3G0hfyKVLBE0jhK35oi8b2Tw+Bi5N9dXiUhT5PsWHVDhT hluvWsp9koUaSq9F1/EyqaJsZdlV5S5wTaabAVamUGwfySBvow6wl6Ujkt156oYNte1l0kCf YNTRb2trd7SwETuInhIBKwuXbkNFk7gdAw01Q0U07BfhcRHvqVPfek18aaauMhd/BTw3zpuH IrMujvZ+JmiD01YC1SC9DnbvDHCWJ3FJd6LNqm5U7pxuaVYG2cIueCZv0ceq7TCcn5GkN5hB PuQpjLKOdp92Yyuzgt5na45LR4wegskbIHbbPpZRhgUmp4QcYdMgqukZNfRwGG7khifXPH1D 0KgN5tVvbr2EOVJmadPd4hdMA+5tCMlDyCjeQSexs58uyN8k34ebi3WVfaq1yJSgxEerx0NN hxvQmcI+b6xqfNuum0M1uXg9PSUo4NXon/1SS4Y8Wxm2ukhyFhSn5ps6vvOs5yQxdPMkQXp5 VlBnbO0k5RilPsXdg0l6UmtHWS5/HHuUxKWkkVeHY0qdRxWU7dwEsmx9JHp4/f5ROoTmPw/v XF8/drkJt60Oh/zvlZfRLnGJ8Lft4FWRmSgTVsSRSx+ryXnH0XTGx5nakykYdioAu4lN1cVP SRtDbaUGGLqnJL6d2OaH1aiL4T6xrnfMwS/VS45ZhbPTxqeqa+yWXCnXfs6ykqC3O4LYdOc4 eowJ5Ai7KuWCUZvyUWPk7tCMeJFVj5y/v35//e0HhjZwHVgKYXgDfjKqwpSRpQolrOI0m77U xMpA0UDKwKpxRx4uJPedjNGzaytULkZ83cMSK0wHNcoQOkjU7mOTzIiE3MqwBegmHB22e8/C 86fvn1+/+HbX6vpROXRm5jqigTLJIpIIO6lxQiuVppaG4FarmXyWT2MTiPMsi6rrUwWkXgS+ PqL+ySON3Zvamiu3jGk/AwZHP0nVWyOytolO0Ma8a7ZYmgWXoKamy9dV/fMtQgSBV/PYQOs9 YQahSkjf6OgV9Y2q1I3AGOmW+1SrMmZgKevDCwiwEBRISyRluYRK3I6kAanVMNwTswANx5vr B2/49t/++RN+ChQ5jqXTPN9Zn0oIjiZpHPnDVtEXj47t33JBDaQVWsdauGI3ztugih0O+87D IPoyQ4Pv544o08yPPGCCrjlaNF+l412uaTDWLwGlwJUjzvlcBB4uNZNe0N6L6uTqsJOMeqQH MewiNWHc6WYyHapzPeFBKI6z5O4KjeAMNSw/LvmSR0TjasXQcfaU8p1cJkZ8jYv0myMFmWCQ qHrGXhrTGFrkATzO0LtjQGDcwbcLIXl5f2ybZSu1O8fbSTJU75YxPfiJM1iGJmK0uyzBLprH yRerSLTE/upZx17d3HnPxNTKbQ5RzV55sqxDfin662kOaKENL0PIPAk97AtSL1o6atIBpY2r LUmd7fCBT2v8EGtXB1QnQqNdIanRd/aXHOkhHxsCyuWFOZikmieR6DhaKl/ayQOx8vKx47BH 7+s2EBS+O2jVZ6VSeqxsq2jYI01o/0N5j0YlLG7ptXaXyoz4AAmrqBC35IDySMcY6J8sr/wy Wvnaymvq1aLozdMsd1n3RN2WexhJrQpoiBN7aNijDCRldaBg8Gekh5RoWoYB8ogUQTC1z1a/ rpQ1oscavszbA9+riu0LY+2McTBH68RuYeghVIX98RZiPLf6SpCOx2UZRi9hsC+cmhPtNgFh eSwBCWOcNJCMr1yVHY0cqbARCmgsAtqdbxECun99+fH5jy+f/oIWwNKy3z//Qfnawc+q6aCO P5B62zY9aQKo018FiEdVeVvpItAKtkujfCPBkVX7bBf7aSrgLyrVkfc4ielbCc0DjR7E6yaQ ipNG1y5sbGtzYG02rPm9DixlB5REYLbjC8keaE/DwXxzXInQBmuPYma38yAG4bn3pg4+9w5S Bvrv3/78sRkiTyXO4yzN3ByBmKdui0vyEvDSj3hXF1moi7WjEiejDrUCE5vISzv+gKTNjDJE UFDnNBi67Nr5M0ZcL+SNHoC9fH1xyqGJ13m3L50GUmamMNrPbjYzn7NsT6ucajxPKSMeDe7z xc7KsULSpHEaPGGEgoZSiJcps47wwY7S6+8/f3z6+u5XDOakPn33X19h4Hz5+92nr79++vjx 08d3P2uun+DY8RsM8/92U2cod10lWWuazfzUSx+e9rbfAefWWscc1PeE5zAcqmfYOfA2nILp 3g2xpmuenF735ZoUicqBJe/fy+BWbo8MnjqqORxZZZbd+nB6TOkjhRoOnWhCQ9YOd9r8BUvc P2HTB9DPavq/fnz944c17W3Rxwe0xTjTt9zI0PZO03ghomQNhsMgjueXl+sARzEbExVqhD45 PSZ4/3y1HuXVoB7RdaPShJeFHX78rmSrrpAxRm0ZdpfO5phU2qhXFf7axo4zN0V5UKI63SHO lKcaCfkDV5J0eAq315VL2aDrhTsLiv43WA6u/ZhRKW85MmPNSf/BQEFH2MKJNHcxAGpv7XjN HMOhlRG7ZWDS5AZVXcaB2Ope/8SxevejaZhLWPmo82wgIzRyxH+VTb6dISyrh8qxhkbyWeD2 u6V1pJFD+ywKZHmXLnZ20IR2zANFs539Kprj1RaJ1tRDynF2vuuX8YqHUaIrAoIYobYromvb ju4neLqln1nkV/IC5TqbCgJIH9RcdhMblyqhHb8DiPbqrvcOpM8sLmFpjMjTPuLymscZQ4vj sxloC3odCKThG8ci9eW5/9CN19OHkF8CObI64hIZx62xC/Qv4LCM96048q+x6vSA94Y3/An5 MJQ9MQwj2qdd3QiFFpdomzxZSKfEmIWWVXa+Ulrh6WzrK+00DA+8YhpaZ9DeIhsaKQduBB7o wO2jHbF9nH2xora44/zuty+fVVQcL4I2fMZajl5GHr3jpgHKJwK6FCuLHxPxjul9wq08/0Dv rq8/vn33N+RihNJ+++1/qXMXgNc4K8urd9I1TSK1KTQasvWNuAzTo7Rtx+rNoupGdD9q2Ea+ fvz4GS0mYT8gM/7zf0y/kH55btVTB6F7fdegqhq4nqbhbLodB7oa4D4/HpuOZ/jMfgvBlOAX nYUCjFsLXODCp7O1VNWcFkli5yHptqvElSx1CWij6ZWlY2OSzhEVzHBlQa/n5kXdjb7EmXmr fqOL7rhQxVGKHZvFkZoUG2UZWNMOgkp83RJvfMwemml6fuLNxS90+wzrzKDCYPiNBN+BVG5a 6jF1ZXJsqW/lmobF0ou5labq+6Fvq0eiaVlTVxPsoh/JXm36p2aitXJWngaWWjEfztPJT1x5 otMZe6lzaGGANnvpPb5gTS6b26LNhQdKMJ/7ic/N2t4OKvhJJU700sAe+upkanfc+givrSqi Kedd0cZZAEhDwD7ygebDmUv9xLOxz0fpaL2kaQKco2aBwSavLYe++CWLb+FdhqNz9pLnLjuM 65oKnz64mwglLIKWmjIxGeaH6BwJakHk5C8tH6P7ddqnr9++//3u6+sff8DBWObmHUnkd8XO i1eg6iP3r2bBFbmrR+rmVF3H+V4zlfbepRpp/xjqyCrwn4iMTmDWmDhYK3gi+uOhvdQOiZuq mJIivVA9Ma/A3aHMZ1JPVnVP1VVZncDIGg5nJ0l3/7f2JzOFiNJaXMosc2gXVu9TUwdVUv39 4NoX1yNzvHast37h/ldrPSynP2kUdTWcEeJ0UBGXZbA1uCgLt75eSwMljWO3Yhfeo1NylzrH OduV5sl3s7i3OyJJ/fTXH7D98Ae6Ni73W1HRA4/1msVUhlBjDo5IrVtwNQcjfzghnYxsoJR4 8N449ftX07dKJlnMaKGaipqTbmOLkbOk1CaAxuHbaTUlPo6135pEuwUs+hXDxF+GntbLVYq/ NZQ97i7U64CSG9bxUpLeV/3LVdhe/NVUHtP9Lg2l1I5lkeXubLstOk53zZzab6umlZsgJ52J ZSIzLXjUkNc6/VYfKItoL0ulmV/S5vZ3jiRga3/nKHP6mu7OsY/pLaXi+NAtJXUzrlClDeyV XttlhT5T6q7uJAdi5g5cIO73O3OAEgNRPx7wNweousgPleogysUt1C0qsT8mtvaQigM2X4Mr 9jCALrpcvsY5Mb15o8CEVnJWY6tmaUK6hVeDbKirJ97a7+pE49wO9psyEjYDcb6j5BfGjdoY WUry0SaWioGlaVluSIuRz8NMa1arNXBC8+bgBO9gm66dla3KBX5llaeV+bDdCNYF7y054jN3 vJ1OU3OqnLgwdiHZ49n0gBSv27X4p39/1ne6xIXLJdZXk9KXRiA83Z2pnpMd6UbQZikTsyR3 JL50FOAqYtyR+cTJPQhRKbOy85fX/zNVPSFBfbsDBz27CPpSR93FumSsi2lcZgNlEEDHUjVe Ujm1uvPE1HCzU8kDydvmTSZEn5Ctj9MokGoah4BgdgBd2UStZzZXoJ2sKwITKMpAIYsyUMiy iXbBRmniYmsM6bFinGXRrOZaPVGnJIXJ6JvWEflO1jcx9CnZYAvcULss+FNYOnImRytYss+S UFH0t29kcztBkGkoVJGGI+VGf2pk/FgZ/e5+G6o+s7G7NhQq55hgsIjzeRzbZ79wih58crGY Hi6ddc1SVwr3L+Cqml0PFb6GGKrhq12b8422tMFZfrZO4RqQ7LQ4lfuTDQa82fZhDeryEf5V UCHmhEMXts9RbukPrB9VTJT7XUY9Hq0s7JJE5q3ISsf5ZytImkhJLQoWQ0wnaS4VK71tTsO1 eUp9ZD7YQRp0jYFMNqTytu3hTqKHDwmG6fVz04Btd+SCD/UHqlFWuBbXM4w46FIc9VuNhI5J Iqp2gDgeMP1PLavPlY7uKYpoR3aaxqi3JosliYmGWW334JTD/PEXHpmreZ6f4rRksc/P5xEL 6QNyTppmTitwP0c4AB7TksKnu3uPew5y6BDNc0tRpHkW098u8S6z/Re5fSq18wfNm2e5XzIY Qbs4I1pRAnuijggkGVFJBArzRtMAslAecJok8pi7Q7orqFrrYyRV67WXT9X51KhVa0fIg0lk UUp06iRAZhGll/oNsHsea6o8ZzbHURSII7RWs97v96RzUmfRkP+F/XvtkrQeg7qJVZYJKt4l YViDlnbztTpwcT6dJ+N6z4NSAquLNLZ2OQayi6k6WAwllWSHTq/oNBGitpM2Rx5KdR8A0mB2 MTlhDI59YkVevwGiWOKITlVAm5GPwAbHLvzxjjzjWxx5Evy4eDPnIiPqA/tHukAzK/KA78Ab z8Kvx6pfX6c38n8sMfSVn/1jHGnAS/xYdXH2sLFvuZWiqzG6xXSi1N1vTNKPphUl91bRg2Os s9LROopsGrGMWz3F4K+Kg8BQ3r0cVCp7061Rz3lClAROsnkSE/SmbUFCdlQZefYIDUPG+17b t4jhBHf0k5WX5MnxRCFZWmSzD5xmomFXFwzWqn1LamYPXU3QBZzEzwJ3MFS1Tm0WlzOpbnLn SCLXbklDsKMkFZnueELUTSkj9lSKD/whjwOOHG89gW84l1DwyXt/ZXTA8PuYCgwa+9Vipb5n O6IuMJmmOKHGWMv7BnYgBGA8dXqlVmvrltxWHEQBNWDvdl3Q1bUyYfJiyOCA3QwxZxBI5IGD SnWXJLTxk8GxI6SoBFx7LhPalqPSsVv8Nk8e5VttLVliYimUQE4syQjsic6R96SWhoeNpMQI AiQnxZQEUrpYeb4jVzQJkacQi2NfBD6GMu63p2XHxjRKtsR41y5Tc9JT38EEy00PA/dllpkn u9sY6HJii4WqgSQ1JcdRV2zOs66gJllXEL3ediWZcUkWsqQnS1du7Z/abk9msSd7G+i0jYPB kCUp/bhg8ey2OlRxENN3ZGWR5kSBEdglRMP2gqnbXT4L285Q40zAhCPaE4GiIJsUoKKk1TEN jn1EjLt+ZF1BjTz5gLo3JuWoTWC83CWwvc+CXXNS0IYWK8+haa/jkTbS1MvVobuy43EkdhG8 n8czHMPHmUSnNEso+QJAGeVEq/BpnLNdRH0yt3kJuxNqiCZZlBPnDLnmFCU5fBV0d220PU4F S8v4TTlO1kgJcqpGgCRRSC4DQq2FSk7S8xux3Y4M1mWwlHlJtsi4NLASbX0Mx/ddtKNWGECy NC/2VLpnVu+jzX0SciTUdn6pxyam8ntpc3L/j+6WSNk/P4iYECJApkYnkNO/qLoAwLaXfMKy yT0BdA0sxsQwbmDzvYsI+QNAEgeAHO9iiRp0M9sVHXmSXrH9lthSTIeU2mnAMSDLF3TI2HUD 1diIJ+QyL6GUeuO/cQgxkyMfDk15Tp2GaxYnZV3S1xdzUSYhoCByqaBJS1Ji9VUSERsipFNS HOgpKfoEKwgpIR46lpGbUdGNceCOymKhngstBqIZgL6LyEGCyBs3CcCSxdt7AIz3xcYzHoI2 SgdceZlXVDGeRJxs3rA8iTKhL4wuZVoUKW3VavKUMe3m6M6xj4lDrwQS8q5BQlvdIRlIEa4Q lGEBbW6DsYVlQBCLroLynrgMAAgm5gNxgaCQhoRWDRq/tPKZylPHd0ws3RmGlt7rSxlxT/MY xeQqJLdulaV7pUkY2we9GYY/AqlSCT5r520O1nTNdGp6dJOknzDxlqZ6vnbzL5HL7Fz6ruTh 6NMuE5cRFK5i4rbNxspRN8pg8jQ8QQmb8XrhgZgN1BdHvK+Svn42Km5+gC66MESQrSS7cv7H SVql9auNMNqQXbUhGQHTBQFBsXKRbVA3T8ep+UDxeD16Vk65/OxtZWNl5GAMLR2Q6MenL2gi 8v0r5f5K6WnJscLayrzeUcg8sGstYAUY5qNryWsxONnKaQMc6S5aNnNHBuNjDch5tVZzsow5 5Se5NYO0hsNmnvem11VmD3QH3XysUe22lsJ8QfcKf6kEe6iHk09xWvBG7odL9Tyc7RCRK6h8 ikifFNemx0lIyfgbO4bKkWZCmF5EpOepxMsOu7z++O33j9/+8W78/unH56+fvv3rx7vTN6j0 P7+ZPXZLZZwanQnOAqJONgPIxPaXr0RpHLZ+GKjVNcQ+VpY/cIrNFBoru13jULSueTgKszPv 8t0EjLyIgmsvpv6Q0LOVSl4pcGqAaoy6Euh93xotSgtj6yulhuGXRHt8okrywvmEekVUsnet g3bB0lCvv8qKgar9hSBOfSbyuCSQ9QHeR/AWLl3o0lfsw5lPTaBwVf2EwQhBDDhNWbW8QwcZ 7ncWQxFHcSDh5sCucMLe6XQ1Vb52lI2b2TxiCFjYR5P2u5DSkYuR0eOkOU/DWgHia34oIGWr EPzQVbOp1FMdYfGyWfI0ipr54BaUN3hKCuSkVgJ2JjropghMVoFDxUOJCjjTJEevHEAOfPEw knk8jMB+7TuufF/znjRah3OX21ry8i1ObWL/hJ1lpp9HwYaBXUBmf45H0dWEwxsLgKXFofAr eN/fSK3yQG54QHHFgt4jBxMEhrIoPPyO7jVqTjn28OLUCgZqM8IhmpJ0egQ03GlIvo/SxaWx IkIJYNeiw9hSiTffbviiopF4a9rI+E+/vv756eNd1rPX7x8NEY+ubRmxXtdCme2ves5vJINK GUQyM4YUGeaZHyz/bqYfDGSZtcMI8yvGMcYs/fWK/j9rz9bdNs7jX/HTNzNnd87oYsnyQx9o SbY11i2S7Dh98fHXum3Opsmsk+63/fcLkLrwAjpzdvchbQKAIMULCJAgoHFJskovM00tiYCa /ZhjhMcAQ948JKONi0p2m5f6mGwVF4z4IARrROIz4oxshEJBToeJApRTO8X0JZQLj0SBGcxP cVFqrVQ/UuNNPmLnoQO+/Hj+hC+1h/i9hlJcrBNNT0TI4MqoQVt/IUdYGmDK2+iCK6r8jZFG yTovWjhUbTztAkabUKPOjahtHifKaz9EwacHS4cMBMHRwyslvRw71p5jC7/Ou6OP7KKE4kOE /th1gukx2SQMHfaC16O/kR2BfqAz4+CIOhwdsUuHLESeVfJR4k6RR70QQgNPbzZFYguqPpLY miu0UfW7hepqwBTXSw5T3pYhZMO6FCMWaH4ZfAhi11ecTyWgGltfRlBjWXshmSIQkdssnMN+ UReycbDtMJ5Rm8XK9SZCgX1NPkdCXmL7utuzZkdEi8rrWH0NiwDxaFMzONFQxgbZ9aeeAOOW 3cd2BohHs5OeDRpt0azf+TIMV6x37oThlvi75dUgNxMO3//RvOsiPq2O1F7EaXjWVJUjf68Y F6DAVTrLXVrYx09krNHmrAAGBFBx5BVCQ3i3msIE88RYnm1OBJa0LhOB5aniRECevo7oaO4b 7Y2WzoIAeoYM4+AlnXZwwlMxMTi2C/3QEHEIvcUyLdeeuyqodZB+PBq5p7jURKClEdobMwkD ZvneUsh0zB4zqSjOYiNUXfT9K00jsQWv1frKkGM1l18O09+8cuAuku84OEiYxyqwTWOyGW02 X4RHWxZSTlEE6m3JCLSHVOAku4cIFgR9icNWx6DvGFu1/Vte8YyyKx4/XV8uT5dPb9eX58dP rzORUzkbcreTQcGQxKItCNzgtjU8YPz71ShN1WINIExJsqjMFcSKp9N6p6IvfmRbRB2G6Nqr bPSn0ehq7jqBmsWUv3N2LTk27enJeJ39G2m9oQJO+rWNaM81JCHCo7klhfvwjdAHvrU9mfms XKowIqBRaKhJ/Zvsm61fuh7BDKCqG6CCMbQSwMCGIr8gHM6nTEV6wLC9tm8BInTmN5fKfe56 C59c3nnhB75tX5iexstAfnKgwrS4GZyxGViGa+giAgEJNLtuQGjek6MCbHmlzb+5CGwXxAPa OsL8zbu28XFYZMDmukKgv6yfYObn9XBjXugP8ScYyUO8z5cFPE/plyxc8Zxe3VZ6HGj5dOAC lcENorZDxZC6hu7F81rvhjGSysiHHwoTSa7VUMk2S3dgProrKazH9Gy2x44TxTo7YvqQKu8U z+GJAKO170XY/XavxYWbqPAGj1/gjXQ3awU1cRPJMXsVVK9rEtX06iWtGk1kaOhHpJutSqMe Bki4JPDlGS9hhLlPt06cJNyudThYIIr30/ZmedL+n9C9kX+ThW6nahjfgvHkZFcaxqUwa1YG fiALRw0XRSRHVU+UUg1yg9SOOQQ+yS9r86XvkM1AF0Jv4ZJzALaHUD1HkHCDhL/Z0ajJLFwL B8TRMlomihZkoB6VhO7iSRugOIv97TZroAkXIcVbsudIXBDZikXhfEk3iSNDal9SaZZqdl4N Sb4/02gCy/rjyAWlESg03Jy0cgBr0qPc2SSi/kBGVXRUvJKBW0VFS3LtFnHtguJJ4+pgroZ8 kXFRFNyeCEhCy+qivlssPXLVoQlLy4VRMydagxGk5uSTAYWmJvkaBqmEW+8/poqHqIQ7gCAK 7ajIIu050vJAQaK6p7N0TBS97foeFRqzN/vFsG0l1GAyE4xbr6iZc3vXQprWtYiyNiiiRUg9 JJBo8g3e0ZKd3IIt7ISkDAZU5M3JqcdRi5JCofOxG/rkUqDMQBXr+e8IIWHheeQ8G4xGO3tr XC2NzCUzeGtEwpC04aIbrYBefZ+9ZdWbVqCC0+w6CWcG7JLUTGtGkolGGA03263bDwpmblMr hSVB1x7bzMt4OjaSIGXVYWQu2fZLMekF4jC4iBIYmbPYLnz5qonDhBqnAttUvTPf4y3mPm/T CNFk45GkYVnZbllS3etkSvuMtilgMBPyzvyodr9KmgNP0tKmeRp3H8aAn58fz4PN8vbzr4ty +NT3CCv4TZKogba1OCErWV6BtX+gaBVKzAjWga0ykeotbhiGOLN9bNLYUEOwTxuex1+ZcFLk S6MjhoKHLEkr7TpOdEzFX2Lncn8nh9Uw2XhPHh4/X17m+ePzj/+evfyFFqJ0+yk4H+a5NK0m mGpIS3AczRRGU7bJBZolB2FKyvNPoIT9WGQlbjOs3JD5PDj7Ii08jOGj5gJDzDpn7faUA58Y fmvl/qO+U5phUp6eqRf0eTZ2J/aide5IZE16t8fxFD0h3CCeLufXC5bkA/nt/MZDhl94oPHP Zmuay3/+uLy+zZi4+UmPddpkRVrC7JSDilu/ghMlj18f385Ps+5gjjFOiKKQNSGElGmnAjAL GUtYDYu3/eBKqV4R2ceeF6NHvxvjZDzdE4gf9KAF26fFB8XUqQIS7/NUmir9ZxIfIouJ8Xha fHWfUOfL49Pb5Qqde36FSvDcGX9/m/2y5ojZd7nwL+a4o/B7X7igi4RdrAhxMXbgTxXepSxY aLqEkC/ZfOGQG9WIdiX9gbdUg00CR0OIFDc9TJH0RRM5tDqK2KRdkeYqbxFMk4z/pn8i+n7v SKCnV79L05LaJ8Um1KRFVVbqNxdsKb9VkjpVDfuoIE7HjvT17pvG2GLhhFuq+DqMQlKp4nhx UmzKYnzSJCUn5vPs08v373gmx+eeRf6u9mtP0w8mOCGbORzkYyW/m5RKFCzPK/mZfYGPy1gJ g5d0B1Kgd7UqsOf5NK2E5w296JFwlNY36HC932I4tBS2VZ1sVBSK+A90qpqhaO5zL8nuw/iR ODqgZiibhthwJ2bqx2dFbO5Uhwz+J13++jJeYTKKqySNiQ1dv+rik2ULhUBbibM8Zxh5jGtH qkp0fv70+PR0vv4kfJaEstN1jLs+8ELnH58fX/599l+4C/I8FtczAHig5CHv2PnH28vvo3z8 58/ZLwwgAmBW98sgZQ8jS14HqCifXj5LUhg9Zf4fqhFfjczYNLjjwMTHxAMjWiRCabQELkqH Kxw01Wtfck2JM+5+PE/Ju/4XjTY5Yz6xWna2k3FdwiJPfiVvIOXA1xrSBaxrxS4jOSSIguSC 0FaSIy0li85zjpYGHWPPkV9GqrhAMd5V3NyKK+L5HOxBfxgdXOTrK2g7OLb/15mF1yOvbzCD z9fPs19fz2+Xp6fHt8tvsy99Da8W0k88Ocy/zWAuXUFHw6y/RCFo6+/tbb5I0s1+fZ9P3FdK oFnXArZ8ub59m7Hvl+vjp/PzH7uX6+X8POsmxn/EvNEg6QkeWZv8jYZwKvWL/vE3iw76m0Q1 e3l++jl7w+X2+ked56PcABuz12eH3LGzLy9X0Z0DUSz2z8l14Ne0DBzPc3+jM2+Kpf3y8vSK +XqA7eXp5a/Z8+VfSlNllXVfFA+ndWpKblMAc+ab6/mvb+jUYCRGYhvFlwb+xGBVIRU8DXFa 5hYEtVmrczhkVAwj4da16SQd/rBhmGDWAHDFflPvVaUeke191mFymop6DZHIoYzhD1D9UfSu MgraatAEvhwMgT6JrobjMe3UMFYTvE3ztZ57SyLawS4v8r2qTBG+Xk0ohfOaG6vjyz5aQQE6 zEF8ApmUgKnaFJhyztIK+LxYPnNBWNcVBgAz1J1qtkH/bjmbF6IxLTT5KViOgm8wLxi6Wls+ 34bDcu0WFTQKe9Ba3cKEGBNE4q5+eea7/QwW5rfL01/wG2YolRcRlBL5XxeOE+p9L2yQ3A3p M7OBBLPt4U62jOgg4Qad7ugn5YWwtVgI0aYYE1Urn7CrYDtishSQSdWWgBmQknmQEAlLXktb O0FPZFo2CR9nO3U8ejhemtddQ+I2rOnE6lmPyjKL69mvjKtr8Ut9fYFveH25/ob5H788fv1x PaMdonYAxtWDYkoP/C0uvdx//evp/HOWPn99fL4Y9eh9cUpicvxushlau21Zn3pMYlpW+0PK JNeuHoDxfln8cIq7o3kiN9CIY4iABA9PGj/401eoBEVBeT6qNCB/t/qcGCgwynOebbb04QOf +kuXDsTDl/CGTDzPUSAY9PV+v5FdTiYYiMlY9r/jsqNggXoS3kNDMipLj/RDWcvju0Sa8BwU KnSfaDKRya9seLkN23hmA5qYNfiocZsUtvXESfJDon3+3VGrclXFW72LsqbDTDn1XoXXTOS5 VeZ7fX6+PGmihBPC1guswOSEPUe2CSSCdt+ePjpOd+qKoA5OZecHwTKkSFdVCiYj3jp7i2Wi d8dE0x1cx73fw9TKqZvlibjvGYKNsGFuFk7zLGGnXeIHnaveFU406zQ7ZiUG/HRPYC+vGBnp SqF/wJf/6wdn4XjzJPNC5juWT83yrEt38N/StwTDJ2gzMJNc6lZDoi3LKsd89c5i+TFm1Ej8 mWSnvIM2FqmjWjoTzS4rN0nW1hgIYpc4y0UiB/GSBiFlCbYt73bAa+u78/D+HTqocpuANbik 6FpWtHvowzxZOnOyZTkgV44f3Mm3/yp6Mw/ks60JWeKVVR4582iby9f1EkV1YNhOPpFdsgES ydJxyble5VmRHk95nOCv5R6mUUXSYS4//iC36tANbEmOV9Um+APTsPOCaHEK/K6l6OBf1lZl Fp8Oh6PrrB1/XtKj27C2XmFGR4yZUO1BfMRNmpY06UOSwWpsinDhLsk+k0giQtL1RFW5qk7N CqZdYgk/as6CNkzcMLGJaZ029beMnBMSSej/6RwdcnIoVAXZbxJJFDEHdvB2Hnjp2iH7RaZm jGaYZrvqNPfvD2t3QxKA+VGf8jsY/MZtj5aKBFHr+IvDIrl/h2jud26eqp78suzsYKgyUDS6 xYJ0lLDR0p0qk0TLg6XSqsTUC8e5N2c76t2GSRqEAdsVVJVdXYF+7XhRByuL7IqeYu4XXcrs FPXGpSVA1+zzh36vW5zu744bct0eshZMteqIC2PpLUl5B5KhTmGOHOvaCYLYW3gffpqqZL9D K5t+kyUbck8eMcomP50+rK6Pn7/qpgNP9J60mT4+8RaGD+10NI1I/3FuTPY7BYBKHrVfZ4N7 9YmfLltXfoE67jarMQZaUh/RZwyMzlUUOAf/tL631Fze5xb7Hc2suiv9eWiMIdo+p7qNQs8Q FyNqbsgxMAHhJ4tsL7gETbZ0PLv9h3gtKqiCRb2FHNpum5WYnSkOfehLF9QLDV+122zFhCf/ IryNXdzERhoWtoh1PddXAoDbMgyg26PQLFAnrtcqWVK4bsxdG0AYsPIY+vNA72EZv6B9gxWy pNY5oH3NksMi0EMTawvKXA1yBWlXskNmyKoefDtKE7aviesNZU7xaX7U9m4ArFcqKM6aBvTq u7TQ1PdN4Xp7X3ZB7LLyATHbY+QHi8REoNLoqe/4ZJQ/p6PcyTRzMivhQFFkIGr9u86suklr ph1lDSjYDYKbXHG78ANDjhxT25HFYVUd+TWW2mPCctbZdMnaNrka14uMWRVZXk319p11ORtW mbZPtOzAaCEOmiG6T3AfBIxJsxuPRdbX8/fL7J8/vny5XPtARJIsX69OcZFgaPSJK8C4n9aD DJJ+7w8K+bGhUiqRX6vB3zyg1CFtCf8prBd+1lmeN8IzSkXEVf0AdTADARbhJl2BgaNg2oeW 5oUIkhciZF7jGGGrqibNNuUpLZOMURNoqFG5jcYOSNegJafJSXYV4Ge08X6l1X/YMCWZNfbX cCiiQDGdV3+OqdaGRja2HtbAhhztb+fr53+dr0QECOxMLjQUhnXhaT0BEOjXdYU7dr9ZkxMb +T2AheDRoWsBDUJOqYvB9gidq3d9VrSdtQroMpcSAoiCWaaxStfUQQlO7rnqrIsDRC5KQGCs M3RTUDuqdRMtyACyBXGS6Y0QQMtT0glvuI9NKPKkbKJqsoM6sRCgOrENQKoSjninimyhKjcA ytPICRb0EzCcskbqS6lGfpCsto6D9BgME+K9LhBU1Oex7sG1vFQTWBuqpVRXhGsSeAQZXd6D WRzLN16IyFr975Mv290DTFaHcIpnTP8bliVKvFPdVPG6NbA85nANm8IKT4Qe1NmaViD9MrXN u4em0nrQ17Y+ZT1WVVJVtEKA6A50X9pzH6UXaK2wZdmkxU6TTL7yd8yaQuxZiggSUNgWGWhf B9IRSqGJ921XFRoX/kLdOi+KNt6TygAgxeGuIs5WoIQdu3lgcT3jA8VfEBIcMeiUuMdbc6Oq VHfbIkVTuSr0XihW0O1H66BtH2AjOVi/z3YUirgWpJ4c94H3x8JVTFBS3eBb0+r86T+eHr9+ e5v9Y5bHyeD2O10pj+3AczDu64resFlMNWcUCQrh1LQJX8spcifwGAjHwEyRLAwUT7Ekd/eE uoOldrqnI2dOVC3bMjWL+YSz+u9L9esxlhRUFIV21IJEmQFJpI4jcguOLMeHngaKvwx0mBW1 JDF1FAR0K1iZVA3JjooWIDXR9tx0ItGih02tOUAnL/KaZrxKQtehk/KNdTfxMS5LkneqpKp+ Z1EM5UHzwZjMurckrRriFdGgD8Yvz68vT6AB9oZs75Rp+HGgbwT82lbKvQ33E7kNhv/zfVG2 HyKHxjfVffvBG+8Z1yB3YaNeY1hIgzOB7BM7whYH2rqcRZaibapuCGQ8CTWSZ6+nd2yXVgc9 +1o/Nu/0nSSvqk1FcjD8ZobGt9Veluat9gePrtuooDouVMD2PklrFdSmd4YkRHjD7gtQJlXg nyKdtgY5ZWXNY9oqxxmIrdoW/UkoF1bRPKrVqhO9ikMnHdiOk/aD76lVDe9qqjw5MTI6FK8S tJ7TWmN6wFg9bWqoRCouK7ud/n22aAC8pEifrn1bAYbtBqaWMQh7DErbEGODK8ME49iAvpKW HY2zlYDRMFGgT5hlino/d9zTXkl8zQe1zv2TYofKUGSodeHRpGbxcnEaXIHl/tH9jznQ7AKW V5U2kaePUIao6Gp2sAxR0bWqX7zokCZj+WnvhgGdamvsG22xwewrWOkdDY78Y/ts2OxAhqfk q8FoO0vciHxTLrqg9dWbsB46dyyao8BnwTywpJ1AfJttrasHBGV21LpdwPiJgyZs2D6KXLOB ALWcbg9oW+pARN+TKVUQ87HzfSURCQBXXaQ+HR2BJxThcV7FVE4DpIqZ4zqhyi4uMmKUquPD JrXkMSx5cBkvIhNsCGSo5DYZYWBt3Z+SVuvtuDuutXWasCZnSvJCAG54MhUVlrMHk1CUNqYs L0+msx0ZGWWKqiTzSCJKtkMRkMbbyt/oHLIyyfRt0UBnZFDqEZ38qdY0FDKmwUBOqc+8icXe dXbaIu+BvZBT+PUoK7uydf2F1vsC6BqsWnfpU2/TB2SozXMBE/swiRmeIinVrIuIvH3lmoKY euJ+7+X5lzf0Of56eUN34fPnz2CtPT69/f74PPvyeP2OB4bCKRmL9UqPEhyt50i/3OejEacu mIW38ZYQTWI0uzSPjjaBPaA1EbWrmo3ryQ+v+fyucqb3VX4M5+E8teSC45pJ2oJxTSal4Svg aGykZeEFoV5RHR+31LMyrpNldQc6vKaoFanvGaClwZgDLRHyxL4X0gcAHIk+H4dsRT5G5dqn OJrRKz1kLPLIWzYJO24eWtGm21etbbUfjp6nffZDsZYCZG+T37lPpvT2ic9CTRIBYEycAYZW a2IHB3RtNjOhTVvXDzs1qQBQLFFhXqW6Mq7ieL98cHWCGkN6cy9sLcFPj+dqFVTO8i7dWUd0 ohR3nX+DsM02BRiU9K2kSkq746s0vc1J4vSbBg1blemR6aqvhGeO4lZhYn3P3nMCj3vvu58Q 87c5torazHeUfKjqdDMRk444etM65GzkfYfeArDkTiB2UlbIJwTj1Dfb1aRUa4saepTqzxqn EWhJUNfH9IPnzCNDqp7Kba6VFPCEx2LrF4AqTawa5r7VDAV81TqEKaTA6PJNvfJX6kPqPXOt mx3Ht0fvgSoYs4zd3Szoep4hHxATrjM9Ep1Gsc3WjDyr5HpqnHiK6+RQCq9eQxNcVwkJ3BLg DsZbvV0dMAcG5o+hLGkx6yWMEr+Rkz6U3Ra1IYMJP5zuj6X/h7MnW24cR/J9v0Ix+9Idsb0j 6vZu7AMIUhJavEyQktwvDLetrnK0y3LYrpiu+fpFAiCFIyHP7kNFWZmJxEEciUQemLMPXJNU Hla1gbPEVzptzfdj8aOLSSNu2XdyFRSbxrIeF/iaHNAv0G5t11WzTL9ATbxyxXo9PYCnFpT1 3kehIJmBpaXdQELr9oiAuvXaaavSFQdbRVpYjMjAyWFIsx0r7GrAaclUfykYE79cYFlzwmoX 2Dr5zQGaEyo2BPwNDPBVXSZsl95hcoLk2u+YVil6JzYaHiojPuKmLGorF9oFpsbRIE/BycmF ZSm1X20k9DfR0iuzII9Zjc1UiV3XHr9NVtasbEP9EJVJS1i7abu71OVzEEc3mnEKkHuWHqQ4 5tV+V3uOWwaaQeIjtwxrsN0HML+S2FShA6g5sGJLCpfHTtximFh6wZoz6iUjlOA0vALFwVbu 8ZugRJcbBistSCDfKXPxKULdy8UQ16WzYHJyJyOn2NA6VZPNoWUQU6hcNw4Ytrc6dRZYLo5y 1n97q6FFgx2FgBG7c7pzySsh9YhVLeZZeOyqtCHZXYEJ3RItNoCMOruoBlpGOyYcMTMx0Vpw tpuRkUIa5tLQepD6+aNbTuxEjtxqIaW9s1emStMkkKBS4hslIdmgNANdX+q1XNRQZcFVXJtS q1x3YNdOuCkHDiBvI1JPqZ2cml69ubgi/lreuZVbRA3bY1ciiSornqaJyxbsOjeY65NCCjm7 GVTVFys6Ay46EWxOCydsV6G2D3IrYywvG6+rR1bk4eX9W1qXV77Ab3eJOEHdBanym3bbNkbh 6t1e/3KO46yyQiRhx3yfc8mRSi7yhJTmrixKF6frclkODqWBesD61KvH8Or0ecmUkYxvgxyl sC4IwnxxFsNtw6zSGI5yS1kHtmZZqs3iLsNuhBKygW7GaynvplK/sLGhbVYxLS5aX0H8WXjJ Agw8qcXteUt4tzU3QXXxsBjhOZgki6IoW8ghKHW08vHsEn/l6f3h9Px8/3I6f3+XnxeJoyXD B+kUkPAQyzhuwCbpPo8pJUe7wa/wGicFs5Y2GUOdxvUwcznOm7SWycO8jyPD0rViswXFMSTw /Z+JXVFuywCXRXN+/4C30T4QAZI/QX66xfI4HsOHCXblCJPKITDQqUbb7ZbQGixLxe7QNY37 pSW+aeBzSsfua8zXPEOYiyqHiDw2ujy2k2i8rXSrrHoZr6Jocbza4bX4eILBlT6LM3QKiYWQ Csrro8WzVRT5wzWARQO9AIn1iiwW4JMSZgsldQI1e38UcM6xgHE9VsYgklqvS5a7PhMqfb5/ f/evXXJeUmfQ5WutfaC1MnskdgzK+3A+ZGAtxHH1XyM5Dk0pBL909Hh6hYAYo/PLiFPORr9/ /xjF2Q5WfseT0bf7H31Ejfvn9/Po99Po5XR6PD3+t6jlZHHanp5fpZ782/ntNHp6+eNsd0TT OZ9DAX1jRROJqPswFqQhaxLj/NdCaHEuSSaacVBHBOdpTyb+JqEdpqfhSVKPb/BWAG4+DzXi 1zav+LYM75Y9IclIm2A6SJOoLFLnQmZid6Q2kwCaKH1f7cRw0sBopoUYi3gxMWOnKj0UNyc3 +3b/5enlixFswd5QE3otBJ28hIS0vIKA+dkQzO02KbgTNE+COjuPo6xIrtDENMi+gBW1jup4 /yHm97fR5vn7aZTd/5DvQOpglGs5J2LuP56s4IJyxbJSfA5bsWAeggc7QVoPk1LAlTJXGqfO oBF3NUxD0XJ9iVTlVoy9Qsvx2zIh6aXe1tfDu0CuS4sm5852NmBYfgxgPJ1yf74sF2MU6G/7 AwKSVNbKyGqYpzBG+Obbcr6cOHUoGwpvESvLCqosqsJLWJEhSjifyA0lZqAIqymJQ8h6N43s CO4GVinTrtdMt9NZhPI+bMUFdpsST8zQeIipq2y800CQTLOaShz+x9BI6m0ox96KDbo0r1L/ 5FC4dZPAS0JIWtZUe2bdmgwMq8gtjsDp02STDjF9w+gO1YuY7V5FE/Pd00bNp6Ex20hj7uu8 WXXAu9S2Aa6g8axI0VXhM8ciDLHJOJ5A0aQpY/ASpZ9MnJw2XTux37lMNFiCf8Kh5Eu1slEG gI3m4M8aDAjrkK9m2PO8SXRsr0yNguxz1LXKoKmyyXTsnRQaWTZssZp/slZuKWmP6Ne/bUkG 11MUyStarY5zHEfW+C4ECDGASZK6Eni/u6V1TQ6sFlsF5zjJXR6XoZ32szUk/a9sm04DexQb aIn39nAITmEV2PV6vWVeMBVYOcSBBuKPmc0DVU6Xf7IMDoxv47LwzvB++Hgboe5n5ndv8G2m rZLlaj1eTtHDbxDXhyPU1gygZ2mas4VTmQBNFjaIJG3jz9E9Tx0NSZZuykZr3k2wf0/sDxJ6 t6QL3P1GkUm/5CCeJZ7K3b7LwlmTZsFFLN+/tKO/2UQJ7/K1uH0T3kC4vM0V8YFx8d/e9s6z KNCsrPIWWJOCpnsW124CMNm78kDqmpWYdY4snbpSc7rlaaMutWt2bNra2QYYB5X62jls7gSd 83XT3+TwHZ25AdoM8f9kHh2dW8iWMwp/TOfjKY6ZLcxoO3JYWLED2z4Z8/QiNcPUrb7+eH96 uH9WEj0+d6ut8ehRlJUEHmlqO7kDEJRz3T4OKLl7UXTqelQZGtNAe5xqiJAlsO2huatMu2P5 s2tolSMw29xTgesmWkbRNsS5W8PAm6GDFLilZvwI+NVRaotlAHNzGzuVb5Mp59NJwIRWt1vm E7FjBA7fsvnxevqFqhCur8+nv05vf09Oxq8R/8fTx8NXTFWsuEPs+opNZSfnaFKVC92QV9nU r/9/WuE2n0DE+pf7j9MoF9dJY0Y6jYUQm1kDKqXgZLrO0ZzicCnS4UDtpQMIrjsLGsoLNs/N zL2HGsztUwzoXzVzyEYdslCWpi/ElpWggBsU1IgJrsKCh1WxBpf+7DJAPHH7pUAdhPMXlxnO LWeNC95ZQoAQ17pyC3+hc/hSNLgUDO5Zs8aUekBxiHnijQ9b56C0w0vY2TMFgMZL05AMQHuZ 18T6hBLcxpZHLsBavqUuJNmyhZguDqVW3zkpy6EBt96wb/mtDeiDq3iFc9tjJU9zLkQrbD7B Q4Zt/ShfA/rsIR6s69/JB+YGTr520zIra/TjScq4hqOvAKFje4AIuMXG1rvLiQsmAt5hI8sb Xog2Y0KaaIJmC1boQuzM8xvil6vacGsJny5mc+xqp9CHydjOHqH6SPPFdILdNy7o+cofxHo8 jmZRhJnfS4I0i+aT8XRse39IlEzBG+y8xE6wQosZto8P2Bsz7/0AHUcuFLLNYTVoeOhZTtJo z0qnaZC7Grf6HvABm2aNn89lZsA8Ry8lmsh2g9VTOd1DGg+WYX2coz2fH/FeAHKBJpqW6D51 b0Ma+zlzwKKOSBLr+iRrII0mMz5ezd1Wmo7NEoKmu1XTM5ms0GiUEqvOOs5nk7E7clkznd9M HaDnhiyhl8yMdt0NJZD1LVR5k9H5TXRE5uR8/pcD3DXJZGFmeFTN59NonU2jG5eHRkwkc2cP ki83vz8/vfz5U/SzFB3qTTzSZkzfXyCYMmI6MPrpYoTxs7OLxSBz5173VY73UO/z7EgrM0hs D63NC6AEQjxkB1QwulzFbrchX0R8Z9tpqKGWmeA/WUBYDkDFdpNPI1vrM4xp8/b05Yu/sesH aPfU6d+le39Rp5UaKy767usQRiaumLsgj20qxKo4RR+xLELEJsrCUzMyroUhtGF7K7qGhbZ9 zO2Wa6MBaSIhh/Lp9QMSGbyPPtR4XuZicfpQGZ8gBcAfT19GP8Gwf9yDG487EYfhFTdgzixT cLtPMsVcAFkRxyrRwhZpk6R4EAmHCxj0hudaP4a2UbgSQb2oJSSK7oSsIXbxLDV8x3tr3vs/ v7/C6Eif7ffX0+nhq+W2VKVk11Z2my9GQlhp065mzQohlqG2zqnYojux7YJhB6e1abMkUWbi OwOOcKobarvXAkDsq7PFKlppzMADcFJGQz9DkhNtzOItWIGK27WfmInfFRSiaFkbBz9IOKYm UXxMYgXp8nKf6hBiobYBWZ/3INgBIBIruOLoR3O6McyS9njROmnYNpnNlnamWpYLUk4ZAxUa bunVRIvdFFegaUW5Cr6GjI2Ea0lYiOqcW4YAlQ5eXTYD7m9/uzAHnZi008y60rbWQwgs1a2B kDJ9oO14m3Vh4zJsSz/iZ0cZbj0IuAqSXW7SgtWYhwVQJJCRQVG4jAmafBMw4tSjpfW0DXWJ 26L/SioQYmM6uryrukXN0QGXrxdmaEwAbfc+6/1aIJg4N1upiohsjENXlJLSbIaE4zZoEpXb aR97kBfMQYycONoreTEjhZg4hkQAPnaGz/2l7rg8blo8v4iK+27zgLrTwm6+Agc6oJD7pCJY mRyN1K+xMTgkmSaCGu44v/bNyu0ZaYD7KIYdsun11KqBxi/Q0xgQ+VbOyiaLXWCtIuyZMJfE GzIJLVCdpcJ5AyahYIjOtYmljkbpq4CeHt7O7+c/PkbbH6+nt1/2oy8ynabvYCMNlI19UBks O3E6NPTyLS5JgT6pSLbmeHrxw8oMvQI/Ic0Z3TkAL1PV7Bu6xQQFxYDurPBXAri2JjlQqWBn ChesC+I2qg7DK3igPvEvbrkZdsvisSmC0o9EC7lLRlDppLtdoA5NlZPOTV14kHMQiNyKxQIE tno4gg2o9uDXw1H/LJQQYWhSiT2F5vboQ3RFGwD2pN0xs4IUSTitmDmnkOnSk2/q9C42PUU1 oEu56R3ckA2z3QYoJLLBtYt1k62imwmuDxJIIVShghgXF9xVL10yVo7eP7Rxl513izw8nJ5P b+dvJzs3IxFCSLSYmC82GqSDKfb5ZuzyiufL/fP5i0zmpVOLCcFUVOrWsFzZVi8CIq75qLB0 laVZaY/+/emXx6e30wMIV4Hqm+XUTGegAVrvajRKgZ0QmG7LPqtX7Sn3r/cPguwFsgwHRscc jQjVtQjEcrYwP8LnfHVsdGjYkO+N/3j5+Hp6f7IG5WZlGrLI3zOzqiAPZa96+vjH+e1POSg/ /nl6+48R+/Z6epQNo+hHmN/odCSa/7/IQc/dDzGXRcnT25cfIzkDYYYzag9julzNZ/i3CzKQ HOqTuE3BQv90Jk94NImsdfFZ2cGfAVmaPV8Vn9A02tR7R+c5LioVmLzE8QrdK1TOU1a1U7g7 tN6hTF4e385Pj//27+bUViDjNqXrj0sScPrKmrTbJPlyMsMDOGzEtl5tCFweMJG2YOKA45Xt 66mgyu5L3OqD5RSFI3uZqK0TxLhZu/F7BaQjEHZ8MduJOwjaBU0WJ4vFdLbENOOaAoKUz8Zx 4dapEMsEhc+nCdImHe88XBcELo8WU6SoDHs+CYQ1vhDMvdaoeOnjADxC4bNVCL5AmlbRRCzN KyNYk9Vq6beML5LxhPg1Qf4UsRCRmnhaiRMRT8jVk2yjaBwIzyzxPIkmqxu/UhlWdI5VKjGL q5UCyRSLBWASzJGu+gHjDczqJhghFUgg6nxIbdCTZHw1GeOvHZqkpdECjVB5wS/H2Mdoq0SU XI6vfPiDVLyVtnvMjgt+uK1BL2nBvlKX2I2tp+jDv/tSmuXO3wMdpeoALjcYsKxiy4Ktx1S2 5VMPrsnB7F8P7o1+rvdUZs1IwNQFV5Owma38UZlQ79//PH346RT7jX1D+C5tVNDJQ2lGMe4p SJUe9TXdPO8cxoaczsS5dGRcxmHHPjgkmYMOWSqEbQ7Po9BR3lkiNYQm1ZhAkA0oWtXlmjmX 18s0qqgb533A3WYbzEPhuFoYSc4HJ7u+TeKK0B1yS2wUP7s4LzH1F8lYWsgMBAfLfbclh9Tj ow524MZBK3aAxUMa3OLsQtts2yKBaJEZdsrmx9ytpkrJLcCwvjMipAq7rYSm9TaxdKcA6nrz UKzXEm/XqmzpNnmLPUfKXHsZqZrSTtEL4Gv1SLxTD8CKONDBNE0reqnJglq9TmgSEzPHRZpl QkKLWWkn5ruAAzWaFNxJqwso1ZZQwTpukerK1QpP8AhouxsaIv7gFMJ4OfmLejRB38cHdGZ7 d6/bX1nDW6TtHkkDrhDYxNxUELqSyh3Iie1QKS8FXNNdXZsQgDW7DzHNxcXZ2NmkGzaHGENm Pgx4Jd1VJLloADAEBLkc8oPgZnAWuUr6Sii8DTFUz4fQBxolZFtptW0by9gkfY6YQHO2ZbNL 78SgZ/jQWveKiRsmAycybRd1hCiIb2LHh9We3kUjduJJt7efGRUyT4usPLjQfdwYAjVva4ha NHVXvIZ3Ux3HqqzqdIPbgvek4syYirOmaew3iZwzdxVfdk2aFuJQTKXFDhoMtE/v6G1jPeY2 Qq/4VGYqlKZU1sfT1lWxEI3XO5Zh7yA9zdaezRrqbOOiGppXhvYu23g7YTXkWXQx4mLVpPly 0S+Roa5KSAu1Rw7xAqQ9lviUgqBomKVty7Oj6bdsdHrI2NglgS+hp5P71GZha/QJQRuPQLgL qjLEDFozGWqAv55OjyN+ega1R3N6+Ppyfj5/+TF6GlJqeWprzRLigMAjIYT/li7AMM1Mmen/ WoHNv5WJXcBT9raXhLwVtM6SPn2Xh4NAEHJtDHPewldg8GeFaNNwcaEW/THnjO4vbQNgjNKy DzTAnt+6xbxrG9MGCtpIGtMvJF8n8gmiM42qIalfng68rcNa4Up+7dwaaCpwqMXkw4GiiU1b zEtLLrwUyFcnOvi6yjkWzbvHW9ahPTCr/MphX2tKB7yLZZwcy2zEawI8qDrvBG59UDQmtV/p PkZ7rVOeX+25Oo63LabXHmjuuBkcvQf3xvQ2z5bHlQzTtEmxBxODZkgbdhHjWUbLDn3czYUg RCDdpT9nlf0THK5VZtkuK7h51G0hwCLNjIuW+AGPJOIyuWsrnxDCIFbW/VXZQzlMBpi26LNG RUC3PMFsb41yOTnezEyrPQNX71Zjy1jUwHE2d7Ls4TTzKMwgwhUPNlHAFtMmWmLHq0FCE5ou zdjaDu7GziVoYjncIjuKyelmGyZ5xc3kywYuK+m2IBtrAV2wFcly87phokzbSQO+p/j3ipNl tDoeAz1Zs6PYC+AZGusKtHOTd3Rj7OPbg9h/CvAH6A9L+nx++HPEz9/fHpB8bYJJuhenxmoy Nx6U5M9Oc7lQxuLQciiTg5CwYt/gTsYcAL/zrmLNYhbbE6J/HcGaNixJwrK4NEwQh2t+vrWu WRXFNgGwlK9Jl8d2TG/N1fOA6DsuLTGIuYUr0MXUSmlr4GXi6WEkkaPq/stJ2tcZcQIu+pdP SA1hSNZ0bSfuKXRMIMJ5I863doN5GZXrzrMWIXmigCj3AdvtcWNp/Z7vcdBvMt/OH6fXt/OD P8vqFMJ7QeaMy7heYGI566QgwxONx0pV8frt/QvCHU5ks5sSIE9J/HFWomVepY10Z6srTC+p yAZDlkvrrFYMEjckXoHLbj9FxLR+eTw8vZ2M3JgKUdLRT/zH+8fp26h8GdGvT68/g2Hgw9Mf YpokzivwNyFyCjA/U8t9qX8IQtCq3LsSXgPFfKzKpPV2vn98OH8LlUPx6n3xWP19/XY6vT/c i7l9e35jtyEmn5Eqg9X/zI8hBh5OIm+/3z+LpgXbjuKNa19JHU9oZYPy9Pz08pfDUxc5MjF7 jmJrb83pgZUYzEH/pU9/EaJBownXiH5O6Z+jzVkQvpzNxmhUtyn3ffrmskjSnJjWLSaRWMqw m4Ivq61kMkjgFhJIRmLSgQE5r4hpT2axEfsU26duJ5DwXpceK60EphM8wm2w55X+9fFwfumD P3leaoq4Iwl1khJpxJoTIUmNPbit9NDAQTEynd0sAlgK6daohxQSWzSbL5cYYjqdzzH4crma TT2EK3r04KaYR3O/I3WzullOLU2FxvB8PkcdNzS+d8FFigoU7SV7VPzOSzOgMLMUSGCbJ3Nm YbDOjJNkgBMzxJINV3oeFAsuY2XB29ytbCczz1r5lQCsjcbh9oW0UP1pXm6MMh6prJXDIhtI JiYJP1xMMC/Hu0LoAph4YrWyV9zh5kKGnYUyGMLT+fRYLIEQSY7ZdGlZnUiAfcftgVae0jgn EyufYE5mY++3VwZgFnNxaxfz2k12akJdHgbGMRhKiGPENMCn5jVATKo6GVuv4gp0gw6gxKG6 QiOuqGqPbUCwO/IEG/Xdkf66i5SrYL+k6HQytZxByXJmbhsaYI9FD3SGAcCLBf7KJnCr2Rzb FgTmZj6PHM2ThroAs+lHKj7q3AIsJmbbOSXT/2XtyZobx3n8K6l+2q2aqbYknw/zIEuyrY6u iLLjzosrnbg7rq9zbI76tr9fvwCpgyBB90zVPsykDYCHeIAgiINGsG8u4WLsU8AynPy/mbep HBX4YNIQ1hjGs9HCqyfsVph5NJkRQha8nIzmclPeugBRC+4OLhG+0YC/4PxCATGeEfu42XRk /T6kSnke1mGW6ZuHoI2dPIOVYfyeHzwK0c9L/L0w8AtimTibz2fk98Kn+MV4QX/r3nZhvBhP SfkU36zxRNduppEH68ejwDhcICNYVwo6bNes8JGSO/mKXZKVVZcZkgYAhsNYW7Ob/UxnGVkT +eOZCSCOlQjQxQYFIClGUUoY+TN+YwLO44PQKJSW6wkB/tgzqw4cMVtQnWSYiwy4qAr8EfcI jJixTxYsghas3UkRbmHZEGIlyKj54W9pxaSZenNzrgaBPZZyXV7GyjWVe+RpcphNYwE0cvWM 5h63BDok9U7uoGMx8vlxUhSe7wV8TvAWP5oLjxW6uvJzMZowTXtTT0x9zgRK4qFSPaW3gs0W ujyoYPNgPLZqF/PpnGMzbdXSRZhWlIPMuqfbDcBNFo0nuvVZc52NR8EIFhihvM6mCO12Zgve rabeiNa5Syu0lIDjlcLbe9e+m9h/aom8en1+er9Inu6JmISyVZ3AYWQGHaTVa4XbC/rLT7i9 WTLXPHCcAJs8Gpv2bv1tvq9LVfZwfJQBbMTx6e3ZaKHJYPtUm1bC4A8bSZPclOeIlnkyZcWi KBJzncml4RU9/KtczEY0jpqIYphaRzRR7ERap3j5WFe6OCMqof/c3cwXe31mrXFQmV9O9y1A 2upGcLd/fqKZVlrpS8nkNGS4gR7k+CFiOVu/LornojdRUIKX0u+IqivX94mK+KLi31IGPYFV Bbk7NEazPI4c7QauncjW+FztFszZp9a4y+x9Mprymn1ABVPeIn4SUPdIgIx9XgCajMdTk3TM 30smk4WPvtV6spcWagACA6DHs4LfU39cm0LQZDo3+wEQRz4qRC6mdCIANqPRiSWEl+Ums6lH i05pF2ezEf0GU+IKqC/IfK4nnY2rEnPS65KRGI9110SQRLzplEYJAeFkGvDCbT71AzaeE8gR E88UaCZzdrJBVBjPdMtmBCx88+iDfo/mPoao4M8nwE8mNDOmgs4Ch0DToqeOJJLqFDJ8qDUX izM7pffnuf94fOxyeltbX2nmZK5itgmrAlnD6vX4Px/Hp7tfvVvHfzBmRByLz1WWdbpi9Zwi 3xtu359fP8ent/fX07cP9HghniQTn3h2nC2nYiI/3L4d/8yA7Hh/kT0/v1z8F7T73xff+369 af3S21qB2EzuCwBoJ6xt/Z/W3ZX7zZgQ1vbj1+vz293zyxEGuztJhxuF8KYjeqlBkBcwIIMv SNWJ4yodxvtajFk/oWW+9qZEGYK/TUWGhBl399U+FD5cA3xOeNWOtPXXulTqhm5/VdtgpM9E C2gbpYu0acujdTD/DtWsAyvMu7FJ7DFXp/bx9uf7gybRdNDX94taxVV7Or2bws4qGYMoyfFP idG4GWpURx6JsaUgPjnbufY0pN5F1cGPx9P96f2XtoC0dzM/8DgOFW8aXYDa4A1gZKcy6lLS YGLyhottvmmE7+sVyd90vbQwcpRtmi31uRApCGy8rwWizHCB3XiY394aYQHjwyg2j8fbt4/X 4+MR5OIPGEtrcxHFXwua2iDdnaQFzckmSY1Nkw6bRpNm03bbcBbt+1LMZzQgVgcz7X5MNBnY y3w/JQObFrtDGuVjYAZWTTwR30MkgZ05lTuTGl5qCCL0aQhO4stEPo3F3gVnJcgOd6a+QxqQ y9eZ1aBXgPNKA5Ho0EHvruL/nH48vLMbrjXvZeWpL7CjlD5XY8Vb1KywAmqGvIEQZyDejLgo bmEViwUJ3ychCyo7LTfejOf6gNAXdJQHvqd7ZSFA9/GE34GuLIswmtqE/p7qytd15YfVSI8p qiDwOaMR8QlIr8QUuIUxhgMz6K4XIvMXI49NMk5IfGJzJGGez7FEXSeeaW8pGryqdauPLyJs c29r7/f1aMKKlVlTT3ThN9vB5I4jPeJkuIfzwjgeEKIpIYsyNP3GyqqBiedFygo6KAPtsYlj U88LtDnE32Nd+d1cBgHJQtwctrtU+BMGRDfrACb7tIlEMPaomgdBM9YMup3FBmZsQp0UJWjO qwwRN2MrBMx4EpDdtxUTb+5z5um7qMjoZChIQMZ+l+RSY8RVIFEzvYJs6umb7AZmDmaHSJyU r6jAF7c/no7v6jGBkREv54uZfinD3/ppdTlaLPSTvn2FysM1sVjXwI7jRqegYUbDdeBRRqVt GaRPmjJPMA9f4IhbGkx83We05eeyKSnp8Sg0DT+DxpBwBrr32sijCXnCNhDGajaQ5Os7ZJ0H RLSjcL7CFidosAh2wtVSGMILa0tAqnu2RCtFCFuR6O7n6claRdyMpUWUpcW5GdOI1UvxoS4b mbqVnrtMk7LNLnLexZ/oxv50D/fVpyP9IGn7Xm+rhn/Glra8nGaMr7o9s59AtIbr8T389+Pj J/z75fntJIMuWPtKnkLjQ1UKuj1/XwW53b08v4O0cWKfvif+jL/pxwL4BHejQKXFmOr/JWju eCGROC4IJao0RuRdBgBe4FHAxAR4I/04aKrMvLs4PpsdEpgeXR7P8mrhdRFhHdWpIura/3p8 Q2GO4YjLajQd5WvK3SrH+3q2AS6tmyFVInDyMmeO50pXc6VR5RnXvCrz9FcQ9dt4EVcwylmr LFAFhzkVE+eDGKACbrZbpih7b7FKlTeBE7UVhh7gk7H+pZvKH021gjdVCFLi1ALQ6jugwfas GR0E7ScMdMEJ2yJYBPx7hV2uXTbP/3t6xAsj7uH705uKf2JvfxQTqbyWxuidlDbJYadJsfmS ZvGpSNSseoVhV3SpV9SrERGAxH4RsCYagJjQ+yCW5eRdlEyCkU9EjUmQjfb9DbQf4rNf/4/j lyzITRnjmdD9+5u61FlwfHxB5R67lyUXHoWYgiLX3BpQB7yY65YccMznymmpjMqtEYde28FY D795sv1iNGVDViuUPslNDleZqfGbqJcbOKBYoVsi/Jh0PfDmExKahxuT/gbQaDdU+IGm0XrL CEpjzjxRYtAU0CRXCQGahFcNIAWu6qos+AStSNCUJedWKMsm9Yr2WMZoNaOD7vLksGQzJhO/ BfjRO/IM15zrXNmm86UPYZOjQ2kWxVFbGynqthdE7EpgjjGrULs0HYVk2O/ALJNVQpgBBRmC 1pnAUbWMxa3bb8ghQXMCCmquMwvQ+l8ribC+urh7OL0wroj1FbpHUM3DYZWyFpVhjL4MXXTL TvQz6+6rrsLokgZnUK/oDQyLT+XnNpNZGTW6IyIcSknjiOKgcMs6ygUsM/VizhtwSEJlF7u+ 5gzsJUGTtpGsuzHDuBni49ubNJMeBqwNnUkzyGjAQ56iVzZBL6P8cFkWocx/05Yc1gKUiWBk MUVzU9a1YW/M0sXOoB4akUrIxa0snSjMdqXZHdwGab6f51fYY0cNebqXXqLW1yKy2ocHf17k MoOPA4WDQVERrHeZioeC87CqNmWRHPI4n071hYPYMkqyEp+U61iPuYEo6Vuh8gg5EWb3GgD3 sbo0eGtYXeZLPhX8QJNYuUK6I5msKK0o2rfDlztMn8gEqKV5fMXUoPJAf1TvBiQ0ZdfeGbJ+ 8etW3JhpiapMluPDpfTnZVO16CHBOuZRxHXpSM3ehwvrxaxlsYtTPUldl6kYo6pqBwlG/CVB 0JcNd+qVK6NgHGqhaAaYVvOONoQ/+zNHvbhcX7y/3t5J6dJknqLRysIP5UqL9gA0tPiAwkhj /PZGGuY9VsOKcltHfWDw35GxkeG1NwBcqc2GnSfmk7WHimrN8ZSVoA+EIu3yzR8KK5+RRpSH ommjyP+Ohvf41QhC6VE/zAiihJEkWsKWiRlraBDmEs5kSAYlAElzP7wK6OmfmIROmE8qjNez hc+NVosV3li3aUModflASB8U2Nb3WN5fVX4oK0141mPbLWm6DpGWnD2lyNKcHNkIUPwyamoi Tkq1TaRCIrBjCdI5kvBszUgtPOgBqA+Nsjs4Ycx6yTZ1V6MojDbJ4bpEwzwZUZ/ImCHe4uAG txJofCxYRwbApSUcL8PnJvvGP1CJswUd9mHTcJUAPrCLBLLhUqQw0xEvmXRUIom2Nf/kCiTj g+7s0QKGmo1mx64KKZFLgpbIgeNrDX9Zxj79ZYaegYbzpZwTXYJLYeQBQ8enBwMxm+KpJ5CO mWmxKtni3Kz0VF8kAYvaW6hOkFsJc/rLSME4NXnTf5oB4eenx8rPlhto7ZynnrjeFiCmwZR8 PVjZBAhtNx9GFaGAweJ36NBGsjrAKelKaFCkmXMQVr41vRKEGYLOllBTp60f/8zQdcizS1sS qbF1TLyqRrotp8UXYFx8yJ+uNYy4jZqxVDfZ7JDZTcn1MLtxBEVs8TeicQRWHOqtHec7TnLI sW1j2PrdjDdvkzUpmMrWB8cFO0Nplki/eyMONbpxopH7V0LB9weuF/XXqqFDp4PhorkWLlxa yGj38jehwVXakAtUD7S5GkOz3KZwlhfoe1OEmOWU/X5hR/qI7YQf/UEoMV0Co6HR0FnkaltS nx8JwHD60hO8jwbE3b1qwLb012FdGFOkEO6RuFrlzWHHa5UVjntPlbVGelC0DtJGpdeUg9um XAl6aimYySVgvHgGUcJcZeFXkxH3UGBXcVpj2CT4w34JRxtm1yEIQ6syy0pOD6CVSYtYz2qr YQpcpvtWoWWj97AW5Nc6Op4nMGhlZac8iG7vHvR4mythnKQtQLJVOowtYpOKplzXIadL6mis E1uByyWyQriPCRrnB5G40fn8NG2XVffjP+sy/xzvYimsWbIaCKALuLobM/qlzFI2zt8N0Ovr ZxuvuqJd43yD6vWpFJ9XYfM52eP/i4bv0koeT4S7CSjJr8hdT62V7gJgRHDBqTDJzTiYcfi0 xBgQImn++nR6e57PJ4s/vU8c4bZZzSmvXrnO0KIxJA8JMOZXwuprfdzOjo1SLrwdP+6fL75z YyalMb1VCbg0/DEQhro0nV1IIA4SSP4gytCIkir6xibN4jrhjmNVOI0xuOtmSD1ISldbqQRU d5QWc5nUhd5bIypUk1d0AUgAL7QTik5wMQqmeNN1uCZstmvg7Ut2LvNERSVLSLQ7+a0b9E1L 1xgKTw3fgFd/jFUA23UX1sZeYWa0bzoVKiWWitSn1VTWmNvJqD6MLS7egmCZsWaxFn0ij3SX fLZxy+yAqrKtE71M3EWXbpRdqhte4KQ0hBj+VlITiUQsrrah2NCP7GBKTJJ8lLtrEyp1Stn1 wqbGIxYElmJtpC4zKKSWgtcLcZT4WhM5Esn2BVyX3p7gxsja1iMMOdhGl8y37m8YIErMDHgs VYVLGcbrJmEIknyZxHHClV3V4TpPQI5qD1WsIOiZ/d5Y9nlaAEcwpJH8zFKt3LirYj92rTnA Ta0N0wLdEl3NdKVjjTIeocYq5W88bzCPTX+9sQhgcnTkwKg79LhHO1tFqk3kbmM+9t1InHI3 1okwP6w7WdlvKC0yXrHPfc3fKaF/4N+hJ9/MFeAHof/GT/fH7z9v34+fLMIukySFm+GqWjAv QXZ9LAu7oqUeWHCA4X/IKT+ZHULcJQa1kttuOmbQebjHNOMCLo8+g67Ol26/2KSAM25nbK6t a+cktX1h6WBn9mJP4lZL9SQ3KRcZEK6AGFefP5QLU+jDe61v/CZP0QrikGYkcvzXo0E+PvDX wxoTOrpyj6muWUcdweOFT6V8g9s0K9W2RCi4JRkS0W+LU4GhweE+UHFZR4GEM2GESxFGoID7 f6knIsaD3PiJo0EaNN11xbao9Riq6vdhDbxIG8UW6l4mUVJtHGJHuiJV4W915+Ou5RKL6dWu MWIyqsa6ASb3OKS6TkIMC4kSJZ82U1JtK0yY5sa7xAGJtPSPA5Q3fhzw+PRVwbR/dYR+lYR/ o3/nViBc00LXqRy6D+xF5biB6X4D8GPgw9olb1iamejviYcxa7pHSGbBjNY+YHSTb4KZ6451 BsZ3Yty1uXpA8s8bGM+JIaasBo4zgDVIxs6KJ2cq5mJVGCQLZ/FF8NviC+eQLwL3By9Yd3La r5nxwakocVEd5o72PN/ZFUAZ0yITA/P1ezzY58EBD3b0fcKDpzx4xoMXjn4H5nD3GO4eQgiM fl2W6fxQm9VJ6NZRVR5GKIeHBa0JwVECd62IgxdNsq1Lsx2Jq8uwSUM+o2hP9LVOsyx1WPK1 ROsw+S1JnSSXZylS+AY+NXhPUWzThvsSOSi/+5JmW1+mgoseixSmVizOOAF1W6S44Ilwp0CH AuNLZumN9Fros3JzivzycE1s28jDs4qqcbz7eEWrWiu7OB5eeuv4G2TYK8xM7FQBgFAiUhDy 4CYK9JiGl9SxbOvhBUn1WpLEbhJM3RFvDiU0Iz+eO8eQRr5TpJGi0aSb9rENE1sLaSjX1GlE 5vnse1yH5O+myJpkphfciFlIX4pkNHMZX76AD9zKJNnVV5VKNjTUhxYZ/wQEwiK+0ij7GE41 gW4tkawkh2WzSbJKtxti0fAVzeavT5/fvp2ePn+8HV8fn++Pfz4cf74cX7XTP83DQyuewT46 oHFyvRU4dY48h51GeJgBPXRGJvK/PmG0hPvnfz/98ev28faPn8+39y+npz/ebr8foZ7T/R+Y HuIHLtU/vr18/6RW7+Xx9en48+Lh9vX+KA3mh1WsjFqOj8+vmFnihE60p//c0pgNUSQVkjIT LqoZU7QTqGQmNm3qWKqbhHI6CYQhjS5h8RWudFU9Dcx71xD7AEcI27Z0pHxthKXTDyzNZ6Eo VsAIKcFgdMMPTId2j2sfSsfkG13je1gMUqlIdI2wr/ET1NvK66+X9+eLu+fX48Xz64VaXNqk SGJ8TA1pygcN7NvwJIxZoE0qLqO02uhbwUDYRfCSwQJt0lr3oRhgLKGmzjE67uxJ6Or8ZVXZ 1Je68VRXAypabFIrPT2FE+GvRW15IyRasL/jGvY3LdV65fnzfJtZiGKb8UCuJ5X86+6L/MOs j22zgVOHqdBxoHYLJc3tytbZFq0UJRfdy0hH6vnp49vP092f/zr+uriTC//H6+3Lwy9rvdci ZPoRc0JEi0uiyOpEEsUbppokqmPBGe5147Otd4k/mXgLpvCAxO+yXnrDj/cH9HC7u30/3l8k T/Ir0anw36f3h4vw7e357iRR8e37rfXZUZTbI8nAog1IHKE/qsrsq+lF3u/2dSpgNbk/s6OA f4giPQiRMNwhuUp3zLhuQmCru25WlzK2Dx6Ob/YnLe15iVZLG9bYuy1itkgS2WWz+tqClasl uzWWnO9Di90z7YEAdV2HNuMoNmcGf0DKYeUVFhZpuNuzaqB2umIQtJutvRjQgqmfis3t24Nr JkAY/+vRZNt5aM/Pnpu0naLsPECPb+92C3UU+BwDUQhll3yOL0UBx88kHKYuA854ZvL27Lm0 zMLLxLfXjILb893CW6ZldaTxRnG6cmPabtq7mO2ctoR4hEw9qmtHuuMk5mB2PXkKW1U6cnDT UuexwSE4CkfoqYHCn3CalAEf+COmcbEJeUW0hofdIxJOfTTQQOOKyuZdm3Di+W6kP7FnWJXh e3uuH3nAlWlA5FyWfB4vdbCua29hc93rauLZULmEDnJ5HYq0dwBUUuTp5YGm3Ol4vL3CAXZo GFkSwFq11nlSbJeOQF0dRR1xuph+X5XXq5TdoAphaeNNvGMrRCGm4kpDJ+J3BdvzD7jvQGmx IIvWb4nPsLMQVQP8RyHO3q0SSjtiEzBsCaHnisXMKgBYcEjixFVm1RlnmkNxuQlvQt7GtVv3 mBSVDYRkiC9OucY9ESJhc5D22LoieUYpXB7Grg/uaM6uA42IWwAWeX4W3SRnpNDmumT3Swt3 rawO7fhKij4E1+FXJw0ZCcVmnh9f0Lmf6g26BSVf523h7Ka0YPMxx2N5m5IBubGFktZ4RPm7 3z7dPz9eFB+P346vXaRJrqdhIdJDVHF307heosVWseUxrbRk3Uskzvn0phFF/PvaQGG1+yVF vUiCTrLVV6ZtvHZiLrHftt8Tdhf7v0VcO1zFTTpULthmr0q38fP07fX29dfF6/PH++mJkUwx Kht3Ukk4nCqW1Npaz+0SFdDNIcppuM6H9xyNfSKSVhRvYitQqLNtnCs93CjP1qDfSm00x+MR 3kuStbSX8LyzH+kUSElV57p5tgbm3moT9aKZudg21+xSDMXXPE9Qdy213ZgF1F6JGDjwu7yV v118R0/Z048nFZbh7uF496/T0w/N8VMaZuCywPSoolfeD721KKRoJi2sP30a1IJ/p9U2Nopr h9RhGk8P1dXQdgc5LJMiAob0f5Ud227kuu1X9rEF2kWSBrvbAnnw+DKjxrfIdiaTFyPdMw2C c/aCXIr5/MOLbFM3Z/uwwQ5Jy5JMUSRFUlqE52BWRaJHCu6U8VPJlOthABsFqultrmVl6ynL HbTWOm0PY6Epi1o6wSRJmdcRbJ33dFFv56MKVWfwR8OMbZTcphudWanaWlX5WA/VBvooh47H CLKGwJyanyo3129COWDid4xCSav2Lt1xaIjOC4cCfdwFKnAmOVTJkc5tAOfBblKbkln2zcI6 HdNUBTOZAXduqXHp6NuV0PN+GC1dxjOP0S4OnXi5JKVK880hZukJkvAGTASJ3vP27jwJHzL8 kK19pPavz5JpN7PjYCEQJ+GuZQ/snTWVGPqCCocdIpRjY204Rrdi+qGtt9yzOHWgTtCkgIZa jkVHYmxlsCfhiEgCh+jv7hHs/radFgZG9Qdan1Yl8gMZYCLvMV5g/Q6WoofoWlgIHnST/tuD 2R9pGdC4vVdtELEBxEUQU97Le9oEQkYXW/RNBC6GPwkLeQJpUJStdZuUI1rzQgZ0XZMqWPew cyZaSzUaZQdIHVl6gEEY0TZa0gjh1r1z8AOz9hZATdfIMwJk7rbfOThEQJt0gukmGCAuyTI9 9qDEWxI3o6up0jKhENIdaZhif9urpi83NnlK/WT/3/G/D29/vGIJqNenx7cfby8fvvEZ2sPz 8eEDVkn/l9Dy8HwUlI+x2hyAE67OPATGqkMHMAPiTMiXCd2hB4ueDUswSbc09T5tpULnjTaJ rCeBmKRU2xqDy6++iKAFRGCplEjidbctmbUEW9EduO4xNKe3ztmLAoEZMBbrZDdyHyybjf0r IBzr0k7JTct7PKIXfdI3qL+JdqtWWYWU4UeRyeQ4lY0azxF6fVi09CHtLlBJsHQO0jGndXab dY2/+rZ5j3c8N0WWBMrv4DN0B/QooxiKBu36OVpUQO08OiT7cgodhxiU3JEJ9OlkF3gm4OdT 5HJywragfZXua2ySBHSdep0EExLGy1P4Zp+pa2GnLGHPz07BaspmqurAWAF6fnGyr8YgBMi+ 80+n4FUcHda2aUpH5KBEwzIt9v3WAEA2kf6KmXrg8g5jUQ7dzsmLnFLC0ut9IuPQCZTlbSOF Fgg4a4UwB8qFIIoKOgq3HUAxGQQE/fn89P31dy609+348ugHB1HS7jXxppxAA8aY1vDRsAlk L5ttCdp4OR+Af45S3Awq768ul2ntOgy08Vq4FFFGGNdtupLlZRJKWM4OdVKp1M0wtMDOpYyg +m4aUBrHXGugsm7lRWr4d4sXa3W5nPfoXM7upac/jn9/ffpmLKQXIv3K8Gd/5vldpoKEB8Ps 4CHNrap6AtuBWh9WmwVRtk90EV7y2wykbKpV28dCuOiovxrQDxwphVFomDvK9L66OLv8Ilm3 BR0DyxVVTnGNJKNmARlOT8qxkBvmK8IiKUMHZjy6jusjYJJglfSpUCtcDHVvbOry4CzAqQzL VC7Yar9osFARB6bjpbtuLtpkI//qNycOme65Nys1O/7n7fERQ3LU95fX5ze8EEBwR5VsFSWr amE/C+AcDsRf6grkZoiKC9iFWzDF7TqMBMRLrpd0GDMLXWBmpqj+tc9j8iGIrsJSOyvtuDFW csclCXoNrCqfx9+BBxaRvOkSU5YEFaFEqgSEk40xca+TUMILIzd4b33ntEHpqS7Meafzkln5 CnI+CnkmXJ+MtEvcWESCkQGmnEqEhAly7i/xov1ROfPFFVVmHmSM3tyY2GRQ0Od3Pd5vF1pv iCcdM5yFhk83+zri9iV026iuqVXE48tv0Q2s9yQWETQzEBPv79yhSsjsu+kxPUQ4f+j3tN0s XWQwtRNJ5uB3cL2DUFBqVw6biciaQUJQHk6Mc8z3Az2mBInmz/6EWekXi8yhi5kmHewRmaHK 6yy6ZTiTfFuN7ZYibf1e3Ya3CPfBX3iJ0v2QlIE3MCIqxviedgrCDLAs7wtovkYnnpds4i/Z BYGhKbZxZYJUGet7qyUWr0JPZKUag8U0PdQe62YRSWBJOymz1EZQOngL2WOHHdZWdR3VRP+h +fHz5W8f8Lqyt5+8He4evj9aJeha6FWKca1NuMiPhceNesgXw5uRZGsNvTS5u6bo0SE6tPP9 xMEPozNDxQYrtgSMW1mVZgVVqC0xHYgcdwPMdp904SW0vwEtBHSRrAlLJ5L9/Lbg51ifVw7+ B+3jtzdUOQLSlxenlwNHYE9wLHHBgSbt9YEzd53nptg4HwZgMN2ym/zl5efTdwywg55/e3s9 no7wn+Pr148fP/5VFN3Hck/U5JZsHj99stXNbbCo00xBbeBg1uQ/esT7/C7iijGsDcPBxlZI 3m9kv2ciEM7NHgPx13q17/JqrTEamrc7WiRJ36Ct05XwNXxRZeaND1CNQRl+Ib0KeB39N94+ ufDzPLqg+34yU/8PVrA08x6TYheZRgo8Bs8PNQZQACezDz2wj/HuGZFLv7Oq89vD68MH1HG+ 4lmWJZbMbKnIsI3cfwffrWkgnM0CFk6Qhvf2kZQU0CDw3hGnCJwjEiJDct+agikJeqdyLrPi wIN0sETGYqulAxVNj7MBUrzLK0iEJfzo2uiY6oVEuJeS0TeL9otziZ+4wmo5vwmmvE+F/62x eWv0xph5OmDg2e4AWhagvmJVj8hZFfR+1/RtyboSFXqg2uDhZQYEdXrom6DVgWEJy0Lw/YOk QRRDzYYuEekYdguWzS5MMzlICme9BZDjXvU79JV2v0Bmapqh58glN2QVlV+F9vDo1CHB6kzE BEgJSn3de41gPMnBAaamNW56QfILU/yANjCy0XAPw2YG7EEqA0Nkl6rzf/zzkhznqBuGFeIE 77ENXsC3aKdU01kZS9h29XA+m6HxVu3py6fQRs+jBdWsKEEt9DnHwdeV8mnQC3yYfG5WwXMM pzJuMXLMDW34qUhb2WYbeYDqnd9lGys8KS8UqviUfr+yNrFyFfpew2ladE4RO9ggxsEaxu46 m5/HEeOpW4Yrcu2oWjXsnhzP7oIX/Ai8/ZlnxOC5N32aaDqaEVPkLE10EtEm0nat+iK3QWtr bQOr1FqaKk8Y+XdaEYbWUtleVFJcL+1Q77GioR4bbX39Gc4+RhIErvlvpLy9FqQvvD++vKLq gbpy+uN/x+eHR3G/F9USXnrCpYWNhe6C7bMohuV3tMTH2T1vYUmGRfWsSQ9ABzTddRYo+LrI pHeLws4i5TptZMYLW4Ng5QHYLH55mG5T46/J7UuVMzV6VDqHAD2zeqACVZZnjZH6BvqS8xHk 1dkJry6c7TYNghkPwHBWUPqaSMVlU7zO+rDJz/YRhip1TaRcMJFUqkYPclhcEEX0+c2y3QJ3 r6gzGzxJX8HTiXdTNhVuzVFxIY/l42RcBS3qryKd/9OlfUYkR7vL76LSk6eDD5n4IDe0V01U XWrHbxL8GhB9sFQ7oUm2F95TfNAV7xPgYWWU4SBt9pIO7i0OEntHAQ1xPJY8LZwyqzaFxogd z7fkTG0sYJWwKktWGPl6hcth9I5LxMYbb9HK5KD2GM0053e0xQoSwwJ3eG4HqkdYHGEcHPRz ieGLcUChdAXWm9AtmZ+cApz8OyhpOYJRIhZxIcMFY2sEutl5T/I8xfdbw/SUUx+tX8AroGpW OBEUtzSBdRBfVxTaqPzOwZOuYmnQgLFnaHeApXs7CVV5XLm6CXrp4Xxq/CcYVTx1P24CAA== --UlVJffcvxoiEqYs2-- From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============9059077568781673235==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: Re: [PATCH v5] usb-serial:cp210x: add support to software flow control Date: Thu, 15 Oct 2020 18:09:37 +0800 Message-ID: <202010151833.7b7sgEmo-lkp@intel.com> In-Reply-To: <20201015064710.19786-1-china_shenglong@163.com> List-Id: --===============9059077568781673235== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable Hi Sheng, Thank you for the patch! Perhaps something to improve: [auto build test WARNING on usb-serial/usb-next] [also build test WARNING on v5.9 next-20201015] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Sheng-Long-Wang/usb-serial= -cp210x-add-support-to-software-flow-control/20201015-150459 base: https://git.kernel.org/pub/scm/linux/kernel/git/johan/usb-serial.gi= t usb-next config: x86_64-randconfig-a004-20201014 (attached as .config) compiler: clang version 12.0.0 (https://github.com/llvm/llvm-project e7b4fe= ea8e1bf520b34ad8c116abab6677344b74) reproduce (this is a W=3D1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # install x86_64 cross compiling tool for clang build # apt-get install binutils-x86-64-linux-gnu # https://github.com/0day-ci/linux/commit/87886eacf097dd70bd9f9391e= b329fa40706038a git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Sheng-Long-Wang/usb-serial-cp210x-= add-support-to-software-flow-control/20201015-150459 git checkout 87886eacf097dd70bd9f9391eb329fa40706038a # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dclang make.cross ARCH= =3Dx86_64 = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): >> drivers/usb/serial/cp210x.c:1553:6: warning: variable 'result' is used u= ninitialized whenever 'if' condition is false [-Wsometimes-uninitialized] if (((iflag & IXOFF) !=3D (old_iflag & IXOFF)) || ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ drivers/usb/serial/cp210x.c:1592:6: note: uninitialized use occurs here if (result < 0) ^~~~~~ drivers/usb/serial/cp210x.c:1553:2: note: remove the 'if' if its conditi= on is always true if (((iflag & IXOFF) !=3D (old_iflag & IXOFF)) || ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ drivers/usb/serial/cp210x.c:1436:12: note: initialize the variable 'resu= lt' to silence this warning int result; ^ =3D 0 1 warning generated. vim +1553 drivers/usb/serial/cp210x.c 1427 = 1428 static void cp210x_set_termios(struct tty_struct *tty, 1429 struct usb_serial_port *port, struct ktermios *old_termios) 1430 { 1431 struct device *dev =3D &port->dev; 1432 unsigned int cflag, old_cflag, iflag, old_iflag; 1433 struct cp210x_special_chars special_chars; 1434 struct cp210x_flow_ctl flow_ctl; 1435 u16 bits; 1436 int result; 1437 u32 ctl_hs; 1438 u32 flow_repl; 1439 = 1440 cflag =3D tty->termios.c_cflag; 1441 iflag =3D tty->termios.c_iflag; 1442 old_cflag =3D old_termios->c_cflag; 1443 old_iflag =3D old_termios->c_iflag; 1444 = 1445 if (tty->termios.c_ospeed !=3D old_termios->c_ospeed) 1446 cp210x_change_speed(tty, port, old_termios); 1447 = 1448 /* If the number of data bits is to be updated */ 1449 if ((cflag & CSIZE) !=3D (old_cflag & CSIZE)) { 1450 cp210x_get_line_ctl(port, &bits); 1451 bits &=3D ~BITS_DATA_MASK; 1452 switch (cflag & CSIZE) { 1453 case CS5: 1454 bits |=3D BITS_DATA_5; 1455 dev_dbg(dev, "%s - data bits =3D 5\n", __func__); 1456 break; 1457 case CS6: 1458 bits |=3D BITS_DATA_6; 1459 dev_dbg(dev, "%s - data bits =3D 6\n", __func__); 1460 break; 1461 case CS7: 1462 bits |=3D BITS_DATA_7; 1463 dev_dbg(dev, "%s - data bits =3D 7\n", __func__); 1464 break; 1465 case CS8: 1466 default: 1467 bits |=3D BITS_DATA_8; 1468 dev_dbg(dev, "%s - data bits =3D 8\n", __func__); 1469 break; 1470 } 1471 if (cp210x_write_u16_reg(port, CP210X_SET_LINE_CTL, bits)) 1472 dev_dbg(dev, "Number of data bits requested not supported by devi= ce\n"); 1473 } 1474 = 1475 if ((cflag & (PARENB|PARODD|CMSPAR)) !=3D 1476 (old_cflag & (PARENB|PARODD|CMSPAR))) { 1477 cp210x_get_line_ctl(port, &bits); 1478 bits &=3D ~BITS_PARITY_MASK; 1479 if (cflag & PARENB) { 1480 if (cflag & CMSPAR) { 1481 if (cflag & PARODD) { 1482 bits |=3D BITS_PARITY_MARK; 1483 dev_dbg(dev, "%s - parity =3D MARK\n", __func__); 1484 } else { 1485 bits |=3D BITS_PARITY_SPACE; 1486 dev_dbg(dev, "%s - parity =3D SPACE\n", __func__); 1487 } 1488 } else { 1489 if (cflag & PARODD) { 1490 bits |=3D BITS_PARITY_ODD; 1491 dev_dbg(dev, "%s - parity =3D ODD\n", __func__); 1492 } else { 1493 bits |=3D BITS_PARITY_EVEN; 1494 dev_dbg(dev, "%s - parity =3D EVEN\n", __func__); 1495 } 1496 } 1497 } 1498 if (cp210x_write_u16_reg(port, CP210X_SET_LINE_CTL, bits)) 1499 dev_dbg(dev, "Parity mode not supported by device\n"); 1500 } 1501 = 1502 if ((cflag & CSTOPB) !=3D (old_cflag & CSTOPB)) { 1503 cp210x_get_line_ctl(port, &bits); 1504 bits &=3D ~BITS_STOP_MASK; 1505 if (cflag & CSTOPB) { 1506 bits |=3D BITS_STOP_2; 1507 dev_dbg(dev, "%s - stop bits =3D 2\n", __func__); 1508 } else { 1509 bits |=3D BITS_STOP_1; 1510 dev_dbg(dev, "%s - stop bits =3D 1\n", __func__); 1511 } 1512 if (cp210x_write_u16_reg(port, CP210X_SET_LINE_CTL, bits)) 1513 dev_dbg(dev, "Number of stop bits requested not supported by devi= ce\n"); 1514 } 1515 = 1516 if ((cflag & CRTSCTS) !=3D (old_cflag & CRTSCTS)) { 1517 cp210x_read_reg_block(port, CP210X_GET_FLOW, &flow_ctl, 1518 sizeof(flow_ctl)); 1519 ctl_hs =3D le32_to_cpu(flow_ctl.ulControlHandshake); 1520 flow_repl =3D le32_to_cpu(flow_ctl.ulFlowReplace); 1521 dev_dbg(dev, "%s - read ulControlHandshake=3D0x%08x, ulFlowReplace= =3D0x%08x\n", 1522 __func__, ctl_hs, flow_repl); 1523 = 1524 ctl_hs &=3D ~CP210X_SERIAL_DSR_HANDSHAKE; 1525 ctl_hs &=3D ~CP210X_SERIAL_DCD_HANDSHAKE; 1526 ctl_hs &=3D ~CP210X_SERIAL_DSR_SENSITIVITY; 1527 ctl_hs &=3D ~CP210X_SERIAL_DTR_MASK; 1528 ctl_hs |=3D CP210X_SERIAL_DTR_SHIFT(CP210X_SERIAL_DTR_ACTIVE); 1529 if (cflag & CRTSCTS) { 1530 ctl_hs |=3D CP210X_SERIAL_CTS_HANDSHAKE; 1531 = 1532 flow_repl &=3D ~CP210X_SERIAL_RTS_MASK; 1533 flow_repl |=3D CP210X_SERIAL_RTS_SHIFT( 1534 CP210X_SERIAL_RTS_FLOW_CTL); 1535 dev_dbg(dev, "%s - flow control =3D CRTSCTS\n", __func__); 1536 } else { 1537 ctl_hs &=3D ~CP210X_SERIAL_CTS_HANDSHAKE; 1538 = 1539 flow_repl &=3D ~CP210X_SERIAL_RTS_MASK; 1540 flow_repl |=3D CP210X_SERIAL_RTS_SHIFT( 1541 CP210X_SERIAL_RTS_ACTIVE); 1542 dev_dbg(dev, "%s - flow control =3D NONE\n", __func__); 1543 } 1544 = 1545 dev_dbg(dev, "%s - write ulControlHandshake=3D0x%08x, ulFlowReplac= e=3D0x%08x\n", 1546 __func__, ctl_hs, flow_repl); 1547 flow_ctl.ulControlHandshake =3D cpu_to_le32(ctl_hs); 1548 flow_ctl.ulFlowReplace =3D cpu_to_le32(flow_repl); 1549 cp210x_write_reg_block(port, CP210X_SET_FLOW, &flow_ctl, 1550 sizeof(flow_ctl)); 1551 } 1552 = > 1553 if (((iflag & IXOFF) !=3D (old_iflag & IXOFF)) || 1554 ((iflag & IXON) !=3D (old_iflag & IXON))) { 1555 result =3D cp210x_get_chars(port, &special_chars); 1556 if (result < 0) 1557 goto out; 1558 = 1559 special_chars.bXonChar =3D START_CHAR(tty); 1560 special_chars.bXoffChar =3D STOP_CHAR(tty); 1561 = 1562 result =3D cp210x_set_chars(port, &special_chars); 1563 if (result < 0) 1564 goto out; 1565 = 1566 result =3D cp210x_read_reg_block(port, 1567 CP210X_GET_FLOW, 1568 &flow_ctl, 1569 sizeof(flow_ctl)); 1570 if (result < 0) 1571 goto out; 1572 = 1573 flow_repl =3D le32_to_cpu(flow_ctl.ulFlowReplace); 1574 = 1575 if (iflag & IXOFF) 1576 flow_repl |=3D CP210X_SERIAL_AUTO_RECEIVE; 1577 else 1578 flow_repl &=3D ~CP210X_SERIAL_AUTO_RECEIVE; 1579 = 1580 if (iflag & IXON) 1581 flow_repl |=3D CP210X_SERIAL_AUTO_TRANSMIT; 1582 else 1583 flow_repl &=3D ~CP210X_SERIAL_AUTO_TRANSMIT; 1584 = 1585 flow_ctl.ulFlowReplace =3D cpu_to_le32(flow_repl); 1586 result =3D cp210x_write_reg_block(port, 1587 CP210X_SET_FLOW, 1588 &flow_ctl, 1589 sizeof(flow_ctl)); 1590 } 1591 out: 1592 if (result < 0) 1593 dev_err(dev, "failed to set software flow control: %d\n", result); 1594 = 1595 /* 1596 * Enable event-insertion mode only if input parity checking is 1597 * enabled for now. 1598 */ 1599 if (I_INPCK(tty)) 1600 cp210x_enable_event_mode(port); 1601 else 1602 cp210x_disable_event_mode(port); 1603 } 1604 = --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --===============9059077568781673235== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICBoXiF8AAy5jb25maWcAlDzLdtu4kvv+Cp30pnvRie047szM8QIkQQoRSTAAKMne4CiOnPZc x87Idt/k76cK4AMAQaVvFkmEKrwK9UaBv/7y64K8PD9+3T3f3ezu738svuwf9ofd8/7z4vbufv8/ i4wvaq4WNGPqNSCXdw8v3998f3+hL84X717/1+uTPw43F4vV/vCwv1+kjw+3d19eoP/d48Mvv/6S 8jpnhU5TvaZCMl5rRbfq8tXN/e7hy+Lv/eEJ8BanZ69PXp8sfvty9/zfb97A31/vDofHw5v7+7+/ 6m+Hx//d3zwv9n9+Or/d73fv96efbt+dnXx6e777/P7m9PRi92n36eLizz/fnp9/+vP891f9rMU4 7eVJ31hm0zbAY1KnJamLyx8OIjSWZTY2GYyh++nZCfxxxkhJrUtWr5wOY6OWiiiWerAlkZrIShdc 8VmA5q1qWhWFsxqGpiOIiY96w4WzgqRlZaZYRbUiSUm15MIZSi0FJbDPOufwF6BI7Arn9uuiMGxw v3jaP798G0+S1UxpWq81EUAiVjF1+fYM0Pu18aphMI2iUi3unhYPj884wkBTnpKyp9+rV7FmTVqX GGb9WpJSOfhLsqZ6RUVNS11cs2ZEdyEJQM7ioPK6InHI9nquB58DnMcB11Ih6wykcdbrUiaEm1Uf Q8C1H4Nvr4/35pFz8fYSdsGNRPpkNCdtqQxHOGfTNy+5VDWp6OWr3x4eH/YglcO4ckOayIDySq5Z 40hI14D/pqp0V9Zwyba6+tjSlkZG2hCVLrWBOmIjuJS6ohUXV5ooRdKlO2QracmSKOlIC7ovMo05 bSJgKoOByyRl2QsQyOLi6eXT04+n5/3XUYAKWlPBUiOqjeCJs0IXJJd8E4fQPKepYjh1nuvKimyA 19A6Y7XRB/FBKlYIUEcghVEwqz/gHC54SUQGIAmnpwWVMEG8a7p05RFbMl4RVvttklUxJL1kVCBF r2aWTZSAgwcqg8ZQXMSxcHlibbanK54F+jHnIqVZp/qYq/FlQ4Sk80TLaNIWuTRcs3/4vHi8DQ55 tBM8XUnewkSWFzPuTGM4xkUxEvQj1nlNSpYRRXVJpNLpVVpG2MVo9/XIfQHYjEfXtFbyKFAngpMs hYmOo1VwTCT70EbxKi512+CSA6VoJTZtWrNcIY2tCWzVURwjU+ruK7gMMbEC07rSvKYgN866aq6X 12iUKsPKg0RDYwML5hlLoxJv+7GsjGkXC8xbl9jwDzo2WgmSrixTOTbRh1kOnBvYWyYrlsjNHT38 pXYcOCHJQE1BadUoGNV4CKPu7NrXvGxrRcRVlAIdVmSVff+UQ/f+YODQ3qjd078Wz7CcxQ6W9vS8 e35a7G5uHl8enu8evoxHtWZCmVMmqRnDk8EIELnL3QCKouH5ESWyzERmqF9TCkofEB2mCCF6/dYd HpkOfTUZp4tk0WP4BwQYeAV2xyQve/1rCCjSdiEjbA3E1gBzFwg/Nd0C/8ZOR1pkt7vfhL1he2U5 ioUDqSmoRkmLNCmZK+AGxtMEF+/qP3/Zg5pd2f84inc1cA9P3eYlKGFgbcft5uj05WAAWa4uz07c dqRcRbYO/PRsZEtWK/CySU6DMU7fepqorWXnCqdL2KxRbf0pyJu/9p9f7veHxe1+9/xy2D+NR9GC 9181vY/sNyYtqEfQjVYm3o30iQzomQHZNg145FLXbUV0QiDASD15MFgbUisAKrPgtq4ILKNMdF62 cjmJC4AMp2fvgxGGeUJoWgjeNg79G1JQuw/qmFdwm9Ii+KlX8I/LmEm56saLMKYFWKKPA+WECR2F pDlYJFJnG5YpZ5OgHaLozulYhHCLumGZ9NSybRbZjDvdwXMQoWsq4igNeI2+ovA7Z3TNUjpZCvTr VFI4G0h1fmw1xgWJmQ7wtMF9AZ3m+bTIWHE9ZhRoHVs6ety1Pwy4U3FcoKnF7ZdBVdAXTildNRzY Dg0ZuGw0uhwrixj6TfhnxLmSwBMZBQMEzh+NxSSClsRxHZEh4QiMgyUcZjG/SQWjWT/LCV9EFkSU 0BAEktDix4/Q4IaNBs6D315gBS0zQVXCOVpWX3mCYPMGDoxdU/QdDJNwUYGq8Ax7iCbhP/Ewy0ZT nlJk2emFF3kBDliZlDbGjUbfZeLSpbJZwWrAkOFyHLI3ubuuWVsVTFpB2MiQ15x1FFRhhKMn3q1l hklzvgSV4TrJ1qe0/pPTaoxF+FvXFXMzD84R0DKHYxHuwLO7JxBD+N5h3oIDGPwE2XGGb7i3OVbU pMwdjjUbcBuMM+42yKXVxr3WZw4HMq5b4ZuVbM1gmR39HMrAIAkRgrmnsEKUq8qT674NY7FYQmEA J+DpwH6Raa3vEGIYeqHgYkzrmZMm7xcYmWE0jH3GAfE/ME+rIjcZYB4TNjMEmsxx0zBhnQYnDTHh R4+fq4RmWVT/WLmAOfUQcBm/osuONvvD7ePh6+7hZr+gf+8fwD8k4Cek6CGCEz/6Gv4Qw8xG+1sg 7EyvKxMIR/3Rfzjj4HZXdrre8jscIcs2sTP7QU3VEKC5WMV1dUmSmO6BsdxREA2oL8Dl6E4x2gmQ 0AyjS6oFCDiv3OW5UMxSQCzqJd7kss1z8PeMZzOkDmZWZ3zMhgjFiJ9xEjxnZRBqDNT2k6X9eBfn iRvYb03O3PvtmiSpRGtyLkCKlGeuANoMsDZKX12+2t/fXpz/8f39xR8X524OdQW2sff0HAIpCDuN /p7CqsqRSCMOFTqXogajx2ysf3n2/hgC2WL+N4rQs0g/0Mw4HhoMd3oxyb1IojPX4PYAT0U7jYM6 0cbz8NjZTk6uehum8yydDgJKhyUCMy+Z71IMOgP5BKfZxmAE3BnM+lNjhCMYwEuwLN0UwFfOeZg1 gUtpPUEbBwvq7NzEZz3IKCIYSmBuaNm6Fw8enmH8KJpdD0uoqG26DMylZEkZLlm2ElOKc2Cjhg3p SKmXLRjtMhlRrjnQAc7vreNDmYSp6TwXqHS6DpZuRDYUIy2rZq5ra/Kqzpnn4AJQIsqrFDOCrpls ChsLlqD9wAyeB7GUJHiEKEB4TjS1KUej0pvD483+6enxsHj+8c1G/NOYsd+6I43usnErOSWqFdR6 8D5oe0YaFuy8akyS0lVNBS+znMll1B9W4Fl4V0Q4iOVdcPFE6QPoVsExI+uMbs0wDyKsYf1RhY/A IwtBMEpiCZog8+e0zWUjZTgZqcaFdKFULF7hMtdVwrwUUdc2jZeCiINXwIY5xAKDqohZ9SuQJPCX wKcuWuqmReAsCGasPEvRtR2Ze0CRDatNuneGZMs1aqIyAQbU6579erifFYOfulnHxjGA5bryutqm gB2hWaIG6iK1cHgrknk8oOzGjPmCME9AN5sCb1rMsIKglcr3f2GcKEV/nvUbUPu0zjDIB8LKJUe3 yawl5g6moh4WOvSrVu+j+60aGc8dV+g9xm/wwHrzKjLzYHVcB7mXDVGDM9CZFJvQunBRytN5mJKB 9kirZpsui8ALwbT9OlAzECJXbWU0RU4qVl5dXpy7CIYXIEaspMOSDHS8UWjaizCN4qi2c6oO5wDd bRXBtBm0wLRxeVW4qcu+OQUXlrRiCrheEr51L6GWDbX85CCTJgmbMjciLMAtBNVinSbnULeBUuxt sLG+Ej1WsL8JLdCZigPxNu3d6QTY+cQO6TuI02L1mKw8prWNVTqjVsx9u54aF4gTu0ZPDwsqOIZw mFRIBF+BpJs8BV4HzhqDylfW1mY60cjXx4e758eDvRkYRX0MfDrD0NZpkDSaRRWkcZXIBJ5iWt+/ C3FwjLXhmzDV13n4M0t3qXd6MXH3qWzA9wjFrb+rAweuLYNbWHsMTYl/Ud/+sverCBkqlgqeerec Q9MgUiOzDiDY7rHRNMf6F1Q/OfHTTOZwZcxOdq4Fy0L0d8a9mumRMQGWTRcJ+noTHyBtiK2ckYql cW7DgwOnDoQoFVf+ldV4odf6WV7PbzQelR2BRHzfAdyLYwCnJa6/KyzA++YywMA7D71CNrbVT6O+ LEtagCR2rgde97b08uT75/3u84nzxydJg2vBjmn86s6QDdOuEFNxvJMQojVZvJkDsNfleGuycfRK pYTwOAd+oz/MFLuOeklmaSSkDhhcCV42ijHxM/YGHEbzOIiEyNBvaSuTkfV22HmTluqdd44RzIpe zSsl20nJrTknzfP8qK86Itbx6QcEzFxHhqK5m+TLGbCynwDBtopt/WTSqMZpitFxzCW91qcnJ+5I 0HL27iQ6DIDensyCYJyT6AyXpy7nreiWxh0eA8GINp7aTwWRS5210X00yyvJ0BiBjIMbffL9tOP4 IYAx6ZpOLMcEumEbTHRj9vDYuBDHFzWMe+YNu+SqKdvCd7jQpqH/WLlgj8bWAXahsasfm89YZ9LJ wFpxDTW/t6cQZcvrMi7gISbe8MdJX2UmCQE7i2lf4FyWX+kyU9NEuslElGxNG7x2vHSufI8FvpM8 B8ky3et1F2Y1bS++HUXjOLIpIUZr0Dor9662efz3/rAAi7z7sv+6f3g2KyFpwxaP37D61AnDu/SF E4V3+Yzucs/ZdpcLoUPc5d4aQLxUUtpMW7o4avQrKqMRDCzGnZXekBU1kZ832NDalVZ6EujBi5hv 11TBIuauCwGUlitv6j4GsYVYnh3efLSOEZaesZTRMeceHzoYKkK0EIP7F0YALDpTOmu0+2QPnrjD OJNfvbQYHQJ05XzVhpmjihVL1ZXzYZfGTQmaFpAPBVbXksG4kdLJpo6uCOIaohdRG2nHalKhVeBp mJU2rv9ocTvS+TMIutZ8TYVgGR1ycnPTgW4eC9dcAAk3mRAFXsjVZLqkVcr3Hnw4xOJXHWmmqC7i GtbLg0lzUgctimRBS2bF3m0ygamgwJky3FdX2wPByuDwx8EsmxzBAAzafYPhb38ckBSFAG6L3y7Y vS3BqSdluJlWKg7SKkETox117qNHTWpJgxqxbQpBsnDpISzClPNH2KTIazyeFsEVcgiswZTM0YXx MHC07JvEMlu2Jw1PuaNCRdWSh7CkiAga/G++LNdweEMdbeC3+5e9EfQRs1jSiexgO2X1h2g7Zton N2ZZo/JpgOl2npaKNhCPad4AU3lBYgraapPOQfsDg//nQUYZVG+Q4JDGQ+1r+Bb5Yf9/L/uHmx+L p5vdvVe210ucn1wxMljwNVZUC7y6iIBRCl1KDID+5hb7O0UNc7U2kU5ITkxZxvywWAe8EDZ1Mj9d D68zCquJO+bRHgDr6o7X/8EWTKqmVSxm6TwC+1UfUYyeGjPw45v/55s+ttkY7rBFl9NuQ05bfD7c /e3dggOaJZfPVF2buRrIaJC4tHFZM8n0GO5P077/TNjXm5aOz/3bCAcG/8afCpj4NWexafyl4FHV fKP97LI7SkNpBk6HTWoKVvNAlM9tjrsyutKQ9emv3WH/eer++sOVLHHd+bjUD8fEPt/vfR3gW82+ xRx0Ce4+FTPAitZtyHgDUFE+y3MDUn9RENX5FtRfKoQ7NNsY0i6GR0K0n8cThijJy1PfsPgNzOZi /3zz+nendAMsqU1peb44tFaV/RFzmgFs73P6Jdorb0zHOoodYqA6CfkSK6ECZux2NLNUu427h93h x4J+fbnfBexisvgzqcmte4nbRbrTpgkKZo7bi3MbjQMjuOUJ3SOfoee4/MkSzcrzu8PXfwOjL7JQ X9DMyzzCz5kMT85EtSHCxJ9esimrmHs9CT9toVnQhG/6KpIuMbaG4NtkcfIuXnTPMJVMsyRHp86N PkfA2JZvdJoXw2zDJtz2Pp6PSkrBeVHSYWuT3DuscfEb/f68f3i6+3S/H8nIsBLodnez/30hX759 ezw8OxSFja2JWz6BLVT6zliPhWo3nqu3BFpNCW7yX2Q7AMciEYQIvOerqN4I0jQ0XEYfRGJOrSvx HDIeJSeeJkJ8zO/YduPOCl6Gm0hJI9uy7z2zD+XfZDYNlhYJzNYr5uevMbGq7FOzFcSYihVkJg1r NpuyMz3JdCEkA22Avr1RLWG1cics/8nx9rO2Zs+NS6ehya9OMquAoBMkdKlNPjygbV9dMaGo9ekl RjYYsZbEz87aVz37L4fd4rZfsfUDDKR/4xJH6METjeB5/Ku1lxXB2+EW9ND13FlgrLXevjt1q1Ug 2F+SU12zsO3s3UXYqhrSmgIN7xnt7nDz193z/gbzZH983n+DpaONmdhqmy31CxD7IMte8vXy3nE/ OgdOfZLZMrfVas4QfQtGPUMIMGZwbcFMVK98aCvwGUgSTcWY2casUFsbBY+V3CmGxEGaBS/f8T2v YrVO8BFosGwGu8aqsEhN1Cos6bGtWN8SA/Am3t4NA36uzmMlzXlb21sEKgQmCGLPINfUDx3HV6Bm xCXnqwCIhhw1Dita3kYe4UmgsHFy7JvEgGqmXIyDcsmv+nL1KQIqGptMnQF2t2zVhOh25fYduC1B 1JslU9R//zOUeUmdXdUEA0/z1ML2CIeUFSbvugfd4RlAuAoSVme2rqrjFN/RsXjSjTX948HH57Md lxudwHbsq4MAZu5aHLA0ywmQzHsHYK1W1GDegfBeNXRY4xvhBqxCRd/cPNiwZWOmR2yQyPx9ga/o SIR3J7FTG0XzONStru7QqqrVYI2WtMtEmkx4FIwvv2IoHXdZabDPrrrqknAxnUromAtvAwKMrp8t S5iBZbydqTvsXEz0Ie373f77AhFcvNQe8WNU667cugLNKAaeSQkMFAAnZYLjNZ4HOfqQfMMUeJXd uZu6tJA5Uj55aeqC599Pepp2+oQyFBSOjOiW3nh6rsZbcVT5WO0ZOdJZPN200TERjgXtYWreHJsB 4g0QWFwRnUry3Og4FZpC0EP9NT5NQZKdQwdQi1cCaJbwfQdKSUR7GlB/3xib26uIDhDolqm4Wvd7 jUXWkXGdCum5QVyUyFAd2KDjjWm4TMtv3Wvzqb0DyjB7FzfUkvuhatIGihgFTbKiu2d7OwkIOzgJ rOsQUSbMVmfF6I1cYlcyQmNto/1TYGVV/zkLsXFKto+Awu6WXaLdY6BxvQ2QDyLq7irbt4iDXwTG O+b8oBVxX2aEXbvXK33ty+B1pnz9x6fd0/7z4l/2Ici3w+Pt3X1QbYVo3d6PPY8xaL0DaW+Jx5cP R2bySIEf40Evtr9bDF5O/MQ/7ocC5Vbh6ymXg80DIolvYcYv+nSy7Wrh7pDM43sT4cVLNC1WWx/D 6D2bYyNIkQ5ftPFTVhNMFr+Y6cAoExBJHp0MS+Q34NxIifp+eAyqWWUuSWNv72rgOpDBqyrh3vuv Timal+PhZWlSehdx+LzSpDIE/ejX9/YPLxNZRBttDjJox7RcIZiKPuDsQFqdehUZPQIW2kffU+KT 5C4nMMStXu9NEq8YsyNPC57dvWMBeeO6LNhqv8nUSyTzY+IowpA7mhZM7g7PdygBC/Xjm/uwwLxQ sq5wtsYrAS/zRSB+rEecmGyz7Qh31LbMY83g7xfEA4xTKSJYfCqnQjb9GYbMuPwJTplVP8GQxc9W 0pbm+zHHKCPbOkaCFREViQEwyxFpxrTmxfsYxGFHh5x9Ejo4cE/yJtlV5KbqI+aFJm3oB7pPQLHZ lIfYbxPx8csEDldBP8Zt7XAGHov/cMQBrq4S1zfum5P8o7sXf5IxQ1KfOl2tLOA7CKNzYZPe53c6 uIlOLfwYLNp3A3qDznV2gX7voMpEcYx5ReV8lMkYIrt0kEO+8W7HxUaCWZ4BmtlmYINHYD5flY1P REaUeUjYWWziXSftg63HdLZN1DUN2hKSZWh8dH9vOHGO+veyOqE5/oNxq//RJQfX1qt16dwRYyzD sqnq7/ubl+cdpjHxE4QLU3j97PBpwuq8UuiZT1zHGAh+pMFzFrNijKuHu1h087uPkcTUvR1WpuL/ OXu25dZtJH9FNQ9bSdWkVtRdD+cBIiEJEW8mSEn2C+scH2/iGsdO2c4k+ftFA7wAYLc4u1N1MlZ3 4w42Gn2DyB3dd4NQRy/mkAXNNLf3XlNLjE4PPXn67e3970nSW6GGzmy3/I17Z+WEpRXDMBixulAq 6ZNjqLNR2Q98owcUvq4GMlYdbOmh6bGd+qY/ABxHQIwtGy/A0vBBCIFYePXuQAhya9V7IiQYvb6N Fhy+b+f6i6RGC7XyrvYCEcHHVH8fddmF+vb7QknraLiaCWTK4Mrk6luGmqaTtBar3ad6MUwOrqj4 sphuV32j2IX7Vui8EvOOee1qYsOYM+OrbR9ZzJlWdRJSnoUdzjaaARDiWOWXdQt6yDPX/vKwqzAB 7mG+z+wUnA8y8ZahhXSxnIlhXQhF44jS3n5aDTrYLlrls90lNeW8KFzVlTY0YVGIURthPlTMdAww 1/HErpbjmKhvVYC62eaHEJDoB/yZDAp6ELYSuVJXeiVLHhNWYJdfaFPrPmxm0EyR0Zgothc31rWO SdF8qK0i5d1tM336/PPt/V/gw9FzKyt6D3IjoVcQYd2X4Zfir46tRsMiwfD7URkTjv77ItHHEB4J wuG6f48toRlSH2+Tm5QkkBIPrUoRtNJ3rSOkMN2eIspTOyOi/l1HxzD3GgOw9kGnGgOCghU4HsYl ciK3qEEe4MzjSXXFgtU0RV1WaepGDKkDXvG/7CQI+5ApeC4Fid1n1S1c3yzeACxLzY40Tt11aaTI iQgKje2GawNhw3mgMsxbsFt9FeX0BtUUBbuMUABWrYtiMhnu7w+tqz8P3W5DhtPRhNXOVnK2x0aL //KPxz++PT/+w609iZYSdUxRK7tyt+l51ex10Gvhuao0kUlFBFFbdURoUmD0q1tLu7q5titkcd0+ JCJf0Vhvz9ooKcrBqBWsXhXY3Gt0GikRsIZY3fI+54PSZqfd6CpwGnAlMH7qNwj17NN4yQ+rOr6M tafJ1JmBh/SYZc7j2xUludo7NKY+VZAsGvwlSNYByT3B5gKH100aJWxphbA6/ZJ8kIalJzZ2G1yj k99AKiYUhcRoBGSbI9hyEVH51XJ8almZoPB4RrSwK0SEinHG+AYMRDriWQNCKzvHLK0301mAuyZG PEw5ftjFcYgHtbOSxfjaXWdLvCpGeE/mx4xqfhVnl5zhWh3BOYcxLRfkOaMVFPiQQyxRUZSCZVjd VNRV+Mtv1mKo5WNa6YZWluU8PcuLKEOcqZ1lphNFUv3UieLJ0yLJiSPSpN3DmzxKWg4yPVUSKUkR zyHDNHB7iuquKOkG0lBiPLawE04We52G1T6GrzmWqREqzAtBOIr2NGHMpBQYo9bnMaQPlRCvYoe7 7e4coadJJUZUsQdluwnQciXgyefTx6dnY9G9PpXqsoH6bQ1KeghbqLYWlSUFi6ipID4TQtHN9mpO Copb7etTiKWsuIiCx9zN2RLuD/AZBgM1dod4fXr6/jH5fJt8e1LjBB3Id9B/TNQ5pAksVWQDgesO 3GOO2k1QZ1OyQuIuQkFxvrw/CdRTGNZja8nj5nevL3UWTiGu/sK5aDquJmSCSOXJ82NN5ZpP9/hC 5FKde0SErZZz9zgOO8BbHgf5oNyLvvqIVPechIDGaO9d1vdMxKAlxFwZy2OpqFtu5mmFeJ+kT++M 6Onfz4+IK68hFtIyyDe/evdCMDyf4x3whISSCDQR+E3CHzRF4xSoJNsM/0g0lbarUQexo4T3f1hR lT1Qq56MGqj/sBtXPygDJPheUAiGTr7GSC8MtIFhMZtDIjSihiAD9TgZftOTYnErgIVEBn5H67zE uI1G7S4edZ2gpwtg7ipRnKRPT4bDhmDDNDqiJurYfYVEh8eZ0H0LAvkpHaDOgRQKMEjuiywtnZhj KOFobgAA6kxgo4Ocr4AUdlYe3WDhbaucSeE14fuD9RsKBXoRsz6mFruE2p8hhBQgX4NFIo/ay8rY MhX149vr5/vbC+TDHkT7AP2+VP/1EhsAHB4TafV11Pa4QnrGa89YPp5/eb2AVzA0HL6pP3r39u6U vUVmFPJv31Q/n18A/URWc4PKHIBfvz9B5hiN7icB0vZ7Hvd6KCGL+PBzaaB6MggUhETdQLVFnbn9 eT0LTKWogDLe9c52iS9wt/j89fvvb8+vn45yEFhzGmlfTLR5p2BX1cefz5+Pv45uJ3lpRNySh46V 9WYVfQ0hKyJ3upJQYHlkgNBw8qaLPz1+ff8++fb+/P0X22x/D/mp+gXSP+ts5kPULs+OPrAUPkR9 D6BX4APKTB7Fzk5QzXIRudJNA6pLKdT6YzJ6Q6DVGnDzzqryy3zqoxt2qaTq8lprWynWCsV4+1qq BPx43BDiFgu6bcyC0+K1k0sdmlhA85rC19+fv4P92Sxyvzmw8S/XmEK0azyX9fU6mEtdcLVBu6tK KF6F35ZbouKqiebopie63wcUPD82ItMk882DlfEWMzp9S71ug3Uch/Nm07lMcjfwqIXVCfidoWNR onkasdjLPtJ+K4VpsYu10i95tQvUhWy8vCn+8t53f38ZxO50IC2LRvCygyUVXsuCdY1YY+pLaU/q bj56GRYjQF1xkCI3nbIgZgvkanRt/ZF3FyqTyvps24zbS5j27sJxHtRaPnBdigpxJhReDQE/F4S2 0RCA9q6pRolI4BWMrXVS32XS0vfZPdE1MO0n0NSjgzCQakz5loh7kVZWkkQtnRGPZwH6XMWQi3an JIImJqv9+vjBMb6Z37WYhQOYVBd8x0bbwm1xqYFdggEoSWzvm7Yd28Gkhc1tbX3CjI+z3uV7d8MC cq/Pcu2Bi+4ugjt0kavf9X3LdvnJrqUdtC9FogPaEs9AfRR1e1Wxokvb6qzLbaZum4Sb+yG1Q4Lg V62+PMcwqYEJvOOCIaQo9jim2l17RK8wwx+uK60ZdzPPZHuwOZbE64UKu48hz4EdB6GAxoCMok7Z 7mcH0ETPOLDGx8aBOVtF/U7tRcr2bdK2yE1nbBCgtXRgTeSdZx22st6Y2Ak3N3gP6OUfA6pzVAhv kOy62ay3K6xcMNssbpRMM6ja6oFtN9VGU82S1CVcNiml2kzMn2+Pby+28Jfm7r2m8RN1dHyN62ha xTH8QDrWktjvLIRRYSesa0lA1pZSLUcp8vlMCwxdSw8Fw3X+beFKLeKN5uMsy4ctAlQ7gphHhzY+ XnuXZk3ZQZNRscP14d207Ch/WsDKU4TVKq9YOoMWq6ZhOAzIt2ZG0Cd+t3Fa5afdXOwFAJVqGJ39 dWnBDfe0YiFc9KXVe9lWDP2ZgP4KN2ZA1tP4ZN/tkaFaVCBFGAVAr/7XSkZ/sw1msbg59YW8drfc 9JzwYdQ2QL0Q0m5Vz7aXlyY0lkdWOimXNeZ4SQg/Wo0mNJUa5xkGHRQrDq6jhQUGjYYsjwXhMWAR wsa+3YTqINXKwG7ZKt3t+TT3/+ePx+GpKXkqswKytMl5fJ7O7OCeaDlbXmt1pS1RoCts2AhHslDy XHLv+bPuEgittFjiUcmMmZsjts2xXueER0Yp9klNpNQVodzOZ3IxDRxFaxrGmYTEzXDkiJCQF49K lolxiwTLI7ndTGeMcvGQ8Ww7nc5vIGd4/sp2IUpFtFxi6Rhbit0xWK+nlqDTwHXftlM7cicJV/Ol dSmPZLDazOwpgaNeTUTNw3zeqO7w7nmcv104S+vhSblGi1XLaM/tUDW4hKu7tXOs5OecpQL/CMMZ nKgDQwznSmpOLK1Tv8gao9jgDDuhe+zSunoZoMmv52wYg0jYdbVZL+nqtvPwuhrUt51fr4shWERl vdkec+5OQoPlPJhOF+g37Y25OxB262DqcUkDazN3DYHq85PqntXGcDXJC/76+jERrx+f73/8ph/Y adLwfL5/ff2AJicvz69Pk++KkTz/Dn/a016CXhnt9v+jXow7Neym/xTBA0KnVM4xm1CbVdfiRB2o dtX1Pby84taCs7n4nxNXT2wiEF4/n14mShKe/Nfk/elFvzc/UIa23CysPTOJDMUeYMgIzlnuXl3O meN+favhvgF1m7zc4aPi4RHncvojZXEIwd6oZrz7ihttvFtSIyqJmwWPbMdSVjOsWnhL0Mlk5JxZ HVfTcb9uZkD1c7AwENDVFB4uiI72SuzsfAVTZw1cfOwXoxSV+8t7AwUgOin2vvuMdLNNeyax7A9q Z//rn5PPr78//XMSRj+pj/hH+9PpZE4iW9mxMGjsJteVdS7YXRHUsblFus+G67Gov0EXhgbaaYI4 Oxy8V4E1XGcS0roRfB3K9lv/8NZAQq7BZtbdKvehQeDnkU48pP87IHKqhyQow0XV8Fjs1P8Nh6KL 4DbWjkDbcagnZAxVkWP9b59T9SbFm+SLzjPv7HCNwaVRg9MvGQzSLpllvR52c0NGdxiIFmNEu/Q6 u0Gz47MB0tup80t9Vf/T35u3KMfcNYxroKLfKnpqgRUaW0QGhgyqDDuyYL2Yeq0zFjZ98qoS4fpG BwC9tfXqDQDC46R+uap5O9V6XayhMGmV9LtcdSK/LK283i2JOa0H6fwdLLwr+WVQsuBadV2W9+ZR SH+0Itwu3Nt9AyJtHIZnns10D2DDPKEWDlKsxIRDXENWJdiuMTw3h8tG5o8AfIjVfvfBReg85aKB XHVi5rwCfGCa4af84iSV6BCJcxvpwUzEuwz31OmIhk8a+BTIHOblHIXOYP60y9CBfwn69Ap2qVv4 GcrjElaU+R0549VeHkP/8zRA1wDfIuroEiruhCN1qcHzol3REHwUb+DbqmkKJzq7r1frjQY8Rgm9 uQdUYpY62FybnTmDYiaPiE3XmeP7An0hssE5k98IkvmZYJL64SrdcO910YtO+vWuGlLT4eFQZmlT ZBwAvP3qVSPcXOfBNiAZ5954/3iz10CRtT84L163Z7ZPJXJ/20NokmvlbcEsQN94MIN03qY1oPtk OQ83iqnNSIzOTmkU1xBJpEM+A4q2DUJgB2mp+Twq+Bo1hf24kUvhGFWaWfCZloL4zxV3cNd2psF3 eguDano6mLm7mI2d7FIk6npIsuBwvl3+5bNaGM52vfDAl2gdbP2VwE+HPNFnLt2rPNlMpwGNN4pI stf+7ouOdRHZ6eJbqI4eHIJ5gtCyuGL2LQW7aHSnse0lIUE36zm9MO0QAW5/dhCzAp55scsgoY8b UQconfnDBTVGgn5yAPiQZxExt4DOXfHVXEksv5g/nz9/VdjXn+R+P3n9+vn876fJc5uE0b7A6NrY Eb0qdjiEf2twyM/MA91lhbgbjEZ9/WGwmqGCmJkCJfLpCgZFpYhRbZDG7ffd1U2N89GfgMc/Pj7f fpvodJXW4Nv1i9QtJXKjSnWTd9IzHXo9uuIu/oDbJV5uTGMcEtlPb68vf/u9tFNpqMJK6lwtpp7f JiCSXNhRihqWys16EUw9KAQ8eaDiAZ6z+fK367nxP19fXr59ffzX5L8nL0+/fH38G3FegtJDxVqC b8tGqU0odfeV9IKtDQTukCS5K2o1MERcajDmuT+/gebWO9T9cM4nwXy7mPywf35/uqh/P2I6yb0o OPiZY71sUHWayXtHvXOrbmsmWaikkwweJtLuBthNOOWluYNYM5E282yPdpelEeV/rNX4KAZ6f6i8 61avZLrTOUpvxLBS1hewbnDC3qhGDQFAuJo9J1HnK4UBpwvCVXinJLYqwm/6ByLUSfVPEo9MqXGp v2RGucCXu2a9cK8rQQYWlRU+NAWvz3q5i0zKmmj3TJkLG1Mf1WoaU6Y1dRHzChl/1uePz/fnb3+A urJxC2NWyizHxa31bf0Pi3SKdsj36PgZwPDPSpzOinoeuoYmHuPWmnNWKHkSn9H7/JihqRisdljE 8tZbs50RA9JPhO1xdmBXcODu58nLYB5QIc9toZiFkAnG0+3FIswkwRr6oiX3UiKFnDLLNNr3En2z zK40YQ92AgoH5aZ6SqJNEASk2TqH3TQnIveSqL4eUFcsu0HFitJSOGc1uyOSatjlihAfAGyzzLnf sTKmYgtjXIoFBP5FAoaa/LFdUCmZ0R2nhtTpbrNBL1BW4V2Rscj7SHYLXFzZhQlwTiLxWHrFJyOk dlUpDpnvSWpVhn+N5kUr30poF6TC3/oBh95LQ7sUc462yvSO7TbPx/QATqGzqJx5LY9VCl6YcD3P 8SArm+Q8TrI7EDzLoikImljcVSJCr1P2KI48lu7tvAHVJb7HOzS+tB0a32M9+ow9gWD3TBSFa14L 5Wb718h+D9XF2BmNz/SQIjrVjvOBHTi8NN0dPfhIrjUPGY6LUjS1iNVo5B4mJutDLFDfEatUE7vW NxTPcKcdqTaI/2jRsD54ToM7auMdn432nT+ER/flUwOp0xyUl6k66+AxjdrnJcOazOsQKD8+Vuxi 23stlNjMlraO3kb5LwtzXMXEmxuQQzcl8hcccOOnghOfsLhSRfxzrccsyNZx7vpzMrK2CSvOPHYd T88JFZ0rTwe8fXm6n400pFphaeZsoyS+LmoiRFXhloNboY2Vl5vo/WWkPyIs3E1wkpvNEudmBqWq xXNJnOTDZrO4EpZzr9Fs8Fmk4Wzz8wr3EVLI62yhsDhaTel6MR8RDXSrkif4d5LcF649X/0OpsQ6 7zmL05HmUlY2jfWMy4Dw24TczDezEYat/uSFpwmQM2KXnq+oqtytrsjSLMGZSur2XSg5k//fONZm vp26jHt2Gt8d6VmdxM4Jo7V+kSceDwtmJ6fH8AbhyGlm8lqpkRxE6mYaPjL9OBA6sfccgjn2YkR4 znkqIee4Y8vORk/Yu4E95i5mc88Ma+FIkVLVCUYVCn2H5iCyO1KBI4xrDbwL2Vrxfl/vNMBXjJBJ 70Lw9KJy1hTJ6J4qIje+bDVdjHw0EBVcckcSYITyYhPMt0QCGUCVGf6lFZtgtR3rRModfZyNg4Qi BYqSLFHCiWs9gGPRvysiJbn99IaNyGJ1B1f/HBFeErooBYd4qnDspihFzFy2FG5n0zkWleiUcg20 Qm4JDq9QwXZkoWUinb3BcxEGVH2KdhsExL0KkIsxZiyzEFRSV1zZIkt93jjDKxNIozy+dFXqsqI8 v0/UJqZk1wPHVYUh5FBJieNGVCOduE+z3PgY9AL0Jayv8cH7eodlS36sSocXG8hIKbcEBKcr4QaS RkkieVUZozGlVp1n9yBRP+viSD2/BtgzZPgXJebCYFV7EQ+p6/9uIPVlSW24jmA+poXoguC7so1D MbsKmnU2NHGs5pqi2UcRvhuUKEYwdJ1iaAfyPi5hmshg0LDjqqvjPZUlxUieIFNut0v/RbS2eEwk Q8xzwv8MvxVWcmdSahlDgT23gFI3U3zCAHlSVytCMQfonB+YrHDPAsAXZbwJlvjs9XhcWwR4EG03 xOEPePWPunQDWuRHnN9cYvvRbvjVq28Tc1xiODfEBJ6Su/EqdXlcUvKeW2lip7uwUZZGDsG2+gsE 1d5tCVQhhXPpAdM0I7ZaIWSyxGyodqX9BRJDciXQknNq34YQdMHcHDwOrhNtMKTtwWsjbCu8DS8J +of7yJZcbJTWK/NUK4RMdILOCDW5PENSpx+GCbB+hMxRH09Pk89fWyoksv+C8nUtzWoDEeokZKGb uC2cyydXUKfjDLL6WZSyqonwGPVBLUhzUOOjRFqLdM+kwI9qbQ1EEjL1FwcZoUfd2fo01I8638Wn IaTzQWn89n//45P0DxdpXlkbRP+sYx5JH7bfQ+Bq7ES9GgzkZXNedTZgk2785GVoNriEwasOgLNH qbtbfTy9v8BDvp0TgrNXmvIZvIXimg4dgp+ze6RL/IwCB5NF5bgyBU78fpd5eUZamGKn+NliEeTL JREb5RJtNv8J0XaECF47xf0GeprytMNHc1cGU+I0c2jWozSzgND1dDRRk0qxWG3wJJQdZXw6EaGw HckhJ9QkDoXeukSWyY6wDNlqEeBZaW2izSIYWTCz60fGlmzmM5xdOTTzERrFatfz5cjmSEKc8/UE eRHMCO1gS5PyS0lYxjsayLIJesuR5pr77whRmV3YheE+Gj1VlY5uEnVDy3FBtiMRd3JFmOP6wSk2 hlt0rO0xV1/qSD1lMqvLrAqPXrJzhPISL6bzke/pWo5OAGhIa8J9oydiubo5j/R9F+InncWub+AV t4a007i5xpDoJMtoGn+DhnmT6oph+7hbQPe9ZwS/2eTJZmUHldpYFsn1ZuFkSHDR6816jV9afTL8 k3TJCKOxTVME01kAoYLjpCBv18mVcKaxKSvFEcU1FFgqEJtwV82CaTCnpkOjZ5iKzKYCGThLeS3C dDMPNlRlNtlyisWmOtT3m7BMWGAHvQzxh8B9k8ylKEuZU655Q8qFF5GKUTgB5BiBE0huE0RsO50v CNx9ynJX52SjjyzJ5RH3vrHpOC+JxtVdN2ZXqn6DbXK4jLVxDefGqoggGzEcRx6yLBJkH44i4hy/ yNlkIhZqR2IWHJtKruT9ehUQ/ajSB05Oxancz4LZOAfguArLJflfxq6ky20cSf8VH2cONcVFXHSo AwVSSji5mYRSzLzoZZX9uvzGbtdzuaer/v1EAKCEJcDsg205viB2BLZYBroElwov0y+oJr7F4FgP mwywJ4jjMqBlbjGyOQvdAVl83RzH9NJnsTXtEW13+Eidqy1O+R+6erxb8nN7FXNgLvG+WXig7brH wowOby0MTS/9pQWmQA0nH5EtUVD2y98TOuZ5o27y94X3wYTQziBNswWr+EZaZ3YAEReUYf+REL/U oiyWZWvAXGCjGbg0t9n2BWk3aBUZb96GbhxmLgLismNxWpQpDeL3N4EUwMeqV56KA3jahTEuNkCM LH4IjC3EV+kQgOuOYafGAQEos582hr5kqN3bH68Q6E+taq9vJHQahGkX5sLv0Xl8YIrJpgjJJwkm gaUEwZdnfH/mW2kLdPSyy+B3mGljmss0qvl5owXkbw7H0cAwg26SS1ogB4CTKFo21nzFEViyFZht gUVQPij4ykm9NWv6M/vOxcSm7koavVsLIW+t6Nc2Nm9JjFnESUopxdhM3VEE9uHyTBZM/TwdK9ak AUMIi3Up82wXbMtxzrOI9CJqsr00Ik+SwEh5cayTrH3d0PLDxK9Pxyww56fhodMb5eA+Gg6fGSlX 9amKm0uhoq3HmOvQw9nMv3iT+AoHU4aDSrxb3LQV1d7MWoi1i9WIPHzAeHSmpEIPsFM3G0hfyKVL BE0jhK35oi8b2Tw+Bi5N9dXiUhT5PsWHVDhThluvWsp9koUaSq9F1/EyqaJsZdlV5S5wTaabAVam UGwfySBvow6wl6Ujkt156oYNte1l0kCfYNTRb2trd7SwETuInhIBKwuXbkNFk7gdAw01Q0U07Bfh cRHvqVPfek18aaauMhd/BTw3zpuHIrMujvZ+JmiD01YC1SC9DnbvDHCWJ3FJd6LNqm5U7pxuaVYG 2cIueCZv0ceq7TCcn5GkN5hBPuQpjLKOdp92Yyuzgt5na45LR4wegskbIHbbPpZRhgUmp4QcYdMg qukZNfRwGG7khifXPH1D0KgN5tVvbr2EOVJmadPd4hdMA+5tCMlDyCjeQSexs58uyN8k34ebi3WV faq1yJSgxEerx0NNhxvQmcI+b6xqfNuum0M1uXg9PSUo4NXon/1SS4Y8Wxm2ukhyFhSn5ps6vvOs 5yQxdPMkQXp5VlBnbO0k5RilPsXdg0l6UmtHWS5/HHuUxKWkkVeHY0qdRxWU7dwEsmx9JHp4/f5R OoTmPw/vXF8/drkJt60Oh/zvlZfRLnGJ8Lft4FWRmSgTVsSRSx+ryXnH0XTGx5nakykYdioAu4lN 1cVPSRtDbaUGGLqnJL6d2OaH1aiL4T6xrnfMwS/VS45ZhbPTxqeqa+yWXCnXfs6ykqC3O4LYdOc4 eowJ5Ai7KuWCUZvyUWPk7tCMeJFVj5y/v35//e0HhjZwHVgKYXgDfjKqwpSRpQolrOI0m77UxMpA 0UDKwKpxRx4uJPedjNGzaytULkZ83cMSK0wHNcoQOkjU7mOTzIiE3MqwBegmHB22e8/C86fvn1+/ +HbX6vpROXRm5jqigTLJIpIIO6lxQiuVppaG4FarmXyWT2MTiPMsi6rrUwWkXgS+PqL+ySON3Zva miu3jGk/AwZHP0nVWyOytolO0Ma8a7ZYmgWXoKamy9dV/fMtQgSBV/PYQOs9YQahSkjf6OgV9Y2q 1I3AGOmW+1SrMmZgKevDCwiwEBRISyRluYRK3I6kAanVMNwTswANx5vrB2/49t/++RN+ChQ5jqXT PN9Zn0oIjiZpHPnDVtEXj47t33JBDaQVWsdauGI3ztugih0O+87DIPoyQ4Pv544o08yPPGCCrjla NF+l412uaTDWLwGlwJUjzvlcBB4uNZNe0N6L6uTqsJOMeqQHMewiNWHc6WYyHapzPeFBKI6z5O4K jeAMNSw/LvmSR0TjasXQcfaU8p1cJkZ8jYv0myMFmWCQqHrGXhrTGFrkATzO0LtjQGDcwbcLIXl5 f2ybZSu1O8fbSTJU75YxPfiJM1iGJmK0uyzBLprHyRerSLTE/upZx17d3HnPxNTKbQ5RzV55sqxD fin662kOaKENL0PIPAk97AtSL1o6atIBpY2rLUmd7fCBT2v8EGtXB1QnQqNdIanRd/aXHOkhHxsC yuWFOZikmieR6DhaKl/ayQOx8vKx47BH7+s2EBS+O2jVZ6VSeqxsq2jYI01o/0N5j0YlLG7ptXaX yoz4AAmrqBC35IDySMcY6J8sr/wyWvnaymvq1aLozdMsd1n3RN2WexhJrQpoiBN7aNijDCRldaBg 8Gekh5RoWoYB8ogUQTC1z1a/rpQ1oscavszbA9+riu0LY+2McTBH68RuYeghVIX98RZiPLf6SpCO x2UZRi9hsC+cmhPtNgFheSwBCWOcNJCMr1yVHY0cqbARCmgsAtqdbxECun99+fH5jy+f/oIWwNKy 3z//Qfnawc+q6aCOP5B62zY9aQKo018FiEdVeVvpItAKtkujfCPBkVX7bBf7aSrgLyrVkfc4ielb Cc0DjR7E6yaQipNG1y5sbGtzYG02rPm9DixlB5REYLbjC8keaE/DwXxzXInQBmuPYma38yAG4bn3 pg4+9w5SBvrv3/78sRkiTyXO4yzN3ByBmKdui0vyEvDSj3hXF1moi7WjEiejDrUCE5vISzv+gKTN jDJEUFDnNBi67Nr5M0ZcL+SNHoC9fH1xyqGJ13m3L50GUmamMNrPbjYzn7NsT6ucajxPKSMeDe7z xc7KsULSpHEaPGGEgoZSiJcps47wwY7S6+8/f3z6+u5XDOakPn33X19h4Hz5+92nr79++vjx08d3 P2uun+DY8RsM8/92U2cod10lWWuazfzUSx+e9rbfAefWWscc1PeE5zAcqmfYOfA2nILp3g2xpmue nF735ZoUicqBJe/fy+BWbo8MnjqqORxZZZbd+nB6TOkjhRoOnWhCQ9YOd9r8BUvcP2HTB9DPavq/ fnz944c17W3Rxwe0xTjTt9zI0PZO03ghomQNhsMgjueXl+sARzEbExVqhD45PSZ4/3y1HuXVoB7R daPShJeFHX78rmSrrpAxRm0ZdpfO5phU2qhXFf7axo4zN0V5UKI63SHOlKcaCfkDV5J0eAq315VL 2aDrhTsLiv43WA6u/ZhRKW85MmPNSf/BQEFH2MKJNHcxAGpv7XjNHMOhlRG7ZWDS5AZVXcaB2Ope /8SxevejaZhLWPmo82wgIzRyxH+VTb6dISyrh8qxhkbyWeD2u6V1pJFD+ywKZHmXLnZ20IR2zANF s539Kprj1RaJ1tRDynF2vuuX8YqHUaIrAoIYobYromvbju4neLqln1nkV/IC5TqbCgJIH9RcdhMb lyqhHb8DiPbqrvcOpM8sLmFpjMjTPuLymscZQ4vjsxloC3odCKThG8ci9eW5/9CN19OHkF8CObI6 4hIZx62xC/Qv4LCM96048q+x6vSA94Y3/An5MJQ9MQwj2qdd3QiFFpdomzxZSKfEmIWWVXa+Ulrh 6WzrK+00DA+8YhpaZ9DeIhsaKQduBB7owO2jHbF9nH2xora44/zuty+fVVQcL4I2fMZajl5GHr3j pgHKJwK6FCuLHxPxjul9wq08/0Dvrq8/vn33N+RihNJ+++1/qXMXgNc4K8urd9I1TSK1KTQasvWN uAzTo7Rtx+rNoupGdD9q2Ea+fvz4GS0mYT8gM/7zf0y/kH55btVTB6F7fdegqhq4nqbhbLodB7oa 4D4/HpuOZ/jMfgvBlOAXnYUCjFsLXODCp7O1VNWcFkli5yHptqvElSx1CWij6ZWlY2OSzhEVzHBl Qa/n5kXdjb7EmXmrfqOL7rhQxVGKHZvFkZoUG2UZWNMOgkp83RJvfMwemml6fuLNxS90+wzrzKDC YPiNBN+BVG5a6jF1ZXJsqW/lmobF0ou5labq+6Fvq0eiaVlTVxPsoh/JXm36p2aitXJWngaWWjEf ztPJT1x5otMZe6lzaGGANnvpPb5gTS6b26LNhQdKMJ/7ic/N2t4OKvhJJU700sAe+upkanfc+giv rSqiKedd0cZZAEhDwD7ygebDmUv9xLOxz0fpaL2kaQKco2aBwSavLYe++CWLb+FdhqNz9pLnLjuM 65oKnz64mwglLIKWmjIxGeaH6BwJakHk5C8tH6P7ddqnr9++//3u6+sff8DBWObmHUnkd8XOi1eg 6iP3r2bBFbmrR+rmVF3H+V4zlfbepRpp/xjqyCrwn4iMTmDWmDhYK3gi+uOhvdQOiZuqmJIivVA9 Ma/A3aHMZ1JPVnVP1VVZncDIGg5nJ0l3/7f2JzOFiNJaXMosc2gXVu9TUwdVUv394NoX1yNzvHas t37h/ldrPSynP2kUdTWcEeJ0UBGXZbA1uCgLt75eSwMljWO3Yhfeo1NylzrHOduV5sl3s7i3OyJJ /fTXH7D98Ae6Ni73W1HRA4/1msVUhlBjDo5IrVtwNQcjfzghnYxsoJR48N449ftX07dKJlnMaKGa ipqTbmOLkbOk1CaAxuHbaTUlPo6135pEuwUs+hXDxF+GntbLVYq/NZQ97i7U64CSG9bxUpLeV/3L Vdhe/NVUHtP9Lg2l1I5lkeXubLstOk53zZzab6umlZsgJ52JZSIzLXjUkNc6/VYfKItoL0ulmV/S 5vZ3jiRga3/nKHP6mu7OsY/pLaXi+NAtJXUzrlClDeyVXttlhT5T6q7uJAdi5g5cIO73O3OAEgNR Px7wNweousgPleogysUt1C0qsT8mtvaQigM2X4Mr9jCALrpcvsY5Mb15o8CEVnJWY6tmaUK6hVeD bKirJ97a7+pE49wO9psyEjYDcb6j5BfGjdoYWUry0SaWioGlaVluSIuRz8NMa1arNXBC8+bgBO9g m66dla3KBX5llaeV+bDdCNYF7y054jN3vJ1OU3OqnLgwdiHZ49n0gBSv27X4p39/1ne6xIXLJdZX k9KXRiA83Z2pnpMd6UbQZikTsyR3JL50FOAqYtyR+cTJPQhRKbOy85fX/zNVPSFBfbsDBz27CPpS R93FumSsi2lcZgNlEEDHUjVeUjm1uvPE1HCzU8kDydvmTSZEn5Ctj9MokGoah4BgdgBd2UStZzZX oJ2sKwITKMpAIYsyUMiyiXbBRmniYmsM6bFinGXRrOZaPVGnJIXJ6JvWEflO1jcx9CnZYAvcULss +FNYOnImRytYss+SUFH0t29kcztBkGkoVJGGI+VGf2pk/FgZ/e5+G6o+s7G7NhQq55hgsIjzeRzb Z79wih58crGYHi6ddc1SVwr3L+Cqml0PFb6GGKrhq12b8422tMFZfrZO4RqQ7LQ4lfuTDQa82fZh DeryEf5VUCHmhEMXts9RbukPrB9VTJT7XUY9Hq0s7JJE5q3ISsf5ZytImkhJLQoWQ0wnaS4VK71t TsO1eUp9ZD7YQRp0jYFMNqTytu3hTqKHDwmG6fVz04Btd+SCD/UHqlFWuBbXM4w46FIc9VuNhI5J Iqp2gDgeMP1PLavPlY7uKYpoR3aaxqi3JosliYmGWW334JTD/PEXHpmreZ6f4rRksc/P5xEL6QNy TppmTitwP0c4AB7TksKnu3uPew5y6BDNc0tRpHkW098u8S6z/Re5fSq18wfNm2e5XzIYQbs4I1pR AnuijggkGVFJBArzRtMAslAecJok8pi7Q7orqFrrYyRV67WXT9X51KhVa0fIg0lkUUp06iRAZhGl l/oNsHsea6o8ZzbHURSII7RWs97v96RzUmfRkP+F/XvtkrQeg7qJVZYJKt4lYViDlnbztTpwcT6d J+N6z4NSAquLNLZ2OQayi6k6WAwllWSHTq/oNBGitpM2Rx5KdR8A0mB2MTlhDI59YkVevwGiWOKI TlVAm5GPwAbHLvzxjjzjWxx5Evy4eDPnIiPqA/tHukAzK/KA78Abz8Kvx6pfX6c38n8sMfSVn/1j HGnAS/xYdXH2sLFvuZWiqzG6xXSi1N1vTNKPphUl91bRg2Oss9LROopsGrGMWz3F4K+Kg8BQ3r0c VCp7061Rz3lClAROsnkSE/SmbUFCdlQZefYIDUPG+17bt4jhBHf0k5WX5MnxRCFZWmSzD5xmomFX FwzWqn1LamYPXU3QBZzEzwJ3MFS1Tm0WlzOpbnLnSCLXbklDsKMkFZnueELUTSkj9lSKD/whjwOO HG89gW84l1DwyXt/ZXTA8PuYCgwa+9Vipb5nO6IuMJmmOKHGWMv7BnYgBGA8dXqlVmvrltxWHEQB NWDvdl3Q1bUyYfJiyOCA3QwxZxBI5IGDSnWXJLTxk8GxI6SoBFx7LhPalqPSsVv8Nk8e5VttLVli YimUQE4syQjsic6R96SWhoeNpMQIAiQnxZQEUrpYeb4jVzQJkacQi2NfBD6GMu63p2XHxjRKtsR4 1y5Tc9JT38EEy00PA/dllpknu9sY6HJii4WqgSQ1JcdRV2zOs66gJllXEL3ediWZcUkWsqQnS1du 7Z/abk9msSd7G+i0jYPBkCUp/bhg8ey2OlRxENN3ZGWR5kSBEdglRMP2gqnbXT4L285Q40zAhCPa E4GiIJsUoKKk1TENjn1EjLt+ZF1BjTz5gLo3JuWoTWC83CWwvc+CXXNS0IYWK8+haa/jkTbS1MvV obuy43EkdhG8n8czHMPHmUSnNEso+QJAGeVEq/BpnLNdRH0yt3kJuxNqiCZZlBPnDLnmFCU5fBV0 d220PU4FS8v4TTlO1kgJcqpGgCRRSC4DQq2FSk7S8xux3Y4M1mWwlHlJtsi4NLASbX0Mx/ddtKNW GECyNC/2VLpnVu+jzX0SciTUdn6pxyam8ntpc3L/j+6WSNk/P4iYECJApkYnkNO/qLoAwLaXfMKy yT0BdA0sxsQwbmDzvYsI+QNAEgeAHO9iiRp0M9sVHXmSXrH9lthSTIeU2mnAMSDLF3TI2HUD1diI J+QyL6GUeuO/cQgxkyMfDk15Tp2GaxYnZV3S1xdzUSYhoCByqaBJS1Ji9VUSERsipFNSHOgpKfoE KwgpIR46lpGbUdGNceCOymKhngstBqIZgL6LyEGCyBs3CcCSxdt7AIz3xcYzHoI2SgdceZlXVDGe RJxs3rA8iTKhL4wuZVoUKW3VavKUMe3m6M6xj4lDrwQS8q5BQlvdIRlIEa4QlGEBbW6DsYVlQBCL roLynrgMAAgm5gNxgaCQhoRWDRq/tPKZylPHd0ws3RmGlt7rSxlxT/MYxeQqJLdulaV7pUkY2we9 GYY/AqlSCT5r520O1nTNdGp6dJOknzDxlqZ6vnbzL5HL7Fz6ruTh6NMuE5cRFK5i4rbNxspRN8pg 8jQ8QQmb8XrhgZgN1BdHvK+Svn42Km5+gC66MESQrSS7cv7HSVql9auNMNqQXbUhGQHTBQFBsXKR bVA3T8ep+UDxeD16Vk65/OxtZWNl5GAMLR2Q6MenL2gi8v0r5f5K6WnJscLayrzeUcg8sGstYAUY 5qNryWsxONnKaQMc6S5aNnNHBuNjDch5tVZzsow55Se5NYO0hsNmnvem11VmD3QH3XysUe22lsJ8 QfcKf6kEe6iHk09xWvBG7odL9Tyc7RCRK6h8ikifFNemx0lIyfgbO4bKkWZCmF5EpOepxMsOu7z+ +O33j9/+8W78/unH56+fvv3rx7vTN6j0P7+ZPXZLZZwanQnOAqJONgPIxPaXr0RpHLZ+GKjVNcQ+ VpY/cIrNFBoru13jULSueTgKszPv8t0EjLyIgmsvpv6Q0LOVSl4pcGqAaoy6Euh93xotSgtj6yul huGXRHt8okrywvmEekVUsnetg3bB0lCvv8qKgar9hSBOfSbyuCSQ9QHeR/AWLl3o0lfsw5lPTaBw Vf2EwQhBDDhNWbW8QwcZ7ncWQxFHcSDh5sCucMLe6XQ1Vb52lI2b2TxiCFjYR5P2u5DSkYuR0eOk OU/DWgHia34oIGWrEPzQVbOp1FMdYfGyWfI0ipr54BaUN3hKCuSkVgJ2JjropghMVoFDxUOJCjjT JEevHEAOfPEwknk8jMB+7TuufF/znjRah3OX21ry8i1ObWL/hJ1lpp9HwYaBXUBmf45H0dWEwxsL gKXFofAreN/fSK3yQG54QHHFgt4jBxMEhrIoPPyO7jVqTjn28OLUCgZqM8IhmpJ0egQ03GlIvo/S xaWxIkIJYNeiw9hSiTffbviiopF4a9rI+E+/vv756eNd1rPX7x8NEY+ubRmxXtdCme2ves5vJINK GUQyM4YUGeaZHyz/bqYfDGSZtcMI8yvGMcYs/fWK/j9rz9bdNs7jX/HTNzNnd87oYsnyQx9oSbY1 1i2S7Dh98fHXum3Opsmsk+63/fcLkLrwAjpzdvchbQKAIMULCJAgoHFJskovM00tiYCa/ZhjhMcA Q948JKONi0p2m5f6mGwVF4z4IARrROIz4oxshEJBToeJApRTO8X0JZQLj0SBGcxPcVFqrVQ/UuNN PmLnoQO+/Hj+hC+1h/i9hlJcrBNNT0TI4MqoQVt/IUdYGmDK2+iCK6r8jZFGyTovWjhUbTztAkab UKPOjahtHifKaz9EwacHS4cMBMHRwyslvRw71p5jC7/Ou6OP7KKE4kOE/th1gukx2SQMHfaC16O/ kR2BfqAz4+CIOhwdsUuHLESeVfJR4k6RR70QQgNPbzZFYguqPpLYmiu0UfW7hepqwBTXSw5T3pYh ZMO6FCMWaH4ZfAhi11ecTyWgGltfRlBjWXshmSIQkdssnMN+UReycbDtMJ5Rm8XK9SZCgX1NPkdC XmL7utuzZkdEi8rrWH0NiwDxaFMzONFQxgbZ9aeeAOOW3cd2BohHs5OeDRpt0azf+TIMV6x37oTh lvi75dUgNxMO3//RvOsiPq2O1F7EaXjWVJUjf68YF6DAVTrLXVrYx09krNHmrAAGBFBx5BVCQ3i3 msIE88RYnm1OBJa0LhOB5aniRECevo7oaO4b7Y2WzoIAeoYM4+AlnXZwwlMxMTi2C/3QEHEIvcUy LdeeuyqodZB+PBq5p7jURKClEdobMwkDZvneUsh0zB4zqSjOYiNUXfT9K00jsQWv1frKkGM1l18O 09+8cuAuku84OEiYxyqwTWOyGW02X4RHWxZSTlEE6m3JCLSHVOAku4cIFgR9icNWx6DvGFu1/Vte 8YyyKx4/XV8uT5dPb9eX58dPrzORUzkbcreTQcGQxKItCNzgtjU8YPz71ShN1WINIExJsqjMFcSK p9N6p6IvfmRbRB2G6NqrbPSn0ehq7jqBmsWUv3N2LTk27enJeJ39G2m9oQJO+rWNaM81JCHCo7kl hfvwjdAHvrU9mfmsXKowIqBRaKhJ/Zvsm61fuh7BDKCqG6CCMbQSwMCGIr8gHM6nTEV6wLC9tm8B InTmN5fKfe56C59c3nnhB75tX5iexstAfnKgwrS4GZyxGViGa+giAgEJNLtuQGjek6MCbHmlzb+5 CGwXxAPaOsL8zbu28XFYZMDmukKgv6yfYObn9XBjXugP8ScYyUO8z5cFPE/plyxc8Zxe3VZ6HGj5 dOAClcENorZDxZC6hu7F81rvhjGSysiHHwoTSa7VUMk2S3dgProrKazH9Gy2x44TxTo7YvqQKu8U z+GJAKO170XY/XavxYWbqPAGj1/gjXQ3awU1cRPJMXsVVK9rEtX06iWtGk1kaOhHpJutSqMeBki4 JPDlGS9hhLlPt06cJNyudThYIIr30/ZmedL+n9C9kX+ThW6nahjfgvHkZFcaxqUwa1YGfiALRw0X RSRHVU+UUg1yg9SOOQQ+yS9r86XvkM1AF0Jv4ZJzALaHUD1HkHCDhL/Z0ajJLFwLB8TRMlomihZk oB6VhO7iSRugOIv97TZroAkXIcVbsudIXBDZikXhfEk3iSNDal9SaZZqdl4NSb4/02gCy/rjyAWl ESg03Jy0cgBr0qPc2SSi/kBGVXRUvJKBW0VFS3LtFnHtguJJ4+pgroZ8kXFRFNyeCEhCy+qivlss PXLVoQlLy4VRMydagxGk5uSTAYWmJvkaBqmEW+8/poqHqIQ7gCAK7ajIIu050vJAQaK6p7N0TBS9 7foeFRqzN/vFsG0l1GAyE4xbr6iZc3vXQprWtYiyNiiiRUg9JJBo8g3e0ZKd3IIt7ISkDAZU5M3J qcdRi5JCofOxG/rkUqDMQBXr+e8IIWHheeQ8G4xGO3trXC2NzCUzeGtEwpC04aIbrYBefZ+9ZdWb VqCC0+w6CWcG7JLUTGtGkolGGA03263bDwpmblMrhSVB1x7bzMt4OjaSIGXVYWQu2fZLMekF4jC4 iBIYmbPYLnz5qonDhBqnAttUvTPf4y3mPm/TCNFk45GkYVnZbllS3etkSvuMtilgMBPyzvyodr9K mgNP0tKmeRp3H8aAn58fz4PN8vbzr4ty+NT3CCv4TZKogba1OCErWV6BtX+gaBVKzAjWga0ykeot bhiGOLN9bNLYUEOwTxuex1+ZcFLkS6MjhoKHLEkr7TpOdEzFX2Lncn8nh9Uw2XhPHh4/X17m+ePz j/+evfyFFqJ0+yk4H+a5NK0mmGpIS3AczRRGU7bJBZolB2FKyvNPoIT9WGQlbjOs3JD5PDj7Ii08 jOGj5gJDzDpn7faUA58Yfmvl/qO+U5phUp6eqRf0eTZ2J/aide5IZE16t8fxFD0h3CCeLufXC5bk A/nt/MZDhl94oPHPZmuay3/+uLy+zZi4+UmPddpkRVrC7JSDilu/ghMlj18f385Ps+5gjjFOiKKQ NSGElGmnAjALGUtYDYu3/eBKqV4R2ceeF6NHvxvjZDzdE4gf9KAF26fFB8XUqQIS7/NUmir9ZxIf IouJ8XhafHWfUOfL49Pb5Qqde36FSvDcGX9/m/2y5ojZd7nwL+a4o/B7X7igi4RdrAhxMXbgTxXe pSxYaLqEkC/ZfOGQG9WIdiX9gbdUg00CR0OIFDc9TJH0RRM5tDqK2KRdkeYqbxFMk4z/pn8i+n7v SKCnV79L05LaJ8Um1KRFVVbqNxdsKb9VkjpVDfuoIE7HjvT17pvG2GLhhFuq+DqMQlKp4nhxUmzK YnzSJCUn5vPs08v373gmx+eeRf6u9mtP0w8mOCGbORzkYyW/m5RKFCzPK/mZfYGPy1gJg5d0B1Kg d7UqsOf5NK2E5w296JFwlNY36HC932I4tBS2VZ1sVBSK+A90qpqhaO5zL8nuw/iRODqgZiibhthw J2bqx2dFbO5Uhwz+J13++jJeYTKKqySNiQ1dv+rik2ULhUBbibM8Zxh5jGtHqkp0fv70+PR0vv4k fJaEstN1jLs+8ELnH58fX/599l+4C/I8FtczAHig5CHv2PnH28vvo3z858/ZLwwgAmBW98sgZQ8j S14HqCifXj5LUhg9Zf4fqhFfjczYNLjjwMTHxAMjWiRCabQELkqHKxw01Wtfck2JM+5+PE/Ju/4X jTY5Yz6xWna2k3FdwiJPfiVvIOXA1xrSBaxrxS4jOSSIguSC0FaSIy0li85zjpYGHWPPkV9GqrhA Md5V3NyKK+L5HOxBfxgdXOTrK2g7OLb/15mF1yOvbzCDz9fPs19fz2+Xp6fHt8tvsy99Da8W0k88 Ocy/zWAuXUFHw6y/RCFo6+/tbb5I0s1+fZ9P3FdKoFnXArZ8ub59m7Hvl+vjp/PzH7uX6+X8POsm xn/EvNEg6QkeWZv8jYZwKvWL/vE3iw76m0Q1e3l++jl7w+X2+ked56PcABuz12eH3LGzLy9X0Z0D USz2z8l14Ne0DBzPc3+jM2+Kpf3y8vSK+XqA7eXp5a/Z8+VfSlNllXVfFA+ndWpKblMAc+ab6/mv b+jUYCRGYhvFlwb+xGBVIRU8DXFa5hYEtVmrczhkVAwj4da16SQd/rBhmGDWAHDFflPvVaUeke19 1mFymop6DZHIoYzhD1D9UfSuMgraatAEvhwMgT6JrobjMe3UMFYTvE3ztZ57SyLawS4v8r2qTBG+ Xk0ohfOaG6vjyz5aQQE6zEF8ApmUgKnaFJhyztIK+LxYPnNBWNcVBgAz1J1qtkH/bjmbF6IxLTT5 KViOgm8wLxi6Wls+34bDcu0WFTQKe9Ba3cKEGBNE4q5+eea7/QwW5rfL01/wG2YolRcRlBL5XxeO E+p9L2yQ3A3pM7OBBLPt4U62jOgg4Qad7ugn5YWwtVgI0aYYE1Urn7CrYDtishSQSdWWgBmQknmQ EAlLXktbO0FPZFo2CR9nO3U8ejhemtddQ+I2rOnE6lmPyjKL69mvjKtr8Ut9fYFveH25/ob5H788 fv1xPaMdonYAxtWDYkoP/C0uvdx//evp/HOWPn99fL4Y9eh9cUpicvxushlau21Zn3pMYlpW+0PK JNeuHoDxfln8cIq7o3kiN9CIY4iABA9PGj/401eoBEVBeT6qNCB/t/qcGCgwynOebbb04QOf+kuX DsTDl/CGTDzPUSAY9PV+v5FdTiYYiMlY9r/jsqNggXoS3kNDMipLj/RDWcvju0Sa8BwUKnSfaDKR ya9seLkN23hmA5qYNfiocZsUtvXESfJDon3+3VGrclXFW72LsqbDTDn1XoXXTOS5VeZ7fX6+PGmi hBPC1guswOSEPUe2CSSCdt+ePjpOd+qKoA5OZecHwTKkSFdVCiYj3jp7i2Wid8dE0x1cx73fw9TK qZvlibjvGYKNsGFuFk7zLGGnXeIHnaveFU406zQ7ZiUG/HRPYC+vGBnpSqF/wJf/6wdn4XjzJPNC 5juWT83yrEt38N/StwTDJ2gzMJNc6lZDoi3LKsd89c5i+TFm1Ej8mWSnvIM2FqmjWjoTzS4rN0nW 1hgIYpc4y0UiB/GSBiFlCbYt73bAa+u78/D+HTqocpuANbik6FpWtHvowzxZOnOyZTkgV44f3Mm3 /yp6Mw/ks60JWeKVVR4582iby9f1EkV1YNhOPpFdsgESydJxyble5VmRHk95nOCv5R6mUUXSYS4/ /iC36tANbEmOV9Um+APTsPOCaHEK/K6l6OBf1lZlFp8Oh6PrrB1/XtKj27C2XmFGR4yZUO1BfMRN mpY06UOSwWpsinDhLsk+k0giQtL1RFW5qk7NCqZdYgk/as6CNkzcMLGJaZ029beMnBMSSej/6Rwd cnIoVAXZbxJJFDEHdvB2Hnjp2iH7RaZmjGaYZrvqNPfvD2t3QxKA+VGf8jsY/MZtj5aKBFHr+IvD Irl/h2jud26eqp78suzsYKgyUDS6xYJ0lLDR0p0qk0TLg6XSqsTUC8e5N2c76t2GSRqEAdsVVJVd XYF+7XhRByuL7IqeYu4XXcrsFPXGpSVA1+zzh36vW5zu744bct0eshZMteqIC2PpLUl5B5KhTmGO HOvaCYLYW3gffpqqZL9DK5t+kyUbck8eMcomP50+rK6Pn7/qpgNP9J60mT4+8RaGD+10NI1I/3Fu TPY7BYBKHrVfZ4N79YmfLltXfoE67jarMQZaUh/RZwyMzlUUOAf/tL631Fze5xb7Hc2suiv9eWiM Ido+p7qNQs8QFyNqbsgxMAHhJ4tsL7gETbZ0PLv9h3gtKqiCRb2FHNpum5WYnSkOfehLF9QLDV+1 22zFhCf/IryNXdzERhoWtoh1PddXAoDbMgyg26PQLFAnrtcqWVK4bsxdG0AYsPIY+vNA72EZv6B9 gxWypNY5oH3NksMi0EMTawvKXA1yBWlXskNmyKoefDtKE7aviesNZU7xaX7U9m4ArFcqKM6aBvTq u7TQ1PdN4Xp7X3ZB7LLyATHbY+QHi8REoNLoqe/4ZJQ/p6PcyTRzMivhQFFkIGr9u86suklrph1l DSjYDYKbXHG78ANDjhxT25HFYVUd+TWW2mPCctbZdMnaNrka14uMWRVZXk319p11ORtWmbZPtOzA aCEOmiG6T3AfBIxJsxuPRdbX8/fL7J8/vny5XPtARJIsX69OcZFgaPSJK8C4n9aDDJJ+7w8K+bGh UiqRX6vB3zyg1CFtCf8prBd+1lmeN8IzSkXEVf0AdTADARbhJl2BgaNg2oeW5oUIkhciZF7jGGGr qibNNuUpLZOMURNoqFG5jcYOSNegJafJSXYV4Ge08X6l1X/YMCWZNfbXcCiiQDGdV3+OqdaGRja2 HtbAhhztb+fr53+dr0QECOxMLjQUhnXhaT0BEOjXdYU7dr9ZkxMb+T2AheDRoWsBDUJOqYvB9gid q3d9VrSdtQroMpcSAoiCWaaxStfUQQlO7rnqrIsDRC5KQGCsM3RTUDuqdRMtyACyBXGS6Y0QQMtT 0glvuI9NKPKkbKJqsoM6sRCgOrENQKoSjninimyhKjcAytPICRb0EzCcskbqS6lGfpCsto6D9BgM E+K9LhBU1Oex7sG1vFQTWBuqpVRXhGsSeAQZXd6DWRzLN16IyFr975Mv290DTFaHcIpnTP8bliVK vFPdVPG6NbA85nANm8IKT4Qe1NmaViD9MrXNu4em0nrQ17Y+ZT1WVVJVtEKA6A50X9pzH6UXaK2w ZdmkxU6TTL7yd8yaQuxZiggSUNgWGWhfB9IRSqGJ921XFRoX/kLdOi+KNt6TygAgxeGuIs5WoIQd u3lgcT3jA8VfEBIcMeiUuMdbc6OqVHfbIkVTuSr0XihW0O1H66BtH2AjOVi/z3YUirgWpJ4c94H3 x8JVTFBS3eBb0+r86T+eHr9+e5v9Y5bHyeD2O10pj+3AczDu64resFlMNWcUCQrh1LQJX8spcifw GAjHwEyRLAwUT7Ekd/eEuoOldrqnI2dOVC3bMjWL+YSz+u9L9esxlhRUFIV21IJEmQFJpI4jcguO LMeHngaKvwx0mBW1JDF1FAR0K1iZVA3JjooWIDXR9tx0ItGih02tOUAnL/KaZrxKQtehk/KNdTfx MS5LkneqpKp+Z1EM5UHzwZjMurckrRriFdGgD8Yvz68vT6AB9oZs75Rp+HGgbwT82lbKvQ33E7kN hv/zfVG2HyKHxjfVffvBG+8Z1yB3YaNeY1hIgzOB7BM7whYH2rqcRZaibapuCGQ8CTWSZ6+nd2yX Vgc9+1o/Nu/0nSSvqk1FcjD8ZobGt9Veluat9gePrtuooDouVMD2PklrFdSmd4YkRHjD7gtQJlXg nyKdtgY5ZWXNY9oqxxmIrdoW/UkoF1bRPKrVqhO9ikMnHdiOk/aD76lVDe9qqjw5MTI6FK8StJ7T WmN6wFg9bWqoRCouK7ud/n22aAC8pEifrn1bAYbtBqaWMQh7DErbEGODK8ME49iAvpKWHY2zlYDR MFGgT5hlino/d9zTXkl8zQe1zv2TYofKUGSodeHRpGbxcnEaXIHl/tH9jznQ7AKWV5U2kaePUIao 6Gp2sAxR0bWqX7zokCZj+WnvhgGdamvsG22xwewrWOkdDY78Y/ts2OxAhqfkq8FoO0vciHxTLrqg 9dWbsB46dyyao8BnwTywpJ1AfJttrasHBGV21LpdwPiJgyZs2D6KXLOBALWcbg9oW+pARN+TKVUQ 87HzfSURCQBXXaQ+HR2BJxThcV7FVE4DpIqZ4zqhyi4uMmKUquPDJrXkMSx5cBkvIhNsCGSo5DYZ YWBt3Z+SVuvtuDuutXWasCZnSvJCAG54MhUVlrMHk1CUNqYsL0+msx0ZGWWKqiTzSCJKtkMRkMbb yt/oHLIyyfRt0UBnZFDqEZ38qdY0FDKmwUBOqc+8icXedXbaIu+BvZBT+PUoK7uydf2F1vsC6Bqs WnfpU2/TB2SozXMBE/swiRmeIinVrIuIvH3lmoKYeuJ+7+X5lzf0Of56eUN34fPnz2CtPT69/f74 PPvyeP2OB4bCKRmL9UqPEhyt50i/3OejEacumIW38ZYQTWI0uzSPjjaBPaA1EbWrmo3ryQ+v+fyu cqb3VX4M5+E8teSC45pJ2oJxTSal4SvgaGykZeEFoV5RHR+31LMyrpNldQc6vKaoFanvGaClwZgD LRHyxL4X0gcAHIk+H4dsRT5G5dqnOJrRKz1kLPLIWzYJO24eWtGm21etbbUfjp6nffZDsZYCZG+T 37lPpvT2ic9CTRIBYEycAYZWa2IHB3RtNjOhTVvXDzs1qQBQLFFhXqW6Mq7ieL98cHWCGkN6cy9s LcFPj+dqFVTO8i7dWUd0ohR3nX+DsM02BRiU9K2kSkq746s0vc1J4vSbBg1blemR6aqvhGeO4lZh Yn3P3nMCj3vvu58Q87c5torazHeUfKjqdDMRk444etM65GzkfYfeArDkTiB2UlbIJwTj1Dfb1aRU a4saepTqzxqnEWhJUNfH9IPnzCNDqp7Kba6VFPCEx2LrF4AqTawa5r7VDAV81TqEKaTA6PJNvfJX 6kPqPXOtmx3Ht0fvgSoYs4zd3Szoep4hHxATrjM9Ep1Gsc3WjDyr5HpqnHiK6+RQCq9eQxNcVwkJ 3BLgDsZbvV0dMAcG5o+hLGkx6yWMEr+Rkz6U3Ra1IYMJP5zuj6X/h7MnW24cR/J9v0Ix+9Idsb0j 6vZu7AMIUhJavEyQktwvDLetrnK0y3LYrpiu+fpFAiCFIyHP7kNFWZmJxEEciUQemLMPXJNUHla1 gbPEVzptzfdj8aOLSSNu2XdyFRSbxrIeF/iaHNAv0G5t11WzTL9ATbxyxXo9PYCnFpT13kehIJmB paXdQELr9oiAuvXaaavSFQdbRVpYjMjAyWFIsx0r7GrAaclUfykYE79cYFlzwmoX2Dr5zQGaEyo2 BPwNDPBVXSZsl95hcoLk2u+YVil6JzYaHiojPuKmLGorF9oFpsbRIE/BycmFZSm1X20k9DfR0iuz II9Zjc1UiV3XHr9NVtasbEP9EJVJS1i7abu71OVzEEc3mnEKkHuWHqQ45tV+V3uOWwaaQeIjtwxr sN0HML+S2FShA6g5sGJLCpfHTtximFh6wZoz6iUjlOA0vALFwVbu8ZugRJcbBistSCDfKXPxKULd y8UQ16WzYHJyJyOn2NA6VZPNoWUQU6hcNw4Ytrc6dRZYLo5y1n97q6FFgx2FgBG7c7pzySsh9YhV LeZZeOyqtCHZXYEJ3RItNoCMOruoBlpGOyYcMTMx0VpwtpuRkUIa5tLQepD6+aNbTuxEjtxqIaW9 s1emStMkkKBS4hslIdmgNANdX+q1XNRQZcFVXJtSq1x3YNdOuCkHDiBvI1JPqZ2cml69ubgi/lre uZVbRA3bY1ciiSornqaJyxbsOjeY65NCCjm7GVTVFys6Ay46EWxOCydsV6G2D3IrYywvG6+rR1bk 4eX9W1qXV77Ab3eJOEHdBanym3bbNkbh6t1e/3KO46yyQiRhx3yfc8mRSi7yhJTmrixKF6frclkO DqWBesD61KvH8Or0ecmUkYxvgxylsC4IwnxxFsNtw6zSGI5yS1kHtmZZqs3iLsNuhBKygW7Gaynv plK/sLGhbVYxLS5aX0H8WXjJAgw8qcXteUt4tzU3QXXxsBjhOZgki6IoW8ghKHW08vHsEn/l6f3h 9Px8/3I6f3+XnxeJoyXDB+kUkPAQyzhuwCbpPo8pJUe7wa/wGicFs5Y2GUOdxvUwcznOm7SWycO8 jyPD0rViswXFMSTw/Z+JXVFuywCXRXN+/4C30T4QAZI/QX66xfI4HsOHCXblCJPKITDQqUbb7ZbQ GixLxe7QNY37pSW+aeBzSsfua8zXPEOYiyqHiDw2ujy2k2i8rXSrrHoZr6Jocbza4bX4eILBlT6L M3QKiYWQCsrro8WzVRT5wzWARQO9AIn1iiwW4JMSZgsldQI1e38UcM6xgHE9VsYgklqvS5a7PhMq fb5/f/evXXJeUmfQ5WutfaC1MnskdgzK+3A+ZGAtxHH1XyM5Dk0pBL909Hh6hYAYo/PLiFPORr9/ /xjF2Q5WfseT0bf7H31Ejfvn9/Po99Po5XR6PD3+t6jlZHHanp5fpZ782/ntNHp6+eNsd0TTOZ9D AX1jRROJqPswFqQhaxLj/NdCaHEuSSaacVBHBOdpTyb+JqEdpqfhSVKPb/BWAG4+DzXi1zav+LYM 75Y9IclIm2A6SJOoLFLnQmZid6Q2kwCaKH1f7cRw0sBopoUYi3gxMWOnKj0UNyc3+3b/5enlixFs wd5QE3otBJ28hIS0vIKA+dkQzO02KbgTNE+COjuPo6xIrtDENMi+gBW1jup4/yHm97fR5vn7aZTd /5DvQOpglGs5J2LuP56s4IJyxbJSfA5bsWAeggc7QVoPk1LAlTJXGqfOoBF3NUxD0XJ9iVTlVoy9 Qsvx2zIh6aXe1tfDu0CuS4sm5852NmBYfgxgPJ1yf74sF2MU6G/7AwKSVNbKyGqYpzBG+Obbcr6c OHUoGwpvESvLCqosqsJLWJEhSjifyA0lZqAIqymJQ8h6N43sCO4GVinTrtdMt9NZhPI+bMUFdpsS T8zQeIipq2y800CQTLOaShz+x9BI6m0ox96KDbo0r1L/5FC4dZPAS0JIWtZUe2bdmgwMq8gtjsDp 02STDjF9w+gO1YuY7V5FE/Pd00bNp6Ex20hj7uu8WXXAu9S2Aa6g8axI0VXhM8ciDLHJOJ5A0aQp Y/ASpZ9MnJw2XTux37lMNFiCf8Kh5Eu1slEGgI3m4M8aDAjrkK9m2PO8SXRsr0yNguxz1LXKoKmy yXTsnRQaWTZssZp/slZuKWmP6Ne/bUkG11MUyStarY5zHEfW+C4ECDGASZK6Eni/u6V1TQ6sFlsF 5zjJXR6XoZ32szUk/a9sm04DexQbaIn39nAITmEV2PV6vWVeMBVYOcSBBuKPmc0DVU6Xf7IMDoxv 47LwzvB++Hgboe5n5ndv8G2mrZLlaj1eTtHDbxDXhyPU1gygZ2mas4VTmQBNFjaIJG3jz9E9Tx0N SZZuykZr3k2wf0/sDxJ6t6QL3P1GkUm/5CCeJZ7K3b7LwlmTZsFFLN+/tKO/2UQJ7/K1uH0T3kC4 vM0V8YFx8d/e9s6zKNCsrPIWWJOCpnsW124CMNm78kDqmpWYdY4snbpSc7rlaaMutWt2bNra2QYY B5X62jls7gSd83XT3+TwHZ25AdoM8f9kHh2dW8iWMwp/TOfjKY6ZLcxoO3JYWLED2z4Z8/QiNcPU rb7+eH96uH9WEj0+d6ut8ehRlJUEHmlqO7kDEJRz3T4OKLl7UXTqelQZGtNAe5xqiJAlsO2huatM u2P5s2tolSMw29xTgesmWkbRNsS5W8PAm6GDFLilZvwI+NVRaotlAHNzGzuVb5Mp59NJwIRWt1vm E7FjBA7fsvnxevqFqhCur8+nv05vf09Oxq8R/8fTx8NXTFWsuEPs+opNZSfnaFKVC92QV9nUr/9/ WuE2n0DE+pf7j9MoF9dJY0Y6jYUQm1kDKqXgZLrO0ZzicCnS4UDtpQMIrjsLGsoLNs/NzL2HGszt UwzoXzVzyEYdslCWpi/ElpWggBsU1IgJrsKCh1WxBpf+7DJAPHH7pUAdhPMXlxnOLWeNC95ZQoAQ 17pyC3+hc/hSNLgUDO5Zs8aUekBxiHnijQ9b56C0w0vY2TMFgMZL05AMQHuZ18T6hBLcxpZHLsBa vqUuJNmyhZguDqVW3zkpy6EBt96wb/mtDeiDq3iFc9tjJU9zLkQrbD7BQ4Zt/ShfA/rsIR6s69/J B+YGTr520zIra/TjScq4hqOvAKFje4AIuMXG1rvLiQsmAt5hI8sbXog2Y0KaaIJmC1boQuzM8xvi l6vacGsJny5mc+xqp9CHydjOHqH6SPPFdILdNy7o+cofxHo8jmZRhJnfS4I0i+aT8XRse39IlEzB G+y8xE6wQosZto8P2Bsz7/0AHUcuFLLNYTVoeOhZTtJoz0qnaZC7Grf6HvABm2aNn89lZsA8Ry8l msh2g9VTOd1DGg+WYX2coz2fH/FeAHKBJpqW6D51b0Ma+zlzwKKOSBLr+iRrII0mMz5ezd1Wmo7N EoKmu1XTM5ms0GiUEqvOOs5nk7E7clkznd9MHaDnhiyhl8yMdt0NJZD1LVR5k9H5TXRE5uR8/pcD 3DXJZGFmeFTN59NonU2jG5eHRkwkc2cPki83vz8/vfz5U/SzFB3qTTzSZkzfXyCYMmI6MPrpYoTx s7OLxSBz5173VY73UO/z7EgrM0hsD63NC6AEQjxkB1QwulzFbrchX0R8Z9tpqKGWmeA/WUBYDkDF dpNPI1vrM4xp8/b05Yu/sesHaPfU6d+le39Rp5UaKy767usQRiaumLsgj20qxKo4RR+xLELEJsrC UzMyroUhtGF7K7qGhbZ9zO2Wa6MBaSIhh/Lp9QMSGbyPPtR4XuZicfpQGZ8gBcAfT19GP8Gwf9yD G487EYfhFTdgzixTcLtPMsVcAFkRxyrRwhZpk6R4EAmHCxj0hudaP4a2UbgSQb2oJSSK7oSsIXbx LDV8x3tr3vs/v7/C6Eif7ffX0+nhq+W2VKVk11Z2my9GQlhp065mzQohlqG2zqnYojux7YJhB6e1 abMkUWbiOwOOcKobarvXAkDsq7PFKlppzMADcFJGQz9DkhNtzOItWIGK27WfmInfFRSiaFkbBz9I OKYmUXxMYgXp8nKf6hBiobYBWZ/3INgBIBIruOLoR3O6McyS9njROmnYNpnNlnamWpYLUk4ZAxUa bunVRIvdFFegaUW5Cr6GjI2Ea0lYiOqcW4YAlQ5eXTYD7m9/uzAHnZi008y60rbWQwgs1a2BkDJ9 oO14m3Vh4zJsSz/iZ0cZbj0IuAqSXW7SgtWYhwVQJJCRQVG4jAmafBMw4tSjpfW0DXWJ26L/SioQ YmM6uryrukXN0QGXrxdmaEwAbfc+6/1aIJg4N1upiohsjENXlJLSbIaE4zZoEpXbaR97kBfMQYyc ONoreTEjhZg4hkQAPnaGz/2l7rg8blo8v4iK+27zgLrTwm6+Agc6oJD7pCJYmRyN1K+xMTgkmSaC Gu44v/bNyu0ZaYD7KIYdsun11KqBxi/Q0xgQ+VbOyiaLXWCtIuyZMJfEGzIJLVCdpcJ5AyahYIjO tYmljkbpq4CeHt7O7+c/PkbbH6+nt1/2oy8ynabvYCMNlI19UBksO3E6NPTyLS5JgT6pSLbmeHrx w8oMvQI/Ic0Z3TkAL1PV7Bu6xQQFxYDurPBXAri2JjlQqWBnChesC+I2qg7DK3igPvEvbrkZdsvi sSmC0o9EC7lLRlDppLtdoA5NlZPOTV14kHMQiNyKxQIEtno4gg2o9uDXw1H/LJQQYWhSiT2F5vbo Q3RFGwD2pN0xs4IUSTitmDmnkOnSk2/q9C42PUU1oEu56R3ckA2z3QYoJLLBtYt1k62imwmuDxJI IVShghgXF9xVL10yVo7eP7Rxl513izw8nJ5Pb+dvJzs3IxFCSLSYmC82GqSDKfb5ZuzyiufL/fP5 i0zmpVOLCcFUVOrWsFzZVi8CIq75qLB0laVZaY/+/emXx6e30wMIV4Hqm+XUTGegAVrvajRKgZ0Q mG7LPqtX7Sn3r/cPguwFsgwHRsccjQjVtQjEcrYwP8LnfHVsdGjYkO+N/3j5+Hp6f7IG5WZlGrLI 3zOzqiAPZa96+vjH+e1POSg//nl6+48R+/Z6epQNo+hHmN/odCSa/7/IQc/dDzGXRcnT25cfIzkD YYYzag9julzNZ/i3CzKQHOqTuE3BQv90Jk94NImsdfFZ2cGfAVmaPV8Vn9A02tR7R+c5LioVmLzE 8QrdK1TOU1a1U7g7tN6hTF4e385Pj//27+bUViDjNqXrj0sScPrKmrTbJPlyMsMDOGzEtl5tCFwe MJG2YOKA45Xt66mgyu5L3OqD5RSFI3uZqK0TxLhZu/F7BaQjEHZ8MduJOwjaBU0WJ4vFdLbENOOa AoKUz8Zx4dapEMsEhc+nCdImHe88XBcELo8WU6SoDHs+CYQ1vhDMvdaoeOnjADxC4bNVCL5AmlbR RCzNKyNYk9Vq6beML5LxhPg1Qf4UsRCRmnhaiRMRT8jVk2yjaBwIzyzxPIkmqxu/UhlWdI5VKjGL q5UCyRSLBWASzJGu+gHjDczqJhghFUgg6nxIbdCTZHw1GeOvHZqkpdECjVB5wS/H2Mdoq0SUXI6v fPiDVLyVtnvMjgt+uK1BL2nBvlKX2I2tp+jDv/tSmuXO3wMdpeoALjcYsKxiy4Ktx1S25VMPrsnB 7F8P7o1+rvdUZs1IwNQFV5Owma38UZlQ79//PH346RT7jX1D+C5tVNDJQ2lGMe4pSJUe9TXdPO8c xoaczsS5dGRcxmHHPjgkmYMOWSqEbQ7Po9BR3lkiNYQm1ZhAkA0oWtXlmjmX18s0qqgb533A3WYb zEPhuFoYSc4HJ7u+TeKK0B1yS2wUP7s4LzH1F8lYWsgMBAfLfbclh9Tjow524MZBK3aAxUMa3OLs Qtts2yKBaJEZdsrmx9ytpkrJLcCwvjMipAq7rYSm9TaxdKcA6nrzUKzXEm/XqmzpNnmLPUfKXHsZ qZrSTtEL4Gv1SLxTD8CKONDBNE0reqnJglq9TmgSEzPHRZplQkKLWWkn5ruAAzWaFNxJqwso1ZZQ wTpukerK1QpP8AhouxsaIv7gFMJ4OfmLejRB38cHdGZ7d6/bX1nDW6TtHkkDrhDYxNxUELqSyh3I ie1QKS8FXNNdXZsQgDW7DzHNxcXZ2NmkGzaHGENmPgx4Jd1VJLloADAEBLkc8oPgZnAWuUr6Sii8 DTFUz4fQBxolZFtptW0by9gkfY6YQHO2ZbNL78SgZ/jQWveKiRsmAycybRd1hCiIb2LHh9We3kUj duJJt7efGRUyT4usPLjQfdwYAjVva4haNHVXvIZ3Ux3HqqzqdIPbgvek4syYirOmaew3iZwzdxVf dk2aFuJQTKXFDhoMtE/v6G1jPeY2Qq/4VGYqlKZU1sfT1lWxEI3XO5Zh7yA9zdaezRrqbOOiGppX hvYu23g7YTXkWXQx4mLVpPly0S+Roa5KSAu1Rw7xAqQ9lviUgqBomKVty7Oj6bdsdHrI2NglgS+h p5P71GZha/QJQRuPQLgLqjLEDFozGWqAv55OjyN+ega1R3N6+Ppyfj5/+TF6GlJqeWprzRLigMAj IYT/li7AMM1Mmen/WoHNv5WJXcBT9raXhLwVtM6SPn2Xh4NAEHJtDHPewldg8GeFaNNwcaEW/THn jO4vbQNgjNKyDzTAnt+6xbxrG9MGCtpIGtMvJF8n8gmiM42qIalfng68rcNa4Up+7dwaaCpwqMXk w4GiiU1bzEtLLrwUyFcnOvi6yjkWzbvHW9ahPTCr/MphX2tKB7yLZZwcy2zEawI8qDrvBG59UDQm tV/pPkZ7rVOeX+25Oo63LabXHmjuuBkcvQf3xvQ2z5bHlQzTtEmxBxODZkgbdhHjWUbLDn3czYUg RCDdpT9nlf0THK5VZtkuK7h51G0hwCLNjIuW+AGPJOIyuWsrnxDCIFbW/VXZQzlMBpi26LNGRUC3 PMFsb41yOTnezEyrPQNX71Zjy1jUwHE2d7Ls4TTzKMwgwhUPNlHAFtMmWmLHq0FCE5ouzdjaDu7G ziVoYjncIjuKyelmGyZ5xc3kywYuK+m2IBtrAV2wFcly87phokzbSQO+p/j3ipNltDoeAz1Zs6PY C+AZGusKtHOTd3Rj7OPbg9h/CvAH6A9L+nx++HPEz9/fHpB8bYJJuhenxmoyNx6U5M9Oc7lQxuLQ ciiTg5CwYt/gTsYcAL/zrmLNYhbbE6J/HcGaNixJwrK4NEwQh2t+vrWuWRXFNgGwlK9Jl8d2TG/N 1fOA6DsuLTGIuYUr0MXUSmlr4GXi6WEkkaPq/stJ2tcZcQIu+pdPSA1hSNZ0bSfuKXRMIMJ5I863 doN5GZXrzrMWIXmigCj3AdvtcWNp/Z7vcdBvMt/OH6fXt/ODP8vqFMJ7QeaMy7heYGI566QgwxON x0pV8frt/QvCHU5ks5sSIE9J/HFWomVepY10Z6srTC+pyAZDlkvrrFYMEjckXoHLbj9FxLR+eTw8 vZ2M3JgKUdLRT/zH+8fp26h8GdGvT68/g2Hgw9MfYpokzivwNyFyCjA/U8t9qX8IQtCq3LsSXgPF fKzKpPV2vn98OH8LlUPx6n3xWP19/XY6vT/ci7l9e35jtyEmn5Eqg9X/zI8hBh5OIm+/3z+LpgXb juKNa19JHU9oZYPy9Pz08pfDUxc5MjF7jmJrb83pgZUYzEH/pU9/EaJBownXiH5O6Z+jzVkQvpzN xmhUtyn3ffrmskjSnJjWLSaRWMqwm4Ivq61kMkjgFhJIRmLSgQE5r4hpT2axEfsU26duJ5DwXpce K60EphM8wm2w55X+9fFwfumDP3leaoq4Iwl1khJpxJoTIUmNPbit9NDAQTEynd0sAlgK6daohxQS WzSbL5cYYjqdzzH4crmaTT2EK3r04KaYR3O/I3WzullOLU2FxvB8PkcdNzS+d8FFigoU7SV7VPzO SzOgMLMUSGCbJ3NmYbDOjJNkgBMzxJINV3oeFAsuY2XB29ytbCczz1r5lQCsjcbh9oW0UP1pXm6M Mh6prJXDIhtIJiYJP1xMMC/Hu0LoAph4YrWyV9zh5kKGnYUyGMLT+fRYLIEQSY7ZdGlZnUiAfcft gVae0jgnEyufYE5mY++3VwZgFnNxaxfz2k12akJdHgbGMRhKiGPENMCn5jVATKo6GVuv4gp0gw6g xKG6QiOuqGqPbUCwO/IEG/Xdkf66i5SrYL+k6HQytZxByXJmbhsaYI9FD3SGAcCLBf7KJnCr2Rzb FgTmZj6PHM2ThroAs+lHKj7q3AIsJmbbOSXT/2XtyZobx3n8K6l+2q2aqbYknw/zIEuyrY6uiLLj zosrnbg7rq9zbI76tr9fvwCpgyBB90zVPsykDYCHeIAgiINGsG8u4WLsU8AynPy/mbepHBX4YNIQ 1hjGs9HCqyfsVph5NJkRQha8nIzmclPeugBRC+4OLhG+0YC/4PxCATGeEfu42XRk/T6kSnke1mGW 6ZuHoI2dPIOVYfyeHzwK0c9L/L0w8AtimTibz2fk98Kn+MV4QX/r3nZhvBhPSfkU36zxRNduppEH 68ejwDhcICNYVwo6bNes8JGSO/mKXZKVVZcZkgYAhsNYW7Ob/UxnGVkT+eOZCSCOlQjQxQYFIClG UUoY+TN+YwLO44PQKJSW6wkB/tgzqw4cMVtQnWSYiwy4qAr8EfcIjJixTxYsghas3UkRbmHZEGIl yKj54W9pxaSZenNzrgaBPZZyXV7GyjWVe+RpcphNYwE0cvWM5h63BDok9U7uoGMx8vlxUhSe7wV8 TvAWP5oLjxW6uvJzMZowTXtTT0x9zgRK4qFSPaW3gs0WujyoYPNgPLZqF/PpnGMzbdXSRZhWlIPM uqfbDcBNFo0nuvVZc52NR8EIFhihvM6mCO12ZgverabeiNa5Syu0lIDjlcLbe9e+m9h/aom8en1+ er9Inu6JmISyVZ3AYWQGHaTVa4XbC/rLT7i9WTLXPHCcAJs8Gpv2bv1tvq9LVfZwfJQBbMTx6e3Z aKHJYPtUm1bC4A8bSZPclOeIlnkyZcWiKBJzncml4RU9/KtczEY0jpqIYphaRzRR7ERap3j5WFe6 OCMqof/c3cwXe31mrXFQmV9O9y1A2upGcLd/fqKZVlrpS8nkNGS4gR7k+CFiOVu/LornojdRUIKX 0u+IqivX94mK+KLi31IGPYFVBbk7NEazPI4c7QauncjW+FztFszZp9a4y+x9Mprymn1ABVPeIn4S UPdIgIx9XgCajMdTk3TM30smk4WPvtV6spcWagACA6DHs4LfU39cm0LQZDo3+wEQRz4qRC6mdCIA NqPRiSWEl+Ums6lHi05pF2ezEf0GU+IKqC/IfK4nnY2rEnPS65KRGI9110SQRLzplEYJAeFkGvDC bT71AzaeE8gRE88UaCZzdrJBVBjPdMtmBCx88+iDfo/mPoao4M8nwE8mNDOmgs4Ch0DToqeOJJLq FDJ8qDUXizM7pffnuf94fOxyeltbX2nmZK5itgmrAlnD6vX4Px/Hp7tfvVvHfzBmRByLz1WWdbpi 9Zwi3xtu359fP8ent/fX07cP9HghniQTn3h2nC2nYiI/3L4d/8yA7Hh/kT0/v1z8F7T73xff+369 af3S21qB2EzuCwBoJ6xt/Z/W3ZX7zZgQ1vbj1+vz293zyxEGuztJhxuF8KYjeqlBkBcwIIMvSNWJ 4yodxvtajFk/oWW+9qZEGYK/TUWGhBl399U+FD5cA3xOeNWOtPXXulTqhm5/VdtgpM9EC2gbpYu0 acujdTD/DtWsAyvMu7FJ7DFXp/bx9uf7gybRdNDX94taxVV7Or2bws4qGYMoyfFPidG4GWpURx6J saUgPjnbufY0pN5F1cGPx9P96f2XtoC0dzM/8DgOFW8aXYDa4A1gZKcy6lLSYGLyhottvmmE7+sV yd90vbQwcpRtmi31uRApCGy8rwWizHCB3XiY394aYQHjwyg2j8fbt4/X4+MR5OIPGEtrcxHFXwua 2iDdnaQFzckmSY1Nkw6bRpNm03bbcBbt+1LMZzQgVgcz7X5MNBnYy3w/JQObFrtDGuVjYAZWTTwR 30MkgZ05lTuTGl5qCCL0aQhO4stEPo3F3gVnJcgOd6a+QxqQy9eZ1aBXgPNKA5Ho0EHvruL/nH48 vLMbrjXvZeWpL7CjlD5XY8Vb1KywAmqGvIEQZyDejLgobmEViwUJ3ychCyo7LTfejOf6gNAXdJQH vqd7ZSFA9/GE34GuLIswmtqE/p7qytd15YfVSI8pqiDwOaMR8QlIr8QUuIUxhgMz6K4XIvMXI49N Mk5IfGJzJGGez7FEXSeeaW8pGryqdauPLyJsc29r7/f1aMKKlVlTT3ThN9vB5I4jPeJkuIfzwjge EKIpIYsyNP3GyqqBiedFygo6KAPtsYljU88LtDnE32Nd+d1cBgHJQtwctrtU+BMGRDfrACb7tIlE MPaomgdBM9YMup3FBmZsQp0UJWjOqwwRN2MrBMx4EpDdtxUTb+5z5um7qMjoZChIQMZ+l+RSY8RV IFEzvYJs6umb7AZmDmaHSJyUr6jAF7c/no7v6jGBkREv54uZfinD3/ppdTlaLPSTvn2FysM1sVjX wI7jRqegYUbDdeBRRqVtGaRPmjJPMA9f4IhbGkx83We05eeyKSnp8Sg0DT+DxpBwBrr32sijCXnC NhDGajaQ5Os7ZJ0HRLSjcL7CFidosAh2wtVSGMILa0tAqnu2RCtFCFuR6O7n6claRdyMpUWUpcW5 GdOI1UvxoS4bmbqVnrtMk7LNLnLexZ/oxv50D/fVpyP9IGn7Xm+rhn/Glra8nGaMr7o9s59AtIbr 8T389+PjJ/z75fntJIMuWPtKnkLjQ1UKuj1/XwW53b08v4O0cWKfvif+jL/pxwL4BHejQKXFmOr/ JWjueCGROC4IJao0RuRdBgBe4FHAxAR4I/04aKrMvLs4PpsdEpgeXR7P8mrhdRFhHdWpIura/3p8 Q2GO4YjLajQd5WvK3SrH+3q2AS6tmyFVInDyMmeO50pXc6VR5RnXvCrz9FcQ9dt4EVcwylmrLFAF hzkVE+eDGKACbrZbpih7b7FKlTeBE7UVhh7gk7H+pZvKH021gjdVCFLi1ALQ6jugwfasGR0E7ScM dMEJ2yJYBPx7hV2uXTbP/3t6xAsj7uH705uKf2JvfxQTqbyWxuidlDbJYadJsfmSZvGpSNSseoVh V3SpV9SrERGAxH4RsCYagJjQ+yCW5eRdlEyCkU9EjUmQjfb9DbQf4rNf/4/jlyzITRnjmdD9+5u6 1FlwfHxB5R67lyUXHoWYgiLX3BpQB7yY65YccMznymmpjMqtEYde28FYD795sv1iNGVDViuUPslN DleZqfGbqJcbOKBYoVsi/Jh0PfDmExKahxuT/gbQaDdU+IGm0XrLCEpjzjxRYtAU0CRXCQGahFcN IAWu6qos+AStSNCUJedWKMsm9Yr2WMZoNaOD7vLksGQzJhO/BfjRO/IM15zrXNmm86UPYZOjQ2kW xVFbGynqthdE7EpgjjGrULs0HYVk2O/ALJNVQpgBBRmC1pnAUbWMxa3bb8ghQXMCCmquMwvQ+l8r ibC+urh7OL0wroj1FbpHUM3DYZWyFpVhjL4MXXTLTvQz6+6rrsLokgZnUK/oDQyLT+XnNpNZGTW6 IyIcSknjiOKgcMs6ygUsM/VizhtwSEJlF7u+5gzsJUGTtpGsuzHDuBni49ubNJMeBqwNnUkzyGjA Q56iVzZBL6P8cFkWocx/05Yc1gKUiWBkMUVzU9a1YW/M0sXOoB4akUrIxa0snSjMdqXZHdwGab6f 51fYY0cNebqXXqLW1yKy2ocHf17kMoOPA4WDQVERrHeZioeC87CqNmWRHPI4n071hYPYMkqyEp+U 61iPuYEo6Vuh8gg5EWb3GgD3sbo0eGtYXeZLPhX8QJNYuUK6I5msKK0o2rfDlztMn8gEqKV5fMXU oPJAf1TvBiQ0ZdfeGbJ+8etW3JhpiapMluPDpfTnZVO16CHBOuZRxHXpSM3ehwvrxaxlsYtTPUld l6kYo6pqBwlG/CVB0JcNd+qVK6NgHGqhaAaYVvOONoQ/+zNHvbhcX7y/3t5J6dJknqLRysIP5UqL 9gA0tPiAwkhj/PZGGuY9VsOKcltHfWDw35GxkeG1NwBcqc2GnSfmk7WHimrN8ZSVoA+EIu3yzR8K K5+RRpSHommjyP+Ohvf41QhC6VE/zAiihJEkWsKWiRlraBDmEs5kSAYlAElzP7wK6OmfmIROmE8q jNezhc+NVosV3li3aUModflASB8U2Nb3WN5fVX4oK0141mPbLWm6DpGWnD2lyNKcHNkIUPwyamoi Tkq1TaRCIrBjCdI5kvBszUgtPOgBqA+Nsjs4Ycx6yTZ1V6MojDbJ4bpEwzwZUZ/ImCHe4uAGtxJo fCxYRwbApSUcL8PnJvvGP1CJswUd9mHTcJUAPrCLBLLhUqQw0xEvmXRUIom2Nf/kCiTjg+7s0QKG mo1mx64KKZFLgpbIgeNrDX9Zxj79ZYaegYbzpZwTXYJLYeQBQ8enBwMxm+KpJ5COmWmxKtni3Kz0 VF8kAYvaW6hOkFsJc/rLSME4NXnTf5oB4eenx8rPlhto7ZynnrjeFiCmwZR8PVjZBAhtNx9GFaGA weJ36NBGsjrAKelKaFCkmXMQVr41vRKEGYLOllBTp60f/8zQdcizS1sSqbF1TLyqRrotp8UXYFx8 yJ+uNYy4jZqxVDfZ7JDZTcn1MLtxBEVs8TeicQRWHOqtHec7TnLIsW1j2PrdjDdvkzUpmMrWB8cF O0Nplki/eyMONbpxopH7V0LB9weuF/XXqqFDp4PhorkWLlxayGj38jehwVXakAtUD7S5GkOz3KZw lhfoe1OEmOWU/X5hR/qI7YQf/UEoMV0Co6HR0FnkaltSnx8JwHD60hO8jwbE3b1qwLb012FdGFOk EO6RuFrlzWHHa5UVjntPlbVGelC0DtJGpdeUg9umXAl6aimYySVgvHgGUcJcZeFXkxH3UGBXcVpj 2CT4w34JRxtm1yEIQ6syy0pOD6CVSYtYz2qrYQpcpvtWoWWj97AW5Nc6Op4nMGhlZac8iG7vHvR4 mythnKQtQLJVOowtYpOKplzXIadL6misE1uByyWyQriPCRrnB5G40fn8NG2XVffjP+sy/xzvYims WbIaCKALuLobM/qlzFI2zt8N0OvrZxuvuqJd43yD6vWpFJ9XYfM52eP/i4bv0koeT4S7CSjJr8hd T62V7gJgRHDBqTDJzTiYcfi0xBgQImn++nR6e57PJ4s/vU8c4bZZzSmvXrnO0KIxJA8JMOZXwupr fdzOjo1SLrwdP+6fL75zYyalMb1VCbg0/DEQhro0nV1IIA4SSP4gytCIkir6xibN4jrhjmNVOI0x uOtmSD1ISldbqQRUd5QWc5nUhd5bIypUk1d0AUgAL7QTik5wMQqmeNN1uCZstmvg7Ut2LvNERSVL SLQ7+a0b9E1L1xgKTw3fgFd/jFUA23UX1sZeYWa0bzoVKiWWitSn1VTWmNvJqD6MLS7egmCZsWax Fn0ij3SXfLZxy+yAqrKtE71M3EWXbpRdqhte4KQ0hBj+VlITiUQsrrah2NCP7GBKTJJ8lLtrEyp1 Stn1wqbGIxYElmJtpC4zKKSWgtcLcZT4WhM5Esn2BVyX3p7gxsja1iMMOdhGl8y37m8YIErMDHgs VYVLGcbrJmEIknyZxHHClV3V4TpPQI5qD1WsIOiZ/d5Y9nlaAEcwpJH8zFKt3LirYj92rTnATa0N 0wLdEl3NdKVjjTIeocYq5W88bzCPTX+9sQhgcnTkwKg79LhHO1tFqk3kbmM+9t1InHI31okwP6w7 WdlvKC0yXrHPfc3fKaF/4N+hJ9/MFeAHof/GT/fH7z9v34+fLMIukySFm+GqWjAvQXZ9LAu7oqUe WHCA4X/IKT+ZHULcJQa1kttuOmbQebjHNOMCLo8+g67Ol26/2KSAM25nbK6ta+cktX1h6WBn9mJP 4lZL9SQ3KRcZEK6AGFefP5QLU+jDe61v/CZP0QrikGYkcvzXo0E+PvDXwxoTOrpyj6muWUcdweOF T6V8g9s0K9W2RCi4JRkS0W+LU4GhweE+UHFZR4GEM2GESxFGoID7f6knIsaD3PiJo0EaNN11xbao 9Riq6vdhDbxIG8UW6l4mUVJtHGJHuiJV4W915+Ou5RKL6dWuMWIyqsa6ASb3OKS6TkIMC4kSJZ82 U1JtK0yY5sa7xAGJtPSPA5Q3fhzw+PRVwbR/dYR+lYR/o3/nViBc00LXqRy6D+xF5biB6X4D8GPg w9olb1iamejviYcxa7pHSGbBjNY+YHSTb4KZ6451BsZ3Yty1uXpA8s8bGM+JIaasBo4zgDVIxs6K J2cq5mJVGCQLZ/FF8NviC+eQLwL3By9Yd3Lar5nxwakocVEd5o72PN/ZFUAZ0yITA/P1ezzY58EB D3b0fcKDpzx4xoMXjn4H5nD3GO4eQgiMfl2W6fxQm9VJ6NZRVR5GKIeHBa0JwVECd62IgxdNsq1L sx2Jq8uwSUM+o2hP9LVOsyx1WPK1ROsw+S1JnSSXZylS+AY+NXhPUWzThvsSOSi/+5JmW1+mgose ixSmVizOOAF1W6S44Ilwp0CHAuNLZumN9Fros3JzivzycE1s28jDs4qqcbz7eEWrWiu7OB5eeuv4 G2TYK8xM7FQBgFAiUhDy4CYK9JiGl9SxbOvhBUn1WpLEbhJM3RFvDiU0Iz+eO8eQRr5TpJGi0aSb 9rENE1sLaSjX1GlE5vnse1yH5O+myJpkphfciFlIX4pkNHMZX76AD9zKJNnVV5VKNjTUhxYZ/wQE wiK+0ij7GE41gW4tkawkh2WzSbJKtxti0fAVzeavT5/fvp2ePn+8HV8fn++Pfz4cf74cX7XTP83D QyuewT46oHFyvRU4dY48h51GeJgBPXRGJvK/PmG0hPvnfz/98ev28faPn8+39y+npz/ebr8foZ7T /R+YHuIHLtU/vr18/6RW7+Xx9en48+Lh9vX+KA3mh1WsjFqOj8+vmFnihE60p//c0pgNUSQVkjIT LqoZU7QTqGQmNm3qWKqbhHI6CYQhjS5h8RWudFU9Dcx71xD7AEcI27Z0pHxthKXTDyzNZ6EoVsAI KcFgdMMPTId2j2sfSsfkG13je1gMUqlIdI2wr/ET1NvK66+X9+eLu+fX48Xz64VaXNqkSGJ8TA1p ygcN7NvwJIxZoE0qLqO02uhbwUDYRfCSwQJt0lr3oRhgLKGmzjE67uxJ6Or8ZVXZ1Je68VRXAypa bFIrPT2FE+GvRW15IyRasL/jGvY3LdV65fnzfJtZiGKb8UCuJ5X86+6L/MOsj22zgVOHqdBxoHYL Jc3tytbZFq0UJRfdy0hH6vnp49vP092f/zr+uriTC//H6+3Lwy9rvdciZPoRc0JEi0uiyOpEEsUb ppokqmPBGe5147Otd4k/mXgLpvCAxO+yXnrDj/cH9HC7u30/3l8kT/Ir0anw36f3h4vw7e357iRR 8e37rfXZUZTbI8nAog1IHKE/qsrsq+lF3u/2dSpgNbk/s6OAf4giPQiRMNwhuUp3zLhuQmCru25W lzK2Dx6Ob/YnLe15iVZLG9bYuy1itkgS2WWz+tqClasluzWWnO9Di90z7YEAdV2HNuMoNmcGf0DK YeUVFhZpuNuzaqB2umIQtJutvRjQgqmfis3t24NrJkAY/+vRZNt5aM/Pnpu0naLsPECPb+92C3UU +BwDUQhll3yOL0UBx88kHKYuA854ZvL27Lm0zMLLxLfXjILb893CW6ZldaTxRnG6cmPabtq7mO2c toR4hEw9qmtHuuMk5mB2PXkKW1U6cnDTUuexwSE4CkfoqYHCn3CalAEf+COmcbEJeUW0hofdIxJO fTTQQOOKyuZdm3Di+W6kP7FnWJXhe3uuH3nAlWlA5FyWfB4vdbCua29hc93rauLZULmEDnJ5HYq0 dwBUUuTp5YGm3Ol4vL3CAXZoGFkSwFq11nlSbJeOQF0dRR1xuph+X5XXq5TdoAphaeNNvGMrRCGm 4kpDJ+J3BdvzD7jvQGmxIIvWb4nPsLMQVQP8RyHO3q0SSjtiEzBsCaHnisXMKgBYcEjixFVm1Rln mkNxuQlvQt7GtVv3mBSVDYRkiC9OucY9ESJhc5D22LoieUYpXB7Grg/uaM6uA42IWwAWeX4W3SRn pNDmumT3Swt3rawO7fhKij4E1+FXJw0ZCcVmnh9f0Lmf6g26BSVf523h7Ka0YPMxx2N5m5IBubGF ktZ4RPm73z7dPz9eFB+P346vXaRJrqdhIdJDVHF307heosVWseUxrbRk3Uskzvn0phFF/PvaQGG1 +yVFvUiCTrLVV6ZtvHZiLrHftt8Tdhf7v0VcO1zFTTpULthmr0q38fP07fX29dfF6/PH++mJkUwx Kht3Ukk4nCqW1Npaz+0SFdDNIcppuM6H9xyNfSKSVhRvYitQqLNtnCs93CjP1qDfSm00x+MR3kuS tbSX8LyzH+kUSElV57p5tgbm3moT9aKZudg21+xSDMXXPE9Qdy213ZgF1F6JGDjwu7yVv118R0/Z 048nFZbh7uF496/T0w/N8VMaZuCywPSoolfeD721KKRoJi2sP30a1IJ/p9U2Noprh9RhGk8P1dXQ dgc5LJMiAob0f5Ud227kuu1X9rEF2kWSBrvbAnnw+DKjxrfIdiaTFyPdMw2Cc/aCXIr5/MOLbFM3 Z/uwwQ5Jy5JMUSRFUlqE52BWRaJHCu6U8VPJlOthABsFqultrmVl6ynLHbTWOm0PY6Epi1o6wSRJ mdcRbJ33dFFv56MKVWfwR8OMbZTcphudWanaWlX5WA/VBvooh47HCLKGwJyanyo3129COWDid4xC Sav2Lt1xaIjOC4cCfdwFKnAmOVTJkc5tAOfBblKbkln2zcI6HdNUBTOZAXduqXHp6NuV0PN+GC1d xjOP0S4OnXi5JKVK880hZukJkvAGTASJ3vP27jwJHzL8kK19pPavz5JpN7PjYCEQJ+GuZQ/snTWV GPqCCocdIpRjY204Rrdi+qGtt9yzOHWgTtCkgIZajkVHYmxlsCfhiEgCh+jv7hHs/radFgZG9Qda n1Yl8gMZYCLvMV5g/Q6WoofoWlgIHnST/tuD2R9pGdC4vVdtELEBxEUQU97Le9oEQkYXW/RNBC6G PwkLeQJpUJStdZuUI1rzQgZ0XZMqWPewcyZaSzUaZQdIHVl6gEEY0TZa0gjh1r1z8AOz9hZATdfI MwJk7rbfOThEQJt0gukmGCAuyTI99qDEWxI3o6up0jKhENIdaZhif9urpi83NnlK/WT/3/G/D29/ vGIJqNenx7cfby8fvvEZ2sPz8eEDVkn/l9Dy8HwUlI+x2hyAE67OPATGqkMHMAPiTMiXCd2hB4ue DUswSbc09T5tpULnjTaJrCeBmKRU2xqDy6++iKAFRGCplEjidbctmbUEW9EduO4xNKe3ztmLAoEZ MBbrZDdyHyybjf0rIBzr0k7JTct7PKIXfdI3qL+JdqtWWYWU4UeRyeQ4lY0azxF6fVi09CHtLlBJ sHQO0jGndXabdY2/+rZ5j3c8N0WWBMrv4DN0B/QooxiKBu36OVpUQO08OiT7cgodhxiU3JEJ9Olk F3gm4OdT5HJywragfZXua2ySBHSdep0EExLGy1P4Zp+pa2GnLGHPz07BaspmqurAWAF6fnGyr8Yg BMi+80+n4FUcHda2aUpH5KBEwzIt9v3WAEA2kf6KmXrg8g5jUQ7dzsmLnFLC0ut9IuPQCZTlbSOF Fgg4a4UwB8qFIIoKOgq3HUAxGQQE/fn89P31dy609+348ugHB1HS7jXxppxAA8aY1vDRsAlkL5tt Cdp4OR+Af45S3Awq768ul2ntOgy08Vq4FFFGGNdtupLlZRJKWM4OdVKp1M0wtMDOpYyg+m4aUBrH XGugsm7lRWr4d4sXa3W5nPfoXM7upac/jn9/ffpmLKQXIv3K8Gd/5vldpoKEB8Ps4CHNrap6AtuB Wh9WmwVRtk90EV7y2wykbKpV28dCuOiovxrQDxwphVFomDvK9L66OLv8Ilm3BR0DyxVVTnGNJKNm ARlOT8qxkBvmK8IiKUMHZjy6jusjYJJglfSpUCtcDHVvbOry4CzAqQzLVC7Yar9osFARB6bjpbtu LtpkI//qNycOme65Nys1O/7n7fERQ3LU95fX5ze8EEBwR5VsFSWramE/C+AcDsRf6grkZoiKC9iF WzDF7TqMBMRLrpd0GDMLXWBmpqj+tc9j8iGIrsJSOyvtuDFWcsclCXoNrCqfx9+BBxaRvOkSU5YE FaFEqgSEk40xca+TUMILIzd4b33ntEHpqS7Meafzkln5CnI+CnkmXJ+MtEvcWESCkQGmnEqEhAly 7i/xov1ROfPFFVVmHmSM3tyY2GRQ0Od3Pd5vF1pviCcdM5yFhk83+zri9iV026iuqVXE48tv0Q2s 9yQWETQzEBPv79yhSsjsu+kxPUQ4f+j3tN0sXWQwtRNJ5uB3cL2DUFBqVw6biciaQUJQHk6Mc8z3 Az2mBInmz/6EWekXi8yhi5kmHewRmaHK6yy6ZTiTfFuN7ZYibf1e3Ya3CPfBX3iJ0v2QlIE3MCIq xviedgrCDLAs7wtovkYnnpds4i/ZBYGhKbZxZYJUGet7qyUWr0JPZKUag8U0PdQe62YRSWBJOymz 1EZQOngL2WOHHdZWdR3VRP+h+fHz5W8f8Lqyt5+8He4evj9aJeha6FWKca1NuMiPhceNesgXw5uR ZGsNvTS5u6bo0SE6tPP9xMEPozNDxQYrtgSMW1mVZgVVqC0xHYgcdwPMdp904SW0vwEtBHSRrAlL J5L9/Lbg51ifVw7+B+3jtzdUOQLSlxenlwNHYE9wLHHBgSbt9YEzd53nptg4HwZgMN2ym/zl5efT dwywg55/e3s9no7wn+Pr148fP/5VFN3Hck/U5JZsHj99stXNbbCo00xBbeBg1uQ/esT7/C7iijGs DcPBxlZI3m9kv2ciEM7NHgPx13q17/JqrTEamrc7WiRJ36Ct05XwNXxRZeaND1CNQRl+Ib0KeB39 N94+ufDzPLqg+34yU/8PVrA08x6TYheZRgo8Bs8PNQZQACezDz2wj/HuGZFLv7Oq89vD68MH1HG+ 4lmWJZbMbKnIsI3cfwffrWkgnM0CFk6Qhvf2kZQU0CDw3hGnCJwjEiJDct+agikJeqdyLrPiwIN0 sETGYqulAxVNj7MBUrzLK0iEJfzo2uiY6oVEuJeS0TeL9otziZ+4wmo5vwmmvE+F/62xeWv0xph5 OmDg2e4AWhagvmJVj8hZFfR+1/RtyboSFXqg2uDhZQYEdXrom6DVgWEJy0Lw/YOkQRRDzYYuEekY dguWzS5MMzlICme9BZDjXvU79JV2v0Bmapqh58glN2QVlV+F9vDo1CHB6kzEBEgJSn3de41gPMnB AaamNW56QfILU/yANjCy0XAPw2YG7EEqA0Nkl6rzf/zzkhznqBuGFeIE77ENXsC3aKdU01kZS9h2 9XA+m6HxVu3py6fQRs+jBdWsKEEt9DnHwdeV8mnQC3yYfG5WwXMMpzJuMXLMDW34qUhb2WYbeYDq nd9lGys8KS8UqviUfr+yNrFyFfpew2ladE4RO9ggxsEaxu46m5/HEeOpW4Yrcu2oWjXsnhzP7oIX /Ai8/ZlnxOC5N32aaDqaEVPkLE10EtEm0nat+iK3QWtrbQOr1FqaKk8Y+XdaEYbWUtleVFJcL+1Q 77GioR4bbX39Gc4+RhIErvlvpLy9FqQvvD++vKLqgbpy+uN/x+eHR3G/F9USXnrCpYWNhe6C7bMo huV3tMTH2T1vYUmGRfWsSQ9ABzTddRYo+LrIpHeLws4i5TptZMYLW4Ng5QHYLH55mG5T46/J7UuV MzV6VDqHAD2zeqACVZZnjZH6BvqS8xHk1dkJry6c7TYNghkPwHBWUPqaSMVlU7zO+rDJz/YRhip1 TaRcMJFUqkYPclhcEEX0+c2y3QJ3r6gzGzxJX8HTiXdTNhVuzVFxIY/l42RcBS3qryKd/9OlfUYk R7vL76LSk6eDD5n4IDe0V01UXWrHbxL8GhB9sFQ7oUm2F95TfNAV7xPgYWWU4SBt9pIO7i0OEntH AQ1xPJY8LZwyqzaFxogdz7fkTG0sYJWwKktWGPl6hcth9I5LxMYbb9HK5KD2GM0053e0xQoSwwJ3 eG4HqkdYHGEcHPRzieGLcUChdAXWm9AtmZ+cApz8OyhpOYJRIhZxIcMFY2sEutl5T/I8xfdbw/SU Ux+tX8AroGpWOBEUtzSBdRBfVxTaqPzOwZOuYmnQgLFnaHeApXs7CVV5XLm6CXrp4Xxq/CcYVTx1 P24CAA== --===============9059077568781673235==--