From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============9033215217634406173==" MIME-Version: 1.0 From: Dan Carpenter Subject: [intel-linux-intel-lts:5.4/yocto 40/1142] drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:972 dw_chan_prep_dma_cyclic() error: uninitialized symbol 'mem'. Date: Wed, 11 Nov 2020 12:59:42 +0300 Message-ID: <20201111095942.GK29398@kadam> List-Id: To: kbuild@lists.01.org --===============9033215217634406173== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable tree: https://github.com/intel/linux-intel-lts.git 5.4/yocto head: eeb611e5394c56d45c5cc8f7dc484c9f19e93143 commit: 94e13c2880167751eb5cbbcb0e7be68ca83f0653 [40/1142] dmaengine: dw-ax= i-dma: support cyclic mode config: i386-randconfig-m021-20201110 (attached as .config) compiler: gcc-9 (Debian 9.3.0-15) 9.3.0 If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot Reported-by: Dan Carpenter New smatch warnings: drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:972 dw_chan_prep_dma_cyclic(= ) error: uninitialized symbol 'mem'. drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:972 dw_chan_prep_dma_cyclic(= ) error: uninitialized symbol 'len'. Old smatch warnings: drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:1050 dw_chan_prep_dma_cyclic= () error: uninitialized symbol 'len'. drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:1058 dw_chan_prep_dma_cyclic= () error: uninitialized symbol 'mem'. vim +/mem +972 drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c 94e13c288016775 Sia Jee Heng 2020-06-26 913 static struct dma_async_t= x_descriptor * 94e13c288016775 Sia Jee Heng 2020-06-26 914 dw_chan_prep_dma_cyclic(s= truct dma_chan *dchan, dma_addr_t buf_addr, 94e13c288016775 Sia Jee Heng 2020-06-26 915 size_t buf_len, size_t= period_len, 94e13c288016775 Sia Jee Heng 2020-06-26 916 enum dma_transfer_dire= ction direction, 94e13c288016775 Sia Jee Heng 2020-06-26 917 unsigned long flags) 94e13c288016775 Sia Jee Heng 2020-06-26 918 { 94e13c288016775 Sia Jee Heng 2020-06-26 919 struct axi_dma_chan *cha= n =3D dchan_to_axi_dma_chan(dchan); 94e13c288016775 Sia Jee Heng 2020-06-26 920 struct axi_dma_desc *fir= st =3D NULL, *prev =3D NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 921 unsigned int reg_width; 94e13c288016775 Sia Jee Heng 2020-06-26 922 unsigned int mem_width; 94e13c288016775 Sia Jee Heng 2020-06-26 923 unsigned int data_width = =3D BIT(chan->chip->dw->hdata->m_data_width); 94e13c288016775 Sia Jee Heng 2020-06-26 924 dma_addr_t reg; 94e13c288016775 Sia Jee Heng 2020-06-26 925 u32 reg_value =3D 0; 94e13c288016775 Sia Jee Heng 2020-06-26 926 unsigned int i =3D 0; 94e13c288016775 Sia Jee Heng 2020-06-26 927 u32 ctllo, ctlhi; 94e13c288016775 Sia Jee Heng 2020-06-26 928 size_t total_len =3D 0; 94e13c288016775 Sia Jee Heng 2020-06-26 929 size_t block_ts, max_blo= ck_ts; 94e13c288016775 Sia Jee Heng 2020-06-26 930 u8 lms =3D 0; // Select = AXI0 master for LLI fetching 94e13c288016775 Sia Jee Heng 2020-06-26 931 u32 offset; 94e13c288016775 Sia Jee Heng 2020-06-26 932 = 94e13c288016775 Sia Jee Heng 2020-06-26 933 if (unlikely(!is_slave_d= irection(direction))) 94e13c288016775 Sia Jee Heng 2020-06-26 934 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 935 = 94e13c288016775 Sia Jee Heng 2020-06-26 936 chan->direction =3D dire= ction; 94e13c288016775 Sia Jee Heng 2020-06-26 937 chan->cyclic =3D 0x1; 94e13c288016775 Sia Jee Heng 2020-06-26 938 = 94e13c288016775 Sia Jee Heng 2020-06-26 939 max_block_ts =3D chan->c= hip->dw->hdata->block_size[chan->id]; 94e13c288016775 Sia Jee Heng 2020-06-26 940 = 94e13c288016775 Sia Jee Heng 2020-06-26 941 axi_set_hw_channel(chan-= >chip, chan->hw_hs_num); 94e13c288016775 Sia Jee Heng 2020-06-26 942 = 94e13c288016775 Sia Jee Heng 2020-06-26 943 switch (direction) { 94e13c288016775 Sia Jee Heng 2020-06-26 944 case DMA_MEM_TO_DEV: 94e13c288016775 Sia Jee Heng 2020-06-26 945 reg_width =3D __ffs(cha= n->slave_config.dst_addr_width); 94e13c288016775 Sia Jee Heng 2020-06-26 946 = 94e13c288016775 Sia Jee Heng 2020-06-26 947 chan->reg_width =3D reg= _width; 94e13c288016775 Sia Jee Heng 2020-06-26 948 if (reg_width =3D=3D DW= AXIDMAC_TRANS_WIDTH_16) { 94e13c288016775 Sia Jee Heng 2020-06-26 949 offset =3D DMAC_APB_HA= LFWORD_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 950 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 951 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 952 axi_dma_apb_iowrite32(= chan->chip, 94e13c288016775 Sia Jee Heng 2020-06-26 953 DMAC_APB_HALFWORD_WR_= CH_EN, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 954 } else if (reg_width = =3D=3D DWAXIDMAC_TRANS_WIDTH_8) { 94e13c288016775 Sia Jee Heng 2020-06-26 955 offset =3D DMAC_APB_BY= TE_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 956 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 957 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 958 axi_dma_apb_iowrite32(= chan->chip, offset, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 959 } 94e13c288016775 Sia Jee Heng 2020-06-26 960 reg =3D chan->slave_con= fig.dst_addr; 94e13c288016775 Sia Jee Heng 2020-06-26 961 = 94e13c288016775 Sia Jee Heng 2020-06-26 962 ctllo =3D axi_dma_prepa= re_ctllo(chan) | 94e13c288016775 Sia Jee Heng 2020-06-26 963 reg_width << CH_CTL_L_= DST_WIDTH_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 964 DWAXIDMAC_CH_CTL_L_NOI= NC << CH_CTL_L_DST_INC_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 965 DWAXIDMAC_CH_CTL_L_INC= << CH_CTL_L_SRC_INC_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 966 = 94e13c288016775 Sia Jee Heng 2020-06-26 967 for (i =3D 0; i < buf_l= en / period_len; i++) { 94e13c288016775 Sia Jee Heng 2020-06-26 968 struct axi_dma_desc *d= esc; 94e13c288016775 Sia Jee Heng 2020-06-26 969 size_t xfer_len; 94e13c288016775 Sia Jee Heng 2020-06-26 970 u32 mem, len; ^^^= ^^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 971 = 94e13c288016775 Sia Jee Heng 2020-06-26 @972 mem_width =3D __ffs(da= ta_width | mem | len); = ^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 973 if (mem_width > DWAXID= MAC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 974 mem_width =3D DWAXIDM= AC_TRANS_WIDTH_32; 94e13c288016775 Sia Jee Heng 2020-06-26 975 = 94e13c288016775 Sia Jee Heng 2020-06-26 976 xfer_len =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 977 block_ts =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 978 = 94e13c288016775 Sia Jee Heng 2020-06-26 979 desc =3D axi_desc_get(= chan); 94e13c288016775 Sia Jee Heng 2020-06-26 980 if (unlikely(!desc)) 94e13c288016775 Sia Jee Heng 2020-06-26 981 goto err_desc_get; 94e13c288016775 Sia Jee Heng 2020-06-26 982 = 94e13c288016775 Sia Jee Heng 2020-06-26 983 if (block_ts > max_blo= ck_ts) { 94e13c288016775 Sia Jee Heng 2020-06-26 984 block_ts =3D max_bloc= k_ts; 94e13c288016775 Sia Jee Heng 2020-06-26 985 xfer_len =3D max_bloc= k_ts << mem_width; 94e13c288016775 Sia Jee Heng 2020-06-26 986 } 94e13c288016775 Sia Jee Heng 2020-06-26 987 = 94e13c288016775 Sia Jee Heng 2020-06-26 988 ctlhi =3D axi_dma_prep= are_ctlhi(chan); 94e13c288016775 Sia Jee Heng 2020-06-26 989 = 94e13c288016775 Sia Jee Heng 2020-06-26 990 ctllo |=3D mem_width <= < CH_CTL_L_SRC_WIDTH_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 991 write_desc_sar(desc, b= uf_addr + i * period_len); 94e13c288016775 Sia Jee Heng 2020-06-26 992 write_desc_dar(desc, r= eg); 94e13c288016775 Sia Jee Heng 2020-06-26 993 desc->lli.block_ts_lo = =3D period_len / 4; 94e13c288016775 Sia Jee Heng 2020-06-26 994 desc->lli.ctl_hi =3D c= pu_to_le32(ctlhi); 94e13c288016775 Sia Jee Heng 2020-06-26 995 desc->lli.ctl_lo =3D c= pu_to_le32(ctllo); 94e13c288016775 Sia Jee Heng 2020-06-26 996 = 94e13c288016775 Sia Jee Heng 2020-06-26 997 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 998 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 999 = 94e13c288016775 Sia Jee Heng 2020-06-26 1000 // Manage transfer lis= t (xfer_list) 94e13c288016775 Sia Jee Heng 2020-06-26 1001 if (!first) { 94e13c288016775 Sia Jee Heng 2020-06-26 1002 first =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1003 } else { 94e13c288016775 Sia Jee Heng 2020-06-26 1004 write_desc_llp(prev, = desc->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1005 list_add_tail(&desc->= xfer_list, 94e13c288016775 Sia Jee Heng 2020-06-26 1006 &first->xfer_l= ist); 94e13c288016775 Sia Jee Heng 2020-06-26 1007 } 94e13c288016775 Sia Jee Heng 2020-06-26 1008 prev =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1009 if (i =3D=3D ((buf_len= / period_len) - 1)) 94e13c288016775 Sia Jee Heng 2020-06-26 1010 write_desc_llp(prev, = first->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1011 = 94e13c288016775 Sia Jee Heng 2020-06-26 1012 total_len +=3D xfer_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1013 = 94e13c288016775 Sia Jee Heng 2020-06-26 1014 set_desc_last(desc); 94e13c288016775 Sia Jee Heng 2020-06-26 1015 } 94e13c288016775 Sia Jee Heng 2020-06-26 1016 break; 94e13c288016775 Sia Jee Heng 2020-06-26 1017 case DMA_DEV_TO_MEM: 94e13c288016775 Sia Jee Heng 2020-06-26 1018 reg_width =3D __ffs(cha= n->slave_config.src_addr_width); 94e13c288016775 Sia Jee Heng 2020-06-26 1019 = 94e13c288016775 Sia Jee Heng 2020-06-26 1020 chan->reg_width =3D reg= _width; 94e13c288016775 Sia Jee Heng 2020-06-26 1021 if (reg_width =3D=3D DW= AXIDMAC_TRANS_WIDTH_16) { 94e13c288016775 Sia Jee Heng 2020-06-26 1022 offset =3D DMAC_APB_HA= LFWORD_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 1023 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 1024 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 1025 axi_dma_apb_iowrite32(= chan->chip, offset, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 1026 } else if (reg_width = =3D=3D DWAXIDMAC_TRANS_WIDTH_8) { 94e13c288016775 Sia Jee Heng 2020-06-26 1027 offset =3D DMAC_APB_BY= TE_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 1028 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 1029 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 1030 axi_dma_apb_iowrite32(= chan->chip, offset, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 1031 } 94e13c288016775 Sia Jee Heng 2020-06-26 1032 reg =3D chan->slave_con= fig.src_addr; 94e13c288016775 Sia Jee Heng 2020-06-26 1033 if (reg_width > DWAXIDM= AC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 1034 reg_width =3D DWAXIDMA= C_TRANS_WIDTH_32; 94e13c288016775 Sia Jee Heng 2020-06-26 1035 ctllo =3D axi_dma_prepa= re_ctllo(chan) | 94e13c288016775 Sia Jee Heng 2020-06-26 1036 reg_width << CH_CTL_L_= SRC_WIDTH_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 1037 DWAXIDMAC_CH_CTL_L_INC= << CH_CTL_L_DST_INC_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 1038 // Workaround 94e13c288016775 Sia Jee Heng 2020-06-26 1039 DWAXIDMAC_CH_CTL_L_NOI= NC << CH_CTL_L_SRC_INC_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 1040 = 94e13c288016775 Sia Jee Heng 2020-06-26 1041 for (i =3D 0; i < buf_l= en / period_len; i++) { 94e13c288016775 Sia Jee Heng 2020-06-26 1042 struct axi_dma_desc *d= esc; 94e13c288016775 Sia Jee Heng 2020-06-26 1043 size_t xfer_len; 94e13c288016775 Sia Jee Heng 2020-06-26 1044 u32 mem, len; ^^^= ^^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 1045 = 94e13c288016775 Sia Jee Heng 2020-06-26 1046 desc =3D axi_desc_get(= chan); 94e13c288016775 Sia Jee Heng 2020-06-26 1047 if (unlikely(!desc)) 94e13c288016775 Sia Jee Heng 2020-06-26 1048 goto err_desc_get; 94e13c288016775 Sia Jee Heng 2020-06-26 1049 = 94e13c288016775 Sia Jee Heng 2020-06-26 1050 xfer_len =3D len; ^^^= ^^^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 1051 block_ts =3D xfer_len = >> reg_width; 94e13c288016775 Sia Jee Heng 2020-06-26 1052 if (block_ts > max_blo= ck_ts) { 94e13c288016775 Sia Jee Heng 2020-06-26 1053 block_ts =3D max_bloc= k_ts; 94e13c288016775 Sia Jee Heng 2020-06-26 1054 xfer_len =3D max_bloc= k_ts << reg_width; 94e13c288016775 Sia Jee Heng 2020-06-26 1055 } 94e13c288016775 Sia Jee Heng 2020-06-26 1056 xfer_len =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1057 block_ts =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1058 mem_width =3D __ffs(da= ta_width | mem | xfer_len); = ^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 1059 if (mem_width > DWAXID= MAC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 1060 mem_width =3D DWAXIDM= AC_TRANS_WIDTH_32; 94e13c288016775 Sia Jee Heng 2020-06-26 1061 = 94e13c288016775 Sia Jee Heng 2020-06-26 1062 ctlhi =3D axi_dma_prep= are_ctlhi(chan); 94e13c288016775 Sia Jee Heng 2020-06-26 1063 ctllo |=3D mem_width <= < CH_CTL_L_DST_WIDTH_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 1064 = 94e13c288016775 Sia Jee Heng 2020-06-26 1065 write_desc_sar(desc, r= eg); 94e13c288016775 Sia Jee Heng 2020-06-26 1066 write_desc_dar(desc, b= uf_addr + i * period_len); 94e13c288016775 Sia Jee Heng 2020-06-26 1067 if (reg_width =3D=3D D= WAXIDMAC_TRANS_WIDTH_16) 94e13c288016775 Sia Jee Heng 2020-06-26 1068 desc->lli.block_ts_lo= =3D period_len / 2; 94e13c288016775 Sia Jee Heng 2020-06-26 1069 else if (reg_width >= =3D DWAXIDMAC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 1070 desc->lli.block_ts_lo= =3D period_len / 4; 94e13c288016775 Sia Jee Heng 2020-06-26 1071 desc->lli.ctl_hi =3D c= pu_to_le32(ctlhi); 94e13c288016775 Sia Jee Heng 2020-06-26 1072 desc->lli.ctl_lo =3D c= pu_to_le32(ctllo); 94e13c288016775 Sia Jee Heng 2020-06-26 1073 = 94e13c288016775 Sia Jee Heng 2020-06-26 1074 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 1075 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 1076 = 94e13c288016775 Sia Jee Heng 2020-06-26 1077 // Manage transfer lis= t (xfer_list) 94e13c288016775 Sia Jee Heng 2020-06-26 1078 if (!first) { 94e13c288016775 Sia Jee Heng 2020-06-26 1079 first =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1080 } else { 94e13c288016775 Sia Jee Heng 2020-06-26 1081 write_desc_llp(prev, = desc->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1082 list_add_tail(&desc->= xfer_list, 94e13c288016775 Sia Jee Heng 2020-06-26 1083 &first->xfer_l= ist); 94e13c288016775 Sia Jee Heng 2020-06-26 1084 } 94e13c288016775 Sia Jee Heng 2020-06-26 1085 prev =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1086 if (i =3D=3D ((buf_len= / period_len) - 1)) 94e13c288016775 Sia Jee Heng 2020-06-26 1087 write_desc_llp(prev, = first->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1088 = 94e13c288016775 Sia Jee Heng 2020-06-26 1089 total_len +=3D xfer_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1090 = 94e13c288016775 Sia Jee Heng 2020-06-26 1091 // TODO: check if need= ed 94e13c288016775 Sia Jee Heng 2020-06-26 1092 set_desc_last(desc); 94e13c288016775 Sia Jee Heng 2020-06-26 1093 } 94e13c288016775 Sia Jee Heng 2020-06-26 1094 break; 94e13c288016775 Sia Jee Heng 2020-06-26 1095 default: 94e13c288016775 Sia Jee Heng 2020-06-26 1096 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 1097 } 94e13c288016775 Sia Jee Heng 2020-06-26 1098 = 94e13c288016775 Sia Jee Heng 2020-06-26 1099 if (unlikely(!first)) 94e13c288016775 Sia Jee Heng 2020-06-26 1100 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 1101 = 94e13c288016775 Sia Jee Heng 2020-06-26 1102 return vchan_tx_prep(&ch= an->vc, &first->vd, flags); 94e13c288016775 Sia Jee Heng 2020-06-26 1103 = 94e13c288016775 Sia Jee Heng 2020-06-26 1104 err_desc_get: 94e13c288016775 Sia Jee Heng 2020-06-26 1105 if (first) 94e13c288016775 Sia Jee Heng 2020-06-26 1106 axi_desc_put(first); 94e13c288016775 Sia Jee Heng 2020-06-26 1107 = 94e13c288016775 Sia Jee Heng 2020-06-26 1108 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 1109 } --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============9033215217634406173== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICB0Hq18AAy5jb25maWcAjFxbc+S4rX7Pr+iafUkedte3cWbPKT9QFKXmtiRqSKrt9ovK6+nZ uHbGnuNLsvPvD0BKLZKCepNKJdMAeBEJAh9A0D/87YcVe3t9+nr3+nB/9+XL99Xv+8f9893r/tPq 88OX/f+ucrVqlF2JXNqfQLh6eHz78+eH8w+Xq/c/Xfx08ctqs39+3H9Z8afHzw+/v0HTh6fHv/3w N/jvD0D8+g16ef6f1e/39z/+svp7vv/t4e5x9ctP5z+d/Hj6/h/+XyDLVVPIsue8l6YvOb/6PpLg R78V2kjVXP1ycn5ycpCtWFMeWCdBF5w1fSWbzdQJENfM9MzUfamsIhmygTYiYKnGWN1xq7SZqFJ/ 7K+VDvrOOlnlVtaiFzeWZZXojdJ24tu1FiyH7gsF/9NbZrCxW5/SLfaX1cv+9e3btAqZVhvR9Krp Td0GQzfS9qLZ9kyX8H21tFfnZ7jK43zrVsLoVhi7enhZPT69Ysdj60pxVo2r9e4dRe5ZF66N+7De sMoG8mu2Ff1G6EZUfXkrg+mFnAw4ZzSruq0Zzbm5XWqhlhgXEyOe02FVwgmFq5IK4LSO8W9uj7dW x9kXxI7komBdZfu1MrZhtbh69/fHp8f9Pw5rba5Z9C1mZ7ay5URXrTLypq8/dqILNDikYmNuq0C9 tTKmr0Wt9K5n1jK+DsfqjKhkRn4U68AYEJNwW8M0X3sJHJBV1ajrcHBWL2+/vXx/ed1/nXS9FI3Q krtz1WqVBdMPWWatrmkOX4dKiJRc1Uw2Mc3ImhLq11JonPKO7rxmVsMSwmfAMQFDQEtpYYTeMotH qFa5iEcqlOYiH8yAbMqJa1qmjUChcOXDnnORdWVh4m3YP35aPX1OFnSyiopvjOpgzP6aWb7OVTCi 251QJGeWHWGjyQlUJuBsWSWhsegrZmzPd7wids5Zxe2kCAnb9Se2orHmKBMNIss5DHRcrIYNZfmv HSlXK9N3LU551Ej78HX//EIppZV8A+ZXgNYFXTWqX9+ima1VE24YEFsYQ+WSOpq+lczd+hzaOCp1 hmS5Rn1yS+f8zmG/Z9M9HHMtRN1a6LOJxhjpW1V1jWV6R57mQYoyKkN7rqD5uGi87X62dy9/rF5h Oqs7mNrL693ry+ru/v7p7fH14fH3ZBmhQc+46yNSflRvpx8R8zCtzORoD7gAIwUSlpw7+lJjmTXU 7I2MFsPIg8HNpUE/nZPH6r/4QLcQmncrQ6lOs+uBN30o/ABkABoSqJKJJFybhIRfNvRzmFo85GEh N/4fwdJuDjuoeEhegwVCrfo6eX908wWYV1nYq7OTaetlYzfg+wuRyJyeR+a+A4zkMQ9fg5Fzp3FU FXP/r/2nN4B/q8/7u9e35/2LIw8fQ3AjM3TNGttnaMGg366pWdvbKuuLqjPrwCSVWnWtCXcaXBov SXXJqs3QgGR7lv+SYwKtzM0xvs4XoMTAL+Bc3Qp9TCQXW8nFMQlQ08VjMc5T6OIYP2uPsp3vIQUQ rIDvgrNJnDtYPb5pFegP2jHwmZFN8mqCOHN5H8BfFAaGB/sDTjfei/FMiYoFLhs3FpbMuSudx4hZ sxp6814rwLE6n0FFIC3DRGAuQkTg3VDG3LUJsKv7HUBWCD5UCzZQ3gpECW7DlK5Zw6M1S8UM/IMY LQV4/nzK/PQysDtOBswRF62DK7A8XCRtWm7aDcymYhanEyxzW0w/UpOWjFSDoZUAIgPIZEpha7Br /QQIkj0fGEtagVMnRAaBYs2avJrB34MjjUxb+rtvahlGPpEvShaEGDtjgOOKLgQ5RWfFTfITTEew gK0K5Y0sG1YVgfa6mYcEB3NCglmDsQtnyqQiZidV3+nEv7J8K40Yl5O2Z9B5xrSWsa0aQxtstquD lR0pfQT2DlS3RnhwrdyKSKkohUDVcd66oM6/8xAYuE9ThE4agHmJvQF8/ZH8Nmgn8pw0Lv4QwPB9 ik1bfnpyMbq3IfHR7p8/Pz1/vXu836/Ev/ePABUYeDiOYAHw2oQM4h4PE3Fm1jPhm/tt7YINEpr8 lyOOA25rP5wHcNEhwFwBA/fq8hjTEasYHfGZqssoi1OpLFBGaA37oUsxAq2o73VXFIATWgb8QzxF mzEraheYYHJHFpK7yCo8saqQVQQmnRlzTieCzHF+ZRS++XDZnwfZCReg9fkO3BoECkViEkE6dCg+ JYSmMxccYr3AvqnOtp3tnQm3V+/2Xz6fn/2IqbJ3kdrC4gyA7d3d8/2/fv7zw+XP9y579uISa/2n /Wf/O0zSbMAf9qZr2yi3BOCLb9yE57y6DvCkG7lGEKUbcHPSR0tXH47x2c3V6SUtMOrPX/QTiUXd HYJcw/o8TAiNDG+Co17ZbvRPfZHzeRMwITLTGJPmMTw4WAuMRdAC3VA8BtAE04bCOVhCApQOTlHf lqCAwTq7ORlhPeLy8Q6E+kHcKADyjCxnd6ArjVHzuguTlJGcOyekmJ+PzIRufMoB/JyRWZVO2XSm FbAJC2yHr93Ssapfd+Cbq2zWg1MpM9oomJI7udHhgKPUV+x215dmqXnnEjsBuwC/LJiudhwzJiKA GG3pw4kKLFdlrs4CqIRbYBhuDyo97oHgPiXjzHH7/HS/f3l5el69fv/mA7co7Bg6uoUguV8C6KZu CYuEH1kIZjstPPQN7Roy69YlcoimparyQoYBixYW/L6MI3XsxOsmYBxN4x+UETcWdhS1hIAikSRY Qsw7tob27CjC6qmfYwGHVKbo60wudgQKILWkB/J4X9US7CIgcTi8aKRJQLHege4DKAGEW3YizOHA 6rKt1JE/GWnzMGXEHeD+kn58eqvtMBkD6lTZAYFNnW7XdBp3Ww9nIM3FpbNJMhpUXmIUHWPlCW5d fLikcdj7Iwxr+CKvrm9o3uVSh2AxALbXkt7tiX2cT+vlyL2guZuFKW3+uUD/QNO57oyiVbkWBcAJ oRqaey0bzCbzS2LbRuZ5HucaKrbQWSkAHZQ3p0e4fbWwPXyn5c3iIm8l4+c9HaY65sKCITZeaAVY bNmWDP51wTK649zg13gP6tNE70OR6nSZBz67bGpEqWH0iBxEwy2YeZ9RMF0ds0HvYwLgEFl3tTOk BUC5and1EaY5XS4Rw2dRCU7lO7Eh+CxvOwOsPJDdpkTAceSAJZ0T17syBK2HXuBTWafnDEBxjakF oF5qiK7mJP12zdRNeNmxboW3QjoKMmpJfHDjoIbpYWQAG5kooaNTmgluZ84aYX7KmAgwwwoBWXyP 4HYXlq2VPPWCuPwKGQva5q4rx5ahFiqyOy00oHKfNhluVTOlLCaflx1jHTtCjy6CmOvr0+PD69Nz lOoOgrvB93bNEIsuSmjWVsf4HFPbCz04562uh10ewp2FScZfV4mS8R0Ehx8oM4cSp5dZulvCtIDZ nAJOyXcFxzljRCfyw2ZKMfttwFWHHnyqdrQ6ksOhjO7LDqT0EE4Mf9gm03VgANTyxqhgC0jGba6h E68DBJNUQqBReC2TpFoG0gWdIh24lwvsbW3aCjDROYUQJibm/cIxR87Z8V7PXMOjIqc0NIGTrooC gpmrkz+zE/+feI1atry2vGUIzq00VnIqN+wAWAEWAZYGTAojghaHpJfZznaPt9Z41xroiKxQt6sR YuIVZSeukvk7ZwIRqTKYK9KdS4MuHAR/r4s3INdXlxeRu1xDINdVLG08iVhN65n7DLCp+RGHayB+ PuJtwbbU4Z33RLfmxi0KbmJqClMJet6EJCbr6RRaQQMUIzgG/vS5uO1PT06WWGfvF1nncauou5PA /d1eIeGQH3Hhx1rjTWS4IBtxI8g6ivXOSPQwoMYaD8HpcAYO0ZtLXMWa6XcTs/OY4oz3xcX0rlWY RhxHceAHRjmLBhmyJtvcqOgaoM5ddgJMKZX+hr2Sxa6vchulU0fXcCQ69g7u6T/75xX4jrvf91/3 j69OhPFWrp6+YUlXFEQPqYUFy3fITFALHMb6Q1AVRWA1ZqbxBiRfjOxAhlfRdl5/9M6wd/heIpoc sMmSETrkJvATAyMz+zX6S7fnBkyB2nRtYpVqMPZ2KH/BJm2YnXKUIanpJ+ncugkSdpNxQFn32SUZ Ivu+Wq77UQXjpghyC+OHWWquxbZXW6G1zEWYG4p7EpyqPQklWPqNGbNgdXcptbM2Lpdw5C2MTl1V OGbB5g1yCJaX5B3i1wKUwJhk+An2p2gqYcvo6ihmkuvsm7Gy1KAgdCrbydq10DWrEpVxRYeO7dJd XVtqlqcTSHmEntA+xs2RS0zQ0zDAL5uCUAQsyuLU18q2VVcOiHw2AZPRGNq3XbhD9yN3BgJOcON2 rY6IaZF3aAjWTOfXTKPTqnaUFzicPNaK4PzG9OGKLx4CGeQE8tYW84MU2CmJt7Kw90sIYFxi+Dd5 iByYqg+h2mhZC3k1Vdqsiuf9/73tH++/r17u7774iGNyCIPaL5WxEK0PHctPX/ZB2S30FB+AkdKX agshXB5ddERMiN27BZYVsQMLeWP6jdxOzxpTdaEfO8x9upFBsJKK/bU3cyuRvb2MhNXf4cCs9q/3 P/0jXGM8RaVCuEirgWPXtf95RCSXmk46eDZrAruJJBwxpvgeYto4cORBgc6b7OwEFvpjJzVlNfHW JevCqmZ/DYMxdNgXkKkbOo6ABSK8IMuLlLX22kwug6paOk8JGIjOgjXCvn9/ckoZJwh+mywFuFhC kJFnYWGbvQo8PN49f1+Jr29f7kacE+OwIeod+5rJx9YG7Bpea6nale66IYqH56//uXver/Lnh39H V8Iiz6dAGX6kuL2QunaGDwKeJCYI9ogb2cusoC19cd3zYqivIC8oVFmJw0DBpZpnYA7DZUwSzDuw sdZKNUYdZR06mcls2/D7C3m4FBqXzu5/f75bfR4X8JNbwLCKbEFgZM+WPtqszTaK6DEr34E63s7A a1Ssj5e2D6/7ewTPP37af4Oh0MJMMHlUH83Muo+TQMrfXYvpq0fKcFXvymbaKqwccVM9NJx1hW5t nvDb+Cs3UiV+7WpMy2WCMr6zuzo3/ISru8YFLVjExREDJbgGk7b4bsDKps+G+vWwIwnLgbfDxBXq hhx5gxdmFEO1NH3oBl9PpFf5jl90jb+/BwiMuK/5VfC4vsCJRfVAU2W763ENQUDCROOJeEqWneqI amYDS+58ny8Dp26XIRbAAG6oVJsLGDEmPhaY3kP09WzR/cz9MxRfv9BfryX4TTm7HcNbYXOohbCu Wsu1SOTOzzJp0Vz16TbiUxqIRYcnJenuAGAC4Nvk/hJ30KHB7URyRnxc2jh8/rLY0EeGIWV93Wfw 6b4mMeHV8gY0eWIbN8FECEuR8N62003fKNgkGYKhtFqI0BzEr3jZ54oq/a21a0F1Qow/1gbpYdHy riZ3eDrXx7lEEZZfc94NIQdWqM6UzB8KXxPM6/aGr8t07T3VJ+kXeLnqFmoRZMt7/yBifKVEfMWQ WxpqMUgJXKMKNjRhzqoGRjM9VBZE7FktfcxejEHcx0i7Buvn98pdeqcbSpe+R3qpcN/Da6XIBDWY JkVrjHUbmLWl1hN52Edv1pFnHwbIx4Sr4FhjFaTaVd5hqgNNuahQI6ng1XHGxBc1zaiYKHUnN2A8 SEsYt/oQq5Bqd6MZs1WCXgHOxtaAV1jpkcEmALDJA2m8JzCyHHJY5zMGS9zB5QWaOtyvoPMRGs5Z k0m2YPjt+ARMXwcu/Qgrbe53g2xOsQ7NNVaZ+XcbUzHkQHOVqkd1GALb6vxsTHfGdvzg58EZUc4c LV1YeJg2Hco2e9FwvWsPD0tKrrY//nb3sv+0+sPXOX57fvr88CW6ZkOhYdmIXh13hEVJPWnKo7Aw ivjqvf6i/2eI+o9N7hCQVV2JL8qUsZynLybxFasXIAsU/wJTjl1pBIhW3IQWxZXVGqwand7ADkc4 /P5BWXytY6UYdc81yHQN8lODMDQ9MMOeR2dPX4X45kbzwzPThRLvUXIhkh7YePa0MFQ0M0hgkdo1 +HZjwLJOrxV6Wbucbzj5rgFNhmO/qzNVUV3CUatHqU1c7zwaSgvecpYYzobqnsNPAFIYqGnxMS5K Gt8uZKYkiZXM5nTMepRaWuINBBa4RfvjXr0MdwfOv1LuC4Wus2RaQOjrj+kQvgyKptKj49qpllWz kKq9e359QBVf2e/f4jo9mKmVHu4N9wHUfptcmUl0mhPGkiF5SgwlI4YfUX/E5En8YUDDsFCqGVlH ZatIdHcb/q2rmp43BREhtJLKX0nl4MTi5+YBc7PL4mhuZGQFneyLxzvYRdOcTv13jX/gDh4frBQe Y54WlU6XIj6Loevrq7nZd8+Jc9eNew+6LKKvKQHn3MZa/T4TBf4fwtvh6axbQfHn/v7t9e63L3v3 dwhWrq7hNVjLTDZFbRGGBLteFXG4PQgZrmVrZ2QwEFFSG9sitiaXeGlCbrb1/uvT8/dVPeUbZ9kA +uZ6StMMl+I1azpGOafpYtyLBGhg5KQQzw+FtlKEgc/Uk7ur5/Nmznb1rqZrHkwW+N63DE2dryho rWvl6n8uIpyU4Klaljqp6PelkyrOSdZ1F4ZbU2rDUC9wxredDkD6h8C5vro4+eVQcr6Am6d6FoIP ruSa7Wi/QEjX/lXN9A2plCuxcLVwk0xU9r2JMlIc4pjGidO5Pa0AXl0vJAb5QpHzbZtcIE+crKOs 7K2px7LvyTwPddqw2i1d5zq2clo2T4i4TN+YDgqgfD6+EcFMyyaKjHwB8XaM36a5CO3K4dKHwBP2 w8eOADfXNYvT4QEyhpgCb5cgvmhdtRh98Tnar9YKHzmx6JZ92Q5Mmx0+KBcQ9TaljtJoSBQJzWwy X/s9plSc1Wn2r/95ev4DMOjc3MCp28BQX+PffS5ZORHBJQShA/4CU1knlKHJdExImHRThA8F8Rcc nTK6/nHEzizcDzjuX5RvoYjpsh4L6Dl1EegkvH0RyWymuqSEIVs0UvH6b8RuWqWBMO/X1HySgh/J 6t7kbW/wjxPEiDMguwbU9YzXksn9tz4vjH8Bgb4DaKeqCVfwSEE8EGqb8Ki5332+5nMiZuvnVM10 slCyjZ/QehpoL9jjurshZuElets1jUhegDbgLtRGLmStfcOtJQtZgdflh16jrStUF6rvQJrmQGkz bkDPor+H4kgQRyxJp2rkiE7BDp8ackhirD9ejrcUGT+WIGt2PTuvh55hS4zViv4rEDgO/LM8hrYP MrzLQtgwut6Rf/Xu/u23h/t3ce91/j4J7A7KtQ1f2MGvQYcRuhQUx/0tpYThX0jjAe/zOD7FBbiE 3aRPjmMu7+vltLHBadtitXlL1c/6Nov7fjmnYmeg1cFOIsVIOxsSaP2lpnbGsZsc8KxDbXbXimSE 9GQ4oj8a0VcN109DuVPSCdhejHXNfDHctixNzIjysq+uyS93PPDLnKJH781h9fDvbmEGGN14bIda 2+LfA4OAv9jNm7TrnUvSgQ+o2+QdNMj4XDKpHjCDGXOyiTkH0xmfQCSNR9A5aSSsOJf5y+xPpIXm 27VDsbMjdT2h3DkZpSyONs1leES8vrv/I6knGbsnJhB2n3QQrIfhNv57VfC7z7OyV9mvvKFdl5cZ LIi3/04f0F6QtQcL4mbNTudjE4KY2V/qOBk/cPUpdxgu3Ho/YmJ99cKfCrFyqRzCUtFNdWYDTcNf Y3leOJijb8+prwubZ1rmpUh/97KsYQsbpdr5fYRTbcNSV58bOsTYVqzpP5ycndJv73PBoTX1mVWA qODHWfh1zLKKKma5OXsfNGJtFqDetYoA92Wl/p+za2luHEfS9/0VijlsdEfMbOth2dJhDiAIiijz ZYKSqLowqsvqace67Frbtds/f5EAHwCYIGv3UN1WZgIEQBBIJDK/PBckGwRaQj+YrmSTxXQsLYlq MzVbZ/LkQeUAIU1IY02xOC/wutWOiHLSPOAJmP08T4Z1GD+OmVJSe8AqOEiWPGU0cVhC29BXZ8rK aiYeBBKcpmhXzCe1AzkhAcM5117vBsQYg4m4NWBXBlqTJe0fCs2Ew0szTSuGpFbZjdV+YI1mlVwg 2mdamqiy4XWHt4cf1x9XuX7+1hrunLW4lW9ogH9CHT+uMGCGnhuZQXIdtShNc2ZHVVv/w6jF4IQ5 FhZRgBEfXE0byBV7wAxaPTuIxg+lgRgT5U49JlYE784B2j2SDoXSHUZ0+X+WYo0PPWEc/ag9wOMn uieP7ngDaZzfs3FLHqIHRNY1F3aM6EHzJttIyT32aQx1jB8YxxH2uIJPVYSaMlSx5HhA3sUYJKTb r10zt8Meddnhi+gBq1XqclGu7JcTZdvW/fNv73/8199af9nnL+/vT388fe0UN6MjNHH6KwlwJcfp mFxRnoXKs8saWGCp5QuPi+5EorNn6IF5tGJDNaFzMTGsiZrutYL1rREnfPU3BbDTT9/WRKF1jspN gK/1Y2eDkiEVs9KeS0BPAScOrkCdT5gpxkSFhFZuQyVJW5h8cx0EDk7BgypV5r7VGNgpL0eLEtCF PJUkbEzPiGMrVM8AJGa3m6oWjoJX9Oz7QJUcVUh1ZPWoPtkmzDTSsUHFG1fmnti6R6d5OKbziGEP 1udEMLn5zEcRU3USG73IYLlrMibTfo2eZ1S0M7qOlzNYRgzrBzW2wjADzwuRA6Kycd8klXqiLjIN pbundX+erGtTg53gSrYhEhJsSTMEMuqpPHVtikjlrm7s8lCOcjj2PBRM+7iWmhcsO4kzr6gBmXLq rKjGrD/5TKiWNy/Pe0H8PQNKuD6zDddOhbukA6U5iNyW6XRts4+Kzgs9gz0zKzPRYGJRjrQO1fuQ nTzlk41c7QSYx6SM3dCMCuP6Gn41OUvh8r85QD+Ifd2pYR6V+cT3vRgy2ryChkeD5bGGy7tLY2Pa BQ+W1gJQb59s6GjzImPxcX23AWRV2+6rA3OWmrDMC3kWynh3E9TaJ0YVOQzzqmRoVkzSkoS+EfDg ewRoaGEkB6Is7FCplqZOdfiRv5dQvshNkntQe3pBX2RkWd9bHnVRc09T446iKhlJWycQ416NB015 tMx9Z16yROtyw0hEBzjVrEavr2e8XK+P74uP18XvVznwcFf+CPfki/Y8tDI8IVoKXMvAhRqge9Ua d2s5tAEgw75ZP1tHE4UONXgHltE9N6ed/i2lTLe/lsiz4mg7xWn6ofCq8Xvn0mNfjHxCWrKzHFLC jRML/BoHvCqqLO588Db/KDC9grIibrR70CDe0sB2XlUXvy2xFwR/DXPbwgYhMowg8odcMQ8cDsoW MaPcWss0qTmSEvtUgB2PS4g4TCiyQHx5W0RP12dAOfz27cdLq4svfpFlfl08Xv/76avtPqTq4niI PfAi1HYBnCLbbjZ2zxSp4WtnFETV9nlEG8tmdYEOkCaDvKc5YhOdy2zrPEUT28cYq9xPDVNvHcMU T62UtYSx0b6j2JpeCCh1tlfDAYB7WGLupRHhCUQeDw/UzsDtttBZRkLVzHGwlBbmthWS4SFqLU6g sRu6P9oEANYKJ8kMHKDkToZOHBVyJ7ALSOCoYDu3vglrvgrQrVBgTWCBNw0swW0MqVsvz/H1Anhy O/fzCL6Jq0e2sQPDztgGAEPM3Mh3T9K+vr58vL0+A4j3Y/+69Gf45fEKMDRS6mqIAXz99++vbx9O jCWAR4Uso0y5z6J3D7M12v2MKvlfH9QECMCDMGgru1k1AI3Wo86H1/enf72cIbIMxoG+yj+E0bPu vmRKrHdJxAeyH2T28vj99enFHTKAZFIxK+hoWQX7qt7/5+nj65/4a7Pn5bnVQyuGQ9FO12ZWRkmJ h3iXpOCO1jXE2T19bReART6Gnzhq7/KYJQW6X8m9tEoL+/jR0aTaeEQt81ITyUKS5KZPptxE1ZP6 OEyVEuefblzn86ucm2/DShWdlYe1pWN1JOXcFAKg/sCUp8ySDDGYg+v4UEqF/+gOY5UabLnMJgmE PWByne+0qTS73egVLqJgNE69X6Sl1SoXa5PrsdiBuhaWHFcsWjY7lcx5WUCHREZtWamdQEgM5pQA QkT5k7aiOotMv8f06LCAy3qsck+SGWCfjgnAoaorFm6qwyU7WF5q+rfafl2aKFJDJWiJ59WIlKam /thVWD6MaRtDlYAwQxXOo2ZQZE4GYEVqCe2iHu0og/EX1YfAD/pT9y1wUAwAngA8Mg0Nw5Tu1dBc KgR2TBXgY4zAsw+ZcH41ctZyU41UxBQST2AMwcsI5xyDemAMp/EK2+hyyyaaR+DlVnlyZknufR58 GlotCeBXalm5Jc16b3nUOP5bkgJ6T0Iwi5aLIKOj4Gwo547wzSFIYbPDHVUPBbbJ98U6I9aorGSJ o0pnM1Ge1Lvd3f4We/ZqvcNyXXXsLFeNHnpmeqQpdzT16adyhOU62bs6Fm+vH69fX59ND8essNF6 2gAIy+DQxkRkR3nCC9Db407EhNWnYZmnTud4iDslduVBWxAilJOOF5t1jUMafC4JfiTpajmmDE0v 0LKTPC9GHVZU5fKsA752Ll+FWeV42bAMLGcp+N3om5A+MnqiQVkwCvYAsqh3E4XkIIwbIolt+weQ cJOnbAPKnXs4wsJrAvsQDU9okoCKqO+uYVU8fDqtXVDWbdkTe6oK05lqPd7lUtRjNTE7pczQC7tj lqRqXIBvyFSFIshREMpo7yxSGQZERY9IUIJj6zebaq0NilSR8sBwhdFqqg5qeHr/imwNLBN5KZqE i01yWq5Nc1O4XW/rRmqlFUq090upM6QXtXKazopBCgHkuD4eS4XEg5pX8ShVQ4q5AFCx36zFzdLy D5I7ZZILgPQGjD9OPV6nsdyAExSoqgjFfrdck8SyS3ORrPfL5QatTDPXGJBdN6yVFNluDUC7jhHE q7s7hK7asV+aeBEpvd1sLdeZUKxudzj0bwH3szGe3kF/qOihY5RlspfSJ6ZGhBGOsHcqSMatqUnX sDWMPh7GpBqRGgfG7s0puvy614ZPR0vUYG0jckrq292dYURp6fsNrW9HVB5WzW4fF0zUo5oYk2fK G1O5cpppdCu4Wy1Hk7KFNfnry/uCv7x/vP34phJ4vP8pNfDHxcfbl5d3qGfx/PRyXTzKT/DpO/xp Hn8qsBugH/H/o17su24/VEPZr6RaDCekAvc00CBMqQdKq+c2qQdhvheoalzipI9fpxQxRPCXj+vz IpWn+H9fvF2fVe7aYdI4IqDAhh2KjM5SRnmEkE95YVO7lsi9VivFTs3x6/uHU8fApF/eHrHneuVf v/eAjeJDdsmMKfmF5iL91TCQ9Q0OB3ycobnoTJkatH7K09hSESFeTs4FCugV1INNDiIlQIf6JGIS kIw0hKPNsvYcy5jHrbSaQ6Bg8Xz98n6VtVwX4etXNeuVzfO3p8cr/PuPN/la4BLiz+vz99+eXv54 Xby+LECbU8YKY2cDPESpMtgIWH3ArWQKycXnr2QeMA3EKE1DbLdXDMC7CHJAWwBEGuym0hCXDUT1 BslS+GbI/hcyDeTCcys/mMJ/hINa1KvZMCxf/3z6Lkt3s+G333/864+nv9yBao/NYz3OyBo2aiNN w9sb3CJndEMq9qix0Wgcakbsqpiy6XUyEC1wu8ax+XuN7jOAv06KEEZvfbp+L5Pw1bbGFYJeJg3v bubqqTivcSuLNb7TtVQljxI2LUPFdrue7jiIbH5CZDsvgt+JdiJxUW1up0U+KQhwzy1tdyChq/XM uyy4B4eun5rVbnWHK1GGyHo1/aqVyPSDMrG7u1lND10R0vVSTj0ALfk5wYydp4fodL7HdeBegvNU HspnZOQ7nRkCkdD9ks281apMpa48KXLiZLem9cx3U9HdLV0ux9fW6pyn95qxkqmwGrTXkmGw5iFk ykaXaGH5XqjiFkCAorQeJw7VWYRVu9oGabTmX6S+9p9/X3x8+X79+4KG/5D65q/YyiewPYjGpWZW 6EkdM8v2RQyvzZ5GYxv5USiUU7Cee6JvlUiSHw6Oy48tICg4B4EdF39RVafBvjsvSQCKK7wUw88B 6BFtyfZga7hErIAASF6kBNATHsj/mQPYs9Q9Ep44SsuURV/tkPzW6dK/2WN11g4Ow1at6JUVbaRI Cppx5GqlX0p9CDZazD/qIHQzJxRk9fpnZGo55p7EOwFb+yvo5uLm3MiPuVbfmf9JceEJOlFcWcfe tyJ0AvKt+fnEe1+l2YRON49wejfZABDYzwjsfcqAXpZOkz1IT8d04k2FBRhicGcn/XyIABWXqTEq qS+NhuIz2b41zk/lAV2to3I7koratIw+zU/LTA+F1B7mBNaTAhAvUBUPmF6t+MdIxLZqb5DdQxAm 0anL31xuQ8Erx+CPntCEZwqOqpPJ4HrhQEysvjGkwfBkY1af91HIFdyjVOuRvJSe3KEtFx/k9mBf nDxWV7nwRsYpQ/3MLbdh75oDjCbKphotJrlhWm9W+9XExx5pJxLvYVcJHcIKjz3u9qOJsryYmJuQ VMnjtdjxyQpNm6HVjoI4s46n7jzkn3nRsKKwckj3DAF3wLQqx/ti5TliaO4l3W7oTq70uDathB7U fIPrJFwJbIWIfM3T/JmNKymmKgjpZr/9a2IlhJ7s7/DIDa3yiWIz0c1zeLfaY07o+vGut6B+cenM HlSkO0fhdb7myB02k9v6No6UvJglguf+70232Jnqpr7j6NpDSceu0i3x4di64OR+0pnkNaYqWoPK 50qMm2lJgrFbGsF6mrIaU5ajYjdb685TUvsrGfzx6ibtYineIzgfp4th2gEoI7d1xsVZOGRPGSjB MeKWza6T0nh0gB0lT3Clci7DPfChEvmWi5ILE6FJkgtA75NfNniFyDdm8Y6QF5kXZqigpGp8x29W a0RGChHnaAhW2ijkVHkeOnFAurHikKG+1sXQrK9NLJg+4BWeS14xrBwrcS0SqkycEHGTCUE8KACs 5MEUcbr7mZX4Cg1VTcwd9d4gibFdXXj03LqEqdoOfDztMYU/J0oI4N2YAw15tysDAqcn6Yzcl6aU 5w7lti34ASnZRIxa5Dakw6xQru/67Qinjz22Id5cjTBoAhKpS83RRcugBxwFBucOAbyL1WZ/s/gl enq7nuW/X8d2gIiXDJzhzQd2tCaPUf2u54ugWA997skZq9Dqslxc0NVzsqn9agMxNZCTr/WDMpHU CIV0ZWl+FCyo7FgKnfjXDWLUKHrDopVnoe/0ru5xUQ57UGj6HmgNBcSDb73czeNgFKmYx4VCdvLk S8bKCy/rVPs4YEc+4VPqUOG6smyDcB0mh7ZTnQ4BZVdHvBGS3pzUKylzIRpP6RPzqJitW0PmXvp3 jUpSX/aa0oVo0AYnwiPjMhHxHlXxClWFzwjFBIONSIhnaJVI7NHYFFP3adS08On94+3p9x9wkyW0 ZyoxUHWttnbuuT9ZpL8Qg1xOmYvgJlfWMC+bDc3tbBJ56dOCq0sR56hfjVEfCUlRMfseVpNUcjpY NWYqkBu99RWzarVZ+QIeu0IJoWrLtMCvRMJpjiLuWkUr5mb6Yr4DVnulXKFZ081KU/I5z9ABdxKB yp+71WrV+D6FAia0RxWHhDL1IZhri1zPsooTvDUlxekwZ3LLPEeqxNOMKsG1dmDgnwtwfCM896qP UpGxgik0pcmC3Q49NhqFgzInoTPjgxv8HBTQFNZSfAkCwyH+rfumTsUPeea5YQArJH44UQn4XD8T syAapGR1mDpZ2IIMO7YYZdqYBuvIQqgvRLwvdOJmrmuTpY9gZn3dqazCJ07PxserZ+MvbmCffEH5 XcvkKdtql/vhI0Ug30lmzb8Dgxze/TKLt6lupD7vUXRxVCHjoaG9oCqF4phwX+B8V6q9tBkelKxx BAVxzEKAz5uuD1LH2jgQAVvPtp19hvzz1iArSpMVoj3YqTzq7qc2rklnX0KnWGw9IC5w+5FZ4EjO Zs49g8V3621d46w2u/PQFfxBrM3uasktPV5EB1xrlHR7+g6c2lfE3QwGzo336fhq9Ql35xyGIiXl idm5CtJT6gtHFveeC1hxf1nPPEg+hWS5Ne/SpL5pfGb4pN76T1WSK86TbC9gSdceTkt7EtyL3e4G 3w2AtV3JanEHtHvxWRYdeR3hD83d70gOy93NZma7VCUFS/G5nl5Ky28Ifq+WnncVMZJkM4/LSNU+ bFitNAnX5MVus0M9S806WQWO/ZaOJtaemXaqDzMzV/5Z5lme4itJZredSwWL/d+Wqd1mv7RX6/X9 /BvOTjzk1raiEleEDLWzGAXze6vF4BjrWwUgb+nM9tYCLrPswDM7uCmWOq2cgWjFFwZxVRGfORsU LBOQewcdeG05N5/4kJCN707yIfEqWrLOmmWNj/2AgsSaDTmCs2Bq6YgPlNzJFXwUFW4IgJetHCGU W6azs6YMrb6Xt8ubmc+iZHAasTZ44jng71abPfWzqhz/lsrd6nY/14iMaRcDhAcQJiXKEiSVOod9 RwCbl3sMQkoy9oBXmSfyeCn/2QmwfNckEEwP73lmygqe2FmdBd2vlxssvadVynZs4GLvceOSrNV+ 5kWL1E74wApOfSHCILtfeTy1FPNmbrkVOZWL7QhCqONWakexulelylQ3++qOmb2gFMUlZQTfGmF6 MNxkRgHRJfNsKPw404hLlhfyWGXpxWfa1MnB+XrHZSsWHytrtdWUmVJ2Ccj7LVUQAPcVHgS8yrHt jes82VuF/NmUMfeY/4F7gpRYuHHaqPbMPzsxgJrSnLe+CdcLbOZUbh1hYVbexlyQmvuXzlYmSeRY +2SiMPR4bfOi8MwTgCwKvJ6yoMg22saMW2XiS8JxJbxwzmUDo/B4KjgFlKkP3PL/8f70eF0AiErn VgdS1+tji08DnA46iDx++f5xfRvfBJyd9auDyGnOIWYYA/HBlJfq/QXjVbG98cRTid2reOtTgexK U9N9zGQZhhuE253jEVZ3ZPOwSrnAW4tSDuEi+PsruUi3WJSoWelwLsKYTOp43jEtie1lafH6zR5j mj6cJsNM2GXSK4/850to7uUmSxkRWaYsH2qGnp9SUi/gUuf5+v6+CN5evzz+DvnKh9g7HQ+lEJWs afzxuoDAB10DMBAj92z1xpRGV0sD6Xa4WRrOvQM3Ivcs8RyoB6n47MPlOaU1mGjxlen4iVfi2PiT Q8hFxgv4A1dNLfgM3jwRovvEybTDndKmCMzssh2lv4dvg3i+//jw+hWP4KcUQUFVYUOvmFEESZkS K+hccwBtzQl51gyd4+neyRluiaSkKnkNIl0s0/H9+vYMM+PpRa6Af3xxIJXaYnCJiKPTaYFP+cUC ptNUdgLiN5c4Gjcf+I8ucM8uQU5Ky+7f0eQqi29qhkCx3e52PyOEKeuDSHUf4E14qFbLLb4RWjJ3 szLr1e2MTNhiF5a3OzxUoJdM7mV7p0Vc9DNcQs03NlNVRcntzQp37jeFdjermVehZ+hM39LdZo0v GZbMZkZGrpF3m+1+Rojiq88gUJQrT1RRL5Oxc+W5dO1lAAYTTGszj2sPfzMvLk/CiIu4xeOYqbHK z+RM8NvbQeqYzc4oeZYpcJVv6KVce/CLh2GepOumyo80dtBgx5J1NdsksN81nuv5QYgU8tQ3M+sC im80w0SoIB0kx45BxjJqeGjAz6YQa8uG2BEbkhTY9jAIBJcQLwm2IPl/j8Y8yMnTHCkgO9bkY3op eQLWufqQquhllJ4VkVLp3Ub4VSMxloC2ZKLBjnl9Y8btZaC02smt+waoaWWn2Rm4EaQwh8pnunFK 1d/To4Y1r4WrGT1cnuUTpto28WQ5/7aO16nFpxdiOvdqIgxXjxiIclwYQp+Y6tCE4EnUdU1w/zot 4UHbbIemn2Voawc2DovZqyGQDMqy6nW0hmREfhho+waZDb6cDAKes3IvQPOgxG6Ie4FDtDbUyYFc cis/h8Vo0EijQeTI5Rad5hXab3VQIxS3vPZSgofsDODYuLLcy1VpiC1ww9OU4R1viE4mvd5gd1a9 1JmUJbdzPfY8iERMfK5bQ1cg73NeYtPElgk0ePyIBwjPpul16PyZh/IHUuZzzLL4SNA3GAa4ijG8 P5Iy6lEPhmcfyyA/lCTC7pCG+Sm2y9UKaTpo6A6cW8+rCzQzuPFKkns5haQGu0I7WNTl5JyIBCe3 wfjAovKNYVtBy4YFUdCSmUjQBhF8hgtW2qhtJp+Ed7u7/RTPRqex+QYCm8UoV/JMO1EQzDBNahqA UXZTbe4s06YpdJRqN68px3yOTcHguF4tVxu8pYq53uNMuA7NM9bw/2XsSprktpX0X9Fx5uB53Mk6 vAOLSxXd3ESwlu5LRVvqsRQjuR2tdjz5308mwAVLguWD5a78ktiXBJBL1ia+myi6tRa20KGCcync j0k2NgfXdSyZPo4j629qvGaCQXNFYnIENr8/Mmue7hw/oEuS434ydHQpjmnTs6NQACbgohgrW3sV h7ROLQqABhvhs43mvma+Q14Qy1zTbQld5kPX5XLcV6W6sPQXPY1VdQWD6EonyiL2GEeurasOp/bp Xh8VD2PpuV5saWnt+lXFKGFC5rik+Kx4QbMYOnnBoLhtlmE4HrpuYvsYzoWh0FOhwIa5bmDBirpM GcaSDGyjqLHLgkrnNNfoVN9GRq2+CmNbXCvLYG8eYtezFQSOpIbrVLo38vFWjuHVoSLEyIz87wE9 H9o6lv99IV/DlaLx9ZGu0yUfk/h6tXftpYFF72orAYiY3GlqxyoyvphR2mr0YBEmiwKdw6e5pfUB 9hznurEkCg7LWBJgbOu+Cb5VlosemReDl5Ixz+UJX9VFmlsWg4rZ25uNLoh9NqwpR2bFVM83CnhN opC+S1AaoWdR6MSU2CSzPRVj5HmWXnyapVqq4bpjM+21lq+rj0zoxOnXqhWzaTxU5g4nXrae3z5z v73Vv7oPumcEdZgRPkY1Dv7zViVO4OlE+Ff1RirI2Zh4WSxv74LepwNej8rmg4Ke4UUE0fICrqu9 dv0h6ENKqZEJbFIix+/M7JiH9lX2b4dsum9Ryf1eJKdQxeWnWrwTszhJRRFebbCZcmtZGCYEvVaW /4VcNCfXeaDvExemskl0W8/p5YcaIKv/L+KVQtz2f3l+e/6ET6CG78dRNWU8U62LMeN3ya0fHyVJ XBjyW4mT408vjNReTGuM1iocc1ucMrTdU2dTibsdLJ4kuYNkWKVaSxg09BZrM2FZrlNHUgeg5kGY 0SoVPW4ruhHFubHoYQD0oGHC8cnL29fnb9Kjnto2RTrUj5lsHTEBiad6kFyIkFM/oPp0kXMXWF3L aD7hllfvDA6VeIlAedKVmTJh6mQphOIXR85VjtEgA8U1HWzlabgARvqvlLjagaucsX8HFDrA8Kua YmEhMyquY9HmlucPmTFlfQENfLZEPlGa4qLFb1HBu1kNo5ckpPm4xFT3zNLNTZUTmXcl6VJCeLR9 /eMX/BQofGzyZ2jCGduUFDZBTUtOE4cq7UhEaQzpqf5qmdcTzKqysliXzRxZ1lp8qS0cblSx2OaG RTDBmNkXQ55arPImrmmX+nVMD1atR5X1Hhuqpt7jmdR9enaXMx0smn0CHnqL+wIBl6yGAXYvD85V teh67h5rhipzPPRAdagyWEYtjmYEN87+J1f3Mzd7HVDXT22QNdk4iLhPxvhDW/vZ8/2696HiSjvS ewaHLBemfW8L7jSZZ2YbhqFV31QgtLV5bYlC3+wn/Spxu1ui7/81wM4FBKg2V+20FiIOYBRiaKfj K5um+rMCqeyjYSUfik721rkCZ9l4TiZPHifmDfiMnofl0N99jxaIllnftY8W5bTmkpJBI1j2Ew5D ou9Xn/pZEvvRT43awnY+UVaZPL0QMS3W9u3Jm0zoxEN2LPD+FptdOh9k8F9v66Ke6hv+ScVmL+Iq 1SDwRxuhEyaPaAmEqVm1heXeWWZsT+duJHV9kauVw00jYVZEU9K6m1lGXtgjcobmwLve66PWfFA8 Nvr+Uy87hdYR7aa2qDP0gyF5JSjOquAOi2j9qD10zjTYJolCDjgf2alX00GqMoCQC2YVxoOjVwaR jk1RSiwbyGP/uDnRe9cM6gFW10BLxgFAHvliUA4njPjVn+gcZCb0UCeCzxiyBL42mgpSchehR1s+ 7DoQWw+VLOoilb+1w2jqVDLe18ihczntCKyKWhIQoRVm7aPmr2/vX//89vITqo3lyr58/ZMsHGyX e3Ee5AGHi/ZQGIlqS8hKxQwNcj1mge9EZip9lu7CwDW/EMBPAqha3NRMAFpPuXPwMIak9AW1s0yf NvU162shJ85emrcaS81likmEByJLHvPr+DIk0m+/v759ff/y/YfW8PWh26uxj2dyn1FzcUVTufRa Hku+y3EZ3X5rDsT77AOUE+hf0Mv3dvwskW3l2rzfIm76MDA/jyxBBGbc4lOY400eh7QK1gSjqfwW fmssYh/fLYwbBxlklutqATa08IcgeuCl7/D4zsPv3eyFEnZfMMnoRYkPNXROu7P3CuCRxavxBO8i elEVfWrxDi6wfjB9VnCP3ZYhxLKG8HWPS+bfP95fvn/4DUMxiU8//Nd3GJbf/v7w8v23l8+o1f6v iesXOK6hz+z/VqdShvuXLtWIRYFVh1a45NvyKqjzWnzYIVtx8Bx7nxdNcaYuBREzV1G+7srRaeSg U3yf4FpzKg2mP+mVnGNXe58ND6RJqBgJDbrm0BrPEiuw+Al76R9wDgGef4lV5HkyMjBudniZlmBP alGnCEo1XuNaCz2mqON2Ng/u3fsXsVpPRZCGjzY2hJbcbYn8uQraQmrWPBgo2Ze64xZpzSXXV23M 0+EwOYQeY9SO5aQpMIe5u6HTM6t58sqCG8QdFkPZSaqU7oUJo7XJ7hnQhyfQppDdRN3yi4Qr19ik M38eXm49QjH1hyIRiUcCJgeXXGwJOPnbVwwRIq87R+7nL6XK2ffKcRh+mnYqYqvs2Zy0KUDhZ1ld of3vgzgFaWlOIL9GpUsxs5gx0VZsWjmW8vyOAfCe31/fzI197KG0r5/+jyjr2N/cMElu8ylBtsKY 7JZQW74txks3PHBDNKwTG9OmR29Zk3UGTDyY8J+/YlQKWAV4bj/+x5YPXu4ojQKoiI/SNzApLYpz WhoP50aO2mdWcvluEhjXJ4kpHOIE3Hj8d2lBBbqQmk1+FBbLU5tp18qYEvxFZyEA6SIc55tdJp1L lTI/9qRXmoV+7T1npzTfjDRk0NsJbbLe85mTqKVGhEFHyvfYC/3qhnLQpYU+NqXyvDcDfVo3KfWo OjN0WVGranszsk8fxyGtaOWQmSk7FsPweK4sXv5ntvqxvRJRY/Uc4YRtU1JfMkzbtmvRd+A2W5Gn A2y9lmuziSsv2nMx3MuyqB+OeKF8L0/hR+YuWwUtfo/nV7zMH+6y1cWlYvvTYIn0PA+OUztUrLjf /mN1+CeZdtmxTQ/0cj2PbDx5p+ZMyVgQ125oDmAO+DZg59gA6SUV1yjxrKESQHJjI/q7BBmmgYNc 6HozR1dqF7Fc0lPjO86pVMNH3YGHWDcsayNPavaRL9OMGDacyi1BnPVi4OX769vfH74///knyNU8 C0Jg519iCBce19VWCHG9LxdckJu8p8eDuGcQXqRsieaXtN8baeKLme2Lku8ijuzfVm4Pwie5gAdV IOfEY33JjcyrjDJgF627TyIWX7VUmqJ9Qs0vtTwsbdIw92CgdfuT8kDO0aqjxPO5t+Gn8cn5moSU 3iIHF6tqrWtu5eQLb778sA8IIVHA/vrLhOLDuzZk5NRdJ7ihfXSQFFqDIILBsW+y420ZgW+MZi9j V3sMVHHR1NR1sui1MYnNVrYc5mfQt7lI4AyXqkXXobYcL8yNsqkis6iy1XrLEZhTX37+CeKX2aqT mZ9RlTRv6Sc/0TgXGPfWkopFQZ8wnOpdjY6Y6LhS2RLkV3i+Pg/6rExCY3aMfZV5Cde0Uc4eWiuI 5arMt1tnn8dO6Jmts893Yew2F8qwkzPUvb8LfK1odZ/EYRTq01nbdJZGiaNQb0MhFxlNOGThGCb0 DZcYe7p9mdpiqOeVREZDAtlzzcpzYOdSarVioM46ghpxtwvkTiEaf4leZnSKsQdYr+RE/4yJ5TFa tC6IM93GVO235jEG9pxWm02mQnB59CWd6Lc8823xrUS/dXl6RhsV8khNtJQ6iOB0c5Ki4MiB1S8u PqTO+7b7y3++TncNzfOPd63NgVecuLmRaUeXd2XKmRdY4qfKTO6FWlxXDv3GbUXYgb43IWoh1459 e1ZCGEKC4lIEPSg2StMIOmsKioz1c0IbkGhlliH0p5Dv04xSCFJYuWWCJRV61Ck8qo0vwZFYy++7 NsC3ArdMdueqggn9VSirxstAnDg2wFKypHACG+LG8oqjjgPpiNBd8NXlTFvpCXQoGPlOLVB8w6yl V1aZqgceULDjpVE9zPV5KjjoRWESOtM8g4PuCJOBUquDzSPZeaFIR05crMw3HIEnyjBuwsV38uN3 wcaNUuElGMZJQFnCiSgnVVNZeT9GUgfL9MRGV2ynFMQSX3BiqYsDiPZni/PbiYntqWuGuUaASoah UzgIQTRS2n/09FhWepnRrkba0pd2w4uYq1n7hb6qdnCK2RUSnCS38lTAsT49HQozTbTNiJ1AKoWG eJZvYJ+SSzKXnY81h1pvZg6UeuTTikxXBc8ZsRxN1yx5PxApjn4UKlY1UindIIzjjVRFXJRu4o1C SRySUhFCGYWAeJOYAAyKwA2vVJk4RDphkzm8MKZTjeVrBwkIoTuoRmXN3g+2GkCIgTtiZPChhC/v 3i5wCXhS8jUHzjCGjrxvzFkN4y4IQ5POX1NObN/nJnbKmOs4HtmSQkqntIim5VX+eTtXuU6aHkDE RYlQ3Xx+h2MUpU08RZLP48BVFNEVhHbWsbI0aAtJvVgoHFIPq4A0OFVgZwF8l0xqB/IEXYcRKmHx 9afwbFcCOCKPKhIAsUMVCQGq2syPHSIhlsWRp0z5GXpI0IX5Zg0eXOcuT5k2bni0rrhLQdARAWsy qojo740qodB83m7j8dpvtXDOIo9oFhCuRavo9KKuYSFoqNJU4QOcq2jN8KkpYhfkxtLMjl+leOWB GkllHPpxSMaAnTlYdmxyqkjlCOL+aUzHYuv7Qx26CSPrBJDnWNWfJx4QSCi/AxLumS05va23JnKs jpHrE51S4QUgX4+IZqrC0OZac+LA11l9tOqJaHdSM/3XLKBNiQQMY3twPWoc8Xhgh8Ks5HKZTnzD t4mQKgeHLGGKJR7YMLfGPHJ4/DKe/NjzturKOQL7xxG1H6scrllnFBkiJyKT5ZhLuchSOKLEbGUE drEl0cgWkV3h8e9kHEUBsT5zICQGBAd2MVlU3413xJLeZL0Pex01HsYsIh0bLu3dRD45jpqYEjgl mNhBgEqUG6gJMYabhKgJesYieUOSSnZc3ZASnwR7dI139DFGYgg9f7sxgSMgBq8AiDoIFW+iIRAI ZNPzGWjHTFymVAyVjUw8G2Gg+2aKCMQxUQYA4GBIDFEEdrJ17wL0WQPnMKo6ZRLupAboG2G1oPPR ZBSjPKqI1b65ZWXZE99ULetPA8YlJdHBDz16ZgCUOJElUObC07MwcLaWyorVUeL65KZQNx4c1imr c2W5jhPrSh7jfdbhVKej1epk4fYTl3pL0hbQgFxxPCcO6UUXlhxq8iESBLRkiye1yOLWcBkB1wKW 7K15CmelAI7K5FwFLPSjeGvhPWX5Dl0gEF8j5N0RBZ7qyBKpdmJgx9El2gXIlFQIZP8nyZ2Rg3NL MXSRNJvCjX3quDlzFE3mBg6xFgDgwYHFLBAA0cVzSGkffYwHcbM1GWaWHbGaCGzvU1sbiKdhdEVP A40Q3iicWg054BNHNTaOTAxpoh4N7LybZ6rM9ZI8cQl5Ic1ZnHjkhE2h7ZLNE2fVpqgNRJ0MACHv tSQG36NPYWNGOl5b4GOThcQOMza969CzC5Gt3Z8zEHs60AOHFkMA2Wwa9GSe9SeUvqnvAY6SaOsM cR5dzyXb5zwmnr8tx10SP459SzhyiSdxqQdZmWPn5lT5OeTd/ZiYqpxOivoCwROSRTlNYqxhER+J /VFAkRzWVoJgyh1LG1KQ0PwuualNvswYtKax33kvbOOD45J7BReEVKeFEwlDEo4VsziQnJmKphgO RYtG/1iKrixFkNtbw/7tmGnyoyVZ1Jmjo+M6zTCGl0W/YjeMTUw/hcyseSHUyg/dGaOX97dLRXp1 pPjLtBpgb0nVgBkUJ/qMEP7m/nFhppecGs6nulSifWUUhcCXqtHwPm0P/B8aXotPVfROadc7Tq6i On1F1CcvzuVQfNwabRhALR2rO6NDV8Wa3Ey/v3xDndy375RrhUnVFquR1am6OgqMddktHxlVgXUW AqsfOFciHzk1ZKHSWZ73NtPSC4ZW4VuJ0TWfKy6/w80tP6k8j29//Xj/W/YpP1Hmby/pmB3zTho1 M8WIJLwAbXdJH7sT9QC58AizZ25CeCtanMk5kQU6S+aK15AaLCM6PGv+CV/7z++fvnx+/f1D//by /vX7y+tf7x8Or9AQf7zKo2D5uB+KKWUc3kTmKgOsoYqJrY2t7TrysdLC3qetrKZIsSlLxsSu1tjw rL4u/F05LonST4viOYJkmmdtunMiXx4KmirYVvqo/+dEu22mS56O6M7L/spLZT75Qdgo+VNVDfi8 bo7iSfOSQPLLSpTtettwjNzNdsL7Jv96JT9nI/qMdrc+T7OPJ4wVDu2wlibNz5MnZEFeH1frqkE7 RL3ZFIbYdVxLuxb77AYH3UDNjt+aJ6IMisEKxrYB+Zh66WSQUlmNfeaRvVSchm6uAPF1tY8hZS2/ at+kjN5iLmkJ25Ct0lXkO07B9naGAk9HVhRqaCvnmMSuV04llYh6xxz7rU5mcCAy6zuZX9E58wss 19fzac+W/ogcUUNJptxnIGg6atmBGHuBRoSDQ6ixNejaTmiDaqkC4sf7eGqC1TPBx+aaRHoV8Vhi meGTeKymDtQkjk3ibiZKmg7Z8UlvHRyURQ/nYH975WmrnePbBwSstrGDs96Co4+S1DPm2Kwa+Mtv zz9ePq8Ldfb89llZn9FzWnZnaRzpoEAMRnnfMVbtFWdMbK/8gKk7yBGB+FdZhSF86K9nVCUKlxiI cf890pdrixtslkJPTKpRwD5rUqJASNaYRNGzysK94BQZBDyNvJZYA1hZp+xIc2MUtFvWtBbUrJgS RYab2P/vX398Qqux2U+bIa02ZW5IWJzGQpv1OcJpNia7IKTO9xxmfiw7jp5pnqKqgFuV0LUm4+Dx j9LRS2KHLKJwfowOgTS/LgbPsc5y1Q18mQsP+A55h8PhWZtZWgEwQU0taaWp/ip5G052sIrXCAR0 7eaVRiSim9ksRD80Ow3ICXVTtqDya9RKlB5zeadwpayrnjxSQ8/u4n9msQ8bLuJRT5EL6BOZupaA OLzZMhcDk+qFkjiOVRTA0on1Wlv2OKI5NKsy5S0NqZCQpsWv5CcOSh9P6fCw2JOTzHWf6VYsCmb1 cbAcEXlPZMcRj1MW50RLgdBBG7+d+Sd8Nlt7ZPs1bZ9gzels0aOR56FotpooSfomsQXzW3D7IOE4 SBeW/pzV1vT5LBTRrCuJZDxAfJbQCsQrw466Xl3gJDDGrdABpC77F9QzJrBQmdv8aJdoa8kY4Q29 3hhFW3ruvrHPVDj40W4uEOyzMoS5SD+v8q9NJX0Z1VTcOE2YY+g1Hh7gIGBLRpyF1HRYkc0+q5SE WBXE0XUjnDjyNCH5NMixh8cExpVnpNuQZuTp/ho6juZ7MN37ro3Yjb1WEe6NeNqs4cfXT2+vL99e Pr2/vf7x9dOPD8I8pZoDqZkBzTjD4t1+dlj3zxNSCiPM3bTOGatb2vh+eEXfzykZqgPZJruev1Ua V2RVaJBc3ZxU2mK8M0v1PYtcR1UOFUqYFs27DYfMPE/Djmel7ozFYDLvia0jCKsANSPdekh4GGkb NmU3tNCTaLP0O5cu587d3ouBCZZhy0PKeKkDx3fs8wUYMO719oS61K4X+9s8deOHGyvJHQ+YnCXz w2RHW9hwnJ8ErbDNZpMXbtHZ0oXLoXrq2nSzgS9NEpBPzhOoGHytND0gxYyEzoYUI5mKySsk9xae x25ilWNnlslkT1mA+F2RWkTD+wC/2JrCbJCXwpvnjDnpRSdizW0h6bYgK1BWV/SC29WjULhbC7Ww oBusk/D5yU4NqY66MuPrA398WNjXFlm5QP44wJRUbuUWEA8+SUQLLxJXHvo7aluTWFr4X2/JRJx6 7mXCN5btTLRzmIqopzEJ4+eZzYQJG1AZtBuCSr0uzhhEBywHAQrxZH/xGkLWtExbOMmGIYWp5ugr XZwZ6NoJ7Bz6201fsXrnOyGdBuogebFLe45a2WDpjHyLD8aVacOHg8QFe3RsqRHHaLMhmSmJvbtl wb2PWms1lojsDV1mkBCxBdigKI6oXpROCiQWJrbPNIsWBUuiYGeFIodu4knev9N8nCukzscazy6h Zy51VqHYst6FCt7JCA4WrkvWFBDPt1SUH0c2E55EPvLzvjw9Fbb49hLbOUkcUi1Z40nIXuTQjoQ+ YhAf1UXWCq5nGBPSzyoSNJ+GiIowr+lT8kyi8jC6J1jYJHEUW9KeTjTbidcHfHNxqDrNAgKV8f9z 9iTLjePI/opOE1URM6+5iCJ1mANEUhLL3IqgZLkvCrXNqlK0bflJ8kzXfP1DAlwAMCHXm0N3WZmJ xEogE8iFsbZmBF+FDBk404/2CjAJtGfuR/tOpxDc7AUQOa7p4xPSviHHr06GahI6Eb5x9FqFuRX2 L/UXNIWPWzG30VnbqvFbB0QrkeIYIVy2mLDTsQf24egKtoKQc7ifUJoYAsJXcBkaFhETw8z4bRKi vi1ZDNE5h8jIwy33S/N0PEweT2ckXbooFZIMbleHwtLTCuBFOs99ve1IDM8wQAtR5WuI3f8rxBWB eAcIndqpqDK3DWbjIwZAI/ubt9AirytIuC2J3dskigv1SlqAttPUYfUsIHI9kaMmDWi0iBJuWcBJ tNWFeoEQAn2W5LAtknwlB9rkzJb3uQj83kZOgnlFLF9E9+B15tYMMI59JKL21QRfdkCYxZnD/vuQ jrt93yKCubxVq7jvEcu0eZpkWfgbhdvWNqKndMHDx2yxWTraxzjAkUnhcNaRQo65J5XIuGmVOsKH 18fj8/Ph/HMIB3t9f2X//p21+PVygj+OziP79Xb8++Tb+fR6Zcrf5fN4SmD9VFserJnGaWzIEysW Q1Lp+m4fnSd+fTw98fqfmu6vtiU8YOKJhyL90Ty/sX8gOm0flpK8Px1PUqm38+mxufQFX45/jYeY fclkE8mvaS04Iv7UHa16Bp4HqiF9i4ghb72HqfASgexTJsAZLd2phTAMqetauFl+R+C5U1yqHAhS 18He6dompVvXsUgSOu5C7+gmIrY7VZREgWDnhe9jYv6Adufj7mxLx6dZiR2wggDyMOwX9XLPiDrT sSqi/XTq80YJmXlcY+Ck2+NTc5KJtfrZtgR2J8bqBd5FdjN/Guz0WQPwjDvbINUwBOzJN6sKpqOl 1YKhqI5a1IE9H1fGwIYw3T0e9WcR2DtqKeky2/WYBjPWhZk/ro6NuG8bbmJlClz4a5cc6HLswzKv yW3p2dPduHaO8MwTyPC+cIdXwfdOYE2R7/V+jkdpkNCzMbO5Inh1S3vnCm9RaSXChnNQ9iNkAfu2 P1pa4c7xAh6OQuLWvN7gMZ5EDg68ca/5Kvc/+Apkn64B7MrRuyTwHP1mPNW6X0F88HHM3WA+2o7I XRDYo7Gq1zRwrH7kw8NLcz60h8U4/1zLqayTHEJ6pzq3JNs59hSDesG4MwD3cYe0nsBF3VwHtIdM kXirvYP8Hdyi/lYNPSkwc282RiW9dWyopA7+HoKQTm1cvdFJi+3cCTDFVBAWW2c2HR2TAPXmGDSw xnPD4bf6WGw9VsmNNjD06CvgUGRfLLbgG3yTmT/aNDjUw5h5M4MrWUfgOx7+rtMTmC7reoLbnfdn PjIBvj9FehEEcmCYDjqfYTISwA3mHB2B7QYepv62Oy2dzRxkM8/qeWYZkkpIFK551QHelu9ZenBp uRi4VnJBD2DbHh1BDLy1UN5bS05lO4CRltDKcq0ydJFhzYsit2yONPfPy4qU6kyrL940H1fl3c0I 0XvBoaO9nkGncbjaIXBvQZaIEJElpMSs1wU6roP4rhfqUraTj83WuoPCC8biNLnzXR/ZVaP7uW9j jnc9OrD8/TbMbm3IKduQRyrL8vlw+WE8bCK4bB2NGjyLzpB9C+78p7ckuqEt3HRw1BghLRxfmObz r+aleb32CpLGaFNG7BN1bbNyICi4g/+gXP0mKng8sRqYZgWPfl0FI+Hc95x17znBFOMJVyvHDQKd GZyabVWrECrq8fLYMO30tTlB9hxV59NFAd+1RiOdeY4/R0ba9LTbNh8yKpdJZGknmhQ99b/QUsVA lInej8F+Q8epNzr1JueXOWLw3i/X08vxP82k3oqRlQ07B3pINlLKBqwyjmmzNs/2Kj8tq/jAQeMz jKj83a0qfGmT0bDzIPANRWPi+TNTSY70cWRWO5aaJlvHou8HIyLXyN6ZzW6wtw2GFzLZ19q2UDdJ mWgXOpb60qpiPQu3QVCIpsKzHmvqLmUcPGqqQOB989VjSxZOpzSwXCMb+LpRJ+7xSpHduGXsMrQs VacYYVHbUp3IMKVt5Q5eeWwewmXItAPT8AZBRWesaG2odEPmlmXjddLEsT3fNKRJPbdxQySJqGIH pKFqNrOuZVdLvO6vmR3ZbLSmhvHg+AXr2FQ2P8O2JHmvujSTaLuYLLsrxO7arj6dni+Q7oQdos3z 6W3y2vx7uGiUN0gTI06zOh/efoDNG5JPmKxQkWNFIB+fdGYIACxYyARG/2lLKcUBSe+TGlJlFLiB bGTIdxXBbXCp673iWGVFBuFhcN2UwJ1f6OSTuOAMT2V3sfmZ/Xj9dvz+fj6ABY7C4ZcKCBHmzBTn yR/v376xkyeSGtM2f7lAj0G0GC+3ODz++Xz8/uM6+dskDSM9UXx/TjHcPmSCDG1ffoa1CphxIgGI 08oTWBlKDfguOQOC6m1P+v4NuFtP9QMVj233AU2ZBfOpvb9PYyyOwEBHyZpwdwCEh3i0u1mcCZhB oAaQVVC+hbO+ZWU0UHEblPkHRDeemqX6hDESNlOKGY5U99ZzLD8t8fYvoplt4VcTUqVVuAvzHF28 HyzRrj3riFsD9MVGm4wkxxYbNVeBSJWVRON1v1YCbibREHy3ruJ8Va/lc47hK3KPjO5mxGZISSJk zbfmETJYQxuQhzQoQabgN4kw58iwkjOO9qD9cqnWyz8IxZkCgHSDveRy1KaKSaoXWMTpXYLlBgak SFCkNiZcJ+zXg84nLDa4RRQgMxKSNNUZ8cNNG/R9+FBWMcUf+gDPpmVV8JQ8hrrijMJQKVXBw5js LMdhv9/FWotWcbZIqkgDLuXNECCsXF1swrXK7+4h1vtyT9IadRoHJKR9okWu+MhAdQ8Vj5Kg80rA sdnAKqljlckXspC9nQBU3yf5Wo4UKXqSQ7KsutDgadgF35aBcaQD8mJb6AshLVbJjeWdkVUSZsWG ak3O2FhVcmAjAXwQ3npaHVUsloFxlWQJuIIVS/w1lFMUkHk9xgKXc/QmrRNklvM60RtTVHWMhdIH XElyCMyQFvKqkoCjj7qMawL5vtRxKNknx/ZLjVQA92FS6YuFHeJgh5DjoV04RZWwY1ethRI2cXc6 L0oyuskxl2eOheCxaZLfaayYppiNQHFK2U4pmyBwxCYvUzVfN5/jDPeZ4h9JFcc5oQl2kcJZZqSq vxQPLd/urJCgYuAVpnWyxbyuOaooaRxHoxJr9vHgwqdAQzZtYxZJINnAKbMvqasOyX2SZIX+Ue+S PCtUut+ZUKz2sYOMFtbvDxE7RvQPXbx27NebBQoPWfvBOo//0s6ktE0u2V2jIMfekKYZO495qulE SVI9ou0QMrA/ieliX6zDZJ8mdZ3G+zhnx4nUP8AjJj4AZlsZxBfCHbOBYJOWyTiHqETA/sxNEeIB z6Sg9X5N6H4dRlrthhLCU5kPGRBBVyX5oYeXP35ejo9soNPDTzyXdV6UnOEujBM8UT1gRXItU5rU GzVpbEi0ivFdtn4oY/y+DwpWBZsyodlhCnWmeA+X9xWNv7Kj3eBe1+LFrRvObr9oM4LqIGEcRf8Z yJejbGw2BLf+goyeMa27G1phTSQMitaQaDwcEo0jcVug+CgHq4SjEVvRyv1DBzQ7kfYUujvqmEVa LzOce7Fka5ZQgomCKhXf8s1M6rnhGk6miu7DjK5R15uerI3kok6ZQC3hX/VVBpD3C2pwkoVJS5Zs JzPju/wBRoJw4RvsMAC75UaFpgUKFBvW5mTG1j16eQgVfEXmvi7oOlkQffYliqy+w8Zox6SzHEMU GSnx6SMZHgk5YzJ1nShfTwvRAiDwNHv0enz8E7chbAttckqWMSSz2WTo5wqxLUYfLO0ho8p+5cPr KufrIMN39p7oCxcg871ryM3XE1beHNPB8/geDhnpYIZf4gJFHvsBOn5bkkkWFejWOVOM9ut7yDiR r7g4Ih7s4mj8XseLkdy1HG8uqQKCW5jNXPWGe4CjL8Eczf0KLY0XBzpjoIjorfIH8NzB7lY4uvdy kIF5XE+D3W7E674ymUIDVqTqw2aGo9XrD9E2cKmdjpvMwOgtS4v1PCQma49TPZoGMGYC1WNnyMCV gWd4ae/wfmCeN+22ahghbzyuLfxGmIWOaoZehYvJEW6XEGBSDmLNcboXGgcO3oqjNRk5gf4iqHSu dj00VADH1iEBD4MR1zoNvbmNunCKpTjySOoXu/fXiFtRm2Ilc3RCXXuZuvbcWF1L4ex6I8zhm558 O50nfzwfX//8ZH/mglm1WkzaG853yLeHyd6TT4OColgNi0EFjQ1XXET30x2bETMe3DrNWIitFCyM nRVO6YaPBnYIHwE6/lQemvp8/P59vN+BTL+KK0WXlBE85CCmiylEBdtn12pabwW/jplouIgJJh4q hP2lqHYMdPiw3BgwJGTaaFI/GNtgUD0Umi6+4JBg8fh2Pfzx3FwmVzF+wxLKm+u34/MVHsH5U8Xk Ewzz9XD+3lw/46PM/iU5TeK81uar7x53ATH0sFTjIyo4tucLdwm87yW/t8Uvf9RR3EToKJEwjCHE VJKKIe4UUvb/nMlaeYTBRCTVjNxACr7y9iBRxLsScsGR8GG/jasF5TLChqAS+6jWOENr5TmjMvir JKskV8LzSWQkitrZQsdMoszqdYj7yLItYSpRfsSoCKsoM3jbMsS+2mGCH0fR5N4whElZJJj6HEck ZIpHAeF7aFjJlxkcNdwCSFCNpp0aLec4R3Uybt8kUV8W+TNcOuT4WE/Dp6M9g70gRyeBE/geLuF0 BHPfu8XBtQyHUos2nVkCHbv2TYKdi7tJiNLe9CZz1rnZDXwVOLOb5XULDB1t8mcVaMgKjS2+mq2C RFo7AID0ALPADlrMsIgZjsvtCKMIIotxn7qB1wDT/bQkzFbRpuD7iXQLN/hA4px967HCYd9HZWCq QR6nas38YkCFFNL1oEhxz3SiFcMMe3l0vye7BKilb2VJUzaKcuw+YR2XMNhMEZ8htLG2BbQY7vG7 hhL7bJVJn+WAUFoR8ah8mjNkC8df/tsyuM68ppu96EE/zOHzsXm9SsNM6EPOdO/dXukq+8Gvfn6O Z2NfkSSSWC42y8npDV77VbM7YLtMDA/Zm7Yg2ieOgmDFS2gDfm2nVTyUJptdlNAyJQ8oc3YYxyne JIMWAOsN87WT0Ny9SykAyaDjXAmC1RocPp5Pl9O362T98605/2M7+f7eMI0esSlZP5RxtUU7/xGX gcmqih9Ml7tMZ4GTFMVB9NPB0dDoNltm4jTSvxFahKzxckqAFvAyouORQ0fwuJTKxlJ0K/aDzaGi zHFQXLXyQ4G+HQIN628ekSriNPKEATJLcnDS1YurNGR3k6a7V9uXCZr8XYrbOPQuXFdFFveDTXUM Iy8huZPy/t2jai0MW4fvaxmKtAEjTZapHb4q2e54kyItb1S5L6uiLkYV3y34q+qgJ9zgAFlGFR/i vmIouJBz4HWY7SIck/MjZknH1J3kozaRp0GFN92VQePL4jQlebHr5wrrQ3oHPs5pUUAi+OGhCQId MRzERC+J7G8rdEPA9Za3p5eX0yvbqE+PfwobqH+fzn/KO8NQBjHhGagYek2jOxw5sGCrej41eLNI ZDTx3Cl+MaNRGbxHVCob9yZSiaa/QmSwMpKIwiiMfUMad43MZJwlk1EmLVpMo/2wbSK2xkdkaIwd jFDENvmIaht+2AMkqBdG1jrUZ/q52BlL4utUOsDuaQm7aqgsQbGQeSF6ej9jcYNZ5bTisrPs2sCg 8bZGoIs06qFD67Aa+o2YJOmiUAy4+8MuW+OhK8sQDdXYCpQat7YC/nyGKb1skDd6tIlV89qcj48T jpyUh+8Nv8Ho3Pll28uPSNV6un2wradqXk7XBnzXxyNfxfAYz7ZwJe4jUkJwenu5fEeYwAki6Rbw k2/qw04sYFwIXsEF3T4nNROwbhAwgI6VJK+uoUqDpMMbTOfuEzXInDBhK8LJJ/rzcm1eJgVbzD+O b58nF7hk/MbGN1IfpcnL8+k7A9NTqDz6dBaxCFqUYwybJ2OxMVYYuZ5Ph6fH04upHIoXqbR35W/L c9NcHg9sUXw9nZOvJiYfkYq7tP/JdiYGIxxHfn0/PLOmGduO4iVZcV/3FgK74/Px9a8Ro05OFfkz tuEG3aOwwr0Zxy9N/SDpdpl/etVH/MQStHQ5gnjOFf5Svy9ycX0lqXsSEcjGbPMheSgruzIBGKlR In8iMroPPigLiUp5pr+zD2y0/rtOIM+IQ4/38TbO0QQcuzocrlzjv66P7EAYZXJRiHkGny+EP24O lxUtalc66NtOi19SwiQV6aWihbfR6nV2rboO+Ynm+OHfEnax3Mw1Q4JLzZt6wPAQzbf43zi4O4o6 NwQfaAmqOpj7rqSgt3CaeZ4clKAFd2Yvo6HaQFKJkQ4CIV0q+XpYLglptJmqvZQD/QywfbjASPnb 7SgOJuDvlsmSU6ng9iIbVARRl4IVf8qyvFRGbVZXK+U6YUfiyCT0frDsHy5fBaItMPpQyONj89yc Ty+NGkeHRLvUlX25W4AabJ8DfWcEaKn6RiwyggcRYWoeWx/82l2KKyBD1Qoj4sgfSkREuD35jqWK DCKxwGGRBTjGVoxRJBM60QwXvzC/29EIY3m3C7/c2arfVOg6usUL8aeeZwphy7Az+dWXAQIRbXsA zD3PHsf2FnCcJ8PIjeJ+bsoOwEAzB41ISes7ppbJaU8ZYEFaR8ju3FdXlFhlrwcmQ3BfpeP34/Xw DG9UbBvV15zvzJXpZJCZNdsnS4g/yxRMkqYxlryO0c3n0ot0m12CqBksxD6814JyDypCCEH9bCM+ zrdxWpQx+8jqOMSzAq53iruGyHiqt0Ns4HhscGEH0JboYHXoTGVXUA6QExZzgPzqClu/q/hhMm14 pn4rkFB9iia5h9Q5v9t9M/oSOdn4pqd8cRiw3RnvFxd5t3BI6q/GffTIfaJVN2C2N5hyAoaX8xRH /DjOiqg3SegXLMR/V0aX1jvbksKX1JybFdhKWziUsg/aEGNiObMtw5S2styu6133ndz6JuSvhvvr TWLh0yft6lVMQ9L6j6g8pRKtgP/2zKS/kVzfQ4V89KN54daiVPhjK46Adcomt1wjwVX6PTueyVuz +K3u3mFIA+XzIF/brauXzahv6SmbkyoBiWBVypZLtKRaTIffR+HOO5VZ75d6OPa3Z20iVj1ot3BH Oj61xSesTHtHoDgmdaeFOKvVJa6hu8NbmjicvzzbGR3SJPMxFXoeLbtyfZsGTWCEVKSFWmOI49oJ ElJ1u1CvEKeJrzRlG5e2bU9LOj8g3ECZNgaZGkI3MJQ3d/HrI4abzWeGgzNkPYDnYVkuKAvwYMSo IzqdqsFRspnjGmKOsm3Us9FcIwwROLLTfVhOfcdT9hXWAM9TI0qLjSPSn8G616BbAy5s89lqeXp/ eenCEKpbRKukRZssU9y9dJzQhAy2BTqtEGTR9o5a0/rJNv/73rw+/pzQn6/XH83l+B+wb4oi+luZ pt0thLjZ4ldAh+vp/Ft0vFzPxz/edQfdm3ScsPxxuDT/SBlZ8zRJT6e3ySdWz+fJt74dF6kdMu// b8nBpfdmD5WP5/vP8+nyeHprJpd+n+33zJWtOKXy3+rnudwR6jBBBYeptNK+s3qoCibDKsu83LjW OIGCOvF1W5LsEsPyqFdjOwRt+Y67LDbV5vB8/SEdNx30fJ1Uh2szyU6vx6syQmQZT6fyaQ0arGUr UQYExFG2V4ynhJSbIRrx/nJ8Ol5/jueIZI5rK/JytK5tTNZeRyBQ6h5anbtOlkRgujQga+rI+4f4 rStS63qDZ5hPfCHFS78dRSwf9UhsH+wruoLh4UtzuLyfReybdzZCyqpMtFWZDKtyUISy3Qx/E0jy Lay1GbLW1JWW0mwW0d3oKGrh6DHV41xFuLrRL2GByF2YL4igA9naSYo9CpPoC5s/Jb47SV2IBCoB yojOXXk5cshcDbO9WNs+eisCCFmICjPXsQPlwAAQahbNEFqEeQaZWZgeB4iZJ/VjVTqkZAuGWJZ0 f9GLBzR15pYcqF3FqHboHGY7WL2yUi1H1ZLgZVVIC+ALJbYjK5xVWVm6TXZdGS2rt2wjmKIelGyX mGrRSQRECpaXF+T/KnuS5kZune/vV7jm9L2qSWKtYx/mwF4k9ag39yLJvnR5bGVGlbE95aVe8n79 B5DNbpAEnbxD4hGA5goSIIllMqOrqiibmRG/rITmTc9NWJ1MJjSRF/6mFylwZp7NaEIO4OJ2l9TT BQMyeb4J69l8YugqEuRJRKFnqYEZWSw5C2uJuTCYBkGfPnEMBpj5ggZ1a+vF5GJqyJRdmKdzPriO Qs1oeMA4S5fnZqiFXbq0booG1A0MP4z2hBU15oJWNim33x6Pr+oegtnHtxeXn4ggkb+NfV1szy8v 2Z29v5/KxJoo+QRoZaQUa9g0zll2R+q4KbK4iSslnvVHWThbTGlAx37Dk+VLccyj0CfTQms+2GTh 4mI+8yLMZmtklc0M+WrCBzGgTXi4Qf/XEHX+54/jn9ZhQR5uWv7oZnzTC6y7H6dH36TSg1YewrGb GVlCo25Lu6polMO+IUCYelTYnd5w/eyXs5fX28d7UMsfj6bajRc8VdWWDTnq0alCOw3uFMgXbWiP P59eQZSdmPvaxZRexEb15OLcvP9ZzGdmpj44oZxPeBtQxC18adDKFPWrdzU+q5lsF6CLrwYnpFl5 OXHsQj0lq6+Vvo8x9d6eGYVaBOX58jwz0r0HWTll76Kjsp55lqkMaEEwpTG0ZTqZLOzf1h5QpjOT qF7Y13ES4rsLBuTsk7PirXZRqCU5FnPa5E05PV8a6ttNKUCtWLJD74zvqEI9nh6/GWoU3Y4NZD9T T3+eHlALxVh/9yfk8jtm3qQOsaBSNU0iUaGfeNztTC4OJrw+VK0iDL1Kb6urFT061Aeo4txEEx1n ly5m6ZCimAzGu13oTRFenn6g44/vwpuYILxLqTac48NPPOuyHE6YtIkzmi4zPVyeL2lcZgUx94Am K889zyUSxV11NLB/0amRv3s1QG9kTJPJNXITsDXustjrsV/uDbM0JQuqKxnwkQlMUF2Fm4QYqom0 W1FnFZ05uroyNn27wKG8UoRbbJuxkRRodIkp4H1m9hgrA2pOyiJsBPduAQs1btiUIwrTJDrLaH8D V26uz+q3ry/yeX/sbx/AqAP0WAQByrCcsMFRdBBm3RbTNbZ1MO2/HIcbvum9t+EzzkLWIKDlUkyd gBwkxyPEof15kh0usius2cRlySFOucYisjyIbnqRZ92mpiF3DBT2hc6RbEwZitIO22BQZKIsN0Ue d1mULZes9opkRRinBd6MVlFcU/scc1aGT9C2Aaom77hRGoNy8CUOiQV6FhpuCfDT5ykOmLQcolSV x+ffn54f5O7zoC41DHNr3bZ3yAZmE4bZaLNpc1geQZE2zpoTj/fPT6d748icR1WRRKzo0OTktkRw boX5znCQkj8He1Z1I7M/e32+vZMixV7udUOMqOCHMtbtAmEwyoiAgrvGROgrUgKqi7bq014Waczi Bj9CFrtqKst0Rb38NRt2rJgejl+uyjXniLGqiVcI/JAuaWip26cEIhgVxUYblbgII4IMwmsMuPVg QIIYrRxMsiKk+yoGXSrT+CAVW1vvd23qQPEH1XX96XJKdgkEmlYeCEGDTeOkwZQ7LL0MzurU1D4x 7RjxN27nfh/pOk2ygA3EJpV7+HeuVjGxMG3zxmNijW4HTQhbcRNmvHZrGhepa/PTD5Ceck+hNlih CDdxt8fQU7234hiHU6CaBCoSHC9KUdVUoAAokXEjqE3NtDMNxntQdxBNwz3yAX7WUXOVHgB7XZ3A TIapVZpE1nHYVknDBeoCkrld4Nwu0ELp4qyq5t6oLF+CiJgS4S/bgwtKzQI5sOSqKU5gAAFjDtEA BuKQN0IfSNDgFL0feRYjFXgH/ItT/xc6OJ4vyAgRqNVnSYgHXwyDYVRxkJUyZa9Xdc8xPaAIB8jw tYZ1xTTkXD0HPFbOfCmbiZvSNi14hw1Kx7YzaNx50zB+8FwyOb1ysa9t3nWJqzbvapEDXce4dhnU PiZVWFEDSxDlYKwhXqHTcbIik5onaT/8RMSsps7sjbjaI359Cw7tuA1n2h7Sx10y8qWhTxwa/W+V F/OgaOcRmmBce/BQFmiK1XXZR0wcwdhbysIDyPXmHVFBm4AAgslI1rlo2or17lrVedEYIxnZgEQB pAEqaYKw6a7aohHWT3R+l7bdUlKg6ZKhWVcA7gn3osp9nmqKwsctCttUMRHyV6us6XbGnYICcadj WUDYkNnG/HOr2tyNFcwArWBILJYLWzaUZ+8vSD8uYJpScW3vGAMUw0ImFQjWDv7wa5+hFelegEa3 giNUwQV8Jd8koNgePHXnyIsHb2ZEQnkA9pBj83eEWQyDXJTG7qFU59u771aw6FrKH96CRVEr8uiX qsh+i3aRVBAc/SCpi0s4wxjb9JciTWKyq9wAEcW30UpPia6Rr0Xd4xX1byvR/JY3fAsAZ0x6VsMX FsvsFBG3OAGhw15g1tMS09jPZ5+GTa/RWzsFWLJNwqo97ZGn1eoo9XJ8u386+53rjZThtD4J2JrZ JSUMT+pNaq51AGMHMFZpwlsMSppwk6RRFedWiSVGacQwhH0YngG7jauctsmKedBkpbnCJOBvJJ+i cRSR8em5XcO+FrCTBqc16QMKJyHDBxD/jJJYn0jd0R7KSWrlXA0damLqNFrI1KeOVBeRT1cRq5XN dLGUMzz5xtrm4LcKwknaEMROkRLkFelOc2O/bA4rkbEtq69aUW/MajVMCVVn42Cp1GZpnII0HvML Z2WHgYQ9fu02qTznvVclpUOLdSNQzkAluY2B31ixGgZEesO7ThICLlzMWOENW+xN3XDh5gf8HMPk 7QLpmHkTs0XEWRBHERu1fpyHSqyzGMS/OsPJsmZkU/Qq3lmSw8o1eaDIfNSb0mLmq/wwd0FLh5t7 oI+fq75KckspIej8jA4O1314Pwtd5AN83Bml6zc/ldf1ju9Xa9Wufnf7KqG7TkvEwbjwqsK/9EBf g+P0lm483BUVDckBP7SU+vzh9PJ0cbG4/GXygaK18OpAeJkfDphPMyMtnoljk8UaJBeLc0/BF4up t+CLBW/CbBHxSQJMIjYbkEVCHgoszNTX+OXMi5l7MQsvZultwaXnm8vZ0oehAeWsb4yLZxM351xE zMZ8sroGKhoyVXfhqW8y9TYFUBOzMFGHSWJzhK6Bs3Cg+KlZjQbP+PbO7VHQCB87a/zS1z4/J2oK PtWG0UfO+sUg8LZ74mv4tkguusr+TEJbzycY0gY2RZGbQyqj48QgKUNzTBUcTpFtVdj1SFxViCZh A/4OJNdVkqZJaA8u4tYiBsw7H2OQ9q3bpATaqkKsOUUmeZtwHpVG51WMb+dbOK5vEzaAKlK0zcrI JhulfPTDNk9CJ5CJNtOlN6rKfv549/aMj7ljpJ9Bxb42VDf8DUfOqxZz2fs0LlB06gQECIh4oIeT /tq8g+rL4R5VMdB8HOlqtdhSVyMa/mA0p4s2XQE1StsVVnPsrwG7KItr+aTYVImlAvqvZjXK1GBX cDzGixH1xMELcLxUDOXVCYaU2cRpyUZs1Ke8sZk0TFVaZ58/oKn3/dN/Hj/+dftw+/HH0+39z9Pj x5fb349Qzun+4+nx9fgN5+/j15+/f1BTuj0+Px5/nH2/fb4/SguEcWr/NUYdPjs9ntBA9PRfnQGq rxc0rQa7EG67vMgNXU+i0J83BXWGxGb0WL0q4hWsIS+tfsrgm6TR/h4Nnh42G+veHIpKKV+EqVRk LNPxRsHgGBeW1zb0QB2oFKi8siEYPGsJLBYWO2K+isxb6Be88Pmvn6+YBPP5ePakczyS6BiSGAZ3 LWioMwM8deExDedIgC4pqO9hUm7ohZ6NcT/aYO4SDuiSVvRec4SxhIPy6DTd2xLha/22LF3qLX3+ 0iXgkcwlhR1ZrJlye7j7gbwUfeCp4ZhZiwCOm/Ie3Pl0vZpML+BQ6CDyNuWBbvXyT+Q0QLTNBvZL h7wP9W8C6ySLBpuKt68/Tne//HH86+xOsug3TFb1l8OZVS2cwiOXOeLQik/VQyNOsg3YKqqHeHbi 7fU72rjd3b4e7zFjKrYKc8b+5/T6/Uy8vDzdnSQqun29dZoZhpnT3XWYucO4ASEmpudlkV6bZs7D KlonNUyX82UdXyU7pt8bAZveTvcikH46D0/3NPihrjtw5ylcBS6scdkyZNgqDt1v0/7+z4QWK+5N qkeWXLsOTH0gfTF6uTNk+WYYTWfxYWqTpnXnAePY7jQvbjApsmfMjCiOeifigAeuG7tMDF6D0enb 8eXVraEKZ1OOeRVCWS/4R09SMasVoDCyKbfqDwd2f4Vvmsl5lKzc/YOl9w56Fs0Z2ILpYpYA+0rb Iz4InNoBsohbDwhennPg6WLJgWc0AbdeVBsxcXk4CRDBFeMHLyZTpoOA4M5AGpvN3KLweSkoXKHW rKvJ5dTpwb5UNSthf/r53Qx+NfZIxO6K8sBUwBwXnCeKG41nqB6dt0HCqcO0BVXoMgYLBOVpj7EP vQjt7OvwsMC4emZ2vAFVN55gZiPB8v0eRMx4cbCV/OtuYBtxIyJm9GqR1iAU3lnlvdhgpELsamKg KZQYXpzhR4Xp6jqedouLd7pbZ+60NLFw+K/ZF+xM9XDfRGk0tOHzGKpQ52l3GBgUt9R85egn5aZg hvNizrpq6U/mTicAtgkdKN5P68ZVt4/3Tw9n+dvD1+Oz9oPVPrL2UqiTLiwrNveb7k8VyIAPrctO iGEFjMKondiuU+JC1piFUDhFfkkwInyMhrDltYNVsdmZY4FGqNbYwzZg61F3tts70Lw7SgNVf95w uBltWfyfY+ukIRDz6YZ7soZDVZbFeFyXJ31MxGUc4DSybIO0p6nboCcbX8dGwqbMKBVT5WFxftmF MR7vkxCNyAYLsvHWfhvWF2jBsEM8FqdouJsQIP0EfFXXeCNpG6MpLGrmWIpxIZGs8xiTKKpHK2ny gs1JzKOzYnb08fxdqskvMsfGy+nbozI6v/t+vPsDjsrERlRe7NN7lsowRHHx9ecPH4xnCcTHh6YS dJg8ht/wj0hU13Z93D2LKjhIZQjZuvE2baSQ7IT/Ui3Ub/b/YDh0kUGSY+ukMcpK7yzp6evz7fNf Z89Pb6+nR6qCqtN9eTW2SUO6AM5csElU5J4QjeWNDgQJqBIYZ5owsbZOBy0jD8vrblUVmWVuQ0nS OPdg87jp2iahrzMatUryCP5XwZBBE8jmUVQRtQxWV2gidUsow8Q2m9QoCzykC1wJdMVTdrCJeawO 4XAG+xzdp8LJ0vjZuYovVNW0nSHDlaJNdhLUsXVgcY9mIUlgs4iDa97nyyDhonf0BKLaKwFofRmw N7+AWxry21SyQpoqJgmGI8hIQPRt+7hQiTwqMtL1EYUvyLjjmrL6RqlOFhRENyoHypnKgKpXbBs+ H6kfCHQT8nC2FJToTKUSzNEfbhBMB11B0LSYNzlWaOmEwAa27gkSQaenB4oqY6oCaLNpM+4A3VPU sNGHTmlB+MWBWVH4hx536xvqt0MQqGDx8Lm7OOUVrQz9NDIL6KddXaSFEZqHQrFUwm3SfG8nUsvM 7iCqSlyrFU5lcl2EiYz22kmCEYWbAmwW1L9BgdDUrDM2EYQb+Qpy2TyVpiDVKc71GPRJI3ozzPFl BNNFoAeN5xm/XqdqfEhRV3TvS4vA/DWuL/JsZZpupFWrXkXI2k1vukaQopLqCo/qpKqsTIx8HVGS Gb8LmSl6DZKuMgYUBlnP9C6qC3f+13GDiaKKVSQYjyj8pqN3FasCTyJ2jhkJvfhzsrRAaPlXY0Jy Qlujv09BzSl7u61wuxcpEY41bJPGnOOjTL6mWxjxorQEsvnAoTUcCf35fHp8/UM5JT4cX765L1pS 2G9lAi1DqVNgTO/OanKh8n/BCPIpCPF0uLr+5KW4atHccD7Mcq8FOiXMydNYUTS6KVHsy3IRXecC UwQ5rD0MmXcYhoPd6cfxl9fTQ68UvUjSOwV/dgdNWZn3qrsDQxvUNjTTShNsDRoAL44JUbQX1Yq3 alpHAWZDSkr2bBHn8qI9a/FBEq3VCZtWIoulefHnyfl0TlmthK0KXauocV0FhxpZFqDsbprPf5sY HSfRjhZYO+UuA4sSGCy5QT+3NMkNNVAVCLoxalto65fJHBnjSrAwsgNdkafXds/KQm7O7rCvCnS8 2sdiK8OkhiUfP/kf88HAwpjzHhXxiujABDi88KlJ+Xz+54SjUg6h9oigNWecup1Ba0jn0NO/FUbH r2/fvhknHGn7AecTDJlX5G5xiJf7Pu8HiV8X+9zjwSTRMOx1YVusO3Wgr4LdxaqIBBqsW1IfUUWA /pC1294e8b5ea5LiY+s/IJM5BNm8TwYZGoV5WttVYSsXg7/ZyuiRcwtjyfvVrLfGgX2ksOv5BHSI FFjbrVNj3um7etJucSP2tmXnrP5dJh8OTME+oKqAAZZrUK/XtSUJpWajSFSeK+dLHqxCOMvXdEsB IN1Ci/tVWuzdgTHQnHALZcu2mDZbqwdjPQosy4AJsZ/rxyVolQYfhcWuk96fXRk6G+AmqcZo61jI GUace/up9qDN7eM3mhWzCLdtOcScJbtlsWq8SBSnGC83o2SlmbDRT4OOhG1MebCKrKo6Mw8SQ0En Y6yKEMqqOLNpL3HfrnM6y1hZt2lBg2pEvWWK2191MhdTVKypbuUb+HE3xQpBGhWGl5MBtsdJIaXi 2TbQTD1TsHoi1yZVgn0Xh+obtWjjPBoEvMXfWNc2jktrT1bXOfj+OjDp2f+9/Dw94pvsy8ezh7fX 459H+Mfx9e7XX3/9t8luquy11EptnbisYDW5blzyM+yLs/HjXUgTH4xMemoZ9ElUnBXPk+/3CtPV sJJLYRyEVE372vAYUFDZMOu0g7BIZuKyRrNHePdHnaAyjX1f45jJC2cuyRsdK1gg6J6mrROGosZu slJPHwz+h6nV1artCLYea3uWPKY81QeYVLxg1DDPO5xAgRPVVQsjeZT88g4Z/Ie5Uos6ZgYseVeo lzbeZB9HsZS+eYnKHWwgQtDS47xJRDomiwlbVoWS3A1I84DUA50G65Glc0ldheET3CZ96XIQ/963 clI838VX1MpdR5MxemWPJ2yESj2uGMXYnDTJoqBKotcDa87ZD3UXV5WMtfVFqe5G6I2MJ+OKk9mC eXLDt1Wq2ENtbA/wai8Pr5uCW8byGWdkeHd7y4tSDXv12dQ2Vm2uDifvY9eVKDc8jT67rqy1xiC7 fdJs8OKjtutR6EwqldLaroosEvRCw5UrKeU5ySkEX9ns65SwL00VPSJVhaHl14HbnJ07Q4YQlvSG ZIA/DXJSDX0K3aEhRclteg+E9HakBI0+gyMlHJ7YHjn16Tseu6KekLnlsebDnemR/7hp5o9LY7Pf C61cXYHqtXqvIKUHuAR67vbA705fezbpWcH0BJSkXZ2LEjOks5bI+G0AGz5MF+x9KwxfbyguBi6W ZrK8Y6NEizzH+HroICS/i2trc1VUwLIazw5EX6l3JJSqZI8Eumzh1iKjrhvT3ELNQdzPDvmgXDkw vThtOF+Cb53//RIf2KsfFHdG7YU/cmY/440AcVI6AmegwyAqjlgZb3fwcbGPS8gbqesVaDz7jUKL LOaBgK2JUv5to8likleDfko1CjFe2mei9KfpXeP5TLPeyj8gKPqTKO6KTZhMZpdzebeOZ1G+UzA3 oI/L5mH/7Ey5eg7izJb28iIg7+QdCUwtho70ybdaYCh21u1PyLcHWCTbdWS4T+JvzshAH8zbQJ5z MYAF3tyJ1LiMklhOmMqvRJqs8yymMoMc+GUcp6RWWzu1DzK1USa/u6jSa30hjHHCxveXi2XXq5Ty qEjzkdKvPGVFwdrzgcwudYio7aRMF9xEbVY62atHlFdF3R/I6i/aILVtvvszXBqs0pa+a8qZHJYq GRyjdnwDi3D9vHc/hqkQ5Jo5P7BBLwnevMYeEK3/On6g8YiAXpuUV/t4oDeT05ZMeAtLEZWayjv4 PEve774aJ3kz7NF4yxYdNfBk530wa/M9xo2o/JfPA8W6jWtLftlOHOrV5v8BRlYsqwPeAQA= --===============9033215217634406173==-- From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============3654693563866436367==" MIME-Version: 1.0 From: Dan Carpenter To: kbuild-all@lists.01.org Subject: [intel-linux-intel-lts:5.4/yocto 40/1142] drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:972 dw_chan_prep_dma_cyclic() error: uninitialized symbol 'mem'. Date: Wed, 11 Nov 2020 12:59:42 +0300 Message-ID: <20201111095942.GK29398@kadam> List-Id: --===============3654693563866436367== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable tree: https://github.com/intel/linux-intel-lts.git 5.4/yocto head: eeb611e5394c56d45c5cc8f7dc484c9f19e93143 commit: 94e13c2880167751eb5cbbcb0e7be68ca83f0653 [40/1142] dmaengine: dw-ax= i-dma: support cyclic mode config: i386-randconfig-m021-20201110 (attached as .config) compiler: gcc-9 (Debian 9.3.0-15) 9.3.0 If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot Reported-by: Dan Carpenter New smatch warnings: drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:972 dw_chan_prep_dma_cyclic(= ) error: uninitialized symbol 'mem'. drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:972 dw_chan_prep_dma_cyclic(= ) error: uninitialized symbol 'len'. Old smatch warnings: drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:1050 dw_chan_prep_dma_cyclic= () error: uninitialized symbol 'len'. drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c:1058 dw_chan_prep_dma_cyclic= () error: uninitialized symbol 'mem'. vim +/mem +972 drivers/dma/dw-axi-dmac/dw-axi-dmac-platform.c 94e13c288016775 Sia Jee Heng 2020-06-26 913 static struct dma_async_t= x_descriptor * 94e13c288016775 Sia Jee Heng 2020-06-26 914 dw_chan_prep_dma_cyclic(s= truct dma_chan *dchan, dma_addr_t buf_addr, 94e13c288016775 Sia Jee Heng 2020-06-26 915 size_t buf_len, size_t= period_len, 94e13c288016775 Sia Jee Heng 2020-06-26 916 enum dma_transfer_dire= ction direction, 94e13c288016775 Sia Jee Heng 2020-06-26 917 unsigned long flags) 94e13c288016775 Sia Jee Heng 2020-06-26 918 { 94e13c288016775 Sia Jee Heng 2020-06-26 919 struct axi_dma_chan *cha= n =3D dchan_to_axi_dma_chan(dchan); 94e13c288016775 Sia Jee Heng 2020-06-26 920 struct axi_dma_desc *fir= st =3D NULL, *prev =3D NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 921 unsigned int reg_width; 94e13c288016775 Sia Jee Heng 2020-06-26 922 unsigned int mem_width; 94e13c288016775 Sia Jee Heng 2020-06-26 923 unsigned int data_width = =3D BIT(chan->chip->dw->hdata->m_data_width); 94e13c288016775 Sia Jee Heng 2020-06-26 924 dma_addr_t reg; 94e13c288016775 Sia Jee Heng 2020-06-26 925 u32 reg_value =3D 0; 94e13c288016775 Sia Jee Heng 2020-06-26 926 unsigned int i =3D 0; 94e13c288016775 Sia Jee Heng 2020-06-26 927 u32 ctllo, ctlhi; 94e13c288016775 Sia Jee Heng 2020-06-26 928 size_t total_len =3D 0; 94e13c288016775 Sia Jee Heng 2020-06-26 929 size_t block_ts, max_blo= ck_ts; 94e13c288016775 Sia Jee Heng 2020-06-26 930 u8 lms =3D 0; // Select = AXI0 master for LLI fetching 94e13c288016775 Sia Jee Heng 2020-06-26 931 u32 offset; 94e13c288016775 Sia Jee Heng 2020-06-26 932 = 94e13c288016775 Sia Jee Heng 2020-06-26 933 if (unlikely(!is_slave_d= irection(direction))) 94e13c288016775 Sia Jee Heng 2020-06-26 934 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 935 = 94e13c288016775 Sia Jee Heng 2020-06-26 936 chan->direction =3D dire= ction; 94e13c288016775 Sia Jee Heng 2020-06-26 937 chan->cyclic =3D 0x1; 94e13c288016775 Sia Jee Heng 2020-06-26 938 = 94e13c288016775 Sia Jee Heng 2020-06-26 939 max_block_ts =3D chan->c= hip->dw->hdata->block_size[chan->id]; 94e13c288016775 Sia Jee Heng 2020-06-26 940 = 94e13c288016775 Sia Jee Heng 2020-06-26 941 axi_set_hw_channel(chan-= >chip, chan->hw_hs_num); 94e13c288016775 Sia Jee Heng 2020-06-26 942 = 94e13c288016775 Sia Jee Heng 2020-06-26 943 switch (direction) { 94e13c288016775 Sia Jee Heng 2020-06-26 944 case DMA_MEM_TO_DEV: 94e13c288016775 Sia Jee Heng 2020-06-26 945 reg_width =3D __ffs(cha= n->slave_config.dst_addr_width); 94e13c288016775 Sia Jee Heng 2020-06-26 946 = 94e13c288016775 Sia Jee Heng 2020-06-26 947 chan->reg_width =3D reg= _width; 94e13c288016775 Sia Jee Heng 2020-06-26 948 if (reg_width =3D=3D DW= AXIDMAC_TRANS_WIDTH_16) { 94e13c288016775 Sia Jee Heng 2020-06-26 949 offset =3D DMAC_APB_HA= LFWORD_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 950 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 951 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 952 axi_dma_apb_iowrite32(= chan->chip, 94e13c288016775 Sia Jee Heng 2020-06-26 953 DMAC_APB_HALFWORD_WR_= CH_EN, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 954 } else if (reg_width = =3D=3D DWAXIDMAC_TRANS_WIDTH_8) { 94e13c288016775 Sia Jee Heng 2020-06-26 955 offset =3D DMAC_APB_BY= TE_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 956 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 957 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 958 axi_dma_apb_iowrite32(= chan->chip, offset, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 959 } 94e13c288016775 Sia Jee Heng 2020-06-26 960 reg =3D chan->slave_con= fig.dst_addr; 94e13c288016775 Sia Jee Heng 2020-06-26 961 = 94e13c288016775 Sia Jee Heng 2020-06-26 962 ctllo =3D axi_dma_prepa= re_ctllo(chan) | 94e13c288016775 Sia Jee Heng 2020-06-26 963 reg_width << CH_CTL_L_= DST_WIDTH_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 964 DWAXIDMAC_CH_CTL_L_NOI= NC << CH_CTL_L_DST_INC_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 965 DWAXIDMAC_CH_CTL_L_INC= << CH_CTL_L_SRC_INC_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 966 = 94e13c288016775 Sia Jee Heng 2020-06-26 967 for (i =3D 0; i < buf_l= en / period_len; i++) { 94e13c288016775 Sia Jee Heng 2020-06-26 968 struct axi_dma_desc *d= esc; 94e13c288016775 Sia Jee Heng 2020-06-26 969 size_t xfer_len; 94e13c288016775 Sia Jee Heng 2020-06-26 970 u32 mem, len; ^^^= ^^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 971 = 94e13c288016775 Sia Jee Heng 2020-06-26 @972 mem_width =3D __ffs(da= ta_width | mem | len); = ^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 973 if (mem_width > DWAXID= MAC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 974 mem_width =3D DWAXIDM= AC_TRANS_WIDTH_32; 94e13c288016775 Sia Jee Heng 2020-06-26 975 = 94e13c288016775 Sia Jee Heng 2020-06-26 976 xfer_len =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 977 block_ts =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 978 = 94e13c288016775 Sia Jee Heng 2020-06-26 979 desc =3D axi_desc_get(= chan); 94e13c288016775 Sia Jee Heng 2020-06-26 980 if (unlikely(!desc)) 94e13c288016775 Sia Jee Heng 2020-06-26 981 goto err_desc_get; 94e13c288016775 Sia Jee Heng 2020-06-26 982 = 94e13c288016775 Sia Jee Heng 2020-06-26 983 if (block_ts > max_blo= ck_ts) { 94e13c288016775 Sia Jee Heng 2020-06-26 984 block_ts =3D max_bloc= k_ts; 94e13c288016775 Sia Jee Heng 2020-06-26 985 xfer_len =3D max_bloc= k_ts << mem_width; 94e13c288016775 Sia Jee Heng 2020-06-26 986 } 94e13c288016775 Sia Jee Heng 2020-06-26 987 = 94e13c288016775 Sia Jee Heng 2020-06-26 988 ctlhi =3D axi_dma_prep= are_ctlhi(chan); 94e13c288016775 Sia Jee Heng 2020-06-26 989 = 94e13c288016775 Sia Jee Heng 2020-06-26 990 ctllo |=3D mem_width <= < CH_CTL_L_SRC_WIDTH_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 991 write_desc_sar(desc, b= uf_addr + i * period_len); 94e13c288016775 Sia Jee Heng 2020-06-26 992 write_desc_dar(desc, r= eg); 94e13c288016775 Sia Jee Heng 2020-06-26 993 desc->lli.block_ts_lo = =3D period_len / 4; 94e13c288016775 Sia Jee Heng 2020-06-26 994 desc->lli.ctl_hi =3D c= pu_to_le32(ctlhi); 94e13c288016775 Sia Jee Heng 2020-06-26 995 desc->lli.ctl_lo =3D c= pu_to_le32(ctllo); 94e13c288016775 Sia Jee Heng 2020-06-26 996 = 94e13c288016775 Sia Jee Heng 2020-06-26 997 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 998 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 999 = 94e13c288016775 Sia Jee Heng 2020-06-26 1000 // Manage transfer lis= t (xfer_list) 94e13c288016775 Sia Jee Heng 2020-06-26 1001 if (!first) { 94e13c288016775 Sia Jee Heng 2020-06-26 1002 first =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1003 } else { 94e13c288016775 Sia Jee Heng 2020-06-26 1004 write_desc_llp(prev, = desc->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1005 list_add_tail(&desc->= xfer_list, 94e13c288016775 Sia Jee Heng 2020-06-26 1006 &first->xfer_l= ist); 94e13c288016775 Sia Jee Heng 2020-06-26 1007 } 94e13c288016775 Sia Jee Heng 2020-06-26 1008 prev =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1009 if (i =3D=3D ((buf_len= / period_len) - 1)) 94e13c288016775 Sia Jee Heng 2020-06-26 1010 write_desc_llp(prev, = first->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1011 = 94e13c288016775 Sia Jee Heng 2020-06-26 1012 total_len +=3D xfer_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1013 = 94e13c288016775 Sia Jee Heng 2020-06-26 1014 set_desc_last(desc); 94e13c288016775 Sia Jee Heng 2020-06-26 1015 } 94e13c288016775 Sia Jee Heng 2020-06-26 1016 break; 94e13c288016775 Sia Jee Heng 2020-06-26 1017 case DMA_DEV_TO_MEM: 94e13c288016775 Sia Jee Heng 2020-06-26 1018 reg_width =3D __ffs(cha= n->slave_config.src_addr_width); 94e13c288016775 Sia Jee Heng 2020-06-26 1019 = 94e13c288016775 Sia Jee Heng 2020-06-26 1020 chan->reg_width =3D reg= _width; 94e13c288016775 Sia Jee Heng 2020-06-26 1021 if (reg_width =3D=3D DW= AXIDMAC_TRANS_WIDTH_16) { 94e13c288016775 Sia Jee Heng 2020-06-26 1022 offset =3D DMAC_APB_HA= LFWORD_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 1023 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 1024 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 1025 axi_dma_apb_iowrite32(= chan->chip, offset, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 1026 } else if (reg_width = =3D=3D DWAXIDMAC_TRANS_WIDTH_8) { 94e13c288016775 Sia Jee Heng 2020-06-26 1027 offset =3D DMAC_APB_BY= TE_WR_CH_EN; 94e13c288016775 Sia Jee Heng 2020-06-26 1028 reg_value =3D axi_dma_= apb_ioread32(chan->chip, offset); 94e13c288016775 Sia Jee Heng 2020-06-26 1029 reg_value |=3D 0x1 << = chan->id; 94e13c288016775 Sia Jee Heng 2020-06-26 1030 axi_dma_apb_iowrite32(= chan->chip, offset, reg_value); 94e13c288016775 Sia Jee Heng 2020-06-26 1031 } 94e13c288016775 Sia Jee Heng 2020-06-26 1032 reg =3D chan->slave_con= fig.src_addr; 94e13c288016775 Sia Jee Heng 2020-06-26 1033 if (reg_width > DWAXIDM= AC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 1034 reg_width =3D DWAXIDMA= C_TRANS_WIDTH_32; 94e13c288016775 Sia Jee Heng 2020-06-26 1035 ctllo =3D axi_dma_prepa= re_ctllo(chan) | 94e13c288016775 Sia Jee Heng 2020-06-26 1036 reg_width << CH_CTL_L_= SRC_WIDTH_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 1037 DWAXIDMAC_CH_CTL_L_INC= << CH_CTL_L_DST_INC_POS | 94e13c288016775 Sia Jee Heng 2020-06-26 1038 // Workaround 94e13c288016775 Sia Jee Heng 2020-06-26 1039 DWAXIDMAC_CH_CTL_L_NOI= NC << CH_CTL_L_SRC_INC_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 1040 = 94e13c288016775 Sia Jee Heng 2020-06-26 1041 for (i =3D 0; i < buf_l= en / period_len; i++) { 94e13c288016775 Sia Jee Heng 2020-06-26 1042 struct axi_dma_desc *d= esc; 94e13c288016775 Sia Jee Heng 2020-06-26 1043 size_t xfer_len; 94e13c288016775 Sia Jee Heng 2020-06-26 1044 u32 mem, len; ^^^= ^^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 1045 = 94e13c288016775 Sia Jee Heng 2020-06-26 1046 desc =3D axi_desc_get(= chan); 94e13c288016775 Sia Jee Heng 2020-06-26 1047 if (unlikely(!desc)) 94e13c288016775 Sia Jee Heng 2020-06-26 1048 goto err_desc_get; 94e13c288016775 Sia Jee Heng 2020-06-26 1049 = 94e13c288016775 Sia Jee Heng 2020-06-26 1050 xfer_len =3D len; ^^^= ^^^^^^^^^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 1051 block_ts =3D xfer_len = >> reg_width; 94e13c288016775 Sia Jee Heng 2020-06-26 1052 if (block_ts > max_blo= ck_ts) { 94e13c288016775 Sia Jee Heng 2020-06-26 1053 block_ts =3D max_bloc= k_ts; 94e13c288016775 Sia Jee Heng 2020-06-26 1054 xfer_len =3D max_bloc= k_ts << reg_width; 94e13c288016775 Sia Jee Heng 2020-06-26 1055 } 94e13c288016775 Sia Jee Heng 2020-06-26 1056 xfer_len =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1057 block_ts =3D period_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1058 mem_width =3D __ffs(da= ta_width | mem | xfer_len); = ^^^ 94e13c288016775 Sia Jee Heng 2020-06-26 1059 if (mem_width > DWAXID= MAC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 1060 mem_width =3D DWAXIDM= AC_TRANS_WIDTH_32; 94e13c288016775 Sia Jee Heng 2020-06-26 1061 = 94e13c288016775 Sia Jee Heng 2020-06-26 1062 ctlhi =3D axi_dma_prep= are_ctlhi(chan); 94e13c288016775 Sia Jee Heng 2020-06-26 1063 ctllo |=3D mem_width <= < CH_CTL_L_DST_WIDTH_POS; 94e13c288016775 Sia Jee Heng 2020-06-26 1064 = 94e13c288016775 Sia Jee Heng 2020-06-26 1065 write_desc_sar(desc, r= eg); 94e13c288016775 Sia Jee Heng 2020-06-26 1066 write_desc_dar(desc, b= uf_addr + i * period_len); 94e13c288016775 Sia Jee Heng 2020-06-26 1067 if (reg_width =3D=3D D= WAXIDMAC_TRANS_WIDTH_16) 94e13c288016775 Sia Jee Heng 2020-06-26 1068 desc->lli.block_ts_lo= =3D period_len / 2; 94e13c288016775 Sia Jee Heng 2020-06-26 1069 else if (reg_width >= =3D DWAXIDMAC_TRANS_WIDTH_32) 94e13c288016775 Sia Jee Heng 2020-06-26 1070 desc->lli.block_ts_lo= =3D period_len / 4; 94e13c288016775 Sia Jee Heng 2020-06-26 1071 desc->lli.ctl_hi =3D c= pu_to_le32(ctlhi); 94e13c288016775 Sia Jee Heng 2020-06-26 1072 desc->lli.ctl_lo =3D c= pu_to_le32(ctllo); 94e13c288016775 Sia Jee Heng 2020-06-26 1073 = 94e13c288016775 Sia Jee Heng 2020-06-26 1074 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 1075 set_desc_src_master(de= sc); 94e13c288016775 Sia Jee Heng 2020-06-26 1076 = 94e13c288016775 Sia Jee Heng 2020-06-26 1077 // Manage transfer lis= t (xfer_list) 94e13c288016775 Sia Jee Heng 2020-06-26 1078 if (!first) { 94e13c288016775 Sia Jee Heng 2020-06-26 1079 first =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1080 } else { 94e13c288016775 Sia Jee Heng 2020-06-26 1081 write_desc_llp(prev, = desc->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1082 list_add_tail(&desc->= xfer_list, 94e13c288016775 Sia Jee Heng 2020-06-26 1083 &first->xfer_l= ist); 94e13c288016775 Sia Jee Heng 2020-06-26 1084 } 94e13c288016775 Sia Jee Heng 2020-06-26 1085 prev =3D desc; 94e13c288016775 Sia Jee Heng 2020-06-26 1086 if (i =3D=3D ((buf_len= / period_len) - 1)) 94e13c288016775 Sia Jee Heng 2020-06-26 1087 write_desc_llp(prev, = first->vd.tx.phys | lms); 94e13c288016775 Sia Jee Heng 2020-06-26 1088 = 94e13c288016775 Sia Jee Heng 2020-06-26 1089 total_len +=3D xfer_le= n; 94e13c288016775 Sia Jee Heng 2020-06-26 1090 = 94e13c288016775 Sia Jee Heng 2020-06-26 1091 // TODO: check if need= ed 94e13c288016775 Sia Jee Heng 2020-06-26 1092 set_desc_last(desc); 94e13c288016775 Sia Jee Heng 2020-06-26 1093 } 94e13c288016775 Sia Jee Heng 2020-06-26 1094 break; 94e13c288016775 Sia Jee Heng 2020-06-26 1095 default: 94e13c288016775 Sia Jee Heng 2020-06-26 1096 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 1097 } 94e13c288016775 Sia Jee Heng 2020-06-26 1098 = 94e13c288016775 Sia Jee Heng 2020-06-26 1099 if (unlikely(!first)) 94e13c288016775 Sia Jee Heng 2020-06-26 1100 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 1101 = 94e13c288016775 Sia Jee Heng 2020-06-26 1102 return vchan_tx_prep(&ch= an->vc, &first->vd, flags); 94e13c288016775 Sia Jee Heng 2020-06-26 1103 = 94e13c288016775 Sia Jee Heng 2020-06-26 1104 err_desc_get: 94e13c288016775 Sia Jee Heng 2020-06-26 1105 if (first) 94e13c288016775 Sia Jee Heng 2020-06-26 1106 axi_desc_put(first); 94e13c288016775 Sia Jee Heng 2020-06-26 1107 = 94e13c288016775 Sia Jee Heng 2020-06-26 1108 return NULL; 94e13c288016775 Sia Jee Heng 2020-06-26 1109 } --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============3654693563866436367== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICB0Hq18AAy5jb25maWcAjFxbc+S4rX7Pr+iafUkedte3cWbPKT9QFKXmtiRqSKrt9ovK6+nZ uHbGnuNLsvPvD0BKLZKCepNKJdMAeBEJAh9A0D/87YcVe3t9+nr3+nB/9+XL99Xv+8f9893r/tPq 88OX/f+ucrVqlF2JXNqfQLh6eHz78+eH8w+Xq/c/Xfx08ctqs39+3H9Z8afHzw+/v0HTh6fHv/3w N/jvD0D8+g16ef6f1e/39z/+svp7vv/t4e5x9ctP5z+d/Hj6/h/+XyDLVVPIsue8l6YvOb/6PpLg R78V2kjVXP1ycn5ycpCtWFMeWCdBF5w1fSWbzdQJENfM9MzUfamsIhmygTYiYKnGWN1xq7SZqFJ/ 7K+VDvrOOlnlVtaiFzeWZZXojdJ24tu1FiyH7gsF/9NbZrCxW5/SLfaX1cv+9e3btAqZVhvR9Krp Td0GQzfS9qLZ9kyX8H21tFfnZ7jK43zrVsLoVhi7enhZPT69Ysdj60pxVo2r9e4dRe5ZF66N+7De sMoG8mu2Ff1G6EZUfXkrg+mFnAw4ZzSruq0Zzbm5XWqhlhgXEyOe02FVwgmFq5IK4LSO8W9uj7dW x9kXxI7komBdZfu1MrZhtbh69/fHp8f9Pw5rba5Z9C1mZ7ay5URXrTLypq8/dqILNDikYmNuq0C9 tTKmr0Wt9K5n1jK+DsfqjKhkRn4U68AYEJNwW8M0X3sJHJBV1ajrcHBWL2+/vXx/ed1/nXS9FI3Q krtz1WqVBdMPWWatrmkOX4dKiJRc1Uw2Mc3ImhLq11JonPKO7rxmVsMSwmfAMQFDQEtpYYTeMotH qFa5iEcqlOYiH8yAbMqJa1qmjUChcOXDnnORdWVh4m3YP35aPX1OFnSyiopvjOpgzP6aWb7OVTCi 251QJGeWHWGjyQlUJuBsWSWhsegrZmzPd7wids5Zxe2kCAnb9Se2orHmKBMNIss5DHRcrIYNZfmv HSlXK9N3LU551Ej78HX//EIppZV8A+ZXgNYFXTWqX9+ima1VE24YEFsYQ+WSOpq+lczd+hzaOCp1 hmS5Rn1yS+f8zmG/Z9M9HHMtRN1a6LOJxhjpW1V1jWV6R57mQYoyKkN7rqD5uGi87X62dy9/rF5h Oqs7mNrL693ry+ru/v7p7fH14fH3ZBmhQc+46yNSflRvpx8R8zCtzORoD7gAIwUSlpw7+lJjmTXU 7I2MFsPIg8HNpUE/nZPH6r/4QLcQmncrQ6lOs+uBN30o/ABkABoSqJKJJFybhIRfNvRzmFo85GEh N/4fwdJuDjuoeEhegwVCrfo6eX908wWYV1nYq7OTaetlYzfg+wuRyJyeR+a+A4zkMQ9fg5Fzp3FU FXP/r/2nN4B/q8/7u9e35/2LIw8fQ3AjM3TNGttnaMGg366pWdvbKuuLqjPrwCSVWnWtCXcaXBov SXXJqs3QgGR7lv+SYwKtzM0xvs4XoMTAL+Bc3Qp9TCQXW8nFMQlQ08VjMc5T6OIYP2uPsp3vIQUQ rIDvgrNJnDtYPb5pFegP2jHwmZFN8mqCOHN5H8BfFAaGB/sDTjfei/FMiYoFLhs3FpbMuSudx4hZ sxp6814rwLE6n0FFIC3DRGAuQkTg3VDG3LUJsKv7HUBWCD5UCzZQ3gpECW7DlK5Zw6M1S8UM/IMY LQV4/nzK/PQysDtOBswRF62DK7A8XCRtWm7aDcymYhanEyxzW0w/UpOWjFSDoZUAIgPIZEpha7Br /QQIkj0fGEtagVMnRAaBYs2avJrB34MjjUxb+rtvahlGPpEvShaEGDtjgOOKLgQ5RWfFTfITTEew gK0K5Y0sG1YVgfa6mYcEB3NCglmDsQtnyqQiZidV3+nEv7J8K40Yl5O2Z9B5xrSWsa0aQxtstquD lR0pfQT2DlS3RnhwrdyKSKkohUDVcd66oM6/8xAYuE9ThE4agHmJvQF8/ZH8Nmgn8pw0Lv4QwPB9 ik1bfnpyMbq3IfHR7p8/Pz1/vXu836/Ev/ePABUYeDiOYAHw2oQM4h4PE3Fm1jPhm/tt7YINEpr8 lyOOA25rP5wHcNEhwFwBA/fq8hjTEasYHfGZqssoi1OpLFBGaA37oUsxAq2o73VXFIATWgb8QzxF mzEraheYYHJHFpK7yCo8saqQVQQmnRlzTieCzHF+ZRS++XDZnwfZCReg9fkO3BoECkViEkE6dCg+ JYSmMxccYr3AvqnOtp3tnQm3V+/2Xz6fn/2IqbJ3kdrC4gyA7d3d8/2/fv7zw+XP9y579uISa/2n /Wf/O0zSbMAf9qZr2yi3BOCLb9yE57y6DvCkG7lGEKUbcHPSR0tXH47x2c3V6SUtMOrPX/QTiUXd HYJcw/o8TAiNDG+Co17ZbvRPfZHzeRMwITLTGJPmMTw4WAuMRdAC3VA8BtAE04bCOVhCApQOTlHf lqCAwTq7ORlhPeLy8Q6E+kHcKADyjCxnd6ArjVHzuguTlJGcOyekmJ+PzIRufMoB/JyRWZVO2XSm FbAJC2yHr93Ssapfd+Cbq2zWg1MpM9oomJI7udHhgKPUV+x215dmqXnnEjsBuwC/LJiudhwzJiKA GG3pw4kKLFdlrs4CqIRbYBhuDyo97oHgPiXjzHH7/HS/f3l5el69fv/mA7co7Bg6uoUguV8C6KZu CYuEH1kIZjstPPQN7Roy69YlcoimparyQoYBixYW/L6MI3XsxOsmYBxN4x+UETcWdhS1hIAikSRY Qsw7tob27CjC6qmfYwGHVKbo60wudgQKILWkB/J4X9US7CIgcTi8aKRJQLHege4DKAGEW3YizOHA 6rKt1JE/GWnzMGXEHeD+kn58eqvtMBkD6lTZAYFNnW7XdBp3Ww9nIM3FpbNJMhpUXmIUHWPlCW5d fLikcdj7Iwxr+CKvrm9o3uVSh2AxALbXkt7tiX2cT+vlyL2guZuFKW3+uUD/QNO57oyiVbkWBcAJ oRqaey0bzCbzS2LbRuZ5HucaKrbQWSkAHZQ3p0e4fbWwPXyn5c3iIm8l4+c9HaY65sKCITZeaAVY bNmWDP51wTK649zg13gP6tNE70OR6nSZBz67bGpEqWH0iBxEwy2YeZ9RMF0ds0HvYwLgEFl3tTOk BUC5and1EaY5XS4Rw2dRCU7lO7Eh+CxvOwOsPJDdpkTAceSAJZ0T17syBK2HXuBTWafnDEBxjakF oF5qiK7mJP12zdRNeNmxboW3QjoKMmpJfHDjoIbpYWQAG5kooaNTmgluZ84aYX7KmAgwwwoBWXyP 4HYXlq2VPPWCuPwKGQva5q4rx5ahFiqyOy00oHKfNhluVTOlLCaflx1jHTtCjy6CmOvr0+PD69Nz lOoOgrvB93bNEIsuSmjWVsf4HFPbCz04562uh10ewp2FScZfV4mS8R0Ehx8oM4cSp5dZulvCtIDZ nAJOyXcFxzljRCfyw2ZKMfttwFWHHnyqdrQ6ksOhjO7LDqT0EE4Mf9gm03VgANTyxqhgC0jGba6h E68DBJNUQqBReC2TpFoG0gWdIh24lwvsbW3aCjDROYUQJibm/cIxR87Z8V7PXMOjIqc0NIGTrooC gpmrkz+zE/+feI1atry2vGUIzq00VnIqN+wAWAEWAZYGTAojghaHpJfZznaPt9Z41xroiKxQt6sR YuIVZSeukvk7ZwIRqTKYK9KdS4MuHAR/r4s3INdXlxeRu1xDINdVLG08iVhN65n7DLCp+RGHayB+ PuJtwbbU4Z33RLfmxi0KbmJqClMJet6EJCbr6RRaQQMUIzgG/vS5uO1PT06WWGfvF1nncauou5PA /d1eIeGQH3Hhx1rjTWS4IBtxI8g6ivXOSPQwoMYaD8HpcAYO0ZtLXMWa6XcTs/OY4oz3xcX0rlWY RhxHceAHRjmLBhmyJtvcqOgaoM5ddgJMKZX+hr2Sxa6vchulU0fXcCQ69g7u6T/75xX4jrvf91/3 j69OhPFWrp6+YUlXFEQPqYUFy3fITFALHMb6Q1AVRWA1ZqbxBiRfjOxAhlfRdl5/9M6wd/heIpoc sMmSETrkJvATAyMz+zX6S7fnBkyB2nRtYpVqMPZ2KH/BJm2YnXKUIanpJ+ncugkSdpNxQFn32SUZ Ivu+Wq77UQXjpghyC+OHWWquxbZXW6G1zEWYG4p7EpyqPQklWPqNGbNgdXcptbM2Lpdw5C2MTl1V OGbB5g1yCJaX5B3i1wKUwJhk+An2p2gqYcvo6ihmkuvsm7Gy1KAgdCrbydq10DWrEpVxRYeO7dJd XVtqlqcTSHmEntA+xs2RS0zQ0zDAL5uCUAQsyuLU18q2VVcOiHw2AZPRGNq3XbhD9yN3BgJOcON2 rY6IaZF3aAjWTOfXTKPTqnaUFzicPNaK4PzG9OGKLx4CGeQE8tYW84MU2CmJt7Kw90sIYFxi+Dd5 iByYqg+h2mhZC3k1Vdqsiuf9/73tH++/r17u7774iGNyCIPaL5WxEK0PHctPX/ZB2S30FB+AkdKX agshXB5ddERMiN27BZYVsQMLeWP6jdxOzxpTdaEfO8x9upFBsJKK/bU3cyuRvb2MhNXf4cCs9q/3 P/0jXGM8RaVCuEirgWPXtf95RCSXmk46eDZrAruJJBwxpvgeYto4cORBgc6b7OwEFvpjJzVlNfHW JevCqmZ/DYMxdNgXkKkbOo6ABSK8IMuLlLX22kwug6paOk8JGIjOgjXCvn9/ckoZJwh+mywFuFhC kJFnYWGbvQo8PN49f1+Jr29f7kacE+OwIeod+5rJx9YG7Bpea6nale66IYqH56//uXver/Lnh39H V8Iiz6dAGX6kuL2QunaGDwKeJCYI9ogb2cusoC19cd3zYqivIC8oVFmJw0DBpZpnYA7DZUwSzDuw sdZKNUYdZR06mcls2/D7C3m4FBqXzu5/f75bfR4X8JNbwLCKbEFgZM+WPtqszTaK6DEr34E63s7A a1Ssj5e2D6/7ewTPP37af4Oh0MJMMHlUH83Muo+TQMrfXYvpq0fKcFXvymbaKqwccVM9NJx1hW5t nvDb+Cs3UiV+7WpMy2WCMr6zuzo3/ISru8YFLVjExREDJbgGk7b4bsDKps+G+vWwIwnLgbfDxBXq hhx5gxdmFEO1NH3oBl9PpFf5jl90jb+/BwiMuK/5VfC4vsCJRfVAU2W763ENQUDCROOJeEqWneqI amYDS+58ny8Dp26XIRbAAG6oVJsLGDEmPhaY3kP09WzR/cz9MxRfv9BfryX4TTm7HcNbYXOohbCu Wsu1SOTOzzJp0Vz16TbiUxqIRYcnJenuAGAC4Nvk/hJ30KHB7URyRnxc2jh8/rLY0EeGIWV93Wfw 6b4mMeHV8gY0eWIbN8FECEuR8N62003fKNgkGYKhtFqI0BzEr3jZ54oq/a21a0F1Qow/1gbpYdHy riZ3eDrXx7lEEZZfc94NIQdWqM6UzB8KXxPM6/aGr8t07T3VJ+kXeLnqFmoRZMt7/yBifKVEfMWQ WxpqMUgJXKMKNjRhzqoGRjM9VBZE7FktfcxejEHcx0i7Buvn98pdeqcbSpe+R3qpcN/Da6XIBDWY JkVrjHUbmLWl1hN52Edv1pFnHwbIx4Sr4FhjFaTaVd5hqgNNuahQI6ng1XHGxBc1zaiYKHUnN2A8 SEsYt/oQq5Bqd6MZs1WCXgHOxtaAV1jpkcEmALDJA2m8JzCyHHJY5zMGS9zB5QWaOtyvoPMRGs5Z k0m2YPjt+ARMXwcu/Qgrbe53g2xOsQ7NNVaZ+XcbUzHkQHOVqkd1GALb6vxsTHfGdvzg58EZUc4c LV1YeJg2Hco2e9FwvWsPD0tKrrY//nb3sv+0+sPXOX57fvr88CW6ZkOhYdmIXh13hEVJPWnKo7Aw ivjqvf6i/2eI+o9N7hCQVV2JL8qUsZynLybxFasXIAsU/wJTjl1pBIhW3IQWxZXVGqwand7ADkc4 /P5BWXytY6UYdc81yHQN8lODMDQ9MMOeR2dPX4X45kbzwzPThRLvUXIhkh7YePa0MFQ0M0hgkdo1 +HZjwLJOrxV6Wbucbzj5rgFNhmO/qzNVUV3CUatHqU1c7zwaSgvecpYYzobqnsNPAFIYqGnxMS5K Gt8uZKYkiZXM5nTMepRaWuINBBa4RfvjXr0MdwfOv1LuC4Wus2RaQOjrj+kQvgyKptKj49qpllWz kKq9e359QBVf2e/f4jo9mKmVHu4N9wHUfptcmUl0mhPGkiF5SgwlI4YfUX/E5En8YUDDsFCqGVlH ZatIdHcb/q2rmp43BREhtJLKX0nl4MTi5+YBc7PL4mhuZGQFneyLxzvYRdOcTv13jX/gDh4frBQe Y54WlU6XIj6Loevrq7nZd8+Jc9eNew+6LKKvKQHn3MZa/T4TBf4fwtvh6axbQfHn/v7t9e63L3v3 dwhWrq7hNVjLTDZFbRGGBLteFXG4PQgZrmVrZ2QwEFFSG9sitiaXeGlCbrb1/uvT8/dVPeUbZ9kA +uZ6StMMl+I1azpGOafpYtyLBGhg5KQQzw+FtlKEgc/Uk7ur5/Nmznb1rqZrHkwW+N63DE2dryho rWvl6n8uIpyU4Klaljqp6PelkyrOSdZ1F4ZbU2rDUC9wxredDkD6h8C5vro4+eVQcr6Am6d6FoIP ruSa7Wi/QEjX/lXN9A2plCuxcLVwk0xU9r2JMlIc4pjGidO5Pa0AXl0vJAb5QpHzbZtcIE+crKOs 7K2px7LvyTwPddqw2i1d5zq2clo2T4i4TN+YDgqgfD6+EcFMyyaKjHwB8XaM36a5CO3K4dKHwBP2 w8eOADfXNYvT4QEyhpgCb5cgvmhdtRh98Tnar9YKHzmx6JZ92Q5Mmx0+KBcQ9TaljtJoSBQJzWwy X/s9plSc1Wn2r/95ev4DMOjc3MCp28BQX+PffS5ZORHBJQShA/4CU1knlKHJdExImHRThA8F8Rcc nTK6/nHEzizcDzjuX5RvoYjpsh4L6Dl1EegkvH0RyWymuqSEIVs0UvH6b8RuWqWBMO/X1HySgh/J 6t7kbW/wjxPEiDMguwbU9YzXksn9tz4vjH8Bgb4DaKeqCVfwSEE8EGqb8Ki5332+5nMiZuvnVM10 slCyjZ/QehpoL9jjurshZuElets1jUhegDbgLtRGLmStfcOtJQtZgdflh16jrStUF6rvQJrmQGkz bkDPor+H4kgQRyxJp2rkiE7BDp8ackhirD9ejrcUGT+WIGt2PTuvh55hS4zViv4rEDgO/LM8hrYP MrzLQtgwut6Rf/Xu/u23h/t3ce91/j4J7A7KtQ1f2MGvQYcRuhQUx/0tpYThX0jjAe/zOD7FBbiE 3aRPjmMu7+vltLHBadtitXlL1c/6Nov7fjmnYmeg1cFOIsVIOxsSaP2lpnbGsZsc8KxDbXbXimSE 9GQ4oj8a0VcN109DuVPSCdhejHXNfDHctixNzIjysq+uyS93PPDLnKJH781h9fDvbmEGGN14bIda 2+LfA4OAv9jNm7TrnUvSgQ+o2+QdNMj4XDKpHjCDGXOyiTkH0xmfQCSNR9A5aSSsOJf5y+xPpIXm 27VDsbMjdT2h3DkZpSyONs1leES8vrv/I6knGbsnJhB2n3QQrIfhNv57VfC7z7OyV9mvvKFdl5cZ LIi3/04f0F6QtQcL4mbNTudjE4KY2V/qOBk/cPUpdxgu3Ho/YmJ99cKfCrFyqRzCUtFNdWYDTcNf Y3leOJijb8+prwubZ1rmpUh/97KsYQsbpdr5fYRTbcNSV58bOsTYVqzpP5ycndJv73PBoTX1mVWA qODHWfh1zLKKKma5OXsfNGJtFqDetYoA92Wl/p+za2luHEfS9/0VijlsdEfMbOth2dJhDiAIiijz ZYKSqLowqsvqace67Frbtds/f5EAHwCYIGv3UN1WZgIEQBBIJDK/PBckGwRaQj+YrmSTxXQsLYlq MzVbZ/LkQeUAIU1IY02xOC/wutWOiHLSPOAJmP08T4Z1GD+OmVJSe8AqOEiWPGU0cVhC29BXZ8rK aiYeBBKcpmhXzCe1AzkhAcM5117vBsQYg4m4NWBXBlqTJe0fCs2Ew0szTSuGpFbZjdV+YI1mlVwg 2mdamqiy4XWHt4cf1x9XuX7+1hrunLW4lW9ogH9CHT+uMGCGnhuZQXIdtShNc2ZHVVv/w6jF4IQ5 FhZRgBEfXE0byBV7wAxaPTuIxg+lgRgT5U49JlYE784B2j2SDoXSHUZ0+X+WYo0PPWEc/ag9wOMn uieP7ngDaZzfs3FLHqIHRNY1F3aM6EHzJttIyT32aQx1jB8YxxH2uIJPVYSaMlSx5HhA3sUYJKTb r10zt8Meddnhi+gBq1XqclGu7JcTZdvW/fNv73/8199af9nnL+/vT388fe0UN6MjNHH6KwlwJcfp mFxRnoXKs8saWGCp5QuPi+5EorNn6IF5tGJDNaFzMTGsiZrutYL1rREnfPU3BbDTT9/WRKF1jspN gK/1Y2eDkiEVs9KeS0BPAScOrkCdT5gpxkSFhFZuQyVJW5h8cx0EDk7BgypV5r7VGNgpL0eLEtCF PJUkbEzPiGMrVM8AJGa3m6oWjoJX9Oz7QJUcVUh1ZPWoPtkmzDTSsUHFG1fmnti6R6d5OKbziGEP 1udEMLn5zEcRU3USG73IYLlrMibTfo2eZ1S0M7qOlzNYRgzrBzW2wjADzwuRA6Kycd8klXqiLjIN pbundX+erGtTg53gSrYhEhJsSTMEMuqpPHVtikjlrm7s8lCOcjj2PBRM+7iWmhcsO4kzr6gBmXLq rKjGrD/5TKiWNy/Pe0H8PQNKuD6zDddOhbukA6U5iNyW6XRts4+Kzgs9gz0zKzPRYGJRjrQO1fuQ nTzlk41c7QSYx6SM3dCMCuP6Gn41OUvh8r85QD+Ifd2pYR6V+cT3vRgy2ryChkeD5bGGy7tLY2Pa BQ+W1gJQb59s6GjzImPxcX23AWRV2+6rA3OWmrDMC3kWynh3E9TaJ0YVOQzzqmRoVkzSkoS+EfDg ewRoaGEkB6Is7FCplqZOdfiRv5dQvshNkntQe3pBX2RkWd9bHnVRc09T446iKhlJWycQ416NB015 tMx9Z16yROtyw0hEBzjVrEavr2e8XK+P74uP18XvVznwcFf+CPfki/Y8tDI8IVoKXMvAhRqge9Ua d2s5tAEgw75ZP1tHE4UONXgHltE9N6ed/i2lTLe/lsiz4mg7xWn6ofCq8Xvn0mNfjHxCWrKzHFLC jRML/BoHvCqqLO588Db/KDC9grIibrR70CDe0sB2XlUXvy2xFwR/DXPbwgYhMowg8odcMQ8cDsoW MaPcWss0qTmSEvtUgB2PS4g4TCiyQHx5W0RP12dAOfz27cdLq4svfpFlfl08Xv/76avtPqTq4niI PfAi1HYBnCLbbjZ2zxSp4WtnFETV9nlEG8tmdYEOkCaDvKc5YhOdy2zrPEUT28cYq9xPDVNvHcMU T62UtYSx0b6j2JpeCCh1tlfDAYB7WGLupRHhCUQeDw/UzsDtttBZRkLVzHGwlBbmthWS4SFqLU6g sRu6P9oEANYKJ8kMHKDkToZOHBVyJ7ALSOCoYDu3vglrvgrQrVBgTWCBNw0swW0MqVsvz/H1Anhy O/fzCL6Jq0e2sQPDztgGAEPM3Mh3T9K+vr58vL0+A4j3Y/+69Gf45fEKMDRS6mqIAXz99++vbx9O jCWAR4Uso0y5z6J3D7M12v2MKvlfH9QECMCDMGgru1k1AI3Wo86H1/enf72cIbIMxoG+yj+E0bPu vmRKrHdJxAeyH2T28vj99enFHTKAZFIxK+hoWQX7qt7/5+nj65/4a7Pn5bnVQyuGQ9FO12ZWRkmJ h3iXpOCO1jXE2T19bReART6Gnzhq7/KYJQW6X8m9tEoL+/jR0aTaeEQt81ITyUKS5KZPptxE1ZP6 OEyVEuefblzn86ucm2/DShWdlYe1pWN1JOXcFAKg/sCUp8ySDDGYg+v4UEqF/+gOY5UabLnMJgmE PWByne+0qTS73egVLqJgNE69X6Sl1SoXa5PrsdiBuhaWHFcsWjY7lcx5WUCHREZtWamdQEgM5pQA QkT5k7aiOotMv8f06LCAy3qsck+SGWCfjgnAoaorFm6qwyU7WF5q+rfafl2aKFJDJWiJ59WIlKam /thVWD6MaRtDlYAwQxXOo2ZQZE4GYEVqCe2iHu0og/EX1YfAD/pT9y1wUAwAngA8Mg0Nw5Tu1dBc KgR2TBXgY4zAsw+ZcH41ctZyU41UxBQST2AMwcsI5xyDemAMp/EK2+hyyyaaR+DlVnlyZknufR58 GlotCeBXalm5Jc16b3nUOP5bkgJ6T0Iwi5aLIKOj4Gwo547wzSFIYbPDHVUPBbbJ98U6I9aorGSJ o0pnM1Ge1Lvd3f4We/ZqvcNyXXXsLFeNHnpmeqQpdzT16adyhOU62bs6Fm+vH69fX59ND8essNF6 2gAIy+DQxkRkR3nCC9Db407EhNWnYZmnTud4iDslduVBWxAilJOOF5t1jUMafC4JfiTpajmmDE0v 0LKTPC9GHVZU5fKsA752Ll+FWeV42bAMLGcp+N3om5A+MnqiQVkwCvYAsqh3E4XkIIwbIolt+weQ cJOnbAPKnXs4wsJrAvsQDU9okoCKqO+uYVU8fDqtXVDWbdkTe6oK05lqPd7lUtRjNTE7pczQC7tj lqRqXIBvyFSFIshREMpo7yxSGQZERY9IUIJj6zebaq0NilSR8sBwhdFqqg5qeHr/imwNLBN5KZqE i01yWq5Nc1O4XW/rRmqlFUq090upM6QXtXKazopBCgHkuD4eS4XEg5pX8ShVQ4q5AFCx36zFzdLy D5I7ZZILgPQGjD9OPV6nsdyAExSoqgjFfrdck8SyS3ORrPfL5QatTDPXGJBdN6yVFNluDUC7jhHE q7s7hK7asV+aeBEpvd1sLdeZUKxudzj0bwH3szGe3kF/qOihY5RlspfSJ6ZGhBGOsHcqSMatqUnX sDWMPh7GpBqRGgfG7s0puvy614ZPR0vUYG0jckrq292dYURp6fsNrW9HVB5WzW4fF0zUo5oYk2fK G1O5cpppdCu4Wy1Hk7KFNfnry/uCv7x/vP34phJ4vP8pNfDHxcfbl5d3qGfx/PRyXTzKT/DpO/xp Hn8qsBugH/H/o17su24/VEPZr6RaDCekAvc00CBMqQdKq+c2qQdhvheoalzipI9fpxQxRPCXj+vz IpWn+H9fvF2fVe7aYdI4IqDAhh2KjM5SRnmEkE95YVO7lsi9VivFTs3x6/uHU8fApF/eHrHneuVf v/eAjeJDdsmMKfmF5iL91TCQ9Q0OB3ycobnoTJkatH7K09hSESFeTs4FCugV1INNDiIlQIf6JGIS kIw0hKPNsvYcy5jHrbSaQ6Bg8Xz98n6VtVwX4etXNeuVzfO3p8cr/PuPN/la4BLiz+vz99+eXv54 Xby+LECbU8YKY2cDPESpMtgIWH3ArWQKycXnr2QeMA3EKE1DbLdXDMC7CHJAWwBEGuym0hCXDUT1 BslS+GbI/hcyDeTCcys/mMJ/hINa1KvZMCxf/3z6Lkt3s+G333/864+nv9yBao/NYz3OyBo2aiNN w9sb3CJndEMq9qix0Wgcakbsqpiy6XUyEC1wu8ax+XuN7jOAv06KEEZvfbp+L5Pw1bbGFYJeJg3v bubqqTivcSuLNb7TtVQljxI2LUPFdrue7jiIbH5CZDsvgt+JdiJxUW1up0U+KQhwzy1tdyChq/XM uyy4B4eun5rVbnWHK1GGyHo1/aqVyPSDMrG7u1lND10R0vVSTj0ALfk5wYydp4fodL7HdeBegvNU HspnZOQ7nRkCkdD9ks281apMpa48KXLiZLem9cx3U9HdLV0ux9fW6pyn95qxkqmwGrTXkmGw5iFk ykaXaGH5XqjiFkCAorQeJw7VWYRVu9oGabTmX6S+9p9/X3x8+X79+4KG/5D65q/YyiewPYjGpWZW 6EkdM8v2RQyvzZ5GYxv5USiUU7Cee6JvlUiSHw6Oy48tICg4B4EdF39RVafBvjsvSQCKK7wUw88B 6BFtyfZga7hErIAASF6kBNATHsj/mQPYs9Q9Ep44SsuURV/tkPzW6dK/2WN11g4Ow1at6JUVbaRI Cppx5GqlX0p9CDZazD/qIHQzJxRk9fpnZGo55p7EOwFb+yvo5uLm3MiPuVbfmf9JceEJOlFcWcfe tyJ0AvKt+fnEe1+l2YRON49wejfZABDYzwjsfcqAXpZOkz1IT8d04k2FBRhicGcn/XyIABWXqTEq qS+NhuIz2b41zk/lAV2to3I7koratIw+zU/LTA+F1B7mBNaTAhAvUBUPmF6t+MdIxLZqb5DdQxAm 0anL31xuQ8Erx+CPntCEZwqOqpPJ4HrhQEysvjGkwfBkY1af91HIFdyjVOuRvJSe3KEtFx/k9mBf nDxWV7nwRsYpQ/3MLbdh75oDjCbKphotJrlhWm9W+9XExx5pJxLvYVcJHcIKjz3u9qOJsryYmJuQ VMnjtdjxyQpNm6HVjoI4s46n7jzkn3nRsKKwckj3DAF3wLQqx/ti5TliaO4l3W7oTq70uDathB7U fIPrJFwJbIWIfM3T/JmNKymmKgjpZr/9a2IlhJ7s7/DIDa3yiWIz0c1zeLfaY07o+vGut6B+cenM HlSkO0fhdb7myB02k9v6No6UvJglguf+70232Jnqpr7j6NpDSceu0i3x4di64OR+0pnkNaYqWoPK 50qMm2lJgrFbGsF6mrIaU5ajYjdb685TUvsrGfzx6ibtYineIzgfp4th2gEoI7d1xsVZOGRPGSjB MeKWza6T0nh0gB0lT3Clci7DPfChEvmWi5ILE6FJkgtA75NfNniFyDdm8Y6QF5kXZqigpGp8x29W a0RGChHnaAhW2ijkVHkeOnFAurHikKG+1sXQrK9NLJg+4BWeS14xrBwrcS0SqkycEHGTCUE8KACs 5MEUcbr7mZX4Cg1VTcwd9d4gibFdXXj03LqEqdoOfDztMYU/J0oI4N2YAw15tysDAqcn6Yzcl6aU 5w7lti34ASnZRIxa5Dakw6xQru/67Qinjz22Id5cjTBoAhKpS83RRcugBxwFBucOAbyL1WZ/s/gl enq7nuW/X8d2gIiXDJzhzQd2tCaPUf2u54ugWA997skZq9Dqslxc0NVzsqn9agMxNZCTr/WDMpHU CIV0ZWl+FCyo7FgKnfjXDWLUKHrDopVnoe/0ru5xUQ57UGj6HmgNBcSDb73czeNgFKmYx4VCdvLk S8bKCy/rVPs4YEc+4VPqUOG6smyDcB0mh7ZTnQ4BZVdHvBGS3pzUKylzIRpP6RPzqJitW0PmXvp3 jUpSX/aa0oVo0AYnwiPjMhHxHlXxClWFzwjFBIONSIhnaJVI7NHYFFP3adS08On94+3p9x9wkyW0 ZyoxUHWttnbuuT9ZpL8Qg1xOmYvgJlfWMC+bDc3tbBJ56dOCq0sR56hfjVEfCUlRMfseVpNUcjpY NWYqkBu99RWzarVZ+QIeu0IJoWrLtMCvRMJpjiLuWkUr5mb6Yr4DVnulXKFZ081KU/I5z9ABdxKB yp+71WrV+D6FAia0RxWHhDL1IZhri1zPsooTvDUlxekwZ3LLPEeqxNOMKsG1dmDgnwtwfCM896qP UpGxgik0pcmC3Q49NhqFgzInoTPjgxv8HBTQFNZSfAkCwyH+rfumTsUPeea5YQArJH44UQn4XD8T syAapGR1mDpZ2IIMO7YYZdqYBuvIQqgvRLwvdOJmrmuTpY9gZn3dqazCJ07PxserZ+MvbmCffEH5 XcvkKdtql/vhI0Ug30lmzb8Dgxze/TKLt6lupD7vUXRxVCHjoaG9oCqF4phwX+B8V6q9tBkelKxx BAVxzEKAz5uuD1LH2jgQAVvPtp19hvzz1iArSpMVoj3YqTzq7qc2rklnX0KnWGw9IC5w+5FZ4EjO Zs49g8V3621d46w2u/PQFfxBrM3uasktPV5EB1xrlHR7+g6c2lfE3QwGzo336fhq9Ql35xyGIiXl idm5CtJT6gtHFveeC1hxf1nPPEg+hWS5Ne/SpL5pfGb4pN76T1WSK86TbC9gSdceTkt7EtyL3e4G 3w2AtV3JanEHtHvxWRYdeR3hD83d70gOy93NZma7VCUFS/G5nl5Ky28Ifq+WnncVMZJkM4/LSNU+ bFitNAnX5MVus0M9S806WQWO/ZaOJtaemXaqDzMzV/5Z5lme4itJZredSwWL/d+Wqd1mv7RX6/X9 /BvOTjzk1raiEleEDLWzGAXze6vF4BjrWwUgb+nM9tYCLrPswDM7uCmWOq2cgWjFFwZxVRGfORsU LBOQewcdeG05N5/4kJCN707yIfEqWrLOmmWNj/2AgsSaDTmCs2Bq6YgPlNzJFXwUFW4IgJetHCGU W6azs6YMrb6Xt8ubmc+iZHAasTZ44jng71abPfWzqhz/lsrd6nY/14iMaRcDhAcQJiXKEiSVOod9 RwCbl3sMQkoy9oBXmSfyeCn/2QmwfNckEEwP73lmygqe2FmdBd2vlxssvadVynZs4GLvceOSrNV+ 5kWL1E74wApOfSHCILtfeTy1FPNmbrkVOZWL7QhCqONWakexulelylQ3++qOmb2gFMUlZQTfGmF6 MNxkRgHRJfNsKPw404hLlhfyWGXpxWfa1MnB+XrHZSsWHytrtdWUmVJ2Ccj7LVUQAPcVHgS8yrHt jes82VuF/NmUMfeY/4F7gpRYuHHaqPbMPzsxgJrSnLe+CdcLbOZUbh1hYVbexlyQmvuXzlYmSeRY +2SiMPR4bfOi8MwTgCwKvJ6yoMg22saMW2XiS8JxJbxwzmUDo/B4KjgFlKkP3PL/8f70eF0AiErn VgdS1+tji08DnA46iDx++f5xfRvfBJyd9auDyGnOIWYYA/HBlJfq/QXjVbG98cRTid2reOtTgexK U9N9zGQZhhuE253jEVZ3ZPOwSrnAW4tSDuEi+PsruUi3WJSoWelwLsKYTOp43jEtie1lafH6zR5j mj6cJsNM2GXSK4/850to7uUmSxkRWaYsH2qGnp9SUi/gUuf5+v6+CN5evzz+DvnKh9g7HQ+lEJWs afzxuoDAB10DMBAj92z1xpRGV0sD6Xa4WRrOvQM3Ivcs8RyoB6n47MPlOaU1mGjxlen4iVfi2PiT Q8hFxgv4A1dNLfgM3jwRovvEybTDndKmCMzssh2lv4dvg3i+//jw+hWP4KcUQUFVYUOvmFEESZkS K+hccwBtzQl51gyd4+neyRluiaSkKnkNIl0s0/H9+vYMM+PpRa6Af3xxIJXaYnCJiKPTaYFP+cUC ptNUdgLiN5c4Gjcf+I8ucM8uQU5Ky+7f0eQqi29qhkCx3e52PyOEKeuDSHUf4E14qFbLLb4RWjJ3 szLr1e2MTNhiF5a3OzxUoJdM7mV7p0Vc9DNcQs03NlNVRcntzQp37jeFdjermVehZ+hM39LdZo0v GZbMZkZGrpF3m+1+Rojiq88gUJQrT1RRL5Oxc+W5dO1lAAYTTGszj2sPfzMvLk/CiIu4xeOYqbHK z+RM8NvbQeqYzc4oeZYpcJVv6KVce/CLh2GepOumyo80dtBgx5J1NdsksN81nuv5QYgU8tQ3M+sC im80w0SoIB0kx45BxjJqeGjAz6YQa8uG2BEbkhTY9jAIBJcQLwm2IPl/j8Y8yMnTHCkgO9bkY3op eQLWufqQquhllJ4VkVLp3Ub4VSMxloC2ZKLBjnl9Y8btZaC02smt+waoaWWn2Rm4EaQwh8pnunFK 1d/To4Y1r4WrGT1cnuUTpto28WQ5/7aO16nFpxdiOvdqIgxXjxiIclwYQp+Y6tCE4EnUdU1w/zot 4UHbbIemn2Voawc2DovZqyGQDMqy6nW0hmREfhho+waZDb6cDAKes3IvQPOgxG6Ie4FDtDbUyYFc cis/h8Vo0EijQeTI5Rad5hXab3VQIxS3vPZSgofsDODYuLLcy1VpiC1ww9OU4R1viE4mvd5gd1a9 1JmUJbdzPfY8iERMfK5bQ1cg73NeYtPElgk0ePyIBwjPpul16PyZh/IHUuZzzLL4SNA3GAa4ijG8 P5Iy6lEPhmcfyyA/lCTC7pCG+Sm2y9UKaTpo6A6cW8+rCzQzuPFKkns5haQGu0I7WNTl5JyIBCe3 wfjAovKNYVtBy4YFUdCSmUjQBhF8hgtW2qhtJp+Ed7u7/RTPRqex+QYCm8UoV/JMO1EQzDBNahqA UXZTbe4s06YpdJRqN68px3yOTcHguF4tVxu8pYq53uNMuA7NM9bw/2XsSprktpX0X9Fx5uB53Mk6 vAOLSxXd3ESwlu5LRVvqsRQjuR2tdjz5308mwAVLguWD5a78ktiXBJBL1ia+myi6tRa20KGCcync j0k2NgfXdSyZPo4j629qvGaCQXNFYnIENr8/Mmue7hw/oEuS434ydHQpjmnTs6NQACbgohgrW3sV h7ROLQqABhvhs43mvma+Q14Qy1zTbQld5kPX5XLcV6W6sPQXPY1VdQWD6EonyiL2GEeurasOp/bp Xh8VD2PpuV5saWnt+lXFKGFC5rik+Kx4QbMYOnnBoLhtlmE4HrpuYvsYzoWh0FOhwIa5bmDBirpM GcaSDGyjqLHLgkrnNNfoVN9GRq2+CmNbXCvLYG8eYtezFQSOpIbrVLo38vFWjuHVoSLEyIz87wE9 H9o6lv99IV/DlaLx9ZGu0yUfk/h6tXftpYFF72orAYiY3GlqxyoyvphR2mr0YBEmiwKdw6e5pfUB 9hznurEkCg7LWBJgbOu+Cb5VlosemReDl5Ixz+UJX9VFmlsWg4rZ25uNLoh9NqwpR2bFVM83CnhN opC+S1AaoWdR6MSU2CSzPRVj5HmWXnyapVqq4bpjM+21lq+rj0zoxOnXqhWzaTxU5g4nXrae3z5z v73Vv7oPumcEdZgRPkY1Dv7zViVO4OlE+Ff1RirI2Zh4WSxv74LepwNej8rmg4Ke4UUE0fICrqu9 dv0h6ENKqZEJbFIix+/M7JiH9lX2b4dsum9Ryf1eJKdQxeWnWrwTszhJRRFebbCZcmtZGCYEvVaW /4VcNCfXeaDvExemskl0W8/p5YcaIKv/L+KVQtz2f3l+e/6ET6CG78dRNWU8U62LMeN3ya0fHyVJ XBjyW4mT408vjNReTGuM1iocc1ucMrTdU2dTibsdLJ4kuYNkWKVaSxg09BZrM2FZrlNHUgeg5kGY 0SoVPW4ruhHFubHoYQD0oGHC8cnL29fnb9Kjnto2RTrUj5lsHTEBiad6kFyIkFM/oPp0kXMXWF3L aD7hllfvDA6VeIlAedKVmTJh6mQphOIXR85VjtEgA8U1HWzlabgARvqvlLjagaucsX8HFDrA8Kua YmEhMyquY9HmlucPmTFlfQENfLZEPlGa4qLFb1HBu1kNo5ckpPm4xFT3zNLNTZUTmXcl6VJCeLR9 /eMX/BQofGzyZ2jCGduUFDZBTUtOE4cq7UhEaQzpqf5qmdcTzKqysliXzRxZ1lp8qS0cblSx2OaG RTDBmNkXQ55arPImrmmX+nVMD1atR5X1Hhuqpt7jmdR9enaXMx0smn0CHnqL+wIBl6yGAXYvD85V teh67h5rhipzPPRAdagyWEYtjmYEN87+J1f3Mzd7HVDXT22QNdk4iLhPxvhDW/vZ8/2696HiSjvS ewaHLBemfW8L7jSZZ2YbhqFV31QgtLV5bYlC3+wn/Spxu1ui7/81wM4FBKg2V+20FiIOYBRiaKfj K5um+rMCqeyjYSUfik721rkCZ9l4TiZPHifmDfiMnofl0N99jxaIllnftY8W5bTmkpJBI1j2Ew5D ou9Xn/pZEvvRT43awnY+UVaZPL0QMS3W9u3Jm0zoxEN2LPD+FptdOh9k8F9v66Ke6hv+ScVmL+Iq 1SDwRxuhEyaPaAmEqVm1heXeWWZsT+duJHV9kauVw00jYVZEU9K6m1lGXtgjcobmwLve66PWfFA8 Nvr+Uy87hdYR7aa2qDP0gyF5JSjOquAOi2j9qD10zjTYJolCDjgf2alX00GqMoCQC2YVxoOjVwaR jk1RSiwbyGP/uDnRe9cM6gFW10BLxgFAHvliUA4njPjVn+gcZCb0UCeCzxiyBL42mgpSchehR1s+ 7DoQWw+VLOoilb+1w2jqVDLe18ihczntCKyKWhIQoRVm7aPmr2/vX//89vITqo3lyr58/ZMsHGyX e3Ee5AGHi/ZQGIlqS8hKxQwNcj1mge9EZip9lu7CwDW/EMBPAqha3NRMAFpPuXPwMIak9AW1s0yf NvU162shJ85emrcaS81likmEByJLHvPr+DIk0m+/v759ff/y/YfW8PWh26uxj2dyn1FzcUVTufRa Hku+y3EZ3X5rDsT77AOUE+hf0Mv3dvwskW3l2rzfIm76MDA/jyxBBGbc4lOY400eh7QK1gSjqfwW fmssYh/fLYwbBxlklutqATa08IcgeuCl7/D4zsPv3eyFEnZfMMnoRYkPNXROu7P3CuCRxavxBO8i elEVfWrxDi6wfjB9VnCP3ZYhxLKG8HWPS+bfP95fvn/4DUMxiU8//Nd3GJbf/v7w8v23l8+o1f6v iesXOK6hz+z/VqdShvuXLtWIRYFVh1a45NvyKqjzWnzYIVtx8Bx7nxdNcaYuBREzV1G+7srRaeSg U3yf4FpzKg2mP+mVnGNXe58ND6RJqBgJDbrm0BrPEiuw+Al76R9wDgGef4lV5HkyMjBudniZlmBP alGnCEo1XuNaCz2mqON2Ng/u3fsXsVpPRZCGjzY2hJbcbYn8uQraQmrWPBgo2Ze64xZpzSXXV23M 0+EwOYQeY9SO5aQpMIe5u6HTM6t58sqCG8QdFkPZSaqU7oUJo7XJ7hnQhyfQppDdRN3yi4Qr19ik M38eXm49QjH1hyIRiUcCJgeXXGwJOPnbVwwRIq87R+7nL6XK2ffKcRh+mnYqYqvs2Zy0KUDhZ1ld of3vgzgFaWlOIL9GpUsxs5gx0VZsWjmW8vyOAfCe31/fzI197KG0r5/+jyjr2N/cMElu8ylBtsKY 7JZQW74txks3PHBDNKwTG9OmR29Zk3UGTDyY8J+/YlQKWAV4bj/+x5YPXu4ojQKoiI/SNzApLYpz WhoP50aO2mdWcvluEhjXJ4kpHOIE3Hj8d2lBBbqQmk1+FBbLU5tp18qYEvxFZyEA6SIc55tdJp1L lTI/9qRXmoV+7T1npzTfjDRk0NsJbbLe85mTqKVGhEFHyvfYC/3qhnLQpYU+NqXyvDcDfVo3KfWo OjN0WVGranszsk8fxyGtaOWQmSk7FsPweK4sXv5ntvqxvRJRY/Uc4YRtU1JfMkzbtmvRd+A2W5Gn A2y9lmuziSsv2nMx3MuyqB+OeKF8L0/hR+YuWwUtfo/nV7zMH+6y1cWlYvvTYIn0PA+OUztUrLjf /mN1+CeZdtmxTQ/0cj2PbDx5p+ZMyVgQ125oDmAO+DZg59gA6SUV1yjxrKESQHJjI/q7BBmmgYNc 6HozR1dqF7Fc0lPjO86pVMNH3YGHWDcsayNPavaRL9OMGDacyi1BnPVi4OX769vfH74///knyNU8 C0Jg519iCBce19VWCHG9LxdckJu8p8eDuGcQXqRsieaXtN8baeKLme2Lku8ijuzfVm4Pwie5gAdV IOfEY33JjcyrjDJgF627TyIWX7VUmqJ9Qs0vtTwsbdIw92CgdfuT8kDO0aqjxPO5t+Gn8cn5moSU 3iIHF6tqrWtu5eQLb778sA8IIVHA/vrLhOLDuzZk5NRdJ7ihfXSQFFqDIILBsW+y420ZgW+MZi9j V3sMVHHR1NR1sui1MYnNVrYc5mfQt7lI4AyXqkXXobYcL8yNsqkis6iy1XrLEZhTX37+CeKX2aqT mZ9RlTRv6Sc/0TgXGPfWkopFQZ8wnOpdjY6Y6LhS2RLkV3i+Pg/6rExCY3aMfZV5Cde0Uc4eWiuI 5arMt1tnn8dO6Jmts893Yew2F8qwkzPUvb8LfK1odZ/EYRTq01nbdJZGiaNQb0MhFxlNOGThGCb0 DZcYe7p9mdpiqOeVREZDAtlzzcpzYOdSarVioM46ghpxtwvkTiEaf4leZnSKsQdYr+RE/4yJ5TFa tC6IM93GVO235jEG9pxWm02mQnB59CWd6Lc8823xrUS/dXl6RhsV8khNtJQ6iOB0c5Ki4MiB1S8u PqTO+7b7y3++TncNzfOPd63NgVecuLmRaUeXd2XKmRdY4qfKTO6FWlxXDv3GbUXYgb43IWoh1459 e1ZCGEKC4lIEPSg2StMIOmsKioz1c0IbkGhlliH0p5Dv04xSCFJYuWWCJRV61Ck8qo0vwZFYy++7 NsC3ArdMdueqggn9VSirxstAnDg2wFKypHACG+LG8oqjjgPpiNBd8NXlTFvpCXQoGPlOLVB8w6yl V1aZqgceULDjpVE9zPV5KjjoRWESOtM8g4PuCJOBUquDzSPZeaFIR05crMw3HIEnyjBuwsV38uN3 wcaNUuElGMZJQFnCiSgnVVNZeT9GUgfL9MRGV2ynFMQSX3BiqYsDiPZni/PbiYntqWuGuUaASoah UzgIQTRS2n/09FhWepnRrkba0pd2w4uYq1n7hb6qdnCK2RUSnCS38lTAsT49HQozTbTNiJ1AKoWG eJZvYJ+SSzKXnY81h1pvZg6UeuTTikxXBc8ZsRxN1yx5PxApjn4UKlY1UindIIzjjVRFXJRu4o1C SRySUhFCGYWAeJOYAAyKwA2vVJk4RDphkzm8MKZTjeVrBwkIoTuoRmXN3g+2GkCIgTtiZPChhC/v 3i5wCXhS8jUHzjCGjrxvzFkN4y4IQ5POX1NObN/nJnbKmOs4HtmSQkqntIim5VX+eTtXuU6aHkDE RYlQ3Xx+h2MUpU08RZLP48BVFNEVhHbWsbI0aAtJvVgoHFIPq4A0OFVgZwF8l0xqB/IEXYcRKmHx 9afwbFcCOCKPKhIAsUMVCQGq2syPHSIhlsWRp0z5GXpI0IX5Zg0eXOcuT5k2bni0rrhLQdARAWsy qojo740qodB83m7j8dpvtXDOIo9oFhCuRavo9KKuYSFoqNJU4QOcq2jN8KkpYhfkxtLMjl+leOWB GkllHPpxSMaAnTlYdmxyqkjlCOL+aUzHYuv7Qx26CSPrBJDnWNWfJx4QSCi/AxLumS05va23JnKs jpHrE51S4QUgX4+IZqrC0OZac+LA11l9tOqJaHdSM/3XLKBNiQQMY3twPWoc8Xhgh8Ks5HKZTnzD t4mQKgeHLGGKJR7YMLfGPHJ4/DKe/NjzturKOQL7xxG1H6scrllnFBkiJyKT5ZhLuchSOKLEbGUE drEl0cgWkV3h8e9kHEUBsT5zICQGBAd2MVlU3413xJLeZL0Pex01HsYsIh0bLu3dRD45jpqYEjgl mNhBgEqUG6gJMYabhKgJesYieUOSSnZc3ZASnwR7dI139DFGYgg9f7sxgSMgBq8AiDoIFW+iIRAI ZNPzGWjHTFymVAyVjUw8G2Gg+2aKCMQxUQYA4GBIDFEEdrJ17wL0WQPnMKo6ZRLupAboG2G1oPPR ZBSjPKqI1b65ZWXZE99ULetPA8YlJdHBDz16ZgCUOJElUObC07MwcLaWyorVUeL65KZQNx4c1imr c2W5jhPrSh7jfdbhVKej1epk4fYTl3pL0hbQgFxxPCcO6UUXlhxq8iESBLRkiye1yOLWcBkB1wKW 7K15CmelAI7K5FwFLPSjeGvhPWX5Dl0gEF8j5N0RBZ7qyBKpdmJgx9El2gXIlFQIZP8nyZ2Rg3NL MXSRNJvCjX3quDlzFE3mBg6xFgDgwYHFLBAA0cVzSGkffYwHcbM1GWaWHbGaCGzvU1sbiKdhdEVP A40Q3iicWg054BNHNTaOTAxpoh4N7LybZ6rM9ZI8cQl5Ic1ZnHjkhE2h7ZLNE2fVpqgNRJ0MACHv tSQG36NPYWNGOl5b4GOThcQOMza969CzC5Gt3Z8zEHs60AOHFkMA2Wwa9GSe9SeUvqnvAY6SaOsM cR5dzyXb5zwmnr8tx10SP459SzhyiSdxqQdZmWPn5lT5OeTd/ZiYqpxOivoCwROSRTlNYqxhER+J /VFAkRzWVoJgyh1LG1KQ0PwuualNvswYtKax33kvbOOD45J7BReEVKeFEwlDEo4VsziQnJmKphgO RYtG/1iKrixFkNtbw/7tmGnyoyVZ1Jmjo+M6zTCGl0W/YjeMTUw/hcyseSHUyg/dGaOX97dLRXp1 pPjLtBpgb0nVgBkUJ/qMEP7m/nFhppecGs6nulSifWUUhcCXqtHwPm0P/B8aXotPVfROadc7Tq6i On1F1CcvzuVQfNwabRhALR2rO6NDV8Wa3Ey/v3xDndy375RrhUnVFquR1am6OgqMddktHxlVgXUW AqsfOFciHzk1ZKHSWZ73NtPSC4ZW4VuJ0TWfKy6/w80tP6k8j29//Xj/W/YpP1Hmby/pmB3zTho1 M8WIJLwAbXdJH7sT9QC58AizZ25CeCtanMk5kQU6S+aK15AaLCM6PGv+CV/7z++fvnx+/f1D//by /vX7y+tf7x8Or9AQf7zKo2D5uB+KKWUc3kTmKgOsoYqJrY2t7TrysdLC3qetrKZIsSlLxsSu1tjw rL4u/F05LonST4viOYJkmmdtunMiXx4KmirYVvqo/+dEu22mS56O6M7L/spLZT75Qdgo+VNVDfi8 bo7iSfOSQPLLSpTtettwjNzNdsL7Jv96JT9nI/qMdrc+T7OPJ4wVDu2wlibNz5MnZEFeH1frqkE7 RL3ZFIbYdVxLuxb77AYH3UDNjt+aJ6IMisEKxrYB+Zh66WSQUlmNfeaRvVSchm6uAPF1tY8hZS2/ at+kjN5iLmkJ25Ct0lXkO07B9naGAk9HVhRqaCvnmMSuV04llYh6xxz7rU5mcCAy6zuZX9E58wss 19fzac+W/ogcUUNJptxnIGg6atmBGHuBRoSDQ6ixNejaTmiDaqkC4sf7eGqC1TPBx+aaRHoV8Vhi meGTeKymDtQkjk3ibiZKmg7Z8UlvHRyURQ/nYH975WmrnePbBwSstrGDs96Co4+S1DPm2Kwa+Mtv zz9ePq8Ldfb89llZn9FzWnZnaRzpoEAMRnnfMVbtFWdMbK/8gKk7yBGB+FdZhSF86K9nVCUKlxiI cf890pdrixtslkJPTKpRwD5rUqJASNaYRNGzysK94BQZBDyNvJZYA1hZp+xIc2MUtFvWtBbUrJgS RYab2P/vX398Qqux2U+bIa02ZW5IWJzGQpv1OcJpNia7IKTO9xxmfiw7jp5pnqKqgFuV0LUm4+Dx j9LRS2KHLKJwfowOgTS/LgbPsc5y1Q18mQsP+A55h8PhWZtZWgEwQU0taaWp/ip5G052sIrXCAR0 7eaVRiSim9ksRD80Ow3ICXVTtqDya9RKlB5zeadwpayrnjxSQ8/u4n9msQ8bLuJRT5EL6BOZupaA OLzZMhcDk+qFkjiOVRTA0on1Wlv2OKI5NKsy5S0NqZCQpsWv5CcOSh9P6fCw2JOTzHWf6VYsCmb1 cbAcEXlPZMcRj1MW50RLgdBBG7+d+Sd8Nlt7ZPs1bZ9gzels0aOR56FotpooSfomsQXzW3D7IOE4 SBeW/pzV1vT5LBTRrCuJZDxAfJbQCsQrw466Xl3gJDDGrdABpC77F9QzJrBQmdv8aJdoa8kY4Q29 3hhFW3ruvrHPVDj40W4uEOyzMoS5SD+v8q9NJX0Z1VTcOE2YY+g1Hh7gIGBLRpyF1HRYkc0+q5SE WBXE0XUjnDjyNCH5NMixh8cExpVnpNuQZuTp/ho6juZ7MN37ro3Yjb1WEe6NeNqs4cfXT2+vL99e Pr2/vf7x9dOPD8I8pZoDqZkBzTjD4t1+dlj3zxNSCiPM3bTOGatb2vh+eEXfzykZqgPZJruev1Ua V2RVaJBc3ZxU2mK8M0v1PYtcR1UOFUqYFs27DYfMPE/Djmel7ozFYDLvia0jCKsANSPdekh4GGkb NmU3tNCTaLP0O5cu587d3ouBCZZhy0PKeKkDx3fs8wUYMO719oS61K4X+9s8deOHGyvJHQ+YnCXz w2RHW9hwnJ8ErbDNZpMXbtHZ0oXLoXrq2nSzgS9NEpBPzhOoGHytND0gxYyEzoYUI5mKySsk9xae x25ilWNnlslkT1mA+F2RWkTD+wC/2JrCbJCXwpvnjDnpRSdizW0h6bYgK1BWV/SC29WjULhbC7Ww oBusk/D5yU4NqY66MuPrA398WNjXFlm5QP44wJRUbuUWEA8+SUQLLxJXHvo7aluTWFr4X2/JRJx6 7mXCN5btTLRzmIqopzEJ4+eZzYQJG1AZtBuCSr0uzhhEBywHAQrxZH/xGkLWtExbOMmGIYWp5ugr XZwZ6NoJ7Bz6201fsXrnOyGdBuogebFLe45a2WDpjHyLD8aVacOHg8QFe3RsqRHHaLMhmSmJvbtl wb2PWms1lojsDV1mkBCxBdigKI6oXpROCiQWJrbPNIsWBUuiYGeFIodu4knev9N8nCukzscazy6h Zy51VqHYst6FCt7JCA4WrkvWFBDPt1SUH0c2E55EPvLzvjw9Fbb49hLbOUkcUi1Z40nIXuTQjoQ+ YhAf1UXWCq5nGBPSzyoSNJ+GiIowr+lT8kyi8jC6J1jYJHEUW9KeTjTbidcHfHNxqDrNAgKV8f9z 9iTLjePI/opOE1URM6+5iCJ1mANEUhLL3IqgZLkvCrXNqlK0bflJ8kzXfP1DAlwAMCHXm0N3WZmJ xEogE8iFsbZmBF+FDBk404/2CjAJtGfuR/tOpxDc7AUQOa7p4xPSviHHr06GahI6Eb5x9FqFuRX2 L/UXNIWPWzG30VnbqvFbB0QrkeIYIVy2mLDTsQf24egKtoKQc7ifUJoYAsJXcBkaFhETw8z4bRKi vi1ZDNE5h8jIwy33S/N0PEweT2ckXbooFZIMbleHwtLTCuBFOs99ve1IDM8wQAtR5WuI3f8rxBWB eAcIndqpqDK3DWbjIwZAI/ubt9AirytIuC2J3dskigv1SlqAttPUYfUsIHI9kaMmDWi0iBJuWcBJ tNWFeoEQAn2W5LAtknwlB9rkzJb3uQj83kZOgnlFLF9E9+B15tYMMI59JKL21QRfdkCYxZnD/vuQ jrt93yKCubxVq7jvEcu0eZpkWfgbhdvWNqKndMHDx2yxWTraxzjAkUnhcNaRQo65J5XIuGmVOsKH 18fj8/Ph/HMIB3t9f2X//p21+PVygj+OziP79Xb8++Tb+fR6Zcrf5fN4SmD9VFserJnGaWzIEysW Q1Lp+m4fnSd+fTw98fqfmu6vtiU8YOKJhyL90Ty/sX8gOm0flpK8Px1PUqm38+mxufQFX45/jYeY fclkE8mvaS04Iv7UHa16Bp4HqiF9i4ghb72HqfASgexTJsAZLd2phTAMqetauFl+R+C5U1yqHAhS 18He6dompVvXsUgSOu5C7+gmIrY7VZREgWDnhe9jYv6Adufj7mxLx6dZiR2wggDyMOwX9XLPiDrT sSqi/XTq80YJmXlcY+Ck2+NTc5KJtfrZtgR2J8bqBd5FdjN/Guz0WQPwjDvbINUwBOzJN6sKpqOl 1YKhqI5a1IE9H1fGwIYw3T0e9WcR2DtqKeky2/WYBjPWhZk/ro6NuG8bbmJlClz4a5cc6HLswzKv yW3p2dPduHaO8MwTyPC+cIdXwfdOYE2R7/V+jkdpkNCzMbO5Inh1S3vnCm9RaSXChnNQ9iNkAfu2 P1pa4c7xAh6OQuLWvN7gMZ5EDg68ca/5Kvc/+Apkn64B7MrRuyTwHP1mPNW6X0F88HHM3WA+2o7I XRDYo7Gq1zRwrH7kw8NLcz60h8U4/1zLqayTHEJ6pzq3JNs59hSDesG4MwD3cYe0nsBF3VwHtIdM kXirvYP8Hdyi/lYNPSkwc282RiW9dWyopA7+HoKQTm1cvdFJi+3cCTDFVBAWW2c2HR2TAPXmGDSw xnPD4bf6WGw9VsmNNjD06CvgUGRfLLbgG3yTmT/aNDjUw5h5M4MrWUfgOx7+rtMTmC7reoLbnfdn PjIBvj9FehEEcmCYDjqfYTISwA3mHB2B7QYepv62Oy2dzRxkM8/qeWYZkkpIFK551QHelu9ZenBp uRi4VnJBD2DbHh1BDLy1UN5bS05lO4CRltDKcq0ydJFhzYsit2yONPfPy4qU6kyrL940H1fl3c0I 0XvBoaO9nkGncbjaIXBvQZaIEJElpMSs1wU6roP4rhfqUraTj83WuoPCC8biNLnzXR/ZVaP7uW9j jnc9OrD8/TbMbm3IKduQRyrL8vlw+WE8bCK4bB2NGjyLzpB9C+78p7ckuqEt3HRw1BghLRxfmObz r+aleb32CpLGaFNG7BN1bbNyICi4g/+gXP0mKng8sRqYZgWPfl0FI+Hc95x17znBFOMJVyvHDQKd GZyabVWrECrq8fLYMO30tTlB9hxV59NFAd+1RiOdeY4/R0ba9LTbNh8yKpdJZGknmhQ99b/QUsVA lInej8F+Q8epNzr1JueXOWLw3i/X08vxP82k3oqRlQ07B3pINlLKBqwyjmmzNs/2Kj8tq/jAQeMz jKj83a0qfGmT0bDzIPANRWPi+TNTSY70cWRWO5aaJlvHou8HIyLXyN6ZzW6wtw2GFzLZ19q2UDdJ mWgXOpb60qpiPQu3QVCIpsKzHmvqLmUcPGqqQOB989VjSxZOpzSwXCMb+LpRJ+7xSpHduGXsMrQs VacYYVHbUp3IMKVt5Q5eeWwewmXItAPT8AZBRWesaG2odEPmlmXjddLEsT3fNKRJPbdxQySJqGIH pKFqNrOuZVdLvO6vmR3ZbLSmhvHg+AXr2FQ2P8O2JHmvujSTaLuYLLsrxO7arj6dni+Q7oQdos3z 6W3y2vx7uGiUN0gTI06zOh/efoDNG5JPmKxQkWNFIB+fdGYIACxYyARG/2lLKcUBSe+TGlJlFLiB bGTIdxXBbXCp673iWGVFBuFhcN2UwJ1f6OSTuOAMT2V3sfmZ/Xj9dvz+fj6ABY7C4ZcKCBHmzBTn yR/v376xkyeSGtM2f7lAj0G0GC+3ODz++Xz8/uM6+dskDSM9UXx/TjHcPmSCDG1ffoa1CphxIgGI 08oTWBlKDfguOQOC6m1P+v4NuFtP9QMVj233AU2ZBfOpvb9PYyyOwEBHyZpwdwCEh3i0u1mcCZhB oAaQVVC+hbO+ZWU0UHEblPkHRDeemqX6hDESNlOKGY5U99ZzLD8t8fYvoplt4VcTUqVVuAvzHF28 HyzRrj3riFsD9MVGm4wkxxYbNVeBSJWVRON1v1YCbibREHy3ruJ8Va/lc47hK3KPjO5mxGZISSJk zbfmETJYQxuQhzQoQabgN4kw58iwkjOO9qD9cqnWyz8IxZkCgHSDveRy1KaKSaoXWMTpXYLlBgak SFCkNiZcJ+zXg84nLDa4RRQgMxKSNNUZ8cNNG/R9+FBWMcUf+gDPpmVV8JQ8hrrijMJQKVXBw5js LMdhv9/FWotWcbZIqkgDLuXNECCsXF1swrXK7+4h1vtyT9IadRoHJKR9okWu+MhAdQ8Vj5Kg80rA sdnAKqljlckXspC9nQBU3yf5Wo4UKXqSQ7KsutDgadgF35aBcaQD8mJb6AshLVbJjeWdkVUSZsWG ak3O2FhVcmAjAXwQ3npaHVUsloFxlWQJuIIVS/w1lFMUkHk9xgKXc/QmrRNklvM60RtTVHWMhdIH XElyCMyQFvKqkoCjj7qMawL5vtRxKNknx/ZLjVQA92FS6YuFHeJgh5DjoV04RZWwY1ethRI2cXc6 L0oyuskxl2eOheCxaZLfaayYppiNQHFK2U4pmyBwxCYvUzVfN5/jDPeZ4h9JFcc5oQl2kcJZZqSq vxQPLd/urJCgYuAVpnWyxbyuOaooaRxHoxJr9vHgwqdAQzZtYxZJINnAKbMvqasOyX2SZIX+Ue+S PCtUut+ZUKz2sYOMFtbvDxE7RvQPXbx27NebBQoPWfvBOo//0s6ktE0u2V2jIMfekKYZO495qulE SVI9ou0QMrA/ieliX6zDZJ8mdZ3G+zhnx4nUP8AjJj4AZlsZxBfCHbOBYJOWyTiHqETA/sxNEeIB z6Sg9X5N6H4dRlrthhLCU5kPGRBBVyX5oYeXP35ejo9soNPDTzyXdV6UnOEujBM8UT1gRXItU5rU GzVpbEi0ivFdtn4oY/y+DwpWBZsyodlhCnWmeA+X9xWNv7Kj3eBe1+LFrRvObr9oM4LqIGEcRf8Z yJejbGw2BLf+goyeMa27G1phTSQMitaQaDwcEo0jcVug+CgHq4SjEVvRyv1DBzQ7kfYUujvqmEVa LzOce7Fka5ZQgomCKhXf8s1M6rnhGk6miu7DjK5R15uerI3kok6ZQC3hX/VVBpD3C2pwkoVJS5Zs JzPju/wBRoJw4RvsMAC75UaFpgUKFBvW5mTG1j16eQgVfEXmvi7oOlkQffYliqy+w8Zox6SzHEMU GSnx6SMZHgk5YzJ1nShfTwvRAiDwNHv0enz8E7chbAttckqWMSSz2WTo5wqxLUYfLO0ho8p+5cPr KufrIMN39p7oCxcg871ryM3XE1beHNPB8/geDhnpYIZf4gJFHvsBOn5bkkkWFejWOVOM9ut7yDiR r7g4Ih7s4mj8XseLkdy1HG8uqQKCW5jNXPWGe4CjL8Eczf0KLY0XBzpjoIjorfIH8NzB7lY4uvdy kIF5XE+D3W7E674ymUIDVqTqw2aGo9XrD9E2cKmdjpvMwOgtS4v1PCQma49TPZoGMGYC1WNnyMCV gWd4ae/wfmCeN+22ahghbzyuLfxGmIWOaoZehYvJEW6XEGBSDmLNcboXGgcO3oqjNRk5gf4iqHSu dj00VADH1iEBD4MR1zoNvbmNunCKpTjySOoXu/fXiFtRm2Ilc3RCXXuZuvbcWF1L4ex6I8zhm558 O50nfzwfX//8ZH/mglm1WkzaG853yLeHyd6TT4OColgNi0EFjQ1XXET30x2bETMe3DrNWIitFCyM nRVO6YaPBnYIHwE6/lQemvp8/P59vN+BTL+KK0WXlBE85CCmiylEBdtn12pabwW/jplouIgJJh4q hP2lqHYMdPiw3BgwJGTaaFI/GNtgUD0Umi6+4JBg8fh2Pfzx3FwmVzF+wxLKm+u34/MVHsH5U8Xk Ewzz9XD+3lw/46PM/iU5TeK81uar7x53ATH0sFTjIyo4tucLdwm87yW/t8Uvf9RR3EToKJEwjCHE VJKKIe4UUvb/nMlaeYTBRCTVjNxACr7y9iBRxLsScsGR8GG/jasF5TLChqAS+6jWOENr5TmjMvir JKskV8LzSWQkitrZQsdMoszqdYj7yLItYSpRfsSoCKsoM3jbMsS+2mGCH0fR5N4whElZJJj6HEck ZIpHAeF7aFjJlxkcNdwCSFCNpp0aLec4R3Uybt8kUV8W+TNcOuT4WE/Dp6M9g70gRyeBE/geLuF0 BHPfu8XBtQyHUos2nVkCHbv2TYKdi7tJiNLe9CZz1rnZDXwVOLOb5XULDB1t8mcVaMgKjS2+mq2C RFo7AID0ALPADlrMsIgZjsvtCKMIIotxn7qB1wDT/bQkzFbRpuD7iXQLN/hA4px967HCYd9HZWCq QR6nas38YkCFFNL1oEhxz3SiFcMMe3l0vye7BKilb2VJUzaKcuw+YR2XMNhMEZ8htLG2BbQY7vG7 hhL7bJVJn+WAUFoR8ah8mjNkC8df/tsyuM68ppu96EE/zOHzsXm9SsNM6EPOdO/dXukq+8Gvfn6O Z2NfkSSSWC42y8npDV77VbM7YLtMDA/Zm7Yg2ieOgmDFS2gDfm2nVTyUJptdlNAyJQ8oc3YYxyne JIMWAOsN87WT0Ny9SykAyaDjXAmC1RocPp5Pl9O362T98605/2M7+f7eMI0esSlZP5RxtUU7/xGX gcmqih9Ml7tMZ4GTFMVB9NPB0dDoNltm4jTSvxFahKzxckqAFvAyouORQ0fwuJTKxlJ0K/aDzaGi zHFQXLXyQ4G+HQIN628ekSriNPKEATJLcnDS1YurNGR3k6a7V9uXCZr8XYrbOPQuXFdFFveDTXUM Iy8huZPy/t2jai0MW4fvaxmKtAEjTZapHb4q2e54kyItb1S5L6uiLkYV3y34q+qgJ9zgAFlGFR/i vmIouJBz4HWY7SIck/MjZknH1J3kozaRp0GFN92VQePL4jQlebHr5wrrQ3oHPs5pUUAi+OGhCQId MRzERC+J7G8rdEPA9Za3p5eX0yvbqE+PfwobqH+fzn/KO8NQBjHhGagYek2jOxw5sGCrej41eLNI ZDTx3Cl+MaNRGbxHVCob9yZSiaa/QmSwMpKIwiiMfUMad43MZJwlk1EmLVpMo/2wbSK2xkdkaIwd jFDENvmIaht+2AMkqBdG1jrUZ/q52BlL4utUOsDuaQm7aqgsQbGQeSF6ej9jcYNZ5bTisrPs2sCg 8bZGoIs06qFD67Aa+o2YJOmiUAy4+8MuW+OhK8sQDdXYCpQat7YC/nyGKb1skDd6tIlV89qcj48T jpyUh+8Nv8Ho3Pll28uPSNV6un2wradqXk7XBnzXxyNfxfAYz7ZwJe4jUkJwenu5fEeYwAki6Rbw k2/qw04sYFwIXsEF3T4nNROwbhAwgI6VJK+uoUqDpMMbTOfuEzXInDBhK8LJJ/rzcm1eJgVbzD+O b58nF7hk/MbGN1IfpcnL8+k7A9NTqDz6dBaxCFqUYwybJ2OxMVYYuZ5Ph6fH04upHIoXqbR35W/L c9NcHg9sUXw9nZOvJiYfkYq7tP/JdiYGIxxHfn0/PLOmGduO4iVZcV/3FgK74/Px9a8Ro05OFfkz tuEG3aOwwr0Zxy9N/SDpdpl/etVH/MQStHQ5gnjOFf5Svy9ycX0lqXsSEcjGbPMheSgruzIBGKlR In8iMroPPigLiUp5pr+zD2y0/rtOIM+IQ4/38TbO0QQcuzocrlzjv66P7EAYZXJRiHkGny+EP24O lxUtalc66NtOi19SwiQV6aWihbfR6nV2rboO+Ynm+OHfEnax3Mw1Q4JLzZt6wPAQzbf43zi4O4o6 NwQfaAmqOpj7rqSgt3CaeZ4clKAFd2Yvo6HaQFKJkQ4CIV0q+XpYLglptJmqvZQD/QywfbjASPnb 7SgOJuDvlsmSU6ng9iIbVARRl4IVf8qyvFRGbVZXK+U6YUfiyCT0frDsHy5fBaItMPpQyONj89yc Ty+NGkeHRLvUlX25W4AabJ8DfWcEaKn6RiwyggcRYWoeWx/82l2KKyBD1Qoj4sgfSkREuD35jqWK DCKxwGGRBTjGVoxRJBM60QwXvzC/29EIY3m3C7/c2arfVOg6usUL8aeeZwphy7Az+dWXAQIRbXsA zD3PHsf2FnCcJ8PIjeJ+bsoOwEAzB41ISes7ppbJaU8ZYEFaR8ju3FdXlFhlrwcmQ3BfpeP34/Xw DG9UbBvV15zvzJXpZJCZNdsnS4g/yxRMkqYxlryO0c3n0ot0m12CqBksxD6814JyDypCCEH9bCM+ zrdxWpQx+8jqOMSzAq53iruGyHiqt0Ns4HhscGEH0JboYHXoTGVXUA6QExZzgPzqClu/q/hhMm14 pn4rkFB9iia5h9Q5v9t9M/oSOdn4pqd8cRiw3RnvFxd5t3BI6q/GffTIfaJVN2C2N5hyAoaX8xRH /DjOiqg3SegXLMR/V0aX1jvbksKX1JybFdhKWziUsg/aEGNiObMtw5S2styu6133ndz6JuSvhvvr TWLh0yft6lVMQ9L6j6g8pRKtgP/2zKS/kVzfQ4V89KN54daiVPhjK46Adcomt1wjwVX6PTueyVuz +K3u3mFIA+XzIF/brauXzahv6SmbkyoBiWBVypZLtKRaTIffR+HOO5VZ75d6OPa3Z20iVj1ot3BH Oj61xSesTHtHoDgmdaeFOKvVJa6hu8NbmjicvzzbGR3SJPMxFXoeLbtyfZsGTWCEVKSFWmOI49oJ ElJ1u1CvEKeJrzRlG5e2bU9LOj8g3ECZNgaZGkI3MJQ3d/HrI4abzWeGgzNkPYDnYVkuKAvwYMSo IzqdqsFRspnjGmKOsm3Us9FcIwwROLLTfVhOfcdT9hXWAM9TI0qLjSPSn8G616BbAy5s89lqeXp/ eenCEKpbRKukRZssU9y9dJzQhAy2BTqtEGTR9o5a0/rJNv/73rw+/pzQn6/XH83l+B+wb4oi+luZ pt0thLjZ4ldAh+vp/Ft0vFzPxz/edQfdm3ScsPxxuDT/SBlZ8zRJT6e3ySdWz+fJt74dF6kdMu// b8nBpfdmD5WP5/vP8+nyeHprJpd+n+33zJWtOKXy3+rnudwR6jBBBYeptNK+s3qoCibDKsu83LjW OIGCOvF1W5LsEsPyqFdjOwRt+Y67LDbV5vB8/SEdNx30fJ1Uh2szyU6vx6syQmQZT6fyaQ0arGUr UQYExFG2V4ynhJSbIRrx/nJ8Ol5/jueIZI5rK/JytK5tTNZeRyBQ6h5anbtOlkRgujQga+rI+4f4 rStS63qDZ5hPfCHFS78dRSwf9UhsH+wruoLh4UtzuLyfReybdzZCyqpMtFWZDKtyUISy3Qx/E0jy Lay1GbLW1JWW0mwW0d3oKGrh6DHV41xFuLrRL2GByF2YL4igA9naSYo9CpPoC5s/Jb47SV2IBCoB yojOXXk5cshcDbO9WNs+eisCCFmICjPXsQPlwAAQahbNEFqEeQaZWZgeB4iZJ/VjVTqkZAuGWJZ0 f9GLBzR15pYcqF3FqHboHGY7WL2yUi1H1ZLgZVVIC+ALJbYjK5xVWVm6TXZdGS2rt2wjmKIelGyX mGrRSQRECpaXF+T/KnuS5kZune/vV7jm9L2qSWKtYx/mwF4k9ag39yLJvnR5bGVGlbE95aVe8n79 B5DNbpAEnbxD4hGA5goSIIllMqOrqiibmRG/rITmTc9NWJ1MJjSRF/6mFylwZp7NaEIO4OJ2l9TT BQMyeb4J69l8YugqEuRJRKFnqYEZWSw5C2uJuTCYBkGfPnEMBpj5ggZ1a+vF5GJqyJRdmKdzPriO Qs1oeMA4S5fnZqiFXbq0booG1A0MP4z2hBU15oJWNim33x6Pr+oegtnHtxeXn4ggkb+NfV1szy8v 2Z29v5/KxJoo+QRoZaQUa9g0zll2R+q4KbK4iSslnvVHWThbTGlAx37Dk+VLccyj0CfTQms+2GTh 4mI+8yLMZmtklc0M+WrCBzGgTXi4Qf/XEHX+54/jn9ZhQR5uWv7oZnzTC6y7H6dH36TSg1YewrGb GVlCo25Lu6polMO+IUCYelTYnd5w/eyXs5fX28d7UMsfj6bajRc8VdWWDTnq0alCOw3uFMgXbWiP P59eQZSdmPvaxZRexEb15OLcvP9ZzGdmpj44oZxPeBtQxC18adDKFPWrdzU+q5lsF6CLrwYnpFl5 OXHsQj0lq6+Vvo8x9d6eGYVaBOX58jwz0r0HWTll76Kjsp55lqkMaEEwpTG0ZTqZLOzf1h5QpjOT qF7Y13ES4rsLBuTsk7PirXZRqCU5FnPa5E05PV8a6ttNKUCtWLJD74zvqEI9nh6/GWoU3Y4NZD9T T3+eHlALxVh/9yfk8jtm3qQOsaBSNU0iUaGfeNztTC4OJrw+VK0iDL1Kb6urFT061Aeo4txEEx1n ly5m6ZCimAzGu13oTRFenn6g44/vwpuYILxLqTac48NPPOuyHE6YtIkzmi4zPVyeL2lcZgUx94Am K889zyUSxV11NLB/0amRv3s1QG9kTJPJNXITsDXustjrsV/uDbM0JQuqKxnwkQlMUF2Fm4QYqom0 W1FnFZ05uroyNn27wKG8UoRbbJuxkRRodIkp4H1m9hgrA2pOyiJsBPduAQs1btiUIwrTJDrLaH8D V26uz+q3ry/yeX/sbx/AqAP0WAQByrCcsMFRdBBm3RbTNbZ1MO2/HIcbvum9t+EzzkLWIKDlUkyd gBwkxyPEof15kh0usius2cRlySFOucYisjyIbnqRZ92mpiF3DBT2hc6RbEwZitIO22BQZKIsN0Ue d1mULZes9opkRRinBd6MVlFcU/scc1aGT9C2Aaom77hRGoNy8CUOiQV6FhpuCfDT5ykOmLQcolSV x+ffn54f5O7zoC41DHNr3bZ3yAZmE4bZaLNpc1geQZE2zpoTj/fPT6d748icR1WRRKzo0OTktkRw boX5znCQkj8He1Z1I7M/e32+vZMixV7udUOMqOCHMtbtAmEwyoiAgrvGROgrUgKqi7bq014Waczi Bj9CFrtqKst0Rb38NRt2rJgejl+uyjXniLGqiVcI/JAuaWip26cEIhgVxUYblbgII4IMwmsMuPVg QIIYrRxMsiKk+yoGXSrT+CAVW1vvd23qQPEH1XX96XJKdgkEmlYeCEGDTeOkwZQ7LL0MzurU1D4x 7RjxN27nfh/pOk2ygA3EJpV7+HeuVjGxMG3zxmNijW4HTQhbcRNmvHZrGhepa/PTD5Ceck+hNlih CDdxt8fQU7234hiHU6CaBCoSHC9KUdVUoAAokXEjqE3NtDMNxntQdxBNwz3yAX7WUXOVHgB7XZ3A TIapVZpE1nHYVknDBeoCkrld4Nwu0ELp4qyq5t6oLF+CiJgS4S/bgwtKzQI5sOSqKU5gAAFjDtEA BuKQN0IfSNDgFL0feRYjFXgH/ItT/xc6OJ4vyAgRqNVnSYgHXwyDYVRxkJUyZa9Xdc8xPaAIB8jw tYZ1xTTkXD0HPFbOfCmbiZvSNi14hw1Kx7YzaNx50zB+8FwyOb1ysa9t3nWJqzbvapEDXce4dhnU PiZVWFEDSxDlYKwhXqHTcbIik5onaT/8RMSsps7sjbjaI359Cw7tuA1n2h7Sx10y8qWhTxwa/W+V F/OgaOcRmmBce/BQFmiK1XXZR0wcwdhbysIDyPXmHVFBm4AAgslI1rlo2or17lrVedEYIxnZgEQB pAEqaYKw6a7aohHWT3R+l7bdUlKg6ZKhWVcA7gn3osp9nmqKwsctCttUMRHyV6us6XbGnYICcadj WUDYkNnG/HOr2tyNFcwArWBILJYLWzaUZ+8vSD8uYJpScW3vGAMUw0ImFQjWDv7wa5+hFelegEa3 giNUwQV8Jd8koNgePHXnyIsHb2ZEQnkA9pBj83eEWQyDXJTG7qFU59u771aw6FrKH96CRVEr8uiX qsh+i3aRVBAc/SCpi0s4wxjb9JciTWKyq9wAEcW30UpPia6Rr0Xd4xX1byvR/JY3fAsAZ0x6VsMX FsvsFBG3OAGhw15g1tMS09jPZ5+GTa/RWzsFWLJNwqo97ZGn1eoo9XJ8u386+53rjZThtD4J2JrZ JSUMT+pNaq51AGMHMFZpwlsMSppwk6RRFedWiSVGacQwhH0YngG7jauctsmKedBkpbnCJOBvJJ+i cRSR8em5XcO+FrCTBqc16QMKJyHDBxD/jJJYn0jd0R7KSWrlXA0damLqNFrI1KeOVBeRT1cRq5XN dLGUMzz5xtrm4LcKwknaEMROkRLkFelOc2O/bA4rkbEtq69aUW/MajVMCVVn42Cp1GZpnII0HvML Z2WHgYQ9fu02qTznvVclpUOLdSNQzkAluY2B31ixGgZEesO7ThICLlzMWOENW+xN3XDh5gf8HMPk 7QLpmHkTs0XEWRBHERu1fpyHSqyzGMS/OsPJsmZkU/Qq3lmSw8o1eaDIfNSb0mLmq/wwd0FLh5t7 oI+fq75KckspIej8jA4O1314Pwtd5AN83Bml6zc/ldf1ju9Xa9Wufnf7KqG7TkvEwbjwqsK/9EBf g+P0lm483BUVDckBP7SU+vzh9PJ0cbG4/GXygaK18OpAeJkfDphPMyMtnoljk8UaJBeLc0/BF4up t+CLBW/CbBHxSQJMIjYbkEVCHgoszNTX+OXMi5l7MQsvZultwaXnm8vZ0oehAeWsb4yLZxM351xE zMZ8sroGKhoyVXfhqW8y9TYFUBOzMFGHSWJzhK6Bs3Cg+KlZjQbP+PbO7VHQCB87a/zS1z4/J2oK PtWG0UfO+sUg8LZ74mv4tkguusr+TEJbzycY0gY2RZGbQyqj48QgKUNzTBUcTpFtVdj1SFxViCZh A/4OJNdVkqZJaA8u4tYiBsw7H2OQ9q3bpATaqkKsOUUmeZtwHpVG51WMb+dbOK5vEzaAKlK0zcrI JhulfPTDNk9CJ5CJNtOlN6rKfv549/aMj7ljpJ9Bxb42VDf8DUfOqxZz2fs0LlB06gQECIh4oIeT /tq8g+rL4R5VMdB8HOlqtdhSVyMa/mA0p4s2XQE1StsVVnPsrwG7KItr+aTYVImlAvqvZjXK1GBX cDzGixH1xMELcLxUDOXVCYaU2cRpyUZs1Ke8sZk0TFVaZ58/oKn3/dN/Hj/+dftw+/HH0+39z9Pj x5fb349Qzun+4+nx9fgN5+/j15+/f1BTuj0+Px5/nH2/fb4/SguEcWr/NUYdPjs9ntBA9PRfnQGq rxc0rQa7EG67vMgNXU+i0J83BXWGxGb0WL0q4hWsIS+tfsrgm6TR/h4Nnh42G+veHIpKKV+EqVRk LNPxRsHgGBeW1zb0QB2oFKi8siEYPGsJLBYWO2K+isxb6Be88Pmvn6+YBPP5ePakczyS6BiSGAZ3 LWioMwM8deExDedIgC4pqO9hUm7ohZ6NcT/aYO4SDuiSVvRec4SxhIPy6DTd2xLha/22LF3qLX3+ 0iXgkcwlhR1ZrJlye7j7gbwUfeCp4ZhZiwCOm/Ie3Pl0vZpML+BQ6CDyNuWBbvXyT+Q0QLTNBvZL h7wP9W8C6ySLBpuKt68/Tne//HH86+xOsug3TFb1l8OZVS2cwiOXOeLQik/VQyNOsg3YKqqHeHbi 7fU72rjd3b4e7zFjKrYKc8b+5/T6/Uy8vDzdnSQqun29dZoZhpnT3XWYucO4ASEmpudlkV6bZs7D KlonNUyX82UdXyU7pt8bAZveTvcikH46D0/3NPihrjtw5ylcBS6scdkyZNgqDt1v0/7+z4QWK+5N qkeWXLsOTH0gfTF6uTNk+WYYTWfxYWqTpnXnAePY7jQvbjApsmfMjCiOeifigAeuG7tMDF6D0enb 8eXVraEKZ1OOeRVCWS/4R09SMasVoDCyKbfqDwd2f4Vvmsl5lKzc/YOl9w56Fs0Z2ILpYpYA+0rb Iz4InNoBsohbDwhennPg6WLJgWc0AbdeVBsxcXk4CRDBFeMHLyZTpoOA4M5AGpvN3KLweSkoXKHW rKvJ5dTpwb5UNSthf/r53Qx+NfZIxO6K8sBUwBwXnCeKG41nqB6dt0HCqcO0BVXoMgYLBOVpj7EP vQjt7OvwsMC4emZ2vAFVN55gZiPB8v0eRMx4cbCV/OtuYBtxIyJm9GqR1iAU3lnlvdhgpELsamKg KZQYXpzhR4Xp6jqedouLd7pbZ+60NLFw+K/ZF+xM9XDfRGk0tOHzGKpQ52l3GBgUt9R85egn5aZg hvNizrpq6U/mTicAtgkdKN5P68ZVt4/3Tw9n+dvD1+Oz9oPVPrL2UqiTLiwrNveb7k8VyIAPrctO iGEFjMKondiuU+JC1piFUDhFfkkwInyMhrDltYNVsdmZY4FGqNbYwzZg61F3tts70Lw7SgNVf95w uBltWfyfY+ukIRDz6YZ7soZDVZbFeFyXJ31MxGUc4DSybIO0p6nboCcbX8dGwqbMKBVT5WFxftmF MR7vkxCNyAYLsvHWfhvWF2jBsEM8FqdouJsQIP0EfFXXeCNpG6MpLGrmWIpxIZGs8xiTKKpHK2ny gs1JzKOzYnb08fxdqskvMsfGy+nbozI6v/t+vPsDjsrERlRe7NN7lsowRHHx9ecPH4xnCcTHh6YS dJg8ht/wj0hU13Z93D2LKjhIZQjZuvE2baSQ7IT/Ui3Ub/b/YDh0kUGSY+ukMcpK7yzp6evz7fNf Z89Pb6+nR6qCqtN9eTW2SUO6AM5csElU5J4QjeWNDgQJqBIYZ5owsbZOBy0jD8vrblUVmWVuQ0nS OPdg87jp2iahrzMatUryCP5XwZBBE8jmUVQRtQxWV2gidUsow8Q2m9QoCzykC1wJdMVTdrCJeawO 4XAG+xzdp8LJ0vjZuYovVNW0nSHDlaJNdhLUsXVgcY9mIUlgs4iDa97nyyDhonf0BKLaKwFofRmw N7+AWxry21SyQpoqJgmGI8hIQPRt+7hQiTwqMtL1EYUvyLjjmrL6RqlOFhRENyoHypnKgKpXbBs+ H6kfCHQT8nC2FJToTKUSzNEfbhBMB11B0LSYNzlWaOmEwAa27gkSQaenB4oqY6oCaLNpM+4A3VPU sNGHTmlB+MWBWVH4hx536xvqt0MQqGDx8Lm7OOUVrQz9NDIL6KddXaSFEZqHQrFUwm3SfG8nUsvM 7iCqSlyrFU5lcl2EiYz22kmCEYWbAmwW1L9BgdDUrDM2EYQb+Qpy2TyVpiDVKc71GPRJI3ozzPFl BNNFoAeN5xm/XqdqfEhRV3TvS4vA/DWuL/JsZZpupFWrXkXI2k1vukaQopLqCo/qpKqsTIx8HVGS Gb8LmSl6DZKuMgYUBlnP9C6qC3f+13GDiaKKVSQYjyj8pqN3FasCTyJ2jhkJvfhzsrRAaPlXY0Jy Qlujv09BzSl7u61wuxcpEY41bJPGnOOjTL6mWxjxorQEsvnAoTUcCf35fHp8/UM5JT4cX765L1pS 2G9lAi1DqVNgTO/OanKh8n/BCPIpCPF0uLr+5KW4atHccD7Mcq8FOiXMydNYUTS6KVHsy3IRXecC UwQ5rD0MmXcYhoPd6cfxl9fTQ68UvUjSOwV/dgdNWZn3qrsDQxvUNjTTShNsDRoAL44JUbQX1Yq3 alpHAWZDSkr2bBHn8qI9a/FBEq3VCZtWIoulefHnyfl0TlmthK0KXauocV0FhxpZFqDsbprPf5sY HSfRjhZYO+UuA4sSGCy5QT+3NMkNNVAVCLoxalto65fJHBnjSrAwsgNdkafXds/KQm7O7rCvCnS8 2sdiK8OkhiUfP/kf88HAwpjzHhXxiujABDi88KlJ+Xz+54SjUg6h9oigNWecup1Ba0jn0NO/FUbH r2/fvhknHGn7AecTDJlX5G5xiJf7Pu8HiV8X+9zjwSTRMOx1YVusO3Wgr4LdxaqIBBqsW1IfUUWA /pC1294e8b5ea5LiY+s/IJM5BNm8TwYZGoV5WttVYSsXg7/ZyuiRcwtjyfvVrLfGgX2ksOv5BHSI FFjbrVNj3um7etJucSP2tmXnrP5dJh8OTME+oKqAAZZrUK/XtSUJpWajSFSeK+dLHqxCOMvXdEsB IN1Ci/tVWuzdgTHQnHALZcu2mDZbqwdjPQosy4AJsZ/rxyVolQYfhcWuk96fXRk6G+AmqcZo61jI GUace/up9qDN7eM3mhWzCLdtOcScJbtlsWq8SBSnGC83o2SlmbDRT4OOhG1MebCKrKo6Mw8SQ0En Y6yKEMqqOLNpL3HfrnM6y1hZt2lBg2pEvWWK2191MhdTVKypbuUb+HE3xQpBGhWGl5MBtsdJIaXi 2TbQTD1TsHoi1yZVgn0Xh+obtWjjPBoEvMXfWNc2jktrT1bXOfj+OjDp2f+9/Dw94pvsy8ezh7fX 459H+Mfx9e7XX3/9t8luquy11EptnbisYDW5blzyM+yLs/HjXUgTH4xMemoZ9ElUnBXPk+/3CtPV sJJLYRyEVE372vAYUFDZMOu0g7BIZuKyRrNHePdHnaAyjX1f45jJC2cuyRsdK1gg6J6mrROGosZu slJPHwz+h6nV1artCLYea3uWPKY81QeYVLxg1DDPO5xAgRPVVQsjeZT88g4Z/Ie5Uos6ZgYseVeo lzbeZB9HsZS+eYnKHWwgQtDS47xJRDomiwlbVoWS3A1I84DUA50G65Glc0ldheET3CZ96XIQ/963 clI838VX1MpdR5MxemWPJ2yESj2uGMXYnDTJoqBKotcDa87ZD3UXV5WMtfVFqe5G6I2MJ+OKk9mC eXLDt1Wq2ENtbA/wai8Pr5uCW8byGWdkeHd7y4tSDXv12dQ2Vm2uDifvY9eVKDc8jT67rqy1xiC7 fdJs8OKjtutR6EwqldLaroosEvRCw5UrKeU5ySkEX9ns65SwL00VPSJVhaHl14HbnJ07Q4YQlvSG ZIA/DXJSDX0K3aEhRclteg+E9HakBI0+gyMlHJ7YHjn16Tseu6KekLnlsebDnemR/7hp5o9LY7Pf C61cXYHqtXqvIKUHuAR67vbA705fezbpWcH0BJSkXZ2LEjOks5bI+G0AGz5MF+x9KwxfbyguBi6W ZrK8Y6NEizzH+HroICS/i2trc1VUwLIazw5EX6l3JJSqZI8Eumzh1iKjrhvT3ELNQdzPDvmgXDkw vThtOF+Cb53//RIf2KsfFHdG7YU/cmY/440AcVI6AmegwyAqjlgZb3fwcbGPS8gbqesVaDz7jUKL LOaBgK2JUv5to8likleDfko1CjFe2mei9KfpXeP5TLPeyj8gKPqTKO6KTZhMZpdzebeOZ1G+UzA3 oI/L5mH/7Ey5eg7izJb28iIg7+QdCUwtho70ybdaYCh21u1PyLcHWCTbdWS4T+JvzshAH8zbQJ5z MYAF3tyJ1LiMklhOmMqvRJqs8yymMoMc+GUcp6RWWzu1DzK1USa/u6jSa30hjHHCxveXi2XXq5Ty qEjzkdKvPGVFwdrzgcwudYio7aRMF9xEbVY62atHlFdF3R/I6i/aILVtvvszXBqs0pa+a8qZHJYq GRyjdnwDi3D9vHc/hqkQ5Jo5P7BBLwnevMYeEK3/On6g8YiAXpuUV/t4oDeT05ZMeAtLEZWayjv4 PEve774aJ3kz7NF4yxYdNfBk530wa/M9xo2o/JfPA8W6jWtLftlOHOrV5v8BRlYsqwPeAQA= --===============3654693563866436367==--