From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============3181275202190019604==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: Re: [PATCH v2 1/1] RFC: media: v4l2-subdev: add subdev-wide state struct Date: Sat, 24 Apr 2021 05:34:06 +0800 Message-ID: <202104240536.zQs2m3ZF-lkp@intel.com> In-Reply-To: <20210423102952.137638-2-tomi.valkeinen@ideasonboard.com> List-Id: --===============3181275202190019604== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable Hi Tomi, [FYI, it's a private test report for your RFC patch.] [auto build test ERROR on linuxtv-media/master] [also build test ERROR on v5.12-rc8 next-20210423] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Tomi-Valkeinen/RFC-media-v= 4l2-subdev-add-subdev-wide-config-struct/20210423-183133 base: git://linuxtv.org/media_tree.git master config: riscv-randconfig-r003-20210424 (attached as .config) compiler: clang version 13.0.0 (https://github.com/llvm/llvm-project 06234f= 758e1945084582cf80450b396f75a9c06e) reproduce (this is a W=3D1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # install riscv cross compiling tool for clang build # apt-get install binutils-riscv64-linux-gnu # https://github.com/0day-ci/linux/commit/09a6489d3b108d037f87df5fc= f5d2c1fa6f70248 git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Tomi-Valkeinen/RFC-media-v4l2-subd= ev-add-subdev-wide-config-struct/20210423-183133 git checkout 09a6489d3b108d037f87df5fcf5d2c1fa6f70248 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dclang make.cross W=3D= 1 ARCH=3Driscv = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All errors (new ones prefixed by >>): In file included from include/linux/hardirq.h:10: In file included from ./arch/riscv/include/generated/asm/hardirq.h:1: In file included from include/asm-generic/hardirq.h:17: In file included from include/linux/irq.h:20: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:564:9: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inw(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:56:76: note: expanded from macro 'inw' #define inw(c) ({ u16 __v; __io_pbr(); __v =3D readw_cpu((void*= )(PCI_IOBASE + (c))); __io_par(__v); __v; }) = ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:88:76: note: expanded from macro 'readw_cp= u' #define readw_cpu(c) ({ u16 __r =3D le16_to_cpu((__force __le= 16)__raw_readw(c)); __r; }) = ^ include/uapi/linux/byteorder/little_endian.h:36:51: note: expanded from = macro '__le16_to_cpu' #define __le16_to_cpu(x) ((__force __u16)(__le16)(x)) ^ In file included from drivers/media/i2c/tda1997x.c:9: In file included from include/linux/interrupt.h:11: In file included from include/linux/hardirq.h:10: In file included from ./arch/riscv/include/generated/asm/hardirq.h:1: In file included from include/asm-generic/hardirq.h:17: In file included from include/linux/irq.h:20: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:572:9: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return inl(addr); ^~~~~~~~~ arch/riscv/include/asm/io.h:57:76: note: expanded from macro 'inl' #define inl(c) ({ u32 __v; __io_pbr(); __v =3D readl_cpu((void*= )(PCI_IOBASE + (c))); __io_par(__v); __v; }) = ~~~~~~~~~~ ^ arch/riscv/include/asm/mmio.h:89:76: note: expanded from macro 'readl_cp= u' #define readl_cpu(c) ({ u32 __r =3D le32_to_cpu((__force __le= 32)__raw_readl(c)); __r; }) = ^ include/uapi/linux/byteorder/little_endian.h:34:51: note: expanded from = macro '__le32_to_cpu' #define __le32_to_cpu(x) ((__force __u32)(__le32)(x)) ^ In file included from drivers/media/i2c/tda1997x.c:9: In file included from include/linux/interrupt.h:11: In file included from include/linux/hardirq.h:10: In file included from ./arch/riscv/include/generated/asm/hardirq.h:1: In file included from include/asm-generic/hardirq.h:17: In file included from include/linux/irq.h:20: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:580:2: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outb(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:59:68: note: expanded from macro 'outb' #define outb(v,c) ({ __io_pbw(); writeb_cpu((v),(void*)(PCI_IOBASE= + (c))); __io_paw(); }) ~~~~~~~~~~= ^ arch/riscv/include/asm/mmio.h:91:52: note: expanded from macro 'writeb_c= pu' #define writeb_cpu(v, c) ((void)__raw_writeb((v), (c))) ^ In file included from drivers/media/i2c/tda1997x.c:9: In file included from include/linux/interrupt.h:11: In file included from include/linux/hardirq.h:10: In file included from ./arch/riscv/include/generated/asm/hardirq.h:1: In file included from include/asm-generic/hardirq.h:17: In file included from include/linux/irq.h:20: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:588:2: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outw(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:60:68: note: expanded from macro 'outw' #define outw(v,c) ({ __io_pbw(); writew_cpu((v),(void*)(PCI_IOBASE= + (c))); __io_paw(); }) ~~~~~~~~~~= ^ arch/riscv/include/asm/mmio.h:92:76: note: expanded from macro 'writew_c= pu' #define writew_cpu(v, c) ((void)__raw_writew((__force u16)cpu_to_= le16(v), (c))) = ^ In file included from drivers/media/i2c/tda1997x.c:9: In file included from include/linux/interrupt.h:11: In file included from include/linux/hardirq.h:10: In file included from ./arch/riscv/include/generated/asm/hardirq.h:1: In file included from include/asm-generic/hardirq.h:17: In file included from include/linux/irq.h:20: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:596:2: warning: performing pointer arithmetic o= n a null pointer has undefined behavior [-Wnull-pointer-arithmetic] outl(value, addr); ^~~~~~~~~~~~~~~~~ arch/riscv/include/asm/io.h:61:68: note: expanded from macro 'outl' #define outl(v,c) ({ __io_pbw(); writel_cpu((v),(void*)(PCI_IOBASE= + (c))); __io_paw(); }) ~~~~~~~~~~= ^ arch/riscv/include/asm/mmio.h:93:76: note: expanded from macro 'writel_c= pu' #define writel_cpu(v, c) ((void)__raw_writel((__force u32)cpu_to_= le32(v), (c))) = ^ In file included from drivers/media/i2c/tda1997x.c:9: In file included from include/linux/interrupt.h:11: In file included from include/linux/hardirq.h:10: In file included from ./arch/riscv/include/generated/asm/hardirq.h:1: In file included from include/asm-generic/hardirq.h:17: In file included from include/linux/irq.h:20: In file included from include/linux/io.h:13: In file included from arch/riscv/include/asm/io.h:149: include/asm-generic/io.h:1005:55: warning: performing pointer arithmetic= on a null pointer has undefined behavior [-Wnull-pointer-arithmetic] return (port > MMIO_UPPER_LIMIT) ? NULL : PCI_IOBASE + port; ~~~~~~~~~~ ^ >> drivers/media/i2c/tda1997x.c:1726:38: error: incompatible pointer types = passing 'struct v4l2_subdev_pad_config *' to parameter of type 'struct v4l2= _subdev_state *' [-Werror,-Wincompatible-pointer-types] mf =3D v4l2_subdev_get_try_format(sd, cfg, 0); ^~~ include/media/v4l2-subdev.h:966:33: note: passing argument to parameter = 'state' here struct v4l2_subdev_state *state, ^ drivers/media/i2c/tda1997x.c:1778:40: error: incompatible pointer types = passing 'struct v4l2_subdev_pad_config *' to parameter of type 'struct v4l2= _subdev_state *' [-Werror,-Wincompatible-pointer-types] fmt =3D v4l2_subdev_get_try_format(sd, cfg, format->pad); ^~~ include/media/v4l2-subdev.h:966:33: note: passing argument to parameter = 'state' here struct v4l2_subdev_state *state, ^ drivers/media/i2c/tda1997x.c:1812:40: error: incompatible pointer types = passing 'struct v4l2_subdev_pad_config *' to parameter of type 'struct v4l2= _subdev_state *' [-Werror,-Wincompatible-pointer-types] fmt =3D v4l2_subdev_get_try_format(sd, cfg, format->pad); ^~~ include/media/v4l2-subdev.h:966:33: note: passing argument to parameter = 'state' here struct v4l2_subdev_state *state, ^ >> drivers/media/i2c/tda1997x.c:1911:14: error: incompatible function point= er types initializing 'int (*)(struct v4l2_subdev *, struct v4l2_subdev_sta= te *)' with an expression of type 'int (struct v4l2_subdev *, struct v4l2_s= ubdev_pad_config *)' [-Werror,-Wincompatible-function-pointer-types] .init_cfg =3D tda1997x_init_cfg, ^~~~~~~~~~~~~~~~~ >> drivers/media/i2c/tda1997x.c:1912:20: error: incompatible function point= er types initializing 'int (*)(struct v4l2_subdev *, struct v4l2_subdev_sta= te *, struct v4l2_subdev_mbus_code_enum *)' with an expression of type 'int= (struct v4l2_subdev *, struct v4l2_subdev_pad_config *, struct v4l2_subdev= _mbus_code_enum *)' [-Werror,-Wincompatible-function-pointer-types] .enum_mbus_code =3D tda1997x_enum_mbus_code, ^~~~~~~~~~~~~~~~~~~~~~~ >> drivers/media/i2c/tda1997x.c:1913:13: error: incompatible function point= er types initializing 'int (*)(struct v4l2_subdev *, struct v4l2_subdev_sta= te *, struct v4l2_subdev_format *)' with an expression of type 'int (struct= v4l2_subdev *, struct v4l2_subdev_pad_config *, struct v4l2_subdev_format = *)' [-Werror,-Wincompatible-function-pointer-types] .get_fmt =3D tda1997x_get_format, ^~~~~~~~~~~~~~~~~~~ drivers/media/i2c/tda1997x.c:1914:13: error: incompatible function point= er types initializing 'int (*)(struct v4l2_subdev *, struct v4l2_subdev_sta= te *, struct v4l2_subdev_format *)' with an expression of type 'int (struct= v4l2_subdev *, struct v4l2_subdev_pad_config *, struct v4l2_subdev_format = *)' [-Werror,-Wincompatible-function-pointer-types] .set_fmt =3D tda1997x_set_format, ^~~~~~~~~~~~~~~~~~~ 7 warnings and 7 errors generated. vim +1726 drivers/media/i2c/tda1997x.c 9ac0038db9a7e1 Tim Harvey 2018-02-15 1714 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1715 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1716 /* ----------------------------= ------------------------------------------------- 9ac0038db9a7e1 Tim Harvey 2018-02-15 1717 * v4l2_subdev_pad_ops 9ac0038db9a7e1 Tim Harvey 2018-02-15 1718 */ 9ac0038db9a7e1 Tim Harvey 2018-02-15 1719 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1720 static int tda1997x_init_cfg(st= ruct v4l2_subdev *sd, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1721 struct v4l2_subdev_pad_= config *cfg) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1722 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1723 struct tda1997x_state *state = =3D to_state(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1724 struct v4l2_mbus_framefmt *mf; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1725 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 @1726 mf =3D v4l2_subdev_get_try_for= mat(sd, cfg, 0); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1727 mf->code =3D state->mbus_codes= [0]; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1728 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1729 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1730 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1731 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1732 static int tda1997x_enum_mbus_c= ode(struct v4l2_subdev *sd, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1733 struct v4l2_subdev_pad_co= nfig *cfg, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1734 struct v4l2_subdev_mbus_c= ode_enum *code) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1735 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1736 struct tda1997x_state *state = =3D to_state(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1737 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1738 v4l_dbg(1, debug, state->clien= t, "%s %d\n", __func__, code->index); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1739 if (code->index >=3D ARRAY_SIZ= E(state->mbus_codes)) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1740 return -EINVAL; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1741 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1742 if (!state->mbus_codes[code->i= ndex]) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1743 return -EINVAL; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1744 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1745 code->code =3D state->mbus_cod= es[code->index]; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1746 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1747 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1748 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1749 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1750 static void tda1997x_fill_forma= t(struct tda1997x_state *state, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1751 struct v4l2_mbus_framefmt = *format) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1752 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1753 const struct v4l2_bt_timings *= bt; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1754 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1755 memset(format, 0, sizeof(*form= at)); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1756 bt =3D &state->timings.bt; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1757 format->width =3D bt->width; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1758 format->height =3D bt->height; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1759 format->colorspace =3D state->= colorimetry.colorspace; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1760 format->field =3D (bt->interla= ced) ? 9ac0038db9a7e1 Tim Harvey 2018-02-15 1761 V4L2_FIELD_SEQ_TB : V4L2_FIEL= D_NONE; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1762 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1763 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1764 static int tda1997x_get_format(= struct v4l2_subdev *sd, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1765 struct v4l2_subdev_pa= d_config *cfg, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1766 struct v4l2_subdev_fo= rmat *format) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1767 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1768 struct tda1997x_state *state = =3D to_state(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1769 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1770 v4l_dbg(1, debug, state->clien= t, "%s pad=3D%d which=3D%d\n", 9ac0038db9a7e1 Tim Harvey 2018-02-15 1771 __func__, format->pad, format= ->which); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1772 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1773 tda1997x_fill_format(state, &f= ormat->format); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1774 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1775 if (format->which =3D=3D V4L2_= SUBDEV_FORMAT_TRY) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1776 struct v4l2_mbus_framefmt *fm= t; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1777 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1778 fmt =3D v4l2_subdev_get_try_f= ormat(sd, cfg, format->pad); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1779 format->format.code =3D fmt->= code; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1780 } else 9ac0038db9a7e1 Tim Harvey 2018-02-15 1781 format->format.code =3D state= ->mbus_code; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1782 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1783 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1784 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1785 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1786 static int tda1997x_set_format(= struct v4l2_subdev *sd, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1787 struct v4l2_subdev_pa= d_config *cfg, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1788 struct v4l2_subdev_fo= rmat *format) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1789 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1790 struct tda1997x_state *state = =3D to_state(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1791 u32 code =3D 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1792 int i; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1793 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1794 v4l_dbg(1, debug, state->clien= t, "%s pad=3D%d which=3D%d fmt=3D0x%x\n", 9ac0038db9a7e1 Tim Harvey 2018-02-15 1795 __func__, format->pad, format= ->which, format->format.code); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1796 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1797 for (i =3D 0; i < ARRAY_SIZE(s= tate->mbus_codes); i++) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1798 if (format->format.code =3D= =3D state->mbus_codes[i]) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1799 code =3D state->mbus_codes[i= ]; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1800 break; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1801 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1802 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1803 if (!code) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1804 code =3D state->mbus_codes[0]; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1805 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1806 tda1997x_fill_format(state, &f= ormat->format); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1807 format->format.code =3D code; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1808 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1809 if (format->which =3D=3D V4L2_= SUBDEV_FORMAT_TRY) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1810 struct v4l2_mbus_framefmt *fm= t; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1811 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1812 fmt =3D v4l2_subdev_get_try_f= ormat(sd, cfg, format->pad); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1813 *fmt =3D format->format; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1814 } else { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1815 int ret =3D tda1997x_setup_fo= rmat(state, format->format.code); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1816 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1817 if (ret) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1818 return ret; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1819 /* mbus_code has changed - re= -configure csc/vidout */ 9ac0038db9a7e1 Tim Harvey 2018-02-15 1820 tda1997x_configure_csc(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1821 tda1997x_configure_vidout(sta= te); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1822 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1823 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1824 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1825 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1826 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1827 static int tda1997x_get_edid(st= ruct v4l2_subdev *sd, struct v4l2_edid *edid) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1828 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1829 struct tda1997x_state *state = =3D to_state(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1830 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1831 v4l_dbg(1, debug, state->clien= t, "%s pad=3D%d\n", __func__, edid->pad); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1832 memset(edid->reserved, 0, size= of(edid->reserved)); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1833 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1834 if (edid->start_block =3D=3D 0= && edid->blocks =3D=3D 0) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1835 edid->blocks =3D state->edid.= blocks; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1836 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1837 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1838 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1839 if (!state->edid.present) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1840 return -ENODATA; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1841 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1842 if (edid->start_block >=3D sta= te->edid.blocks) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1843 return -EINVAL; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1844 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1845 if (edid->start_block + edid->= blocks > state->edid.blocks) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1846 edid->blocks =3D state->edid.= blocks - edid->start_block; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1847 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1848 memcpy(edid->edid, state->edid= .edid + edid->start_block * 128, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1849 edid->blocks * 128); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1850 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1851 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1852 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1853 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1854 static int tda1997x_set_edid(st= ruct v4l2_subdev *sd, struct v4l2_edid *edid) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1855 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1856 struct tda1997x_state *state = =3D to_state(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1857 int i; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1858 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1859 v4l_dbg(1, debug, state->clien= t, "%s pad=3D%d\n", __func__, edid->pad); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1860 memset(edid->reserved, 0, size= of(edid->reserved)); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1861 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1862 if (edid->start_block !=3D 0) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1863 return -EINVAL; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1864 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1865 if (edid->blocks =3D=3D 0) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1866 state->edid.blocks =3D 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1867 state->edid.present =3D 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1868 tda1997x_disable_edid(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1869 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1870 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1871 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1872 if (edid->blocks > 2) { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1873 edid->blocks =3D 2; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1874 return -E2BIG; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1875 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1876 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1877 tda1997x_disable_edid(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1878 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1879 /* write base EDID */ 9ac0038db9a7e1 Tim Harvey 2018-02-15 1880 for (i =3D 0; i < 128; i++) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1881 io_write(sd, REG_EDID_IN_BYTE= 0 + i, edid->edid[i]); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1882 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1883 /* write CEA Extension */ 9ac0038db9a7e1 Tim Harvey 2018-02-15 1884 for (i =3D 0; i < 128; i++) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1885 io_write(sd, REG_EDID_IN_BYTE= 128 + i, edid->edid[i+128]); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1886 = 0806bc0afbb415 Tim Harvey 2019-02-05 1887 /* store state */ 0806bc0afbb415 Tim Harvey 2019-02-05 1888 memcpy(state->edid.edid, edid-= >edid, 256); 0806bc0afbb415 Tim Harvey 2019-02-05 1889 state->edid.blocks =3D edid->b= locks; 0806bc0afbb415 Tim Harvey 2019-02-05 1890 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1891 tda1997x_enable_edid(sd); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1892 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1893 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1894 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1895 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1896 static int tda1997x_get_dv_timi= ngs_cap(struct v4l2_subdev *sd, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1897 struct v4l2_dv_timin= gs_cap *cap) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1898 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1899 *cap =3D tda1997x_dv_timings_c= ap; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1900 return 0; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1901 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1902 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1903 static int tda1997x_enum_dv_tim= ings(struct v4l2_subdev *sd, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1904 struct v4l2_enum_dv_tim= ings *timings) 9ac0038db9a7e1 Tim Harvey 2018-02-15 1905 { 9ac0038db9a7e1 Tim Harvey 2018-02-15 1906 return v4l2_enum_dv_timings_ca= p(timings, &tda1997x_dv_timings_cap, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1907 NULL, NULL); 9ac0038db9a7e1 Tim Harvey 2018-02-15 1908 } 9ac0038db9a7e1 Tim Harvey 2018-02-15 1909 = 9ac0038db9a7e1 Tim Harvey 2018-02-15 1910 static const struct v4l2_subdev= _pad_ops tda1997x_pad_ops =3D { 9ac0038db9a7e1 Tim Harvey 2018-02-15 @1911 .init_cfg =3D tda1997x_init_cf= g, 9ac0038db9a7e1 Tim Harvey 2018-02-15 @1912 .enum_mbus_code =3D tda1997x_e= num_mbus_code, 9ac0038db9a7e1 Tim Harvey 2018-02-15 @1913 .get_fmt =3D tda1997x_get_form= at, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1914 .set_fmt =3D tda1997x_set_form= at, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1915 .get_edid =3D tda1997x_get_edi= d, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1916 .set_edid =3D tda1997x_set_edi= d, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1917 .dv_timings_cap =3D tda1997x_g= et_dv_timings_cap, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1918 .enum_dv_timings =3D tda1997x_= enum_dv_timings, 9ac0038db9a7e1 Tim Harvey 2018-02-15 1919 }; 9ac0038db9a7e1 Tim Harvey 2018-02-15 1920 = --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============3181275202190019604== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICCU2g2AAAy5jb25maWcAjDxLd9u20vv+Cp1007toa1m2Et/vZAGCoISKJBiAlGVveBxHSf3V jxxZTtt/f2fAFwAOnXTRmDODwXAwmBdA/fzTzzP2cnx6uDne3d7c3/87+7J/3B9ujvtPs8939/v/ m8VqlqtyJmJZ/gbE6d3jyz+/H+6eb7/Nzn+bn/528uvh9nS22R8e9/cz/vT4+e7LC4y/e3r86eef uMoTuao5r7dCG6nyuhS78v2b2/ubxy+zb/vDM9DN5ovfTn47mf3y5e74399/h/8/3B0OT4ff7++/ PdRfD0//v789zk6Wp4uzz2/P3+3nF2fnJ+/Ozt+d3n5+dwJ/f1xcLAFzc3F7stz/500362qY9v2J I4o0NU9Zvnr/bw/Ex552vjiB/zpcGo+ZAAyYpGk8sEgdOp8BzLhmpmYmq1eqVM6sPqJWVVlUJYmX eSpz4aBUbkpd8VJpM0Cl/lBfKr0ZIOVaCwbC5omC/9UlM4iEhfl5trLrfD973h9fvg5LJXNZ1iLf 1kzDS8lMlu8Xp0DeT5wVMhWwjKac3T3PHp+OyKHXguIs7dTw5g0FrlnlKiGqJGjOsLR06GORsCot rTAEeK1MmbNMvH/zy+PTo7Pi5spsZcGBey9woYzc1dmHSlSCEPiSlXxdW6w7qjIilRFBzyrYB4P0 a7YVoClgYREwP7xoOuADqFU8rNLs+eXj87/Px/3DoPiVyIWW3C6iWatLf1ljlTGZU7B6LYVGEa4G bMcrMxIpJxEjtmuWx7C6LWdvqCmYNqKF9YpypY5FVK0S42rt59n+8dPs6XPw0tQ7Z7CyshVAOxpE 5XIwoI1RleaisYnRC1kKsRV5aTo9l3cP4F8oVZeSb2qVC1CzwypX9foaDTxTufuKACxgDhVLThhE M0qC0O6YBppUaTo1xJtBrta1FgYEy2CTkAocvU3HrdBCZEUJXHNPhA6+VWmVl0xf+Wx9KkLKbjxX MLzTKS+q38ub579mRxBndgOiPR9vjs+zm9vbp5fH493jl0DLMKBm3PKQ1uP2M2+lLgM0riYpJdoH 6sahJekiE4PcigtjkJR6LXSBpmSl4zYRBMabsis7yBXSonYhq0F7RpKL9QNq6j05aEAalbJSWrOz ata8mhnCbmFJasC5EsJjLXZgoNTLmobYHR6AUBuWR7uRCNQIVMWCgpea8QCBjEHZaTpsKweTCwGe X6x4lErTqL3Vn//+fqiIZH7qiCk3zR8uZA0xT7iBsfMShq9hSusrOlWb2z/3n17u94fZ5/3N8eWw f7bgVhACG0RnmZfz03dOZF5pVRXGXaJMZHxFrE6UblrycHgjqMsjYVLXDo40R9hQ3yNp+RcyNq/h dZwxQuIWm4AhXVsnPYwrIGSWr/KMxVZy8RoFMJncai1JVCTTctkA5EQsxTc9ipXMc9CQPkBEA09B sVsLvikULC16ZcixPMfaGBFmMZY1KS2E/MSAPOBEOSv9tegWCx2Okwel6IO2NrxpJ6+0zywDbk38 w3RoWPC4Xl3LguIOGwUwp56riOv0mlxXwOyuR6SKpkyvzwLSa1NSbxgpheGj3Z3DSvJaFeDN5bWo E6UxuMI/Gcs5laCF1Ab+cJYYUqsyBQfIRVHa8gKdkKPVIhkeGjc5PNuMA8zWM2SzEmWGXr/N2iiv ahd3lOslTe4yTj6pqN4HWTCyDaW7ytNZxCD1mkgnkgpqKkcMfIQNHiSpDZhnxY6vPdaiUD7bQRVy lbM0oR2JfakJnM3DJnBMKjrEq7rSU3GdxVsJCmhVTm1Z8LER01q6qeMGaa8yM4bU3sL1UKtl3Jel 3PpGNF5ttJtMQSSMNRBrnxq2faqYs4s3oHjHELNIxLGIgyXCjVD3OWxnIXx+ctYFq7bKLvaHz0+H h5vH2/1MfNs/QmbBIF5xzC0gSWwytXb4wJPMVH6Qo5O2ZQ27Ji+csmssE1lZR5qybZOyyNtyaRXR BpgqqgbD8bDgeiW6itDnBliMUJhU1Br2pMomuLuEa6ZjyBJoozXrKkmgLioYzAlmAOUsRAXKDDNW WILLusrRdUuWgsOKPY9ViszGI+wXyETyLvVzvIJKZBpshj6JAwdnY5NxMya/mu+Il2eRWyxpafg2 KK2sxDrHvKo0dQbF4PzdawRs9/70zGNYZzVuBC/jySpC9GuoUGpILBangwxbZvm+X1z0Bt9CzpcD BLSukgQSjPcn/7w7af7zhExgz4ILqEXOolQEr3jJwFxtCsjSel2Bg0+jgMRURaE0vF8Fuo+Es/8g feWbJrVtidyEGsFQnMD0KzPGd2mnl5U4wN7X1HZByZQVvI6MNOQQTYVCEJgqG0PXlwKKSkeWYlWi auoUdm8K+nZaMxvIUxzhm7T4icPS3e9v20besBUUpNFgtVs6k0M0VnaTSM5yxnLSF/lz2kmL+5sj OqbZ8d+ve1cMu2p6uziVhKG1yOWZEwQ52mgKbiNObW9lcFU9guVX1JZmu2J9ZdC6TleO3RjXo+fa ZsDv3zlFvSqLtLKZMbWNK9gLQ8015KUVnaDZjSYNq53SJykq1wX4unLjhVfZdOJd1/OTE3KZAHV6 Pola+KM8didORLt+j4BRpBnqKBQmegI2T19xwZ+ddnEW23anTXXb4R5lYxtPf0NdBjHr5sv+AUKW w2fwpBlpaZND7djk7vDw981hP4sPd9+aeNppXOrskmmB6Qa4RWfbKbWCzdXhvQ5Zg+JQRdiE2G5D V6qmWbX/criZfe5m/mRndivRCYIOPZLZ6/HeHG7/vDvCxgLN//pp/xUGTSjsjyoragiMgso0bZZi YwrEOEgOsQzi2GwJEplN6EQbqBYljWigNaTvSZC/t6lrzm1yL7SGCkDmfwjehkyXzO56O36t1Gbs EmHD2j5d2xQPIgDW8hBgcGWVvppAxhLqOaBxl76Z29gg2La4wxfUAqIDJCJNMGl1VrNRjm6TNSSm 4JhwtgziKhsJgGIOi/c6lshxBzIjOGZvr6DAzNPSTXlHQ6YILSv7DrDkpcDjCy918zDU8UKpuj6n yxH+xmMlu/gb6R7sWPREpzGgInqMVB6B+QO4FVjLdbPRO5+VYnYTgQDgAGKv/9Mmw4tT3Dg4xVTI UraGhSRlI3SOy3S5czJcJ2C7KXjf715xtf31483z/tPsr8bXfj08fb6795qySNSyJ2zcYpvsU/g1 EoEZcs9XJvZUjAdyGBNlTuau3/FSfSyEDYjFrOtHbOVmMhRs7qShKq5SssPTYpqWaAruwu3BRW1/ sH/c1IYbCbvmQyVM6WO6htklNpXH7ZzIrEggJHVefd93f0qx0rKkEpGOBtPomBoMfk2V5UTdYGVt 4mptT3J0yOIyolrIzjtK7FKLnF+FI3s8V+SpYCMgJryJCbXRQPuXcnUO4UUVLA1na85CIdXn+spu mFEwLW4Oxzs0mlkJqZATv+HFS2lDCYu32HDy9MggRucDDbVL5W7AD9Iqk1BglskVIxEl05JCZIyT YBMr4yG8E49Yms1UyIaCDmQ2VUSwxVMHSCzr3bslNSsUsDub7PT83YnTOKNV5XTS5KvKBK+maX2a KqfAG6YzRqtBJK/PhQfDy3cUU2dPOGy7PDEwJNc8sw91waVvsgDDYCPVCIwN9c5RSzWcKzjGCVRS Nc3lGPIT/8DfQW6uIjemduAocQ5r4aHuNmV3luCggn75cErrSdabq8nnnlk0G9AUMocn31n61TQr VQaZFyTFbtcVG5F2MOxDdZm7LwNuFOqsCaSNfBO4PoRlmVSXTpkWPg9nF3YtxD/725fjzcf7vb3u MrNdsKOXEkcyT7ISQoCW5EFpP3VLiL0Ib4sOYMo3NtgMCjw/Z9AC0zyyfJkS2kqd7R+eDv/OMqou 6nTQdEscpQAAEqvYFjZ+emuKFLKWorTKtzXuhf3Pa85ogevtZUxYM4OXjXVdhm2oXGVZVbfdMQjB MrMNfCgj5kM2JcA9M7DcYdh1oZTngq6jijp2uF4kyr2Z0+X/gun0CvaDFt4LQnKNuWF3JjzUbbBB Jm659CtelLgNBJfMS4iml6BXgXD1IfCmy0p7hZTZRKAVCLddQWEXN98f/346/AWZ1XhVC8g9XbbN M3hvtvJ2785/AsPO3Pe2MBxEdS1ST0Xw+NqpHqJLRWW7u0Q71odP2N/zW+YWytKVcme0QKyEJpja BEonTQ3pj4IYWBcqlZzKrSwFhGtstIUirAOAMEUAkUVb/gxtHFD8RkzOJNCLldwbYjJOanEXF/Yk Mzhd7by/Z0myaA61ODOeCwJ4l/DU4PlLUn9AVORFMAwgdbzm1BFji8WmRuGJgFDN9EghsiCPKhvU ChMNkVW7YF8U2CrzqpWefswfmUQazAgVQIuc2fcf3UfoMeH7ywxK++184v0brNPPNlc58FYb6VYn jXDbUvqgKqZfLlHVCDAowr3vh0jXPi3As88OMt5fHaYzRBfcG7QLtJYbymsxPXDQXN56Htox8AJr 9lVvlJSr6Wh4FbnXUro7gB3+/Zvbl493t2987ll8biTlwWAZlu6qb5fthsAzu8Rf/A5nr09O8GoP pw3suTpmcaiDJSwPfdxpkaD+iT29HK8BzpbJIhRfpsxfjuX0Si3HUGThWaaFGFmOdAGweqmptbLo HMoEbpOI8qoQAT9y2pUOyTzL7yD0YOvnCuzmYT/VhPJXEZb2IZja4j04ZDn1os6mDxQkVss6vWzE nRptidZQ6IVWWKT9WG/3B/28rPC2q33srHpofFjopsK7vJjDUO8CrPGuMPbqMubeGcatW5QFXoo2 RiZXHsYOgdzO9rEgZ8oKL+sDir7n5/rmBkju9ybVfjrsMbmBdPa4P0xdIx8YjdKlAYUqk/mGQiVQ kUMK2ISmqYFQZnj3I/IE/Uxue4uUGhMcQFwbbRHAFVIkemBouj2oC+susxbzCr8ST4+8xibC2nN6 n1uJsk0waS59B+Rjo/bQKvoD3Ock+kOlSupYC3FaYDvfl7mBBclN8zLYSg2Fg1R8PcE98ftsLchy nhjh56YIabIvH4YnGbsryox2/apay97ZOu15dvv08PHucf9p9vCE5bVXYbqDa9yMo/3RcTneHL7s j9ODS6ZXYnJxCco8acz9FZLBQbw6J3iczJhJyaFAvf3T7cUFL43X8LFcbIPHlG6QbJzFDxdvX/Mj TuJmgqzZnvzg1YbzpZf+IRxqV7wCIembfiERePYfoMNy+TUytOjvzNiShOZCEvnXEsY4WbyGDUsM b3ZOoxoEKTOwa7l+R+7JeQER+EeC//eZy7BObPH2PpARkwu0HVu5LP77A+ErwexVMxvxzzy337iY MbxxMwS8DRMBfPCcI0RcFQTU+sIJ5n6YTEgONsSFhAgbEZKCgTIBJYtxDofw8PSwgbbupg8b7soA OmP5KqV6Dg1as0u3U/PaurUL+235Y0s7LOFyYgmXE0u4nFrCJbmES3IJl9RaLSeWwEsWhxVbkmpb BmvkKHzZaBydMg5v7t1PMxkv6JJa0ZA9LugIPFrI19aJ3IGeEqKiEY42nJjbnNtaBP4941zGzyNj cE0RyWokO23a7FPOpKdbkAFtcrZBlvZizfrm9i/vqLdjPuryU6NcF8lLvzEFz33Z3fQ2bAGDRTZ1 1DJFbtZs/kN88YSfPlHCET8oATFzi25O54eHOmjEIWi0ZC2ubL4udJ7w4phktYxIcBUXAdweWqoA 6PdoWZl5D1CJuQG6g+B3ZNK7V4yYlPmfgSEsKxSVfSMq0qfLd2fhgAYKxjC5KdJTt+mHT93nfy4v C98uyMWMtIxXlKO2VwVs/8g4nY0pAHgPjEAXi8WcxkWaZ6N2X0jwytBCi0LkXmfHpVmLNOVaCLI2 dOhW5lIW9DT472sCTr62mMRk5YZGbMw1jdBlelazqbdUXKSKTojGZPW7k9P5h+/o4wOfEB0M+GJx spiSxPzB5vMTatu7VFCtS+8zUhe50+btyYnTaN7CnI3Q7qwDtF5tNZ2LOzRZQNNSxIIHuWoDme7D gz05Oyvlp+4GZ6mzsHjpgxVFKnywLOI46OMDAC9MMErC3em5t2dZQd/GL9aKztmXqbosmGO8LcDx CAEiX3vVgQOGMYa2M5cIQ3gm8u8TrhX1xi5FmAi4uExFMqUv47hkuJJeF8xFVv5SdKgVoPDK2jrW oZAkLbB5RQqkwFBAv4o7V6jeV0hRyeN3cim6bmpnZkII3AvnXjwZoHWetn/YL7EkriAjG6XDkL73 QvFrZSIvbfOxJLjrRl9GdluJOwE8zg1++KdS/8MeiIzM3hyiYN2fWy+rdNApFYIdgti7PjbAcz7B MZs45HJ5+h9EOhhsZ3o2qyDIbSFGQS5PAv1u/bY9kRxDglymB6dKFXg70kHZmzIUKx9B9VbbVu3E MXVWpMHpG0IgBCsfmpu1y3VtKHdsrcaqANytPz5dgKEZ7E01qJ7TB11Oscq5cY8B8V6hEhleQ6pX tgnlOH9duJlqYr9pd88C7Aeuetf8fAVe2/Cb8Tt3eHvlxzb3tXtByUE0Hf/Yf0ngH1XmCrtuztTR h/DQEr956lrH7l2F2XH/7P8wgI3FWhXgX3PZXQFua5PRoADh3nxwVo5lmsWSOqTjbmCCh7ZwdACR m/4hYBUQ/DG/WFz4IGmaQ++m6AMXFO+/3d0SXwwg8XYkw3Y3Apl0BPLsDQGcpRw7iHji6P18DeCS VLRMhztE+C6ah1/bONjNluGt8oJLkVBe0U46VqEF1UXKSvxwl8TZm3HeXJy/fUt9OWLVmUj8N4l9 Xtl46sxhPwYPEnlTN9gS/ne2O99NyFAItmk1EY7HnPNk4mMZi1dJ+JMUvWGYQs7u8CPKzze3ftcf R67lYj7fTTLOeHF6Pp+SuMWOtNaBa8Ngf12524uQyJ+xuZDbXOqhvxglrL13Cv69N/z+U8SUIwSU 29KBxyGHdMenIqaOLgGTmcT+kpRPT/4CzYA2Ik3CK10uPhGsrOw9lKD6b75Vun/ZH5+ejn/OPjWv /ync7FFp7x+l3putuayY234fYPX6LHiBDhFx8maAQ8HK9WJDMp0UoWar5W43njEu0/mUSgAdlQv6 SKNFp5XgjLwX0BBs1941WVg7vfWuy00qti97EohC2u28dJCgyTmA7TdCkHQYrx/R46dbcnq3YdS7 wNCNGyhMqQXLhqv0LRi7sbrymtmXUovUO6zuIHVjwR0U02qu3DTLgkxxFUAggLuRIVlhruu2P2ye PbdH/+2nuQEt7nAo1SELry+ZzsF5+V+sdGRcQCrWfaJcq7wify6jo8YPJODV7A8H4E0zsYojYu7K iP4LFyTBm3L09F13sJj4ynygG+370ZvomDkfuY55oGbJWdpagroC1qHw9mh37rVrfqKi/z5ZJxuZ epejGgjYaFFR+XuLXhUySFcvivB5uG3uFTkXxSsGzpkkf0JFFOs6+Cqlg2EPrCyvppqiPRkuLF07 5Qn3HiBzX8nS/7YDwTmnvudFjOdEEGDWsW2UtLnmzWGW3O3v8TcLHh5eHu9ubZt89guQ/qf1K+7h MzAodfL24u0JC9jKLBSqyM/Pzmp5Sv78V4NfLHwuFoRDfHAmuVb+x4ceeDzClKdz+DcUsoVS9Bfn 68TPqH9INU6fxzAol6jOrL0amDjuxLmwNPSPWtjET/XEBpycf7EbChCwoTSs2Wx1kxn/dgI6Lv96 j72A3l4N75wwk6n6H2fX1uQ2bqz/yjwmVdlEpG7Uwz5AICjRQ5AcgpIov7BmPZPdqTi2yzNONv/+ oAFecGloXGer7LW6G1fi0mh0f7DGH2uPrRQxnIP0/UlAa9dRl+aIc38MEHkCJfpgWqBXwsojT1Iz cYwQh5QgYPYi/CaoeVBxRM09aUkbF8wbyWRXXVgjyNkvbuLCOqllcEPgJHwTuQfE+tq8y4CGcpF7 BBSKcORpfAdSFGA9EE6le9hw7gPYOvBBgssgcEV7wvBFgOUEAwAprzC3K/XxGqdNNdHHaKvL4Bit 4/6rDHeVmqSQz+gLCZKFv4+S+Invo8VYE8Nf6NDExysNcsSxnpZl+fvu09cvb9+/fgYMtVlhtjo2 a+XfITACEAC8z3FOhRrSAWBK5/W5WlrpMa9VJp5Onz6/vvz+5QKB81BX5T4kfnz79vX7mxFYARml F3s2S4LK0qfWBQlQ/QQ9l1ulFXJ2q0Y6vufrb7IXXz4D+9mt8RyCEpbS3f/49AxgP4o9fyIAmMRa T0nKSjMw36Ri/TCykM4wWUiPfNjGEUNIo+h8EH23CVMYHz4MpyHKvjx9+yqPxO7AZGWqgFnQc7CV cMrq9b8vb5/++IlBLy6DVbFlNJh/OLdJ9+qK3tpUgGDFdg0EsHspSyopU2vXgrOb+ZvTnNjTCCg9 BA/0NA8o4jKP/cl3i6rpL58evz/d/fb95el3U/+6gunfLEUR+ipGs9dMOfkr3Jle81tMdRxYlTjm e7tZ6WYb73CvjCRe7GJ0oYGGgn8CRIuZ+3tD6jw19fWB0Lcil4PXpytnefChrk7tr8uFcTgYBDSS EVhf2065C2Knmyk3284/53HiEBpvQ8yMXHrkAdPgKMGh3J46/sYaofTx28sThIvqEYoMcqMH1lvM hDXVoxZ916Fdt94kPh3k5WYQ+5ymU5yluUoEKjrDo7x8GvS/u8qNqCMn2FVIcx2m2NS2k8ZfOLKi tnU045L33PI6YIqSo6dMSeG4uYyDrNGZT1gzCvZ03FEnnJfPX+Xy992I6byoKWrZIkaS0pFTmZEF htg2ZAasmUG251QKcUS30Ww8KjBpaEiL5gTgvj347fvINUOLps5XKGpwxT3Gws6VH6yUI/Ke0euD 9bJxjZeWANhFhtTyrMqrM/odeP9QCSOIwixIU4cs6iAcesMOVqyp/m2f2gaaMNFgJhr3iZfII0GM s1+ICd49F9KTMzd2h5QTjWSihkdmDh9gZWqndkB5xoBaDbhT1VVRHSwrc2BeaSvqj1fjOD4bWaqu DXjc8mPeO5vLbDo0cps2vEoePwdomWlOVdRDVjyUtnGQoyCmaWt8q8qKEKsyCJZtA99eciGsGsKQ zAyGMGSUdV/tP1iE9FoSnlsVUE7yljVR0qxvLX9bntMVeH7KmXqW39jSDDQDLDUWDU7OGmlu3ixJ E3CpHrBH5gxGMJLyVBTww8zG5fUjyv8A6ITZltKm4n7uoEgKIdvT5vUydozag8xJNha3Ww8CcCXt nwiafXr39PIKUQtScXn+9PjjVeqYMIDkav71+50KHdFJAKru+cmw/4/t26d+lUWX+MSGII2TxAF1 PdpgPGVfXC12RqiC6qa+vm9pekat16BcwVrFWuvKe7hql7mHv23f7FG4mUZ0ndd95Zkz/wQFVMe0 PfWVZBkWHhDUcXikPTr0jOzlsiNcKnUIVnycpigHY5QIR3XRHpsTzoUhgnMyG7bP4rgDCxVrKQ7u YPWgPvG9vH7yTZiClaJqBEQrLIvzIjYBzdJ1vO56eXhpUaK9AZkMaxeSey6/ug8+1EdStjai6sBp 84yPH3kSV8Rt16EB1FTslrFYLYwdTe43RSXgEg5WLLiENKwMclMrjI2O1KnYJYuY2KgEuSji3WKx xEpUrNiAKRx7sZWc9Rph7I/Rdrsw8x85qvjdAlNtj5xulmtDQ01FtEmM37D6y8b1jNbLGSJ7LMBa FcyD6KiHDCxt8+hFmjHjewKUSC/PC7Yx5FyTErWe0NjE/WRMLnXctwJoulxHYiN8YyauPWLBDoRe PTIn3SbZ+uK7Je02CLXrVpZP28DI07ZPdseaCfzyfBBjLFosVug0cxpqLKX7bbRQ49hb3NrnPx9f 7/Ivr2/ff/xbgSS//iE116e7t++PX14hn7vPL1+eYfv49PIN/mm+htHb5ov/R2bY1LenrMXRs3y+ /ARXbgKHjxpzupNa3eXB1vLk78mnYgBfbBiFfeT6q3FkZfSIed7sKe/P5hW1+t237dUZq6SgVWOb Gqcx7HqSHMmelKQn+Lsb1kqpcWypyMdrZW9QK1Q3XhkrZ0PytAfNzERisTy2VBoN5mRSnIsFVexQ nsJjvfuL/I7/+tvd2+O357/d0fQXOfj+aqEMjWoC6odzbDQTQZgT9jsMo2Tg8m9kUyxgVrVkWoGt NQ84VNk9StQSoQTkOeDgvPKi6AI8S4i4ltSbUqqj2nHEvzrfRp1X/K8ht1iUnKu/MY6Ad7QC9CLf y/+hCYjfFElX5mjBMQcNLdPUU2EzuLHTUKfjLgqX2d7GgNPi0CuKp+Bk1WMETuVpd9gvtRDCWU2c efCxWNFuKIHLS9/J/9QMcTI91sKdDlJ619lq+UiXPYgbRdS3cz1JHDahUP4NgZxKZQPfEyaB3TsC u9UtAX52WmAzTzz3Wq1gD+SHulFqQ7nALUl6UsoyY5zP5Uarlq2SXZz3k1wJd0+eGP7453W7RKkx zADl5XBgv0ZxgqW6xY+RucZJ09YP7lg9ZeJI3bGmie6uMLL69ELllAF2uC/HLIaNLfQpITuN3mkn PwLmZHDu82uzdzvtai47crUxTyzqZ2Vsu8MvZ9VxVDebm/JuGe0ibOPQq6V7tWpS7X1XL6O1t7AC VlvlVUuSSYTCgeta63dJnKZc+XpJE7mSYBb2ofzGq1EzGaGcKkiOi5Nn8h/knpTTXg7EhZPnQ0Gc Q9xEDq2Furfpcrf+08mMQKN225WX3SXdRjvsiKDzch4sAlrNKbLG1jxZLCIv+30GjQgPDX2+DxVP j6wQ8viPjrlxTxougIJNOHop02PfpAEYhFFAnuXE5aYE49hpZeSS4kS87dXR8wzjh9GbYApxbh2J uj3irhfc8ETHvhJMa78Bw4pcRZyRCdSa+8YlatxS/vfl7Q/J/fKLyLK7L49vL/95nt1yDT0I8iKW 95Miqdgk1hfKZwPwMEyNfEqErnJzA0GCsjMJcx+qJsdC+VQJcv7TaBN3TtXUJo7VWeSFeXxUpCyb dGbZD5/cDvr04/Xt67/v1HNkfufUqVQEtTJut/1B4ADiuhrdyv3Me+48eKavL/Pql69fPv/PrZqJ uSgTU55uVgt3T1IsXuc5NvsVsxTJdhUtvEQAKYb7Qqhx5U1Hhx/e1xS/+Tg81GBdgf3z8fPn3x4/ /evuH3efn39//PQ/37tZpfZUCMTSadK4fiktZa0V2y/JgCFLGosE697Co1ir3kjDnUUG7mq9CbEn 22JIQJmk8bcy98pv7aZJOcUn2mDyc40K45Z/EhYksf5tH+wHmqk6jGKmPjXQEP1r4NC28KTnY5uG vGCM3UXL3eruL9nL9+eL/PNX4+w83wPmDQNPZqxFA6svK2HdDN3MezKzKogr2/bHc2tyleHeFKfy wDhglBkrTeMG4WqK1AsWuOv7yF+sMcvlwLVCiQYaNe/7RlrFd4s//wzRzcu7Meec9zkmHy8s86XD sJU5l2maziHKX19fukQw+toky6A+YAyQ3Cax0ie46s1IVj5w+1Nj7sEjT5HB5SHaXG5wk1vMlfW+ j8eOcb3DlmtUDX5OMPlJudUFVSAcqdhpmtxjRUsat0kDWflcyyGPPoPkiOVpu93KEW3nr6jxOnYL GOk3fCgtsYaee/y9REtsrK9TCeIVT94pWO5xTI5r9DENyTYdjPXvQNGiKqoJrS19eX37/vLbj7fn p9FjhBivUiAhP2sLqUD+VEYrPbUwc4oU4Mplx302EBhwR48xREP2iLuDYrEmRbEqR8QDMLuKzLh7 GBnO3dZIJWWbP0xwFdaKC3zebtdL7Mg3CZyThG0WmwWaenLHvBcfd6vtFr8mw6ST7e4W6oMu1rE5 jUyND3KzLARXwpMZgCPcUICgHMdDUkexB0oSBKajYWCpv+8F97Y8VVEu6AiS8W5NLOF3qnPOWybk FDkLul12nV8xR8BWUEJCVkzm6OP6k7NszJu1RwgXsvRHNzjmzMq0avolrRzPeOW9uKTr7QrTiCd2 YkT4nqvGelK1vdbHykVnGQokKalbZl2nKgLcszSgB+GpDszksDZaRt7IHWULQhvZq6jJ3pJrmR0m TyjD7/yGq6BWBGrHyUezuawkyDewEpi3zzxNoihyXQ1qUEaWuFul+gglpwVxw/ynEh5OsDChIKKG VEPx+kHlK0vTKZwNr0D1PElmrhw+40iBnfOMOmhccsuZZbWyfujAA3laEqywTksDDxTeW3yDQAEH 1tZ492WHdX6bH6rSiF4CMfNAr54rtfEjpYjzS0X/s8YAGTeLbQFdI7hMzd0DjuHviQ3O4++LnfMT dgQ2ZbT1a27JaA5rrRPnTO0jNPpt5C+RnFYYbQAb8UtYKUvcrTJW58zPsTDBx0bi8EiLCzc2sWvB 6CCEThmaC2pZfN2lBO129QoINklTF9B4TpS6DvCICNytvifE5NmevTMJ2UdQJ6xmKUpf1nBrUcp1 GVBpelYGnBGNvLLTh7wV2OOehpB+/XHu4YMdd2VIHk/kwnC7jyGlgruRIj/wUL6cNGeG6uWmkJQg ZWVMfF50q97Ekh4INlKOItqOXYo0Yw66gsp1G7ukKrq15z2kiFl9wM1dU5Iev/YqOnHBshyoPWwK nOCg1lqsRsNRNc/yodIkeGyLk8IiZ5fQd5HKbQhR25ZSKvBPCQrG0fhZQ+zaWFol/I4WKA5fJvXQ skMXh5K0UJTVMk3CShfJMom948CYFZOqSOgJMVuuqcoKfTzRFLMrlffdgd2a2H4OyXJnGFgG3YR0 3voV3weGx5CkpiFtqTznqX3gVTcIKWvf0fCqe2OPl9IVru0MD/+w8iAXd+stUU7kUDILvjLwss9y bP6YObJSwEPqc17yi+V46frGbWY9FGTZmceJh4KWdkSKprhawpx1J5cdN0ngpXazIidwLOLvaAFN agfHbBYr3LZsptEntPfFSob7CphCAHLVoD0pCAdjpqGMdYc9c/VqMwFjD+9VSj36l8k/70wkkRfE ujLexQsXYnMSta7N5M8dfimci2gXWgfgpPp+3akcdyGcBlOwVcvhOy08WYeNI6nrK2coIJ22KRta FOBkmYakMj/hXXMtq1pcjUkIHgpdcXDw0WdqYFEx8mzZ8WQ+2OL+NkVNMQjahT3teAUgHLP0tkBB 9IyMzrlxhSp/9M0xt3FmJ6KKRkFyAwHAHKEjJJFfyiX/+BN6l/Z6fV+qoaibcpampo8zy2yTkSKE 2iDuM/Nh5Ly2gnbkyacB6IMGo/UF3AH1zXDJPO4Vx6uDZgAE04PkYl1kZHnH0uFuY55wmX/TzPP8 Tor5UEXzgZWrjLBTbwpuIdb9yXD6dqhdkmx3m71bn/Gk62ZvXKTx9SqCK1O0fMkGJy4vW8qTVZJE t7JNtjodnqtGvxt7eD5/5fJUSQLJhiON3fJUHi+HBhpbIq0LiKY3aUXXOkKgv/fdhVwdQXDyaqNF FFGbMajvbmeMZKm7BSqu9Usns8mg6mY3MVqvf00RUPHsLEsyvFltD402WSw7m/bgJx5NnQ5RbZsO UW6TRsXHkQ/mTZvSsmjRmaDbrCHys+dUuG1Oa9BL40BzgdvSJIqcLw+JVglC3Gwx4s4mjtZRizi4 8R/knI2bg77ptD/ivUh2u7XpdKzvENQtqUO0osGrzDGVjukaG8pfp8zbPUEfj9ZsOYFOcMChTnba 2OIQjzn4mDFfWn4yQLzJ3QQVHcyXJjGvH1aLaOdQ2+OpTOc3XJVdm//4/Pby7fPzn/abukOP9Pph Qae9mq6QloKNHmTGt8E61vj9rSU4PC063aLXVARx4iSv72pq+Qcj8pN4bT5XWtfw6LP74CSQ5dYF D3RjRxLJ9d/lAiqv61AC1WRnd6rrykKnB4INJFO3+FEVJAEOPlCY8g63WwmUIVpg3uoK9AlJURwn zJHj19e3X15fnp7vTmI/+eBDmufnp+cneCxXcUakUfL0+A1ejPCiAy6ONRp+z1Z/3qKQ75aQGcEm f/hWESAqv0YV3YP7B0uZdeioKXmb+8IqRP5GywH6APqLFnPJi00cYWq73STOrPK4rUlq6BwesGuM h2lXACkIsZY3lEs9t7ApmbXAjBQI+G1hqTlYMe8zW6MtGWQDENnQYyhP94HuMuqqTKXvSnmWu6BU I89e7wqGzXqWFLxCIUfq3FiLO+76Bjsv6OAf5lDs6z4zm4bYq4TF0zt8gCkCOdrB9iYHBfkwBT5e U9MhymQpJZaVpbGNPrSlPYIGgjNChoHbkKvpQzNQL8VybYYwgs+t7LXMbJt5lB5w64xftiPRSBkO +iZ1NMqbtKxxCHq70I+v/T1e/0MB+hvr4Bjc7CDSxIuFXHGx3iVl50zy5WLRVtiemZHGXsgNtHbP 3cjgZeSeFXuUJVXJTZPFS8twgPFvoEAa4lzKrj6sFmhZlMbrOFhQmm3jFWa7NnMgSRyFctDMn6wn bWITm9BgHS8OSOGZg3kSC3iVG92qd+2QUv0TufPkiQGZN9dcpAGz7Nk6WGuvwS/ffrwFI+0U1qWV NxBC+L6amWUAV2Ajp2qOUKir9xa0huZw0jZ5N3BUvU6vz98/P0odCwdhHpJVJ8EcmBtH5EN1xd/d 1Gx2tlAQRqLeh40O8hAHnWLu2XVfhWKgjMoGKyLrCY8RGyvuSOmJPKbZb5vOrCUWPTKzU4rlZxpS Jiqt9g1BCzlkMW4znSWawEWHJdHz94ROeVEwXmGW/klI7bTEvEafWCJP2SUfjhh+9i1PMaeKOWcv IMBh9fESB7qa5C6kafIKD/iahDg5qGu7W3WRU4WyqtmjtVHMveO0h4gBuDnqzTZ3ySVP5Q+kLz8e WXk84QOCCLlxYn4XkwTMOgv5Z+LUoqtJOiglftYzu88wGNJJMBM52ez9pUk9d4c+IqnZ1YkeBTz8 ZOzsBhE00BrAk80LGJNPUrFNVpsQc5tstzd4u1s8+2oS4TudZktgQ9uSaCK5Dd0oA7T7npsuIii7 b5ehFp6qvs47mjehWu5Pch+NsA3Pk4p3oUxA8a1K1ue0TJZR8k5m9JrQlpPI1Bx8/iGKgvy2FbVz V44IBPt14N/4dlpiFXKMN0VTslssV3hBwLPdgS3utSR1gx93TLkj4bU44uEBphxj1iWFyTmQgnSh emguuL/m6JWNJduBxhr4MIMbR6icQ1WlOX7bYDVXbhkMM02YQnmRyxEZbJLYiOt2g62HVoVO5cfA EGL3bRZHcWBaMceeYfMwbd6UuBAweF+GKMSgQHD4ctJFURJKzKncCkJfiHMRRYHBKheTDAKO8zok oH7gvJx3m1PRt4KG+iUvWYcaB60i7rdRjJdQs5IrJCf8i6RSy23X3SKwDah/N/nhGEiv/n3JAztQ C2Gpy+W6GxqIiJzoXi5ogW6/vQRf0lZdtuCw6JYk323N+3+XZwYkuLwouA4pLv6ypD2wouU2eW+n UP/O2zhaBrpSULXSVEF2DP7eHviRJ4P5IPtS69uZ4E7zplzDexSlw1pt8sICord5IjyTRRtJ/TW4 iLU8C1gyLbFTA69vq2iJ9yraJZt1YHa3tdisF9vA6PrI2k0cB77px1FLx/qvOvJBfQikzh/Euguu 5B9VwDzmizgc4XJ7ydHUJIEo766vSnkKDKaVOly0MhpsUu2PZnEcvWHgKWWMklpVPljkXuo9JjbW cLpddgvZSW1r3tqMx/Buu5UfRjcF5+6W4HJhoehO7GS324a4ekL39aUJlM1JsvJrC5c8/V7u0Y6N Y2amjFZpAM7VEDvn8nwb7Cpay960Kuce8Lv2wy6YvGGHU0FacAdFW9+w9nQrdzUf4iiZZcLjqKtj Odhqdu9ncwq9TaLZNc3Wi81SfgR+8hNLbuJEW7gSFz58inARUkT1tNcD98liDc1DBpb6Pk3VAmIv K9XXdEVSso2TxdC7nmFJq77TqHWqrbhrf3oiYpvlu7O4K5arDpmSmhHYV/+PsS9rjtxW1nyfX6Gn e86NGYe5kzURfkCRrCpa3JpgLeoXhqyWbcVVSx1q+V57fv0gAZDEkij1Qy+VXzKxAwkgkanzaCfy AmLzUpBsiC03b0joimEm8z2cgoT1CFk3ztQ5XxI765DDqQumY99UuW/ODENTmYbEnKS7ygWK7iiX U5qtQdl5oU0xV3BODwrpM83kV+MpSUpgUvQzaUnDlngJEZs9xseJBLXnaOJ28/7tC/eWXP3c3Zgu s/Ty8Z/wtxnySAB9XvUU9cHC4braMtj+bCAOtx0clQ+LDMFmyjRoXNEtpJghN2XoeC8zp1H58Nfo R6NK9qQp9bcsM2VqaRxnCL2OEGLZHH3vVnsmsmA7toYbTw3lFT/WdsvDeOz4XBwP/3n/dv8AN9SW C1DNld5JKRX7h3Y1d9zc0ppb6GjmHqdxZkGq+HCeQVW4Qp62VVsYd6XHtrps2LIzOrxcCb8RFj6j 3B0+PG2Sz4eEQ5DHt6f7Z9t8Quz5hSPlXFUAJJAFsWd2XElmi3w/lDkZy4K72WAFcnSy+QM/iWOP TCfCSK0eFEZl28FxMn66rbK5a13l0l0yKUB5UT1maIKdOWv4LgizrFa52mGCEIH0lwhDB7aFrZry Gkt5Gcu2KAs8ew1p70QUA1c2uRNycDb7QUaFJxHd47WWVc0XnfrhWTes1CBXroYxyDLUfZNg6nbq A1fhAfn15Sf4lnHz7suvXW3Xk+J7puuGvroB1+gXJFvQBHWFWvlIDn0VVYj2sJbgr7SxaLTaVfpb JQ34uCfTPG9VQzyN7MwKzf2konCwgBZjgbGMLZ/iupPFZnhO5eg2b5IQFS+Rjwsu18BfR7KXgT9N UQbHD4vU44jaGHQbMcjMIaoybcmxGNgM+Ivvx4HnuXL3YzmTtos9nRxl1RkwgVb6g8N1mICH3qUX MHBH66nuHVlZwR/JRg4vDdiUz0MV5mxhcrhnlAMWdv1+iPsPmHtej0ZJlSgMarSBZ4B79RHN61uy Fya0ZLOTNH1BNeecfBzMsJMSEhFv2kKEClq1VB6g3YwMsNpV3+U1wZ1TNN2FCIuoWrNOBTJ3B6ll 4q7Nebj3vW5CgProaw37mn1XF7uKHnRlSaXKiAzWjNROe6rHguw+d/gLN4hnYFgqcrM+Nlm2mJXg 4ZQj7jxkZYMfqS0aaBUy2w+s2pTb/ZU2CReyS8gA6dt/Lddac2wLxvZnbVGjO3Cm6Q3w2ktZFBYS j1nEdGoRSGKRuOJbEoXYFcbKscQstZCc9UD1vdOKXKr+UKqHAaTv60rYB0pzW+4V7cGtLC+9SN2T gqc6pptMkXb5sFLV2z6aD4F28NYrkX0VO15HRtbKYg3sCk3BoFsDm8caOVuuYWBC4PTyRH8J4kST 4wjYMebsT483rUrmfBU1LywF1WYzryVX8pQPMWZWOrOwxZqz2DIBsSxMVbBilLZEz7hUtvZ46rSD QgBRwacR3CkO3QU7uFnKNIbh5151ZGgixtm5iWp6B1sf6zsjvNRM41aIaD9ZOLodOtPbm0Zl1pat PRzpyH1Ii+BMtkUX06JsQy7tgJlVLrdWYg2h+Qrgbdo1PUHPEAFk2r5uMMWIwkZfmPSv1vw8H/mf T98wH3S8iwxbsfVnQuu6bPd4lckUXNb+KyyyYZDrMY9C9Z5uBvqcbOLIdwF/m9XCoaqFtfZKLsRD AoVYlMqHmMymvuR9XaC94WptqqnIWF6wEdeTp432rIRXe73vttVoE3serXjpQsupB8RqWptQzto3 TDKj//n6/R0PmqgJr/w4jM3Sc3KChvuY0UtofdQUqcNLpITBh41DZqXdY3MKVe+YgQKOPyOd1PIb p8Ag8sfgrNsdjRqvaBxvYouYGIawgrpJ0MsmBp70l+aSZFhwrOP9n+/vj19vfoOwWqIJbv79lbXN 8z83j19/e/wCDyh+llw/sY3uA+tJ/6m3Ug7Tkq5Fig4MUeF5QDvzmtSAaU3QgGwGm+ZYysHicAMO bGVTnvBDSkDNSUIDb8vGGGkK2EHpjKHCRoQzu8Nt6Go6WjWaZymgLWFuRbSWv9kU/8JUegb9LEbS vXzOgo6gkXR0YtrH/H33/qeYEuTHSrvrH+5kvID5tNI1ro2OebUlIRKfrl2sdJhH7IYFxBUJTl2t FnmhUns5RKZnFKY1UeMhVHFWAGyHxtR17ctZ/6qYegOA7uq4139YXr4Zyc4Dp5a2XTXcjzf336FJ V+/RmP0wj5XBt+74HhTgiwipITxDYAVloHwFqOfXiv8ryjWPNLMgrEINf8o62OdmFZ2NIIbccJMa XHBwtKvLi1XDxqaVf1vrfpFmoqGoArmDSKwtfqUHeH8hwQU9BGTg/DBVT4nmfsbmai8wyPOhmtro F/WaFygX8FphkKzo1kD9fNd+avpp/8kwotAbvSnwTqUoBfbxJGRsVceAv397fX99eH2WvfG7zsz+ aPoc0Ma6TIKLZ+baNSvwfmAGPJQhMNeNJrrp79WIBezHMuSEltHTm4fnJxFjyQpvzbjZvhB8w9zy va0uSEL8ggJFZNdbEvoDHGrfv7++2crO2LNsvD78F6bIMnDy4yxjYrv8Fp3h7O+X7CxqoSTMETol MO2H7qhVUdVqWq7CD7rk7tjm852RkgT7H56EAJTNJ0zUbiV3zhW3QNDMc2ekyfsgpB5mkzuz0Krd 66caC3LxYzQq28IwNrsLmiw3TAkcgeglEzdAuCK9y8u6G1HxyyN7auoXIqYy6zrf77/ffHt6eXh/ e9acNszRjB0sZiZYJzq0ZK/eFq2FLLSTlJme0yitw9gBZAoAmdfmVkmYdmxVA9/qbOZt2M4g9oOZ o9sZc/T8STV80mdP0XlsZjPQkdjxiTlnvYSdidMJ0905LDuuIQmaPvTW/efj19e3f26+3n/7xjRe 3lqWXsS/SyPpLcSQJ1ZiO29X3BcKI6QzvNZzwnAB6EZ3I/zjoa941bKjyqhgGBzbY44e6nNhfdJs s4SmuIW0YCjbz36AGy2KluWrohu/4vBF1DW47c8P6KR5pSGXTQ+nPv797f7li93ApOhjNi+bzSuo +jWkRNreIO2ZwlMXaIfzMKrqf1OlmqE4hb0DHDOgW4gVTs1khImWmczYV3mQydeLilpt1I8YILvi er1ti9SLA7PeGNXPOFUvxbZgufSbM/bATowL61HCSsZmY46KjZqRhboPN1Fo1bA+KS7VDsuB3eWl eaMr3SGPxzgLre/GPIwzNBqQrH8wZs0Sq1mETZ8tDoAM3fmv+EY1WxLkT80lSyxp0hbcJezcZJtN pHYNpAvIQ53K7hpGc4+ZI8Sa7J5sWwW+NfzE3a+rUvAEkVWUocjDwL/gWpSdu0XF/SDXbK73E8y8 a+4UEIULHbvqcZGg5mGYZXbP6ivaUWwLKibCAd4fhWojINnm+T49vb3/df98beki+/1Q7okIlG50 cKaDHvHwxKjgWe5ZM4k6+3D5ZKk5/k//8ySPDaytBPtEbI35S17VH+mKFDSI1Fi6KuKfGyMHEnIe 6KwsdI8HFUXyq5aDPt//96NeBL7DEe5FtWwKOtWCsC9kKJZu+a9DmSv7K4/jPYQuBz/01HiCj+Xg OrAmJfQcpVTdCOpA6ASmXHXtrYOZq9LwbYDKkWaOTKaZ2ZXXopdmSGGUyU+v9SbZaxZdm7vPHkqq exlVyK57C5MF/jsa9g8qTz3mwSbGTz5VvmZMwgA7VFeZFnN1V2oyMx+mxpT73OED1mYTpA591zuU PCpq0xVGVPkGh4R0euz7+g6nmsd3PbiKA9zeC5Min7ZkHCE+8nr9x6pg+WC1jTiAO8CBK5Jegoem kKImko/ZJoqx87SZhT87WNNcyOfA82ObDh088XB65qL7Dnpg05cnX1ZZ6BYzbJhrg6HK6Sr32msQ ZznbT0GqeZU1AP2i0gQPxScsczNcjNORNTNrOdPVhfUJf0iLKU0qgxoJfml4/vwCy4VAEJHziw2z LwGd7UV2x7Ke9uSIOnOehcMzzFSYNVgJSwwzr9JYAj1UxVyi+VXIlfblT3tU2/wZqPssVd+tznT9 HGAVw3sGImYMk9jH6HnkJ0GNibr4UZwiSQtD006yJHGClfnKTkBn2SCFFg+dMhtg/TDy44sDUN1T q0AQI6UAIFVPdRQgFmlYhQKINdOVMgHHJsPzEScXJOe02YYRkj+x58GKJLc9qT2KeR8XC1nkYx15 tu66UoJhZDMqUi3HnPqeF6DVIna0uKnbwrPZbBxvOg7nBjdVEW7olRVDEJSY8gbA1tSxovrrmhkr m5LlpAVbe7lKsp5cEzZ06S+eydztbAHnoeK+L6ZxEIGAVwsZyVGUPEgiq2aISF/207lCHdJg/DtS DcLW+yPJ8HZDeCi5Ivpjkc5Mopxw8zWZTjBRzqvZy/uj0qyqVdZuKD9dDTywtuNRPNy4Unp57rh8 Ox9YXE1AVVYQvlmXJWN+KDpF75kpxp3tQm67M7nrdC9PCyiMDUXE+rKF7oXdny/sXc/frzclyPMQ efww2NpZnu/fH/788vrHTf/2+P709fH1r/eb/Svbob68apvMWUo/lDIRaFKkTDqD6TbbxdZ2aHxw F3tPNFf6GJs6LmZ2vcSWXykpjXa7EWlMjaykpM2mYr5b2JAiyfeStnz5VBIHkhABxCHTStYPJJas kiE/8HDkucttUVO2u8DfNjmacZXts5dsrjNJ4/WrPJ+raoBN35Vqkqf+WHWcESIbxvylAYqIAY5V FH8bfCUX88tL7FtSV03qez64dcAMNpLQ80q6BXjNjThe1WlgB08CXxLn88Cffrv//vhl7a35/dsX 7XwNHiPmV/LOxOk2AywvfUdptdXekNCtzkL7QbVi5l/lFUT/xr+eUZMIJr1Xv5oZdLqw111Cd+Ef 60wopmvB27whiCwgG0wiyxAFHOVecIxM1eAinLxm1ADoriZUi0mh8vOgBnmDrWMaW6+vZQIzI4Cu xqG///Xy8P70+uJ0stzsCjMyEaPMe2mDSsPU1+NMS2rgOClp+Arax7Hjtph/T8YgSz2XPybOwh0w gE2LEbdwBQ91jvrkAg5wpL/xVH2bU+fLFKOQ847TohmxjBh9uQ/RMiSojudVCoNhY8NbAi6RfezM cEHVXcpCzGJU0sZd6wJH4wxCo/ENu1ILC1HdpIMcubYhZZGIuxrE6od9lqChr2YwRD7xY3dR92Qs z91wS6c9dTZJ7odGVFSF7HC/onKIvqF/3AdJgDmxAPBQJRFbAPpGD9hzGHOmutAqx8+WAWYp4XaV dc9A1cAWCJrFLSQsQiv0zWiQP9EksMr/K2k/s1mpw0OiAod5eQg04aHFw4hWc3Nygh7kiKFiHjxI 6nzlaFFjlKpeF67UjdWVOD2LsNMZCbMtf4p8lW0C17CVnlqsDOinGpw4JmFi5p/RrI9n5U3NSPmZ W9NjOjUf8PrZJ5BAFdIp8+GUMvBnJyaac9OFqq+58nIUWVDkXaNZccMYe+hRGAeX+2GVeJt5mSWm jccE9U0IKIRwtHNEqyhNbGdUHGpiR7x7jt7eZaxP4ise2V5iz17LdAFj019BrTN+BdSclWktAqh5 Zy9oWZpZFcbk1M3RmYee1A0akQtOm3xPPw8TZ1OoNY3td4onbl3gr9SNh1DF8ZZVAFayEL8eVzji xDUsFYMBk5olVk+VVgJYKRU4QIQxqq05LAiycDKMzZ6OQNzjuY688EoPYwwQnO2aOnWu/SAN0a5f N2HsHJDSMMMoyWwnodBOlyw21BTV1E5X34bqc9da6hLK416Gz00mPPRp3zFq6LsDkSssLtPgmSX2 rigyi9GHOiVx32hgx2PqnTOi2/3o35iI3JSaRGGgaS3uje9N8+Kgvm1ybQZmCeg95epry9pmWBwi 7tapq0eyV10ULQzwqPUoXo3TY1M6EoJDQ35muPBdTZWpEHsxYjFI10RWCLY4WRLjOcDuEjG2Ig43 +FG3wiQ7b110+JC2WVlbw63q1VIvmzEbMXYwK2JvhBTM7JEapHdJA9L1ZhVEzNUQvtxUW7DOx3cT V2tk2U+gSBI6ED/wHf2dbIxQLy6mj9p1R9o4jGPc7YHBlmUfJekwcVCc4vG9BVZcgZziEB0SFa03 oYfWIIOSIPUJhiFW1ArIdJIUzQtHArzq+UUntifQWfDWXlQeGxErmAtK0gSD7D2IjsW6maAGug3l TTbHBlZjy5Jo8yNcCaam6DzGLsYAPxhpnCcNrwjYpB8L2KBtZO/XTGyDjmSxc1OfEplYgMuUG3jD q56GpxmeJIPYvs9RDXnvs0b9oCL7OPLxbPVZFqM9FRB8tWv6T+kmQIc27CJ9xzzHMUxL1lkCV3sz LMb2XjpL4szXBh1ZYJKtOShVIXOXqmC77IIv+P3u+Ln0HdiJTbt4Djmk26AaIGoDoPCcG0zuJ/AD Lt9t4eCRbqeT9qB8ZRgI7bflMNzBAzItbgC80cMzK/fTH0wgfIN9tUCgpqJ5GiPtxbeKNKfAUYU0 aHriYe9QdB6KKzs0brI0QXsQrfexDNKFpStU6g9qg7K9vpd8pAcyriyI8L2owZXi5nsrF9v9xX7i iHyisfGd+A+wBeEH64HYegfoeLK38CaGT9XKdt6Rrdj/oULC7v/j3Gv7eBOL3Lk3dvoGynTDq0mf 9PeEK7BsRzFE2ysaY74m22qr+mk1j64GeF+txbSsK4cnsCGfnTVjRzkcPVW59gofNMRLfCgCLcG6 6/otUYMlVYN8qFUNGlH4ZlJztxCFl82mGkeX82gIkY7t9HLr/A4obTdWu0p7Zw5R/DimGkGvVNhS aV5NueBDGgaByW6xamQkSOiMb4vhxB2D0LIuc+2SWL6Y+/J0P++/3//5pofZknklDb8eEolhZw6c TcSpmsaTK7fgkW2Eilc5jLQGAo9DPkqKFoNbxPxKDpNisHIjX5RteYdmVc+ck1NVlDwmr9W0XTsO XV2rXaE4becuI995fHl8jeqnl7/+vnn9BmcgyhWokHyKaqUbrDT97E6hQ2OXrLHVV/YCJsXJDi4q IHFC0lQtX8PbPer2TbCOx1bvYzxVfokMEeGmnP0P/ZqznVvNgJuL3B53YNeEUAu4n96rB0ZYjSk9 WHFCs9an2Y+XhoH2uNLeiDAurXj64+n9/vlmPNmNBi3cGDMh0Fo0GhXnJhfWMqSHyKG/+In+mXxR L1oGq1XOxL0L0ZK/+mazIqVTrZmpMJ5jXSptL4uJFESdDZY7eVFq6VHm96fn98e3xy83999ZRp4f H97h/+83/9px4Oar+vG/VHfHYMtQlrpRhxyrDFkHstqe99/e/3p7/Pn+5f759Q/IqOUCQPTK6jSe 7G4NVNVpcNXlY+3u2pyd1KoPWjk8tnMCGvlQXqpjw/oua57KTl3C3YDbAQqm5rI1xRYj2/fE1yri 5z//+e3t6YteH0bq+QW9eZrBIMyM23kxewv3oQ7XO8vHcRbgKurMkeHHjwKmhKQ+6mpdwZNIr4Ev a2eFV4Ay8LM2vqGjk1Pqo9chAG6Pxb4crRuGFUIzrX6JHfQrODnpw24b5IE0EOl12xsMtWdn4Opr tm7jKikf/Q0rL36Ex78esT2MQNQ7OdIq/gI1CQJyCDl0fW+ucC0YqZpSimI7VAX6ugFgpoHJoL3m tHnswT25MVNbnTlSn27KhepkTjbzuhIYettKR1ZbTm/KplNdcKwILFGwolR7VF5D6rozF+rlQ4p+ xMrq7idXepDx1EkZS2qkQo08nU7qgqBP/Mrgu395eHp+vn/7x7bUklPnIBUS/hH568vTK9OXHl7h Tev/ufn29vrw+P07uFWBYK5fn/5GRIwncizUa3tJLkgahZYOxMibTHXwuZD9zSa1O0NJksiPLZWJ 0wNLTEP7UNspyZ5Gw1C/5p/pcRhhR1UrXIeBtayM9SkMPFLlQbi1hR5ZUUL0PY/A2ZYtTWNTJlDD jUk99UFKm96qFtq1d9N23E0CWzrCjzWg8HtS0IXRbFLWy5JYXvPPPlBU9lUJdopgSmvq6+dcKoDd Ca94lFklBnLiRQ4y7L0wKIsCPAcMgG+cudiOmW+1BiPG1ohkxMQi3lJPiwgoe2edJSy7SWrniY9r dP1T8Yv9Ib+CSFELo3l49rEWukohx/Y4PPWp59mj9hxkXoSkft5sPPwIUGHA/AmssI90klN/Ydto /ApD1iW5bIJMk6z0Sujs99pYQLp46tvzDdeRIs0Zh9HPlVQeX67ItpufkzNr5PMBkbpGSuqengAP VQMdhbxBybF66KmR5QCycrAJs417BSe3WYYs4AeaBR5Sh0t9KXX49JXNUf/9+PXx5f0G/FpalXns iyTyQt+ahQWQhXY6tsx1dftZsDy8Mh42M4L5AposTIFpHByoNb06JQiPFsVw8/7XC9tQGWLh0IN1 2sBPY1WkyS8W76fvD49s3X55fAU3rY/P32x5S12noWc1dhMH6cYa3MjRAx25P8VCPr6b9Ql3+ovb jmu52lM/STSJ1heKkgKYsi2QkvJLEWSZJxzUDYi6o32m70vnsw6xyfjr+/vr16f/9whbEF7VyOEC /wJ8f/aO+AQqGygrPNjIDzBmAXqfY3FpVm1WWuqVt4Fusix1gCWJ00Q3sLdg7DBc5WpopQWf1bAx 8AwjZwNNPq4jzoaaiOlMgbrKGpgfOnL4afQ9NTa0il3ywNMMozQsNm56dDTyUJ89WrYuNZMRU0em OZoi558Sz6OIZt6H9QITShJf6zq+o4i7nLWro9o4Zp1iK1jo7FQiTdTgXmErddf/uny2AjuwJssG mrBPnfU2HsnGQ6//9HEe+LFj1FTjxg8dg3Fg65p1Lr40aOj5w87RDxu/8FnFRY5K5fiWFUzz9YTN XOqU9v3xBvbXu7fXl3f2yXLkx63zvr8zJej+7cvNv7/fv7PJ/On98T9vfldY1V38uPWyjeYUUpIT 3xG9UOAnb+P9fR13mDxJPGFK79+uswUOK12Un2qwgaPPOZyaZQUNfX28YHXxcP/b8+PN/75hiwZb vN8hMoteK/rhx3DBo4sBOM/MeVBgzyd4CSp9dPKstlkWpQFGDOcli5F+os6G03LBFNbIfXDGUfUm lic2hr6R/ueatXOYYES7V8QHPwpcKUKbB6q51NyRtAG/cG42FhG6hN0Toae5exIsoR66qZxbytMu ludvgsToXqeS+peNUWHzxFD4ViEEJBrB/IrLv5j8JPFNIeLzBCOmCDGwq4f1M9RXMk+SsnXO+oQN F3wN4z1kmyXET8xvRD2mvjXIoL+ON/92Dio1qz3TV8yeALSLVdIg9axsCzK2vCxdU4+SLYdx4ew6 dRKlmeusVZQ4MvLWXka7O7NRFSOjKoyNblFUW6j5ZouTc4ucAhmlWrdVjL5xt6osjDE2yW7jmV23 zK0+CiMwTKzuyHT0wDNvSoEa+ebx8jDWQRZ6GNGoNz5tGtn8XPhsfYU7s66wOwXfKqDdMpdzvrND wojPAnNY86oKfJQa2jUTcKMzscscKUuzfX17//OGfH18e3q4f/n59vXt8f7lZlwHyM85X4mK8eTM GetmEOBeT60bYj8wF0Ug+na/3+ZNGF9Zf+t9MYYh+lhOgWNTrKQnmGssgbPmM7sPjEzPWkvIMYuD YGK14MykZDlFmMOMRbRujCgVhUR/kCpcz9Lix+eqjdkD2ADL7JEPs2Xg0bkH8CT05fs/Pk5Xn2lz ME93TXNcW4jCxW/yfB+syL55fXn+R+qMP/d1rReMEbBljJWOTe/oCschfqIgDgDKfL5mn2Md3fz+ +iYUFz0tNvmGm8vdr1YvarcH9I3jAhqqAaP1gdXMnOq+ZQND8wj1mrigZhsLojHIYR9vkOo9zfZ1 jBAvxpgl45YpqKG1mLH5JElil/pbXYLYi42LSb4DCqwuCJN4aOTv0A1HGhKDkebdGJQGZ1mLmz/R DV+/fn19ualYz3z7/f7h8ebfZRt7QeD/Jx4ryJqKvQ32Slks9NqhkHMbox/02JdYPNX92/23P58e vqNX6XsCEaqwbKjeQdkPEU6k2FYYlWrWAUAvejYhXeZIWrh86U29MRISVFrWO7Bb0rHbhsowUDZ9 t10hPS9cIMtRQ8dp7Pqu7vZ301Du0Etf9sGOWxgtPo70pATYncpBXH2ylc6G65LcTv3hjhr+VIED AppNbE9bTLtqaMwAJbLy8DsXAPdlM3E/GI5qcGHwHT2Aq8kFXXyMywPnGzYzGceVWr5EbDSmUWEX FTMDrWph22DQITwKHMNt1DsrC4wt/96uvAklYmiUWIpaZm+7piwMC+L5eFr5Ss3JaW+21YnVqNk6 Q04GcI1zKNBwmwtLfSqoLq0nLffRJBej79+e7/+56e9fHp/VBXVmnMh2nO48pndcvCQliCg2Sx3p 9NnzWLdu4j6eWqZbx5vEzLFg3nbldKjgCUiQbtCduMY6nnzPPx+bqa0TLG026FlfwxBZcCQL9rmx xVLWVUGm2yKMR1+bqReOXVldqna6BYdAVRNsiXoNp7Hdgb+03R1bqoOoqIKEhF6BsVYQn/aW/bMR NqlIzheWapNlvmtsSt627WqIh+elm885wQX+WlRTPbKsNaUXO/YiC/PtgRSEsh2qp8eOVziqdl9U tAdfereFt0kLD7M/UhqpJAWUqB5vmdBD6EfJGW3MlY9l81CwPcDG0bikoUdW4XWx8aLr5akZ15Zt +T7hTQfwPopTtPlbMEKuM7Y/O9Safr9ydCcCWeajQT3VRlmSJA3QwaXwsJ0fOga46RBENyQ7L07P ZezjVdPVVVNepjov4L/tkfVf7Cmh8sFQUfDweZi6EV7SbtAcdrSAP2wgjEGcpVMcjo6Bx/4mtGur fDqdLr6388Ko/aDPOZ664PIHcleALeDQJKm/QU8JMF55/WmzdO22m4YtGx5FiHLMnY0mhZ8UjkGx MpXhgaC7BIw3CX/1Lh7a+TSu5oOccRY94JqbDdGhLMYsI97EfkZxUO4crjLwDwlx7G5t7m7HZF/v GrSsbrspCs+nnb9HC8fUvn6qP7GeOfj04qHDVDJRL0xPaXH2HGNnYYvC0a/Lj4tdjaz7sFFJxzRF bzhcvHiLqyzZ5oTygJURyS9REJHb/hpHnMTkFl00xwLspViHP9ND6OjPYw8GYF6QjWxquF4yyRqF zVgStPo5R783D5FXfDjWd1KjSKfzp8sePcdY+E8VZbpyd4FxvdHPqxceNu/1Jetkl7734jgPUm2b Y2hE6ufCthITuSCaUrVuyrZvT1/+eDT0Kx5tERlx+YG1NbhyAC0X9cfB1XO5zjJSy50wm/o9WxTY /FaPm8S3OrWOHi8uVQKUqAleEeWmhKbcE4hKBTGbi/4Cj2j35bTNYu8UTruzc3i053rZrjkSBTW8 H9swSqypbSBFOfU0SwJryV6gyOpKbDPA/lRZgt+DcI5q4+kesGZyEOKuigUOmuRk29xqXOOhaiHU RZ6ErD59pgY6cjF29FBtibQSS4wiGmh0FU3Nohg4ZrRus6WxJYYtw7s+ch1TCg7aJjFr3gwPozGL 6Qs/oB769hhYxAsnNuWR9pKEUawXV0VTzcuKhhb9lc+SwCoej2ssbKyceefDtjkUfRZHCbqzc49/ LS9D3u+Pev6aC7UIO+XuAd73AvlwycI41Y7WZwg2BwF6VKdyhGo4bhWI1Iu3GWgqNt2Hn0YbGcqe aJv8GWALVYyJggUsjAdsEmXqZtmO/LBj+nSshlujLiAWngjMPk+0u7f7r483v/31++8Q73XZgctv dlu2NSyYpqtM2YzG3w7eqSS1GufTEH42glTiDozsc01gzv7sqroe2DRsAXnX3zFxxALYHnhfbutK /4TeUVwWAKgsAFRZa0lYrrqhrPbtVLZFRbDXMXOKmtk9FLHcMaWbv+XREzvtiRbWkNHgcWhd7Q96 fiGEiDzj0UWPVc2zyjrEHm3HP+egyZYRPNRcNQxHXWDfBOZvVoW7DlZIuTjqlX/HNhT6oaxKtZqX sOWD1Z5Zt1VDR/wlCwOPp5Li78YZCJ67eQRsR4P4xeyOUum0PAQ6QjJ9T66Ay2PTyqG2nCpgqE7O zFcpuquGFjeiUS0kNn3UddkyfcNIZ4bv6Fh9OmInMivTHhNsl30WSU6lo7+DnqCepi4k3eByJTtr ScBWNas8ZLzzA2ytFZghkVGmHHvBKLG9XrtAcmWOYmoj0MlJeOfSmDnR6Slt5SB5XuIexYEHfb4E va3s2PxU6ZV7ezfoU0tY7C4WQaRoZJcDuEM2hp66rug63/jmNDLtz1EnI1PfylafJMhwa0joG8fn ORmaqjXrVFLZwkWaqTyhAQQ0nvxIR/UZFYy1bcPafIxi3bKCIVj0Dq24wtWaY0SVsJnsGjPLcDMa OGIO8vZ1HJsCRuHCPzX7VZP6AaohoQs3Xwq29w//9fz0x5/vN/9xU+fF/BzcepAKp1j8EbR0ZKAm DVgd7TymaQcjapXJORrKlJr9Tr8y58h4CmPvE37LDQxCx8Ju4mc0VG0UgMh21kHU6LTTfh9EYUAi MwPzq3pHAqShYbLZ7b1El8dKFHv+7U49QwC60BbNRLqxCZmiiIfMkrOKWcUWfjsWgWozsyKa55uV bPqW1hHVJmdFuHOMc10WGEgKcInkOaEUhWwvQitWN2ESesQJbVCEbQd016galmbYKrCyYJF8lmIY zv5WxHB6vyZ4igMvrXsM2xaJ7+HpDPklb1tHGcoCHckfjNc5FaY3QkQe8zkoriXCndYvy/32y/fX Z6YMyj2VUAqRB+p7/kKUdqoH/+LYNHcfkNm/9bFp6S+Zh+NDd6a/BPEy27HJmi35ux1YEpqSEVAG yZr6gWnpatA7jHfoxvmyd51IUZlSPx/JbQm3wGjbfFB3ynTQ7TtUgnVrP2eedsdWGY785wQ+Ecy3 zToCYVTYlFJhMxvVBLYFD24z6KReveuThKmsC5tYlfkmznR60ZCy3cOKa8k5nIuy10m0/GRNfEAf yLlh6rNO/FXzkDNTpqrteWiak46x6oB7fZ3YVBfWwgyyC+Miwqt1Vh7tumWGee3h1Ww4vDCyQS6g mBT0lzDQpc4OcJjuAY5P0NUR+Fh33HYU2rpqR9wim2fCsT/hIkS8WatBjhAjxiaLEevgtmsPvoAm ZHqZpvepmOsLu9n6Y+T505EMhqSur8NJ2yyrVBCpIyTfpPZZK68pHv4D065FYxuZJYWfqX4uOW2s qktvChZUvlfHQzhypmOWoSbrM6ibK89UNOIjB8+Byb8dsxTTpgDLied7iflFzj0ZOD7pLnf7spV1 r30mEFdKNArUCJ6SlugPGFYq29icp4Jinno503jZGS1TkKEmdnWxIUwcz2cBrsldTdCj61VmhMrE DppXiZHRkbuWmFKaClMPASnzQxfuTf6qLao9dqm8gqrGslKLX3FRVefqF/N3VuOULfXD1FVdAvXN j3ZNht7S8bWBtfGsjhSvL/96B+PJPx7fb95fb+6/fGHbmKfn95+eXm5+f3r7CidXwroSPpNLrvKu VMozlh+2yPip2SDcn3d2sbrLTHcsotNtN+z9wLdGWd3VrtasL0mURKW1kLA1iaCuwQBsm0B9YC/m osvBmJ6Hqh+rwlxDm1I1I5ekTYKQYoOPluorDb5YVCQLLjhRzG1msfj2uKN4tHPOcAkcZqqA3jU7 Y/LhfeNQ/MSfHKs2YKK9iWgzVMVavvpfxidMVeK2fWzj/bn8JYm0StADB8g1P3eOVi1SlSSIBUdz MTojc/xDU2HS1QEQUbjVAI43sK7h7r3FAtTwe2n84IkvDXnDA71VAZ3Oh4qOtVurKWm1b/nhPeM2 Zt4VE0URhtGvuXTCAQN29/b4+P3hninMeX9cnudJA9uVVTrxQj75v/o4hwrYUbCPG5DaB4QSqxln qPlEr9YsF3xkGyX8vEZLBb1p1Tj6otrhWSxFHrEcVvmuql35L6HUHyR7yU+mLseQqrnwkh01XylX m0qbNVlHOVRJ4Ht2LxDirVVLkvmnFe6i1WTrjq5ZceaCG+m6hmuto6liSg5e6yxBV3YEbqSEcvZs XMBtfMfnmaGFMLHkWu034y1TuvITLbDEabcDC+Waqce1NcvRsXl6eHvlPuneXl9gc0jhJOkGRrHw 4qGaeM/N9+Nf2fmRISZZvV8pkmTi3o3g4qvhgY7tmpd8ji5/GXf9njh6DlwOL5OvnOWZum5fVGkz LKrSi8mTHJkuYkVJczPiIVA0ttSzdJsVS/wfSwsYP05Ldz2jIb6fuRG22XZlkcMfZvE28lG7UpUB zcBtFJlnApIexxFKT9THdio9wop+G4dZgtJjNN06jxPds/oMbYvAvLCwecaJ5i5lGxiMqF8LmYZx bapfK4AUWADWHmOFcM94Og9uDbLyREGN+uHSOGIfyx0HzNtAHf5YsrXHXKAUu0JQOVxVEwVoACqV QT2e1uiOgqa+fk9pYJqdp4pdLkgHlIBTYuiH1u5jhiLXdmlh2GAywUcbVuRL4KWBtZcDqCBp4F8f CUwNurbawXnyMg0bWEnBSSZKDyJ0Ki1pFvrY2xOVIUBqW9DxypYY2nz7sUnwWR1s/KfhNvQ+GFxL kJaJXp9awU9X5qF3FRpLGKtPQTQo9pDa5Ij6HlkDNoELCVNkNpoR14AXOOrIR88P0g8b2mQbP5nO cM3GjcWu80g32zYT22X4iXmaNAOpeTynAK5ScXjjjmdm8l1fv4ErMzfSCnAtFwB/KD30sOqVwBXp HP5YOqtbpAPOCD7GFhTZPUs89r3gyh5asAR/o7IBcCbMQUe6bACHAe7Jd2EZ4+TqnAMMITpHABJj TzwXbX8/1rFmDrUg1b4h4vDLgbgaUhrGEvY399N/LXnBOm/3LHTYSZ1e6NpXBOEaPaVNoMW1UoEE 02AlgE/GM4g2NAOjWLe9XaCRhMH1vTqwONyUrSzVRNHgnDPHSGgQW2dmM5BYh4IzlCbXNGrOkaK6 AIMgDMj1j+PUR+YaDgRIx2MAU7CRdYS7qfWRyXPckU2WYsDq/RXN/Qo7TIowTrRnLAyhbx5G6nBw QdVFjeFHM8N5HfPKyuQ6Q1e4ivziR3gD05AEQYpZ/qwsQpNESg0ItrfifncxxevcZLGPZgQQ1IZL Y3CIzFwiU/+aIgsMmC7HHQAjqzunoxMAIBFuVa6yxNfUFs6A9mPupvj63MFZruuJwJJd2ykxhgzT 7wTdtRpI9PqqDrFxPETb43Q8yQ2mYnA6Mv8BPUUHHkeuqbzAoLvxn5HPdZh5aIClhYMfSW2SPkDy BGpoGiOzFg+rhi7ndsA1jAUPAjgztOTItjDIkghAjM8C7dVr4IUjQBcYAeHvaJaZoicJ224S97UL 38n0YI92poTVXT5cO/wQnCfJiOVKcAwXW5SDdURZZ2Mb7ShQy43QcMCoYjqOVW0eLa6wmUuh+OwH 0h84jhRXucQRl1BVYRtHMeKaJvsxbfnh6B3TJ4ay3Y8HDR2Idj53PKAPIUCMvCea06bfHh/Amw18 gHgcgS9IBO+J0brmcD4ccSWJoz1ugMqxI1yXGaUs69uq1Wn5AV4Tm7SK/TKJ3dEIWA1U1v6kru8c meiHrqhuyztqiOLOIw3aXT+UlJryWeXvuxbeXTuSKMHHyM78DOJNddh9MAc/syzpqe/LZlvp/Y2T dwNuB8LBGmKsHDHlE+BTdSJ1UZkiWdL83bZT7O2dq6hnUo9dbwo8VeWZPyJ3Z/Ru4EZ0DrFVTtTr aE4aSzOZX8l2wHaCgI3nqj0Qo2Pdli2t2FjqDHqd991ZvYjgxLIwCW136gxat69gtOBU+NHrseBm ZLfDLZcZPhybbV32pAgMLoVnv4k8o48B+Xwoy5q6hIvBsa/yhnUR/C2kYKnB+NxRsQ254yGu9BIP pRgV1lis2FxMux1+P8Y5OrgOK13DtTnWY8V7pym6HTFVBZBuGMtbPX89aUc2q7DhoQ0ohYzXNf+2 HEl9114MiWw+qvMCJRrv1VRksdZ1JSb5nKJZt6Q4osX640BNWv4mPTe/qMkdta1YFfKV2gAb2YtZ PErA64mzkaXPAIdI2pclvAE02oyOJWksEuvfbD0rjRIx6X19NIhDY011e/BMQShqhMHlNGQYf+3u pLB1pVfo14bXWJ0whYdDXU/L0prP4eXx3j2jj4fhSEdhaukQfARtYOppqJf+XFVNZ0+al6ptXFn8 XA6dWfKZdq3Un+8K0LlcUwZl0y7ENj9ujUYTdPGqRv4ys0vq3jCymG+rEUVmcVOla1iLQLgd5hME 1rlXcNp3TEfQTBtMoeZHi0n1bDGE8EK44O6QV9P/5+zZlhvHdfyV1Hmaqdre1sWS5YfzIEuyrY5k qUXZUfrFlUk83a7uxNnEqZ0+X78AqQtBQemZfXEiACIpXkAQxAU9K0GaVB6fRIwDineTNOacwiEH eaNOddvmDtInQmpzAzyeX36Ky+n+Oyf69S/ttiJcJcDSxS7n9v1cgAx1WGZFRPyvQOiRsJE1gl7v 5vx6QZv3LuhdbF7L962o01V+yAXzUZ/kprI9uIERKb/FV96Cj5be4RPM7XZNjXLRTpSyVnxSvjUc 7NBtgsP+O+DkpgVMueATqUrKZYW7wBbky8PmBsPfbdfUfUP2Hbp0MGMlSwjD2uZzISj01rUcbxGO 2hgK15+xPkUKfeMY4ehVe9HIi/WTHNBeMO4QvN+e7oWosiyMNcqfOyVJktmeY03EVpYUWe56+oXl AHTGQGIV0AMXTsNALduE9nnWdSBmQh/X1UINByCJYkBZ6S5mMwboOaM+zUrPYoNTd1ivaYAj5Tnd 3nusw2nTBux47BHsc2uqxQaeHrinA86D8XTIpCPYVEmyy6iHlg6X/fbeu75rjpfpytYDPXO4YAez nZmwqBJJFX3D788S2eeHfmepx05g8ToT1Sm16y1YF0g55SLbnQfjQamjEBN/TxdbZ5G34KOYq4LD Zj73PXPhKPDCnOQYDnCxmDOrzPvLJE22K8de5tGozeiK6LPcWaJT4dqrzLUX5oi1CKW+NhijNDb8 48fp6ftv9u9XsIVeVevlVesL9/aEESEZWeHqt0H4+l1zWZXjhbJoPmp8njUw2NP9DWeqdyYBZt1Z 3tbchqpGC+SCfDcs2xGHMru+SzBvgMU6d9UtgQrb8OPu9ZvM3lWfX+6/GRsKmch14Nme3r/1y+nr V27nqWHvWhveLv1bSxyK0SuyT1ndWARbYLrEsImaAiS07VvYIkOMSqC58HUqrLvvb88YO1e6zr0+ H4/33zTLvjIJr3f6jagCHMQtnPagxm0tqBcFxZdFlk2oGinhLi5r9tqUkC0N3y+CjJOozib8r0zC pGHtWQlZBqVN12YexHmi8pqawxJs3ZTVJLJz8dKFdG6oeukZfrfpMtySU9EAlUsKOA+fa0CjC+O4 UvnrOcF+oEOnArSYn6gurzcRH1UD1v5Mo+TEy6zxfv1BRVRB9e83crlt6oOelRVfOVQNOcpJmEhv 3i8qLQvqYGXiDhGnkRxRjdLx8hSwidbcxyWwuXL56as6GmdJ77Hwhcq3c8xnALXcrcZJx2EaRhib h7q33kj4VB2YXj0v9kkbdOg9sil3yBbdRaLWYyIpzCYJywkoBvepW/eXLjYV/bqhGeGuaUPKsa1U s3vSERHRKbllURDcr3ccfVxqCvF0Fe31XEw43SlFD8JkxQN4vylEfUiLOqOpXSXYrLpN1nf/cn49 /3m52vx8Pr582F99fTvCuZGxWf8VadeIdZXcUjeaOlyr6EZ9iyKMA83pFKtawAkk6PafFITQ18vd 19PTVzM1fHh/f4Rj7fnxSFPvhTBstu/od6ctiGbGNN5XZaqM75dzn/gbuClUaub8DuN5wNofAcIJ aDXvFalX2qH/OH14OL0c7y8yN6NefV9HPXf10LctgJphdMDuDpo251eVqY+9e767B7Kn++Nklwzf TfKxwvN85usV/7qwNlYktqZPCiF+Pl2+HV9PRu8vApeX8SXKOOD2UegmSpZFb4+X/z2/fJf98/M/ x5f/ukofn48PsrnRxByAg4TLVvU3C2un8QWmNbx5fPn680pORpzsaUTrSuaBx3/XdAEqU/IRpAEU 3X85go6wHZvM3V+922vsmFXalavi3tAI2S1DUEnkRiwpfHp4OZ8edNbTgcZFLAvjHrinWIsD+q4s i4JX8O22KWwHogz5gwQGQmIluBx5KfCvstgmWxraWaKAIfNiDSJl+IepQmUIkFF5cZrzc11ip8xf WyasrsyZCjs8dg9JV98huDQIHY6/Ae+wRvC7HlysubKyoijxoubdr5BXhu9UaVzRd+B9CscaQ11g fr+MVRpjWgiuhAktSIcmVo99Y29yrije3KfDtvEShvuhdEaZi8oacvf6/XghyRW6yCQUMxTUpNkh bFIhYwDyMz1NshjbwOcE+ZzRAGk3eCc1cYrKU5gbInX9CdurfBWjM+LMsSUx1x9tJC/Sgy0MOqVk L8dDkCijTFPKw4NM+FEU5HDaEaIvcRnqYr9SBbSF6FJKC201NRMhXCndYhZwXi4aUXUdWAFXOZwy PJe6WRhIjzeZo1Q2Z7tKSWaz6UomBk8jiuIombMJRwyiBY0pq2NlIqDDhDO03iAnLwVrlKgRwQzH v+tky3Zr7/Ax0RhD6zgm2EceW/AS5B4SblfDrdIGGEue6/pn1cMrODaQlvRQmJlsGI/WS3Mf7cil 3Q0wlS17ERT9ON9/vxLnt5d7JnKoDIZzKLQzhoKUVbHUVwVUWvdxh4ZVjHdCGOwaFmTtz5Z0BDt5 j2tAv92FabYsiPK5i/F2yDfcAQmvkarwkC/1kJptMYc2JVEr7zyeL8fnl/M9d5lTJXhPC58ZsY1m XlaFPj++fh13Y1XmQnOIkY8yu5IJ2woTImO4relFvIlBgInVDpZdm0nbNG6MosZNWiWjuSHg638T P18vx8er4ukq+nZ6/h3VRfenP0/32jWhksYe4bwAYHQ41zu0k8wYtApc+HK+e7g/P069yOKVKN6U HweH9s/nl/TzVCG/IpW0p//Om6kCRjiJTJ5kesPsdDkq7PLt9AOV230njdW6aZ3o6mF8VJ58BdqF ZJlu8tRid8sqWatwFrOhSX+/ctnWz293P6AbJ/uZxfcnczQ07b23m9OP09NfUwVx2F73+LdmVFdr idm/9qtKRnxSpz71eLU+A+HTWe/YFgVCwL4zDS22cZKHeng0nahMKunuvtUDlRECFCZFuJ9Aox4U TgWTb4dCKPZNWh6Pec3wmSqwFqesa+pI3kCoaffXBU5XbfzP8XW9IoaDWtSFV+uralErEYLwwV35 tQTtZaj5Hggt9sybz6dfBArX9Tz+XXmFxW7jAw3eZ00XX9Zbj+gPWnhVB4u5ngWwhYvc8yyHaQ1a dUzI7AMFzHn4JSFJc9gXdDPYVN+04eGgAg5ysEO0ZMFK9c3CVRAZFov2CcUWrUGMyq5lDG+gouD2 eggEDa6F6t+VYN8ZkcpaBS6gnkQLeYdE4qYNAcgpshWeLXxoZRdi7m+p8Igg3AE5370wbjJXT0ve AkxXoA7MexMt89Ch0cgAMmNtIZZ5BPO1D8fMQOnZkGBo8qHQob44ceiy0i7MmyrWQ9wqwMIA6Km1 NMMvVbOr8czrRsQkaZgETBxTFY580nUTfcJ0b5qeNY9cRzcOyfNwPvO8EYAW1AFJtyDQ92lZwUy3 JADAwvPszhyMQk2A3sgmgjH1CMB39FaKKHSpA2h9HbhGADEALUPTPfH/r1ruJ6jyJYVlltUhnbpz a2FXfGQJVL9O+JMgirUCQFW1T7TIc2dhG8+O0QRnwVklAWI2p0X51uj5kK5gV+0DARklDwT8DESN stFcOI8fDBYxn7PbHyKMb5svyAXBPAjm5HlBbXMQMuNZz3yh21CE8WLmk6JSeToN9TQKYVM6VtPC hjoAGgQI5Q6jmNXcsmk50uKLgpLtPsmKsouzWxA75WDmkj180/DufhgssRm1T9kZme0b0HXkzOas vRNiDGMfBC04DYLCEJ9BlE8sh5MfEGOTdO8KElCAEboCQa7PWQGh+sbXg0vnUQmCQkMBM915DQEL 8gpqtdHoEQ3YfIsOT55sD19s1Yt6k7bhbm4YLrUYeR7co9Rn2qtIjFS6paSOAb43ahkwgGBDscRS vsyLWBla6S/X8i2LT7TZIWke9w46E5bDK64Uhe3YLsdYWqwVCFv3TO9eCgSxLWvBvi18xx81A4pg kysp5Hyhi58KFri6lWAL86mlXVu0tGabKDwHybmhswDzPWXRzKPTcr/ybWti+bcKoKYb0H96uyjz U8PZUs8Sj5JZlcBulyVMmdobrSLg+Qcc54ztKnB1przJo1mr8ev1A/1b6mz07fh4usf7t+PTKzno hXUWgvC5YYzTFSr5UrQ4ViBL/EAPbS+fTSFMwoicEUUioPng0vAzihS8hjuKXetgojskejxV6NAh 1iUJ6lMK/XH/JVgQg/dRnyjPxdNDC5D3ayruIvFh7GQ7Jb5T3mCgB6l8MJtny9fnRi7aIrqLDqU9 EmX3ntkmeQQQZf+WapRx+hgIlJPCoEQYFUxeq43G8DgyuAaulRTbe2a1XDBmrZrvvDjmWT7RlAPE ZY1qERGQG3Bv5tj0eeYbzwvy7C2c6rAMRWJUiHC+Rm/hVrQIy2yt78yqSZHK8wPaJHg2zQg8f+HT LgfYXJeX5XNAn33beDbbBcIc36T53KpMWjZ5LUhermUIaQEftjguC0yooX1DLGYkogZIHTY5b6AY 4uuG5rnvuOQ5bDzbFFO8YGKfAylhNnd46R1xi4n4urBTQLutwEFr6XcoPG8+ucECes6fKVukT882 ah8yImZqdhTvrJzeaOfh7fHx5xDumZgKEFyb8Oz4P2/Hp/ufvVnGf9BuOI7FxzLL+tCz8kphjZYO d5fzy8f49Hp5Of3xhsYrhn2IZ8YKJLcSE0XIMspvd6/HDxmQHR+usvP5+eo3aMLvV3/2TXzVmkir Xc1cNl6FxLRBttuG/NNqhkxB7/YU4W1ff76cX+/Pz0doS7fZGuoUK+Dv+hTWZoPWdzjCOaRuxif8 r6mEs7Do+gTYjO2iZb62SdYa+Wzu3xJG+NOqCYUDBwCSq6yHGTnMBrihF9J2y/VtVRxc1kaj3LmW LiW2AHY/UsXgxTuPQme2d9Bowd6hB8mkXsNZhFc5TI+5EiaOdz8u3zShq4O+XK6qu8vxKj8/nS5U Hlsls5nBXyWI1zOghtiyzdZRJJ/8im2FhtQbrpr99nh6OF1+stM6d1w+h+qmpnLeBg8WFuexARjH ojF3iGslZr6oWSfqWjj6nq+e6fxoYWQSb+qd/ppI5xbNwoUQMyNC10FmZygWDAzugm4Yj8e717eX 4+MRZPk36FyGB/AazhZHl7QEzb0RiEreqVrJmhY1bdcyu/BTZlEXIpiTjJQtxFzQLdRYztd54/Nq jf0hjfIZMCqtbB1qhuwhOF6KQhLgBL7kBOTaQEeMi+1QYiJ1TMsOMpH7sWjYsX9nlHWmgoNEM6/o 0OHiQLmVyORV7IbxCdYAL0eE8Q41NPpcyVzLps8YVotwkzIWC5effIgi4YxCMXcduoCXG5sPD4UI qlOPcng5mDCdAZzLKV4AQfwPI/RS9Oizr6ua16UTliQItILAd1uWfm/zWfjAAsKMOqt0pxuRwbZp T8Q0IkSsi6hE2Y7WUP0agNapYcqKTS3ySYRt7owWUJWV5TlkILK68iy+c7M9zIJZxJnIw54Am4mh ukOIdijaFqFNghUWZQ0zRuvzEponPVYJ/7RtErYZnmeUn9bXrstHb6oPu30q9O7rQUaQwx5scJ86 Eu6MNcGSGP2aqhvOGsbM88leK0EBp6NEzFwvBQAzT4++thOeHTjaXc8+2ma0rxXE1T5zn+SZbxFF hYTQMIf7zLdZFfsXGBqnu7Jr+RPlJcrf4O7r0/GibkZYLnONYck4loAIfee5thZE7dpeseXhessC 2Qs5iaAXT+EaeBx/iYbUSV3kSZ1U5C4tzyPXU/4FlHvL8nk5r2vTe2hdDDSmzCaPvGDmTiJGwecM 9ET0uZaqyl2iVafwqbJbLF/0bZiHmxD+CM8lVubslFCT5e3H5fT84/gXUcpI1RTNhkEIWwHo/sfp aXqe6fqxLdq59aPK8jGNXF2A96kJJzZmpnZZfefnefUBLeafHuAA/XQkcTPg6zaVMsdrtXaT4oHM blHtypqj1OhqtMhGQ2uiB9Tn261YCb669ov4drcSwxNI8NLv9e7p69sP+P/5/HqSLiWvppZX7n2z Q1mYQWVIfBKZHuiADsMJ5Se/romcgJ/PFxCJToyBgefoHDQWwNT0O+Kw8Wb0KkOCAk74URjtyg/1 OZZNrgkQZLvTqiHvHZxt2fypqi6zyUPVRA+wvQMDSs8FWV4ubOsXJ036ttKdvBxfUQ4dD3u4LC3f ytc6Ay4demzAZ5NJS5ixv8bZBrYl7oQelyCf8qy7iy7XYUp6sk2j0jZPr90QlJmtB4dUzyYLbKE8 6wOkq8oY6IXns6I0Itz5aDsw2q9DWf2DwpiSiTezeEOtTelYPnc8+1KGIB1rqp4WQCvtgF19nZLL nA/DCeMJfYW4/V+4CzN9hi5NkPfaSXf+6/SIJ2DkCw+nV+VjxpQtJWOPVRBnaRxW0i7zsKfLfmnz p4PS8GisVujyxt7gimpF1fKiWbgTaxpQHjsNsRDCUlCCc0dagV5M89zMYqLj9yPzbqf9Y8+xBVET oCeZ9U88ydTWeHx8RrUsZSDD6OHOYYWw1yU5l2MSFfaLgDLxND9gzLm8iIpdmY3ic7W8wSxwGPys WVg+K8orlH4fUOdw9vONZ3I/UMM2O3FOkiiH1TqGjWsHHvGl5HpqKGtbL/k5kScYGZWby3pucnhQ 8oDedAROeWQjTiWuq3VTSPnKTUQBmOZsVedm0e3YThQu4/W45jsyCA3raSO/oHOyUNJg9fnq/tvp eRwKFjDoyUD0EtDElA3+FcbogwCvaMfhVER7lFeoQNw6c2Qpv/xG7embU2Ka5CUNCyd9HGG3j1KH Zw1JlUKj07KISO4N2AKSmjVAV5hlFeWiXrY2AISZSbySwtY37GxSJBh//1ZEVAxWbHlzeyXe/niV RuFDf3epFJW/3Rh4yFM488SGO94yyg/XxTZE610Hydgm4esYzHQL4nldVBVvc61TxaQZOkakIFkT dRXBhhkbexBpcIqneRPkn7G1tHTMaZ2RT9SQZRMenGCbHzYijcyaeyT2AM/SSYf3BaOxO0l7Fde6 V0muL1l4aCO1aQLoEtYfb/BVsZkXoIFks8NnxTvgiHFTpTVvWiHJrnfbtJbuPBMFo40rcfcZnIW7 1buNqyKNdXbZew/3m/1yu4/TnLChZYYh/PaHMk84XzCMFaJ7GMKzTNxOIMta83slD8VKFqyNQqjZ lG33BCcfex5MgWi7JeKQNF2hKqPh6qrk5urycncvhSaT9Ylaz7pb56gCrAs0QEgjDgENOhAfVUTJ MDSsHi1HD6Qq0kMKkTdb7CYJq3qZhBNZHQfCVV2FET93FKOqN+y6YLpgeBM9xJnWr4R+GyFSldoa Zse20GMlI0aFCzXCzGkIEolTg4cyEqveKYgUfNhqiVom6AJACysi3bYQQyGCmNMM2nxNMTL2Wcp3 aJa2ni8cPbKIAgp7pruoIpR+IkJa30ZODTNyNSrzQ0HjMwsjmfWAyNKcF1SkwgP+3yYRmYkg3m35 oK3oJK81Wvruw0DqrTb8bZRBwgkjKElWqnXYPsRzApwRgJOVYSX0LRVAaZGH5AuTpnYAwTQLMO6B ilgtCFUjKQxBlE2/Bjt+tKtIEC/AzA46v5CAnUgw96dsyIh2qGmMmqhgFJtIQgeuzX3qp2VMTlT4 PClLQtX5MgqjjR6SKUmhpwGjf14PBFI9HKtGfGjCuq54lP7pmkgzEHQdwH2Q0ZRPfFd+ot04fP9K TH6+fAf1ihg9Vaui6arsS0FIG+XpsOdv5JHk865go0Q1fJsRTOMQIKTYZpjzWkTVjj9ZINFNWPGp gpt3vhdEAsf4sCJSMO5er65G/dDB+GUzJpPTRfKRtTm8Y+JqtwVRDyb37eTsVrSjdaHAoYD5xIky Qw3J6rAH2XelrbRtmvXd0i0Lx5hzEoAzhSMzJ34HZga8Q3ETVeJUf7HDod4NYdOB/vwEHNkIcd6V jbFSUBs1Upa3dF+KbSIpeX7Acimc9yb7VDAVGBn2Gj7QGQYsw3AA1+mWDy8JJYCIX92WY+X+QIFD xvKGlVCRzTRBzwSkCiD9TEl3heOgaC1KrmKdVgIw0ox0E5e74ipkvfLKCl34FT0uUaW1IsV0k5cA 6yohEtvnVQ6MhlOdKYxjFBDV2niFu7pYCbo/KRidvnK70gDRTmj7QBtBzWAXMBRZeGvMnjZ81f23 o7Z7r0S3sWhDKUFj/jRIFojHScNHRm/rUPXFH6oi/xjvYyk+jKSHVBQL37eMD/hUZGnC8YgvQK93 xi5eda92lfMVKh1/IT6uwvpj0uAvHITZJq0MBpILeI9A9iYJPseJWvaY46cM18m/Z+6cw6cFBkMQ Sf3vf51ez0HgLT7Y/9Jn/EC6q1e8xYP8AGN4NVXXiHEMYt17PaBUFK/Ht4fz1Z+kZ4ZTd1VEPFP6 v8qOZbmNHHffr3DltFvlmbEU27EPPlDdlNSjfrkfluxLl2JrElVi2SXJM85+/QJgP/hAd7KHlCMA TYIvAARBkDDePAj9TM83spBZrPeUtYETGT5SIvJqFsxEXKC7Q8yMFDT4p1NwzbbeZVLbnQe5ysGo EhtyzIKQWCbZQqfS6pTp3JqPNYjmPRcUo9C8AdUgG21ShWIiOTvWC4xlHtTKLB9bQBGGyRLkGxUI clvOhGfoKKJaSoEpXLBz5z2VVWWKDxVZxTd60iyOmsYLg4CzZSx0W1k/Tb6MGRqdgpFVBM+sbGbt 3PGFKV0t0So0I8UgsWztlg5kb5brlwevU6NA+unwSNDBHYyicLcXsf4MGPxoBIMhOTR0I3qqc/2w zMBYDw+auE98ML1BdNXz+qhFxAfuW0S/VB13w9EkMQMrLRx/tGAR/Qq37NVIi+S8p9evLi8GWOTu fFgk1z0FX3+87C34mo0DtD4f9xV83lfl1SerlaCScS5WVz0fjMZ6fLiNGtn8i9wLuPNivaoRz8GY B3/kwT3NuODBlzz4Ew++tlvVct43i1qCHrZGFl+LJLiqMrsagnKpohAZCQ9kZaQ/StaAPYkPhnBw MKPLLGEwWQJbcras+ywIQ660mZCh6cVvMWBZ89kOG4oAWLQSX7s0cRlwmsBoPMtzUWaLQH9TDBFo funM+iHnhizjwFMO0M5tr0BVjCl3wuBBvWjVZGdmDTPDt6auFG4e3/Z4GO0kmTYfD8RfsGW+LSW6 8Wzlk8osD8DAgf0OEMK+Z8bZQwW+8ST9puTOzlSbvhrDfAjgyp/DxlKq5/RMvlRG68BrUVpwXG0M +ZHM6dysyAKPzQ3vaMX2Wzw3ERPYus6TZJG7BFMG1ph+DMbInd7zWbWaZhGDToX+PmaYR5hiIwVT NKbU8DeXFxcfLxs0ZVyEPYAvY6lSzHtJek92nWffL3fI2GMFgZYzUkQw7eYyTHUnLItWHH/44/B5 u/vj7bDZP788bX77uvn+utl/cJoHUxfW1oodwRpHSVMxjQW3RhxiP8hx5AYL9CUlbeC94TaxuPOU tfwrtZP/BpYMHuGg/7qUN2e9xHngwywjO7qaBEV+cz1EOoaJrFahymE2vrjkuI6s5K42QZFEyX3C TDSFwAAIyl6TFrBGi+z+Znx2fjVIXPpBQelmR2fj8z7KJAKiNtcXkOPhfj8X7R5kUgagP1DEFYUV AtR+I1KYHVHPMzXd5giqTAPuIbeWBGNm+Ykjpnj+zubh1SrwFn4Cew1YpGwpOkElRRby/lByqBEd bnFliEcKHor8mD+O66Fnna7DnxAWhAJo39DyTg6V1jgVhha1Q6MGmm2QQ2vdje1k4c0HvGD69PLP 7vTH+nl9+v1l/fS63Z0e1n9tgHL7dLrdHTdfUNmdHjbft7u399PD8/rx2+nx5fnlx8vp+vV1DQJq f/r59a8PSjsuNvvd5vvJ1/X+aUPhb52W/Ff35tvJdrfFu0Db/67r+7DN3tAjrwP620AAYLgzTPyU HjzWk6VyVPgeoe64BBAIHhgpHHpzMFoUiPam9J6zWoMUq2AP+oAKk92homj7X09w0FBMwZwyCbrj SL5jGnR/v7YZCWy7pKl8lWTKz6x7MdB8wO5STqT9j9fjy8njy35z8rI/UdpGGxQihubNhJGNQgeP XbgUPgt0SfOFF6RzXaJZCPeTufHSrAZ0STPdi9zBWMJ2J+8w3suJ6GN+kaYu9SJN3RLw0MElBZtY zJhya7j7QZn3UzeavbLeIampZtPR+CoqQwcRlyEPNI5Ja3hKfzmXj8LTH2ZSlMUcLFqmwJ7gmhrb ZiZUDtK3z9+3j7992/w4eaT5/GW/fv36w5nGWS4cDnx3Lkk9WKGFsYSZzxSZR+4AgUC+k+OLi9F1 w7R4O37FwPTH9XHzdCJ3xDneEPhne/x6Ig6Hl8ctofz1ce00xfMidyQZmDcHw0eMz9IkvK+vqNk9 LeQsyEfsHb2mQfI2uGNaPxcg3e6aBk0oZwEarQeX3Qk3xt50MjBjCndGe8z8lXpkWA0LsyVTXTJU XapYNIErpj7YRC0z4S7leK71sdXD+KhrUbqjg6eNbf/N8VW2nu6LhMvcnAOu+J6+i0xLoLlfsTkc 3coy7+PYLZnAbn0rVhxPQrGQY3dgFNztVCi8GJ35wdSd1Gz5vV0d+ecMjJv2UQDzl6Ic+ajBRmZE /ohNpNOsjbkYuRIAltzFJQe+GDE6cC4+usCIgeFp5yRxddoyVeUqlb59/WrET7Xr3O13gKnEyhY4 LidmTocGkXlszv5mdJPlNGCng0I46Z+a4ReRDMNAMDV6Ii94p7RGwGb4r+U70+op/XVX9lw8MIZL I0IZ5vDx7iGxmaUqi6w9tO4cLaSrR4plwvZmDe86Uw37y/Mr3mMxjeqmE6ahKKRTUviQOLCrc3eG hg8uxwCbc6LmIS/cN4uz9e7p5fkkfnv+vNk3eW04TkWcB5WXcoabn00oL2HJY1hxqDCcBCEMp2MQ 4QD/DHCngPv6JL13sPQkIWcgN4iGBbuvWnxj7fZPppaU6xodCQviztVOLQVrm7dYGZOlmEwwEtZ8 KL6VQbxHR7PD0W1nbzC+bz/v17DJ2b+8Hbc7RsVhhgdOQhEcpA6LqNVJE5jPsKtR9TONRGqVayX1 kfCo1rwbLkG3Al00J6sQ3mg7MGDRgTUaIhmqvldrdq0zLEWXqEexzZfuMpJ39W2bgDEmOixnbHdY rO/snNMLFOUcwHpcVV4c4/PWQzrgDh2MYR644g5x7WMVLgodWCsjebZepmdFH+n8R2EyC7xqtuKO l0V+H0USHezklC/uU/1wu0Om5SSsafJy0ktWpBFPs7o4u648mdU+f+mEA6cLL7/C6Ks7xGIZNkVT NvflJ5DHeY7HhjwWN3H4sd5HeTBD53kqVYwbRRXW5xFuaBTmjfmLtkgHetP5sP2yU/fJHr9uHr9t d1+0ewIUNaIfnGRGFJmLz28+fLCwclVkQu8x53uHon4Z4+zadCsnsS+ye5sd3tWqSgY55S3CIC94 4iaa6hf6pGF5EsTIAwXXTW/azDl9ojgTgX9Zpbf6cDWwagL7dNCxGeckx7hbkQFtPNNFGF4SM4Zg AgtW4lOkWrc2l6piidFVgR5f4SWZr4syaEckq7iMJlBEB1bnXPqNsvailhe00e7tEvVg3QaFYZZ5 o0uTwt2MeFVQlJX5lbkfgp/tgaIDh1UsJ/dXprDQMHxodE0isqXouY6kKCYBfy0FsJe8vW4qVE9/ SDyYuDtAT4sksLd86hzD0TswGfwkYvsETMw22taE+tKFP6DiAZPCtGAflMK0oGDQMiUjlCsZTFiW GgxbHs7zBwYvQ05gjn71gGB9JihItbri9jE1ki7IpdxngWDHuMYK/XC0gxVzWEVMYfjOJJvXWaEn 3p9OaebYdi2uJg+B7tvUMKsHFmxsM5o1rJ/BNmKkjvptFWGeeAEIgTsJTcuEZqDjWQEIAP3WmgLR hQRDMCDceAuFAiHxHp96Sby6PIdlpvFNrx94ocjw/GdOuwMTGydxg6CzZ727qVy8Xtn/fDSy10ld TQ/NQtUp2gKl6HfUrKIojfcC07LKjGb6t7qcDBNjEuBvNiiixsehGbHvhQ9VIfSEbdktWplaFVEa GCnd/CAyfsOPqa/fQAz8KkOPZKG/czNNoA+718I1aG4RXb1fOZCREZFFwMv3ER+GRthP7+xlesKl eABZV2N+JUBfxYjpLzgK4qA6f+efZ2wY46P5CDs6ex9xjtq6f2K2rQAfjd97EvcSBextR5fvPW8V 12xx1eZ4cTfRR1tGOHi6i6fEQ3FY3IDy9L0zLS86YFsK/cYqgXyZJoUFU3tLMAnwvZ8uOAAWpbW2 MHAlng0H9zhGkC12giSTxsJpEErv0FXWoI78pfuR5hloY5sS9HW/3R2/qRQWz5vDFzd+yFN3TzEa IMQYi/Yw6lMvxW0ZyOLmvOt5ZYg7JZzrdmY0SXA/IbMsFhEXN62CauEfWGmTJDfSGvU2o/U/bb9v fjtun2tL9ECkjwq+1xqtHVhjbegu4OZ0BizSZRAzlAJGNwWZjzeP9RjxTAqfnBci16W9xHiJHOMi CiOwWlWdq+tAGKAeicLTrBobQ4zgFTNjM6NKUUEA0zJWn4gwwGxqY+60gWb9UsCKUs1LE7oVo0sx HW7zq2pSgeT4pFda6gP0y0NAY0BeuO1jM2f9zee3L/Q0drA7HPdvmKRTv4QrcDcLOxU9q4QGbM+2 lQvpBgQVR6VyQPAl1Pkhcgycw1fxus1Z3fic6fom+L4vkL0lw1NQoozwEm7v1G8LrGMHWuuAjAsY vMXMn/TBq9sVPo6WLjTZVdO3DBFd3dR6UbOME51znc9EL3xujpWTXBg33AgAO1HB5aOpIyoUzQQf 49R3YDqSbCuHhP/w51/k82BauFz6wZ0TbGGRlDGsdW+O02yAKpngXT/anA9QTUB99XUhTOUycjmk 5R3xSTsWHlIsvOSummTJQhpxHr+04MzZqKKMbCmA12kajVMHj7SFdSuWwrnlqsDnPswbj6oUxJMd yXYPfZ0s4560foQGIZUnseWocOrAy6OW2idbmwiWK7txatyYpV4jhuxTk3Bq7BJMHCUuHKgEI0t/ WkHmlaRg+ioBEY0GeH3rv4+q9rk3+npks5SHglvlJHnqWQLGSAg6wa7hZ3C0yciAUy6s0eXZ2Zld e0vbxi5NpwOCtiWnCK3cE3x0Vd0yMutKtFt4vxjsa/yaSmImE9zm9CnVbk7dQaNmhR3M2uAG+ImT KCorZcDyUrdef/QKJ4V2DVDVChq3cezZgxKtC4ESw/XaKyxOQ7Rl44Tud8Mo0W5U+RPsALJOBlhG zlylglKH/kh0kry8Hk5P8FmHt1dlI8zXuy8HXXjEoJfBmEmS1PDoaeA6TndkInFlJWXRhe9i/FmZ Mu+85cm0cJFtL7bRyzoh1cH0Zj+xHU2sqqrmJXRrIXJjcagZ3qLatozGZxxfHeHP2bJoba6Wt2AV gpnpJzN9ZIdHS11KAEPv6Q2tO0YHqBVUn8d18YLMJ/bsxaYvpEwt6a48yBjo06mvfx9etzsM/gEm n9+Om/cN/GdzfPz999//07GiQmex7BntzuzNfJold+2Vd30eKEQmlqqIGER/nyOdCPCEsld0o9en LORKd1PXq6R+ot5Rtjz5cqkwIJ6TpXnXoK5pmRt3WBWUOLS8NxTeLFNXWNWIARkjiiRC+zmUg2R1 r6qj5lp9cjKJuINliE4ky6/Xtbdz52qCemp8xjujc19VsBRBwV1Pbbbm/8fsarijnEvofZqGYsao 9QbDX9XwFvS91ljc62HYbxljiAcsIeXNdhSp0uFufTUCdAkow9x92l6t62/KEHxaH9cnaAE+4ikO s0HGM6F+EwuxzmSeuTypmz9g9bCjQxYJmN+iEHjugtma+7JGDzJv8uFlsg6LzxsFBEYTa62qVe5p 0R38RESji94CZOD9X2ACk76vUEmTd6CV9+ORUao5ORAkb/VkKk3yUKNldveDhFe794zMgoHVqjKD gOWOfibWDUsZsoEp/dFUNAdbF8QwdgY7wXkPjVpJEdms0Gt4BGeRYCJF6iykJD+FJuVUoXS/xeo2 VbBnilhy1NkvgtPb20RvHB7CnwI7JV8G6I+x2deKqnfw+VL336WwG4hgUme3/Zwb9TUOP7uimpBx R1stRuWPM0orunOqmiPFm79k+A4QQFvA2pkyJIb6dwZ6GYrCgdYjX4+uO6R5DHbsXPfKWojW4DX7 fQKiE4YL1jed99tXMhq4iGPMVI8PxNMHdiITmxwm4CBhkyeRHpXsS8iX38fFXM03/g4m9oiajip1 kM55N4sGz8b1eamf5pjlQC0ipBMfbB5TzAx9Ck3r7ZnWjJ1z0togCgGCMa1svd2tNJPmJ82wimMo 2gx0NP/pkXD95qeI0lA6AE2dkqbYbw+Pfxu6QvexF5vDEe0DNIe9l783+/WXjXYhF3O9GW43Sv5W 5/fkPDdtcjjNdUcwuVLc2Z2nsCQJe8ypRuOiv50ecOiyT9UUyZRkbD91RxrLQmV2HKRy81y1iCBE T4IJUQ4ayx61ymjvuVqfRmIhm1vOForeclCq1ERM0YTsr4nz89o0nb2GS4XPptg6BNAT52yqYSuN i0mVkWoNr6m7EUay2j2Dh9QiQ39Xjz8WafGUISvRN2j7ow0qUEEik0J5Xc7e8cUbbX+ZgeYlraE2 OhTyylYZyaj3fGtwkTg3y9Rp1f8A/+5OfjEnAgA= --===============3181275202190019604==--