From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============0822500697175540629==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: [hverkuil-media:for-v5.14j 4/9] drivers/media/i2c/mt9v111.c:803:11: error: 'cfg' undeclared Date: Fri, 11 Jun 2021 04:42:15 +0800 Message-ID: <202106110410.3aYlVG7l-lkp@intel.com> List-Id: --===============0822500697175540629== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable tree: git://linuxtv.org/hverkuil/media_tree.git for-v5.14j head: bddff7e40c01e2f4e32e24f9ea37ce09b0ffc71e commit: 5253e85d5fac07a883136fd2fccf8a101a4f49b5 [4/9] media: i2c: changes = for subdev-wide state struct config: i386-randconfig-s032-20210610 (attached as .config) compiler: gcc-9 (Debian 9.3.0-22) 9.3.0 reproduce: # apt-get install sparse # sparse version: v0.6.3-341-g8af24329-dirty git remote add hverkuil-media git://linuxtv.org/hverkuil/media_tree= .git git fetch --no-tags hverkuil-media for-v5.14j git checkout 5253e85d5fac07a883136fd2fccf8a101a4f49b5 # save the attached .config to linux build tree make W=3D1 C=3D1 CF=3D'-fdiagnostic-prefix -D__CHECK_ENDIAN__' W=3D= 1 ARCH=3Di386 = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All errors (new ones prefixed by >>): drivers/media/i2c/mt9v111.c: In function '__mt9v111_get_pad_format': >> drivers/media/i2c/mt9v111.c:803:11: error: 'cfg' undeclared (first use i= n this function) 803 | return &cfg->try_fmt; | ^~~ drivers/media/i2c/mt9v111.c:803:11: note: each undeclared identifier is = reported only once for each function it appears in vim +/cfg +803 drivers/media/i2c/mt9v111.c aab7ed1c392703 Jacopo Mondi 2018-07-25 791 = aab7ed1c392703 Jacopo Mondi 2018-07-25 792 static struct v4l2_mbus_fram= efmt *__mt9v111_get_pad_format( aab7ed1c392703 Jacopo Mondi 2018-07-25 793 struct mt9v111_dev *mt9= v111, 5253e85d5fac07 Tomi Valkeinen 2021-06-10 794 struct v4l2_subdev_stat= e *sd_state, aab7ed1c392703 Jacopo Mondi 2018-07-25 795 unsigned int pad, aab7ed1c392703 Jacopo Mondi 2018-07-25 796 enum v4l2_subdev_format= _whence which) aab7ed1c392703 Jacopo Mondi 2018-07-25 797 { aab7ed1c392703 Jacopo Mondi 2018-07-25 798 switch (which) { aab7ed1c392703 Jacopo Mondi 2018-07-25 799 case V4L2_SUBDEV_FORMAT_TRY: 484f9b372dd8da Jacopo Mondi 2018-08-07 800 #if IS_ENABLED(CONFIG_VIDEO_= V4L2_SUBDEV_API) 5253e85d5fac07 Tomi Valkeinen 2021-06-10 801 return v4l2_subdev_get_try= _format(&mt9v111->sd, sd_state, pad); aab7ed1c392703 Jacopo Mondi 2018-07-25 802 #else aab7ed1c392703 Jacopo Mondi 2018-07-25 @803 return &cfg->try_fmt; aab7ed1c392703 Jacopo Mondi 2018-07-25 804 #endif aab7ed1c392703 Jacopo Mondi 2018-07-25 805 case V4L2_SUBDEV_FORMAT_ACT= IVE: aab7ed1c392703 Jacopo Mondi 2018-07-25 806 return &mt9v111->fmt; aab7ed1c392703 Jacopo Mondi 2018-07-25 807 default: aab7ed1c392703 Jacopo Mondi 2018-07-25 808 return NULL; aab7ed1c392703 Jacopo Mondi 2018-07-25 809 } aab7ed1c392703 Jacopo Mondi 2018-07-25 810 } aab7ed1c392703 Jacopo Mondi 2018-07-25 811 = :::::: The code at line 803 was first introduced by commit :::::: aab7ed1c392703604fbdc5bd5005dfb61a0b32f9 media: i2c: Add driver for = Aptina MT9V111 :::::: TO: Jacopo Mondi :::::: CC: Mauro Carvalho Chehab --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============0822500697175540629== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICCRuwmAAAy5jb25maWcAjFxJl9y2rt7nV9RxNskiSU/u65x3esGSqCqmRFEmpRp6w9Nul337 pIfcHm7if/8AUgPJgso3C6dFgDMIfADB+vGHH2fs7fXp4eb17vbm/v7b7Ov+cf9887r/PPtyd7// v1muZpVqZjwXza/AXN49vv3z2935h8vZ+19Pz389+eX59mK22j8/7u9n2dPjl7uvb1D97unxhx9/ yFRViIXNMrvm2ghV2YZvm6t3X29vf/l99lO+/3R38zj7/Vds5uzsZ//Xu6CaMHaRZVff+qLF2NTV 7yfnJycDb8mqxUAaiplxTVTt2AQU9Wxn5+9PzvryMkfWeZGPrFBEswaEk2C0GatsKarV2EJQaE3D GpFFtCUMhhlpF6pRJEFUUJUHJFWZRrdZo7QZS4X+aDdKB/3OW1HmjZDcNmxecmuUbkZqs9ScwXSr QsE/wGKwKuzXj7OF2/372cv+9e2vcQdFJRrLq7VlGqYvpGiuzs+AfRiWrAV003DTzO5eZo9Pr9jC sF4qY2W/YO/eUcWWteESuPFbw8om4F+yNbcrrite2sW1qEf2kDIHyhlNKq8loynb66kaaopwQROu TYMSNCxNMF5iZZIxp7VwwGGtlL69PkaFwR8nXxwj40SIEee8YG3ZOIkI9qYvXirTVEzyq3c/PT49 7n9+N7ZrdmYt6ozss1ZGbK382PKWkwwb1mRLe0Dv5U8rY6zkUumdZU3DsmW4mq3hpZiT7bIW9BrR ottSpqFPxwFjB1kt+1MCB2728vbp5dvL6/5hPCULXnEtMncea63mwcENSWapNjSFFwXPGoFdF4WV /lwmfDWvclG5Q083IsVCg6aBoxYIqM6BZKzZWM0NtEBXzZbhqcKSXEkmqrjMCEkx2aXgGpdsNzEu 1mjYZFhGOPegwGguHJ5eu/FbqXIe91QonfG8U2CwCiPV1Ewb3q3KsL1hyzmft4vCxGKwf/w8e/qS bOhoVFS2MqqFPr0A5iro0UlHyOKOxDeq8pqVImcNtyUzjc12WUmIhlPX61HSErJrj6951ZijRDvX iuUZdHScTcKOsfyPluSTyti2xiEnWs4f1Kxu3XC1ccajNz7ubDR3D/vnF+p4gPVbWVVxkP+gz0rZ 5TVaEOkkdtg6KKxhMCoXGXE+fS2RhwvpyqImxGKJAtWNldz5g+EOJqgukrlzKLJ/uD12M4XPaJpD v8jX7SOpdLp2yPHEjQYaUnMu6wYmWVEasCevVdlWDdO7cB064pFqmYJa/bxgd39rbl7+nL3C2sxu YFwvrzevL7Ob29unt8fXu8evyZ6iOLDMteHP5NAznjsn1yOZXhGTo8bMOKhxYG1IJhQ1BFGGmogR 0YyNGExSLgxioJxc7v9hrgHMgXkKo0qnncLm3LLprJ0ZQuphiS3QRmGCD8u3INzBKTARh6uTFOHk XdXukBKkg6I251R5o1lGjAnWtizHkxhQKg5K1/BFNi9FqC+QVrBKtQ4OHhTakrPi6vRyXEFPM40/ q8Q+ut5UNse1Djc0Gbh1AFbOyT2NN2IwHyv/R2BQVsMpUFlYvITGQV9cPYxIFSEpHOqlKJqrs5Ow HIVCsm1APz0bj5eoGkD+rOBJG6fnkWppAdZ7oJ4tYamdnu6Po7n99/7z2/3+efZlf/P69rx/ccXd ZAlqZKA2rGrsHI0XtNtWktW2Kee2KFuzDIzVQqu2DgxLzRbcawUeGGpAWNki+bQr+F/akp/HWFow oS1JyQqwWKzKNyJvggHpJmEfD6Evr0VO6YGOqvMQ6XeFBZyqazedtLFlu+CwKqTWgf01vDE0zVfP +VpkNG7tOKCRSbXWT4jr4hhdCkMZwmEIAG7CmSEIB0wEGpWqtOTZqlYgnGgeAYvxsKqXQXTIXNvk oMC8wcblHAwIgDlOeQqalyyAgvNyhQvlUJIOBMB9MwmtebAUOBU6T/w8KEjcOyiJvTooCJ05R1fJ 90X0nXpsc6XQIOLf9H5kVtVg1MQ1RzzqNk5pyaqMdE0SbgN/RBEGpeslq+Cc6kDpInpoAhDolYTI Ty9THrAkGa8dXHbaMcVrmalXMEawWTjIkZoaoKRxCZZTgOBHp8XAKUGn5Ci48ZJBcPSqAGbrYVvi /R2CtEiLBrrJa9VKijBgEEk/LwvYQk2fyWRNiB7nDFyJog1heNE2fJt8ghIKFrRWIb8Ri4qVYTTJ zS8scEA8LDDLSJUyEQiuULbVCbpi+VrAQLu1ppYO2pszrUWoxFfIu5PmsMRGjsdQ6lYDTzN6polf rR3GKqjz78wPBrLGQcBQq8xtTNBNJuuwUfDiPhKtQRs8z0PL4eUbRmBTr6jOTk8uevPZhSbr/fOX p+eHm8fb/Yz/d/8IGI+BBc0Q5YEDMOK1uMVhWE7BeiJM266l82NJ/PE/9th3uJa+u97cRt2asp37 vindomTNwLo75yuowuYEM7YUsymajc1hxzSY/w5Ap207O4o40Go4yUrSWiBixPgDoNacZl22RQHQ x0GOITow0WrrkCHw6kYwSr3AYWi4tOC6MozRikJkfTAk8IdUIcrEFxmgNyhRZxr9PnR7GsdFe+bt h0t7Hlgj+A4Nmw/VomrOeaby8BACOq4BIDvD0Vy9299/OT/7BaPqYXR0BfbVmrauo+gtIMVs5ZHw AU3KMNKNB1Ai4tMVGE7hnf6rD8fobIt4nWTope077URsUXNDMMYwG+GznuCVeNQq2/V2zBZ5dlgF FJ+Yawyt5DHcGLQPigsqry1BAymAA2frBUhEk2gWAH0elXn3FhyOINKA/lBPcpoJmtIY2lm2Yfg/ 4nPyTbL58Yg515UPfIFBNGIexjYci2kNRv+myA7qu4VhZQ9pI9kEWbVG1getOiHCgA/GLAM9WoBV 5kyXuwyDcaFpqhfeXSlBc5XmanB4uqsLwyru5RQXlmc+2ufUcf38dLt/eXl6nr1++8t73JFb0zV0 raCFPI5+9/IfzgBnVXDWtJp7yBuTZO3CgoHcqDIvROj6aN6A5fa3LEP3WNcLDmAsTQMd5JmLBQxn ksy3DewXygCBNSJO0DcYkq8N7WggC5NjO4TLMeAEU4BjHACTvuTQR+hEQmhB9+odASUFKCqA6Bj9 w3FqotvlDsQc0Agg3EXLwxgBbABbCx2Zkb7s0LIdsphaVC6USvSKE1iuUQmUcxA2UPhZFFhegW1N huOjtnWLoUOQ1bLpYNvY8Xp5fEBH4lkpa+/HD43Iiw+XZku2jySa8P4IoTH0hQrSpJzo6XKqQdAu gPqlEN8hCwpqdlQZzbcrpG+a5GpiHKt/TZR/oMsz3RpFg33JC4AAPI7XjdSNqPDSI5sYSEc+p5GL BLMy0e6Cg71fbE+PUG05sT3ZToutmNqEtWDZuT2bJk6sHaLuiVqAt6Y1U2dpJ06f0wcVziZjoC26 6NZlyFKeTtO82kM/IlP1LtbdCLNrsB8+WmFaGZNB8uMC8CO22XJxeZEWq3ViFEQlZCudgi+YFOUu HpRTSuBaSxOoEsFAU6KlsZFjjvxruT2wQSHWxKg2ev685BkVhMdxgBH2ixFErbpiJw4RzOwpYBEO C5e7RRi+HVqBg8hafUgAJFkZyQEuU120MiPLr5dMbcOLwWXNvWrUSRmXbYn4TDdZ5E9JSolUDioZ 9CwALM35Aro4o4l4ifkhJfUuS0oYC7xNMzJ2a1yhnBJyl6hgWS0SiQOfvCuMTozmGuC9D/fMtVrx yseU8AZ2ogeZHcAPKMKQcckXLNtNVxukJq2M0jGNJapMoMspSQzRt4DXrGYJiIlqXlR/JOLs8V3g 9T48Pd69Pj1HF0aBe92f/soFBB6mOTSrYxN9wJHhvRB5LxawOgClNiChD6NjNzHeaPvdFsAxD727 +AvZTi/n4f2vw3+mBgydeIggNnWJ//AwHtcoUI9z5obWq5wPq4nN0RwFCpr2MftRk4sM1A1o48mN B5020abDRGH3lcL7U4D9FNzzlIsITnaFlxcUJlpLU5cAJM+jKmMpRknJUfcsZzROHMnfbeGUxmqg S1RRgLt3dfJPduL/S+Z56Bown85lGpFRZ9qhzAL0HlQGTcUIX845JtNkZyz6lBZMhAgsgyhRJMse jmN6QcuvokHX4c29GzIaUfBXlMH4m27rNCji3BmQKgSvsu94ZPUNTMojJm3gHdfm6vJiQISNjsLH +I2uoWjENacDPNhYzehtdOtyGHIKahrJEtcQwGqdTtJrjMZs3bLi3n/HKxtZqyMoKODrctnGaHRB Y7nltT09OZkinb0/oU7etT0/OYmOnWuF5r3CFMkgs2vLaXch08wsbd5KKkmtXu6MQAsIIq/xlJzG h0RzF7PrpHgM5LqtwnsPDCBPrJyLkLgGwvht3yErxaKCDs/iQwlCWraL9Ip4FN6AgV5dH8z9LlsX ylrnhk6ky2SOgQPsmQpFgiyIYmfLvLFjVs9oeI4EQuIY2LLG44jxNR+GwYM5KA1vdJ/+3j/PwIjd fN0/7B9fXWssq8Xs6S9MxY1CK10UiXZyqaMVR4mw2UBZHXz15tLJgwGVoFZtGnKSoKGaLscOq9Rh aM+VdGFcZ66dqoWmxmjnqBOQ1+3mggxL+LbqTNsmUbJI0Hxt1ZprLXIeBtXi5nlGpY6FHCwd/Zw1 oJd3aWnbNCE0d4Vr6FuN4MeVFaw6nKQita+jOUdG84+2NiZpfnQ/PESaJMepVDExKZ84f0mDbLHQ IAlJKD/kbZaAgFiZtJ61BtxRmxs4NoUow/vgIW7rq7sIZFsvNMvToac0QmBoG+PmkAm8HaFcNT9C Ba4UnPupdREq9Qq8GM7pKJuvO3E5Ei4JOGlLdYRN87zF7Ei8bdkwjfaqpDyH8dyxmgenNy7v7lfj LpAwPYC8bmhb2i8b/J0mYA6KR+BFOUiMmLSytRwczD5PbVY87//ztn+8/TZ7ub25955GlK+F52Iq 84uoPTQsPt/vgxcMmPkVnZC+xC7U2pYsj253IqLk4fODiNTwCCdEtD42SW6gJ/VxzNCsDGMfwKLD Jinb9y2GT3F8e+kLZj/BuZjtX29//TlcYzwsC4W4cuKuHclS+s8jLLnQdHTEk1VZRyfKl7KKkm+k +ebCK2FhuzaCkqyan53Aen9sRXyHildU85ZS+N3lFTr+ASA3LA4nIGIhKqezwG+7VafvoQoVDgH8 sw35K968f39ySnGCb1tF97sOEO9MQefITWys3/S7x5vnbzP+8HZ/06OHGBGdn4WydMgfaxTQXXjr pzw6d10Ud88Pf98872f5891//fX7iJZzWscVQkun1jwYolJLNjYrugyU4BYrKO0hW3ghpBYlHxoP V7AjYXTCxW+cUTkIeDT7r883sy/9hD67CYUZehMMPflgKaLFW60j5xNvFVqQiuuDFNReDsA4rrfv T4OQA97HLdmprURadvb+Mi0FBN2aAVf2t943z7f/vnvd3yI6/eXz/i8YOuqMEVwmyNyH1/pl7K5q edXEackrf99IzOIPcERAqc7jwJZ/QAVezM6gf1ykr41SRkTcJGO4wO56wMXC2sq5G5j6liGGSTAr hqPxWVIjKjs3G5Y+PxIgJojRiavkVXqx6kvx5pEiqJou75pBL6Cgcr2KtvLZBoBoEdG5AF3yDgTY oryp8Z2La3EJaD0horJDxCMWrWqJpwcGtsoZLf8oI1k1d8sOoB0doS6/75DB8D7SMUH02tzKg0X3 I/fP2Xy2hd0sRcPjNOXhdtzYfFcx1Ebu2YKvkfCdn81Fg1EBm24jPsgDn657sZbuDkAXOHtV7u+9 OxmKzYTnM/zj1Mbh67rJisuNncNEfd5mQpNiC3I7ko0bTsKE1yx43d3qylYKtiRKC0vTqQg5QWCJ N5ou39Rf67saVCNE/33ylO6WCMMN1H5Gp/8INcxJ611u2VrwOcCx6FwATEEiyZhETrF0cufPic/c 7i6W0sF0yqITO4wjJhxdPX99MEHLVTuRyCEAGvg3Tf3LSWIxDM/QJB8hdTkukR71lEnPwNXGHSpB nJKmD5I6QqUbUIjGy0a51yvUYDeiWYJe9XLhcg1S4SFekqRnQKGMtWmGoC+WaXGv8yoMxKL6x4SZ eBPHvUAatoFGU6cTAJXQh3R5hvlmgbypvMUgCNoOTEnVByJtVNHg1ODwq023AIQSdJX7eBo1kyiN K2HgW1BopHaOaw13ZB36jXVQVmJKDqZZAE7Kgz4UvtQViy6sdH5AYIkRGjAk6lncUmo+Y/Bw5YWi C9GT8cWIpb8DPJaRCmdWwJntXrrqTZAbdoSUVve7S1anSOPkwM0tz8/6uGlnS4Z5oYYNM0In7xe6 3FoAVpne1Qf5ayMISvVw9+irs4WUwE/lpcehwC4nFg6NS9tM2dylC5i0yyEXd5Gp9S+fbl72n2d/ +iTZv56fvtzdRzeEyNStP7H2juoTOLllcepOSiM9n2NjiJYJf2sA0ayoyEzQ72DivikNwoC54qFC cwnVBjOIx0ubTlWE0+mEyL15BalgVJJ1x9NWSE8VT1d1IIYt9yiGzgjx1Y3Ohuf5E9n+Paeg7tY6 Ih54jZim0/5p5YGePpKfZJx4956ypU/YU0aU2g0+rDH4oHp4MWOFdPJNz8iBcLykWl69++3l093j bw9Pn0GEPu2HOCXoAAmrDlo/B90UpdqHpQFUHWOcvclwTwCH4Pl4yVHSceCaYcZz6MpVp2Gv/ock XDKdk4cDKzPG9727Du5wIE7utYOr7A1VCBz1xoASmiA6ZTZBG9wq96w/HzP9RpZpSlpZb+iqB+WD JqlwRCDfJatr3H+W505qnAxQWr9/u2DnvMD/IZSNn6gHvO6+x240NB7OeXyD5hQi/2d/+/Z68+l+ 735tZeZSEV4Dj3ouqkI2aP/HNuAjfkLRMZlMi9AMdMX4cCy0vhgm7p5cdCptahRuiHL/8PT8bSbH UOGB209fVo+Bm+4eXLKqpXP2h7twzxKY055CFB38oIr3pfB16SK8ceqGNTzcTbbL36T1XF0EI+wO rVjdOEF2qUQXScNzVCPxXbqDONlEiMZlHGiOpy0CscTvNni/2ybW1WehKoRosWsTOHVjkMVQV3r9 22gHAP0vAeT66uLk9+CtLgWOqew18BIql+AXjDt6fSnZkHqcFhWRwcNiF6ajusGYK0BYc/WvscJ1 Td+8Xs9DN+DayH4Fx5pdmRMiooUhaIXp731sZ2zSBTzcRmLYZBXt4/jywGU9er0bwfa1ixMVwUaD jnCZdfi6PUI0mKBHR6+GbuqGe9cjPDiyU2o5yApINS9rHv5i0ApHkPilQvW1Qt0wffz7ehUffjmg 2r/+/fT8J0CpQyUBB2LFkyxwLIEhMgo8gM0KwDN+gYKLgqGuLK09im85kUpfaOlUN0mFyWCAkQr8 V/HoRe0fSeIvbZBNAQPL1/gsFKwQJgRSTjEw1VUoWO7b5susTjrDYpfiMNUZMmimaTrOS9QT8MoT Fxof0ch2SwzTc9imraokJrurQEupleD0avuK64a+NURqodpjtLFbugPcFsvolH1HA0w3TRT1RCTE UYfphoUocElRk9V9cdx8m9fTAuo4NNt8hwOpsC/gWKodLejQO/y5GKSNmM7Ak7Xz0GT2dqCnX727 fft0d/subl3m72mADzt7GYvp+rKTdfQy6ctgx+TfRGP6nM0ZDdJx9pfHtvby6N5eEpsbj0GKmk77 d9REZkOSEc3BrKHMXmpq7R25ygGFWUyUb3Y1P6jtJe3IUFHT1GX3c24TJ8ExutWfphu+uLTl5nv9 ObalZHS2mN/mujzeEOyBC9PSHlgNgjVVDX9OCKOUkunVUZ56uXMRJLCbsp76bRlg9jFQkjqvjxBB 9+TZxDgF/jbFhDbWOb1FzdTvnwFAJsv/n7NnaW4bZ/Kv+Ph9h6kRqYelwxwgEJIQ82WCkuhcWE7s nbgqiVO2Zzffv99ugA8AbEi1e8iM1d0A8Ua/kcaBL2wrmezpedaHhqLzxp1SlrfrWRzdk+hEcChN tyTldPQIiJ0pPUtNvKSrYiWdaqM8FKHPr0BSLwPBNlIIgX1a0lFGOB7awZ/uMqeioJMcLSggMZw6 b/F+2GGiGHLLJ7KyohT5SZ1lzelT66Qw/1YgmQjuF8wTGbwOsjJwB2IPc0V/8qDCjI5paSLoziBF OscsdHich6juqzr8gZwr6hAtkdfFXCBwRXDbllXZKWiqnc4FZV/AOHxt1RjZ3uJW+wXnesN3qVGw IWUlAy6cIw1PmVKSOsH1RY0ZftRD62Z52N5bPzTHgholkyDT5YlvPp7fPzz/JN2yuxpkjfBGrgq4 f4tcTkLiO/58Ur2HsHlxa1WwrGJJaEwC+2wb8MveweBUoYNthwkeiDE9y0qkxso+fni3x33s+LeY 8eoRP5+fn95vPl5vvjxDP1Fj8YTaihu4qTTBKG70EJSpUEw66CRNWg6zPKKr3Z0kXaxw7DelO7eb Uove0vHZ6hBhl0LOZCCvjygPbSgvZb4LJMpUcNOl4YNf7mgcdVP3Zx0GpLvy+x6j7kSa2l40TKaF OQ07iKgPNYjf/bnVr/fk+b9fvtqOPcOSQ1OU8ZTqa5j8ggtqi5s18zKdaBx6bOEfRB9MWeMcAwyo 7YGhUTlhUYTqLAHc+9HltHSSikits3G0Lr3DC5ZAAke8hd8swFhonCK9rREFMn3mVdVmgaNUGu81 5dNfCK3m6Ctq1B+d9gsjFgK1q/q4tYKzsKM7A3TGi7kJWNBtmmUuBFVouOU7T1AXKe0oTf2VypuR ksHp7NXouwjpwUPTJaz2SWiHT0PkNxlwaPgPzpymCKR9oghFFeN/KIP7uH7sZtjLSjtlXizZcmf1 +pj2c71cLmcXCCYZBW0KddA+iybOgMubr68/P95ev2PGuaep8x6W2NXw34h0gEQ0pgieZBkcEGNb utPk/eXvn2f0j8OP81f4Q/3z69fr24ftY3eJzGixX79AW1++I/o5WM0FKtPJx6dnDBTU6HEgMH3o WJc9/5wlAhaIztug+xfckJ9u40gQJL1b79UvD5699BwN8yd+Pv16ffnptxXjabXrEPl5p+BQ1fv/ vHx8/UavCPsEOXecZi24rVq8XIV1UTZpwBm35JxVzpmQccns0wp/a9tpy6XLa0BBr9KuX398fXx7 uvny9vL0t5uT5AFDqOkJTFa38YYWTtbxbBMTbQfEfLUc21pzWPV+y70s0qa/aLnybR8VKyXwc2MF HaCtlYSVNYVrfQTKzjp5p80SdQTdzQB8b920E3vohDx83YwVHjM0fUuas+nJ+CEL8J89hbbOttyT SEwO1sdfL0/A1iqzrCbL0RqV5W0zHRVeqrYh4Ei/WtP0cGLFU0zVaMzcjjUOtG50tX352vFNN8U0 butofEKMLp+06ZzqrLQ9n3sICBAmve+otq1ZnrA0mEtYf2lwuNbZ+P/yXbe/v8KZ9DaO7e6sN5pj 7exB2laSYP5TizFr6oqNjtejCXwspZ0FTYcdgyJFAByqyQJDrp2xSO8dQJ5zfucGaQWdYlBidcyn vYyj3QhsbEC5gt4ySSVPgenTaHGqXC8QA0cjUFcWeDf0aaO0vEjEtJ26IzXnx7A4h6xZmNEKWL5A knpEn44pJpLaylTW0uadK7F3TGHmdytjPoEp23+sg52jCSjL7ESHfX12evu+Ps4tVhQdk7U/nl5X O3vdIWqnL97eT9p135nusyHa5UmLL87Gy4qmDqilsoP0ryYnxKKvzbp3ChDDAh6S+9z2OsdfLaxv tOtZKigNzjCrsEaRzTJFZbUjiGyS47YhvpCRDz8UO3tVFjs0v9UB2yRgYWllteNZC8C7YvvJAXQ+ Fw7MmXn47dndAGK8NigjnR9danxo3Rx5IUDrRAd1sOnojNRwdu1IqWmkUEedw961eg5Yc8lfqIE1 6/XtZjVtVhSvF1NoXnidyJ1zShsW9WkCwrCCA5ngft5eP16/vn63rkwQn6f1+PFeI6YLCDaqr1Mm KDbbgRv2/OX9q7X1+ltK5KqoVJtKNU9Ps9jxYWPJMl42LTCt1AqEUzh70EvJTqixzdCJP6B7hiOe zCxQy13m5SzVoNumsc4yydVmHqvFzOK14ARKC4VpgTA9guTCSil+gCMutQN/y0Rt1rOYpcppskrj zWw2pxhIjYpnYx39eNWAQYnPqqdHbQ/R7S0lmfUEuh2bmcUDHTK+mi8tFidR0WrtPFdz6vgE4wkT kHEOMMZHWtsF0hFws6IVvJwTqZf7JlbM0Yok57bReT5R1AoIm71Uo+9Gu3CDCUDh9Et2gkr7g05T LfC8jT2IB6lASpV34gEYD8pswGP3ZDG/YTFCy1nVxpGeFOP5JfCEpARHg2lZHS+IT4zYpcVKGaCJ vHf0ZgaRsWa1vqWtMR3JZs4b2ho6EDTNYhVukUzqdr05lEI1RAuEiGazBXlPeiMxjN32Npr1+268 PjU0mBB3xMJGV8CJ9d7VXaje78f3G/nz/ePtnx86Ie/7N2D2nm4+3h5/vuPXb76//Hy+eYLT6OUX /mnPS426MLIH/496qSPO5aEYWiV1mpkyHfeeiebNhCRA8M85OgZ43QQswgPFIeGUF0S3r09wTdk1 C36gLQd617CUY1wQGdA6bCut8foxBcO+cnYc27KctYx2H8Gc+gHl26lkuS9o9koe+65xNMIyGSIf FVquDJG1R/tJAyS6Odp8JVXAEj+OinoyBC2XN9F8s7j5F0gez2f49+/p50BEEmgusQemh7XFgdOD M1B4JtUJulAPtpB6sU2WdQUWT4E5Y7Sg4fqtM45Z30DoVGJbUy6Q0CSTwNTi/LQJztvt20K/dUWL U3jDkxjs1v7IKtrgK+51AO0F/69aMJpHgI6dQhkfZRlEnZoQBgWuE72Ct7DxjgktSe4DTgHQPhVI 8AP9gr9UETAdAY8asrzXR7rtAG9PetL042uBik+iPlAHi7ajadbezjiWZgHuAfh6ehkLDOYzEoI1 gye49uEMmoOs79xF6Zysfc6XEX05dmo4ILilnQtGgjWt/zsBAyDoRJ/1Q3koSBdhqw8sYWWvNx04 Xw3S6ZhwG1+pYC/cTSXqaB6FnPz6QinjlYSPOO/oqVTyQlGMv1O0FoWXv0ZMzmL3kqvJ/FB2pRn7 bJsLHJQrFmTJOoqi1lt3FqsZNthArXPayaWb5jzjoa2cyxW9hDAzQ7PfXusgnEp5LZ00Euw+4EFu l6s4PSq4LwrnWGZ1GvLgSek8tYigxwoxoRm9srTM83Tuxtwu6N215RkekfTBtM0buj88tNpquS9y +gjAyuhdajJRIR8fKkidS26HuZeFaJtTUr9VprMbebcqJXI4hU7SzpJrow4iVa4eogO1NT33A5oe rwFNT9yIPlEmWLtlsqqOrmVGrTe/KRHVKaW4m2LPm3OiiI63cDbYXmAq4OEOoXvSoCWbxiX0nWR9 NHFPbuNUnJJPwdqlOn+K8UNpTKu11TFPAg8PWfVhCl7hSGVbEV9tu/jcPQc6DrKGtHmJLzvkcLFk aCbwN+i0pt3xk6yVkyysO1B32elTtL5yYpg8LOS6PhzZ2U5hZaHkOl42DY3yU+YK2lqN4JlPNwvI UntatQHwU8APugkV8a+LEbMIfv3K6teZYTHY3O7Op+zKwslYdRJujG12ykJ+Y+ou4JGq7h4oC6j9 IfgKywtnjWZps2gDrnGAW4YfeAKsOl9E787Xh8tdIndqvV7SR6VBQbW0Mv5OfV6vF01AIvbnaLLn ch6vP63oBJWAbOIFYGk0DOntYn5ld5mVITJ6F2UPleOZgr+jWWCed4Kl+ZXP5azuPjaeigZEs1Vq PV/HV24D+BO17A7TqeLAKj01ZBibW11V5EVGHzm523YJzJ34vx2H6/lmRpyFrAndQbmIZ4EpBtSd v7L8isugU/cxrSvao+GcrGe/Ka2zPRInmbgcq3memRb5rILFnftYKz+0oRMPUx5eOdq6MDKR72Xu mk4PIDbAfiIrfhBotd3JK/x1KXKFeSbIpXCfFns30eN9yuZNQzOS92mQMYU6G5G3IfQ9GdNjN+SI Sjf36Y17zm5h1aARlq60wx9ZgLO956i3DcWAVNnVdV4lzthUq9niykZGr8RaOKwPC+g61tF8E4jM QFRd0Lu/WkerzbVGwDJiipzxCv33KxKlWAbcmOO1qvAi9yVRoqSwM0LZiCIFCR/+uc9zBlyDAY4+ EPyayKhk6uaXVXwTz+ZUVkGnlPsunVSbwJEEqGhzZaJVppy1oTK+iTYXVSyahG9okU+UkodSaeO3 NlEUkO4Qubh2waiCo4KsodVMqtZ3qOtfk2kt6NWpP7pvnrOyfMhEwKqPy0vQmkmOoQt54AqVxyuN eMiLEsRc17bG2ybde7t/WrYWh2PtnOYGcqWUWwLdUIFhw2guFYgXqz29y7TOk3sVwc+2Osg8oJaU +DpgCtNaU24EVrVn+dlzQDCQ9rwMLbiBYE5KFVblxghJmCXx2E1lIJCvo2GNDB/PHU2awnxcncRG Vp5CpttziIhL2vFvlySBrPayDFw5OuZo6yerHz96eAiFQxh+HDntzWaZ0SxLZtwQT967aJ1Xp+qN M7bT8uCIOsFarUoDUdNlGXgamZbvj2rbRfRMDCaI4qymZxKRdyDlBvSZiC7FninfC8nCV3W6jpb0 oI94+mRFPMoR6wBXg3j4F+QwAS3LA30Qns1FZP0atd6Z4QMoXH1wGYTDpYTe9WE5YZDJSjM70MxG WQpLAttrogiU956Tj6rgInYO/wJtvfRSq6TKlpQ7gF3pKK1TSAG8enBMbdGTQFes00hRuIFno5BK 0gg7PacNrwP0nx8SmyWzUVpzLnJXtdcdYBV74PS+OAf0+OcQ4pShfEZrQzsFVxtOggDnkpKUd5EO Ghxjq0aNjkoIe/HPX/98BM3SMi+PbmA6AtpUJGQia43c7TCNjR+IZ3Am084dnWvZkGSsrmSDJL1f y/H9+e07JhN/wVeO/+vRcejqCqFhGAbEdVawMRjmRuah8MgU3AogNzV/RbN4cZnm4a/b1dr/3qfi wfMid9DiZFrpATGVzQ97QkIhb6bAnXjYFiZMYdQJdTA46MrlMqaPZpdoTb996BFRss1IUt9t6Wbc 19EscEE4NLdXaeIooKsaaJIuyrlarWmL2UCZ3kF7L5Psy4Cax6HQUbuBAPCBsOZstYhoHyibaL2I rkyF2RVX+pat5zF9mjg08ys0GWtu50va9jwSBQ7BkaCsojig3expcnGuA+b5gQYD4FHveuVznax8 ZeK6p3q7fMRXaqyLMzsz2iFkpDrmV1cUpqmnBVFrEcxhp12Z4DqL27o48oOXToigPKeL2fzKrmnq qy1HPW4b8P8YiVgJsvCVtm85LWaO66W+0092XD6iHcVxod/zVJQhwOAGV2sHChJxKvQ4+hho5HJz u/DB/IGVVlSxAeJDra57nQvvcF5rB6zK6PgzQwY9Rq9Pv+G1bNJpnagy3Abci8wQ8SialYFkn0hw Uk3TMOZ/D885HwaSPSvxiTq6fyOadmcdLknM02NxeD2kZTmD/tj3+IiaUz0Y0YmjAhjgvNhWlG18 INjv4ruxmyO4snlXB9y60Toj7ojv6GUFLbgMZJpVZ+TTJAONkok4yzyxw+MHZJ0lnBwiqdXll+o9 s6qS9vtLAyZje20yI3umM1gWFS1Ku1RbRqY/GIkwR6EbfTV27CyTTwWlPxlIPh9EfjgysvvJlmJW xulhmeC2z8/43WO1LfYV2zX0ylPLWURpMwcKZPswhms6Vw1sPLJSRLRkOLlLgsw20eRSaSwGRFG1 j+gr3yibil5LOyXZKryHddooRzYwEC2fwzLggRxcNpUsQcy7RnVgOQhQlG+2RXS3hR+BxhC6DJfI XBOwNUAkX0xFCH1VGJ6fbGt3PcnAu+lVJhcTy7Fm9Q+Pb086NFD+Wdyg4OUEqlR2CBsRheRR6J+t XM8WsQ+E/7rXiQHzeh3z22jmk4OMhky9R11yCVetT5vKLQGt2Nkv3rnlIbFfsYozkzPBLVDxjnpU gxlEuaXvfIM2zLndpqMZqTFkEY4B/9W/HtbmCkQech4HkpTSmgxYkR2j2V1EVr7L1jOPJe70hdRS GNynKRHdhBF8e3x7/PqBIe5+oFNdO1EbJ+qywWyXm3Vb1q6ivnttEcHkQKQ60BuDPf0M4sbP/fnt 5fH7NFC622U6/alzCneIdbyckcA2EWWFHlMi0Un+nIdSbDovqMxGRavlcsbaEwMQnf/ept7hDX1H f4Qbn+dAC+wQBBshGlb5S3mokR5mmyQTOUhc1GFsU+WVNr1a+YRtbIVPXGRiICE/JJpaANNBMVo2 GVMlvrJ6wrroDidnOBoC03kOjURVx2vSdcsmSvFJykAFmaQvnY4Gg0hTVmOSlcmyzV9//oF1AESv Xx1jQ8RSdVWBkDynXbwcgmYyBDhkaIcJIoIrbCAY5jnyKNyQQgto1el35FMgerFDK7mTgXiCjiJF L+P78EAozvOmnKwSxaOVVLdNQ7d6QBNNHouCDHLpwz2ZEzXeYWEvbEWVsFRMmgZi4Gpu52zo4N0d 9qlme73wpy3zKPphD7exK0DuIwuHq0knzJhsbZtoy45JhS+yRtEyHh837ijRJ6ZrNo24sEg621+p Jr4dXmsqPu0GXOSwYk3z/RVblfGkAMDGJT6PPexOwZIryY6MqAtd0UQy36WiudwXjuZ5naRB7iWH u64itpZPEty8eIJ/juZL4uRSpR9X1AeAuTepXyOvq9TI6NNemnwuecLI/LGDHsxwCQS0y1JODGPe 7sn873nxucgcWSQ/oq2YtIZ3rURlOiY/swrht8sK7l/ySYpKi87jiknL6YCXpWcI6CKDiL04svBl JlHQSNJg2tZs21mCjfi+Y6ScfTh3jwiN7RlA5qlZWeDbA3ZA4oDX1r1LlfqRKSNiyxZzWtk60uxF kVxsc+fwQIB1ikKyzRxWYUDtOhI1aK8llTBJnbq+WWWJEUHUAlNF/jAmAugSHXwlOOBxLzzkXFsG AhwWZj7C3KsL+q37Eb1wA995FS9odacs+ySU5IYONnrQsZ3ZyUnOtL6dr357irgcuO9u2/ejKE7O gxb5qbIz5QHal3cOJelqB1tgzw8CNSLds8jjxuTwr6R5BVi23H9FZkDC3ZE+hDKaTCWYvin9nqmO qtZZ6odMQMZMBjf/1FwZ+8+mo6q1fwN6RCFUa53hHihcsHlKzIPhK4WO2Q6A2bHpTXbZP98/Xn59 f/4N3cB28W8vvyjWEYuxamtEVKg0TUVOeg539XvzPkLNtz1wWvPFfLaaIkrONstF5Cg2HNRvei33 NDLHm+ZCM2F4/cp11n+q6KT6LG14mdIX4MWBdavqMjYFsk4OGnd7+bDvf7++vXx8+/HurCBgqfD1 aW8NILDkOwpovAR6Yd2tePjYIOBjDp9xbXRn2Q00DuDfXt8/rmRDNJ+V0XK+DPRTY1dzf0Y0uCGz fiA2S26X3toxsFYt1ut4UpuJiQzOLIYoZiWZpA5NI+tZ5I6kVLY1xkAy1/MAYKWUDaV8QVyuVd+x W20HhD5s1ku/D8bZHLYT5cyoV4xUy+Vm6VYJwNV8NoFtVo0Lc+7SDlBql049nToHZ2B+FXdDF8YD 7z/vH88/br5gGihT9OZfP2DNfP/PzfOPL89PT89PN392VH+APPsV9su/3cXNMX/o9FxJhJL7XKdm 0OLYZDsPaJWygDzoEVKSdoDS1pogTuzj2WTyRSZOoQU17ZA+Y81LFObVYvdpUSS5E9nk4LHQRdjq rNciZ9e6WDbeEgCAn5cVwdUdGVFjVlaGodpO1wYXz+69L7g9f4KYAKg/zTHy+PT464NKnanHXRZo gzzGfDLJaU477Ol1U8arQES77pnJFxXEV8W2qHfHz5/bQknKHoFENUNr8ylzu1vL/MFP4mH2Exz4 +haf7JXi45u5MboBsTaMOxg4iSZjtNtZY/a+9IaG4r9BxG7pCFqsY6ekkwMjdAd4W78+hirUG89v qQZ2GXGCg2+IMF0RJli7sHcxyU0wxm0kwWvvCkmI37PZNqvcnFTjOFqbUvpPfiHIPCXgwTQrbHTR cMhmj++4Gfh4rU68qrCUUaRYyogB1p+INqKR+v8mQsjFAeuwZbnXzu2xRqExfXAECUB0Ydn0Ghvx 6JKYKDJtsxmZ/jB1dAGIOWPqwmD1gKY9WTukm0hRA9M89juxU7R3NuLypmxR2RJuvCvLICTNbmdt mpbT76TB4CNdTqsiW6UCywnOdH2c+PXCuRw3pN4ZkBig87+MXVlz3Lpy/it6TKpycgluAB/uA4fk zPCKHFJDzmK/TCm2cqOKLbkk+eacfx80wAVLg5SrZFvdH7Gj0Vi6W7xjNT7qMsK4LuDhMlMgFs5O YZxeS1c5e65WVuV2C2dzetNcB6MslTSuBwrt65fDQ93edg/G/bAYvDVyaQMTRdG2sYNvKLL+hHL6 dHTyN0y2d/M7/oO/ihS91jQteFmVDtWMwvZVEftX9Jwd0jVl4kQUG9ilrwYvDCIg4rGpjEE+xTFW Uq5Rp+nqc2T+i7avlLe8neo9+31U+gX5xzP49lIiGvAEYLepeYdsEaeKfcs/fv32v+ZWohARLO4G uwd4NOsMbPTxytN7uuNLJVcYvj+D01KuRYhU3/9Tc+XdtzcSMXYTe3wYkvjhhlWm6RhDbgOVk8bB K+zAuImIKaqz//IAW1wMD1vG7emQGdeAkBL/H56FZEz1GWJML+xNx3KlXUB9TOecANfW9xK9GIJe a28+RnLNtaig89hCihA3WD9wnThXEnn4sdME6evtMuJ4zzxs4zjym6yomh7LfnrPf+ucL2RH7Cb9 0h/Tcrlxs31xPH45l8VlEVZ94SuI7UXebPAqBweu9w4/PGO5js3V9eB0KlZ6ODSH1aSyIk8hWgN+ 5jWi+Np9Lo5rWRbV/R7u9tbyLPhq3Heb09ERhGOcTcIHyWpqJe/qNcw/4KJ3vV0BsC0Lx2ZqQhWX cr303elwLLtivcv7cmcXTbpsf3p5en98v/v1/PLt4+0HZp/lgpjzoYYzx9Se4FkX0iqIsIlSPJz4 grw5lidsXwhzR7sZHwgiyjCEAB9CPUVkuvhqtoaKJPa2g1dcI5Xy+KDb3Ehhh3wvouIaNHCAj5Bu Z2JQrfAegioejnvTznSI8/rz8devp+93QmhYmzDxHQ2vV6lp/tSrKFVy9SWNINd5iw8NWWJbo1bZ +SVtjeYfX2eopG0P/3jEs7KfVhb35l/ijkir76tLbpBKcfKl5yG8AJzx92SyqTcs7iimskp2cfhK fGql26V1GuU+H6vNBo+RKmFuxXXgN86sIU65etYuiOcriyKrJaXi6s4GDhG3Dm1jYXBJDYkrIH8M XHhTtTD8iBfCqcgtZIXVYMATwRcI5qdWhfDPjUpvKWHsas4c0T21lVHZM7rQ4I7ofyMzcBmjC8Cl PIDbS1cFLh2JM1H6WZdbar3pOFJQn/78xdVMu1UHCyN79ko6SCpXgdL80FoNtOM71cpZByl6PEwg +VcrLXHtESy0mACgTq0H9pZBsA89t74tM58Rzzz2MZpJysZt/onmUz1xS+qx/NocUqtCm5wXl9QX bIslpV6aeJFvfSfI+Kme5LuOBAX3H+nh663v0VgAwJcHrLZwa4MkxC4iBi6jgd1lciF2fXTMoj5i gTXYlsxqJGB6BbSAabs48phTAAi+T5jRV4KcEHNMDmS7L5ZsdkaAw9+JYJ+yDQk9z0r3UrPE9IMx ClF7FE6RmKzRaclm8wJIG5A9uyKdyLXOZkGSGVtLnVWOgthoZxEVS7D80GAd8yzwiTlPuyZPz2Co oUk8u8rT6cbiROXKC4lDa+SJ53/JklSWIsvZgnUWBIyZo6ctu6Y7GsTrMeU9r8UFQootbWm7zVrP 4ufqU8pICiKJ8/Pbx+/HH+ZCa4ya3e5Y7FJHrBJR7Sa7P7VqVdCEx29E7BeRDfnj/56Ho3XkEOpC xqizYEnZ4N0yg/LOD1GHMzpED1mg8sgFjVA6IcxnWzOn25VouyP1U+vd/Xj8l/r+myc4nIPxbbbq aGCkd9qLkYkM1fKUGGI6gxllVllgtp+b0ZIwKAlc+cYOhu/4gnmRs0CBo/sUBHFkF2iX5wbrlh2x U1sdxVwJGCc4CIKqc15nEFeqrPBQTw4ahFB1VunDRtnFNhcRD6pD3wdJbndq20ozL1DpzlAKGmh/ qXXvem2eSgQ6NUUcKos9MOHEdAePP7jO5MVKr25SuHX5wvfKPUvCSNtKjrzs4nsEOxIbAdD0saKI qXS1rzQ6ceB9m95UbWZTu432LHKsIicjRZW+Ao/DR0ZKmwefXvV31AbL8YzaRO3zB6S2UrFUn/Bd Wx8Oi2WJF9LlCyCh8LbOSnTgII0lOHJJt9qm7Fr4Ch0/I4Z/zxI09s2IAP2T75z/MummxJ5TFG2/ mGvVB7HD0+cMyUIS+5guPULyohfvGEQjhHEU24UEnYLGSYANHd6NIYkw8aMhEs9OFhh+RF2p0gDf QiiYaDVnrqd7WPsCK2G4WqxiYocF+jRz6k0Q4lvsESIVfXTd1yA+0ZpiHJu79LQroCP9JMTUugk3 vLPGmvPYczmFSaMRIJ4mcB2szbHPT1lHPMeN5NRYeZIkqOefUSarv3J10HhyDMThqcBed0sl7Wge P7iKhpl/DdGgchoQTV9WOCHBp68Gwa5PZkBNPF9ZAnRG5GLEeIGAhZkUa4hAW5dVFqF0+ePEDz2s SD29EiQKFzACgkbhAlZIHO4KNQwuhzRMjJtXKgjqKF1II7R0+36tbF3g8AQzIzIaOzyKTJhredum h/FSdxF7z8AJ/zKEeCbGQGzTmkR7qZkgLQKeJro6QzjCaR066IRp3VL799cWHXEZ/ystuYhojw5v 2gaw7fAz4BGXdzHqxnLm8xULLUpeVBWXuC7LsgEkVAc+bHAbLgWEjqkyur+lNW4TOXQOJXyHsLUn mDiZ9bc7rP23NApohFuISkSdkYCyAApud+y2y/Z1jtB7vrs79WmvRuwbmbsqIqyr7YJyhu91NfIF V0lTBM9nCAKWbyEPNmdf7mMSoAKljCKHeeM0iAqYHHaicJSNtew/stDxVmYA8Fl0JL7DddUc1uxQ pOir+QkhVmB0zEgWNRVeJ8752EjFJSsFFpgliSp0v4jYLQkMn0RYYwoW+jZBQ4TIiicYMSLAJQNZ PEHh5H+wFgWWv7TKASD2YrQ7BI8sLbACETO8SAm16yDO3ajvY20meY4jVgUUG8sMhggSNO84Dl1Z x3G0NJ8Ewl2jBOmvOmsDqexY+fVZHC2rUlyT9QMWL1a0OGx9sqmzQTPEevBIuYTC9lOzVpCZRrzD aKvjpe/gDSA6hWu68hk+X+pFXYyzGTIhaoZNk5oFeMkYprkrbIp/hu44FDY6ojh9uR2SyA9CZPYD I0QHjWQt1UEapCEqKzBCdds8Mg59Jo8gyw6e4CP1P2Q9n+G4TzoVQ+lSyTiCMg9tKWAl6AHZhGiz mur3FRPr67W/3R/T++KwJGzFjWCiScjW4eFr+uRSi0UZKXG36Tv0tezI5+p0ZA9MTvaRVYSTgz9R coahJ6MXW2GrCy4+l6ZRwXUjuIlAPuYsnyxKCo6I4TAO6wZwqh7Seln7H0GLi60EbQJM1HLdDc4R wAhQyjuM77s+DGKE0fcdjZAllSvHcYz0IReXxGc5IwxrhjTvKPOXN8AcQbENMG9dhi8W5SH1vaVV GADXq50mpwc+NuT6jCKip9/XWYQFl65bvs920AMHHRHXnB56+HLIOStbRw6JyLIUAhfvWXta2RJy VMziFCvGuSc+emc6A5gfoDW4sIDSAI1hrSAYQbYewEicDN/FCOwOFHRk0Eo6SDP9na/CryiLemTr I1nxYYcNd87k022PB7vSQYWOWrSxm6YEWAi77xwmWH/vEYKt0WJhSzWXjAMJnDCD6Tl+mTFgOr4d LMHZGuqbbAAVdXHcFQdwyAQlbbZb2F2nX25193fPBBsneCO52dq0y7EU7s9u/bFU316P/LyQ1nO7 5swLWrS3S6m738SAWzhW6Papw4QI+wS8c0nHgYufuFNHgIvlBQAY6Ii/VhKaC6cdTbenEbVYZgiS l5oBNy0UPHy0hm758vH0A97mv/18/IHaiYpA22JIZFWKCqQri6dMzqMFpMJr7+FerW6nYWxF+u6a 7Jb3HVbZeYJxaBB615XCAgRvtOFCcjEtq97ZfrEHJKrPwJ6/qax4z5NjNayRxya6pH22zxvFkGuk WEGlJ8ahuaRfmhN2dTphpJsR4dLgVhxgCuZIFuD2WNhr8NTmeT6xx9e7opUvjx/f/uf76z/v2ren j+efT6+/P+52r7wyL6/qCfz0cXsshpRhkCOZ6wAuCBUfui7QoWna9aRaiKG+DFOFw5Co3dAOfGuG aNfbx/KqPsv6ZttPqaNDajitxDAqIprHjfUQayn5ea+9Bvvqxcky6JKnvDI5NgoHR05KGQfG17I8 wlMBZdRP6QlG1y7VfTRTtBPOLwhxeOuGcOAYJLhekdnHe/yENm6aPZwg7Dxe4zQ/QzAHLjA4X7un rsoa3Ci4vuNsSjwiPpuKV2y4SAlYOCQ2UMVBMyt0YtdCOB8uhHT/TDyBbdm3GT6UJlxxOjZjqZHS lRvK09byKzd1qj4Nu6RbvnjJ0s+CMQ48r+g2ZrIzoIANkJPLa7PA5BsPf+sqMefqBd63SC/vW465 HWqwac2avFQNaeV7UrNKHd8wybbA3pzAuQQJ9E48nEWvKGnE3kKleZdxFdPKQeVTP3SVgCsLkZ49 7EDHR9s2J6AbOrXUrCw81LBk41nAvkRLZ9SbLSqjdKt3AicmFhFiHH41RjMft0XLt8YBKiLkglsX pbOVDmXiBe5G5nKbeoQ5agje01J/nItSQ+rSP/7r8f3p+yzes8e378p612aYtKhLMKG94KZKRu7j Y1hXRvNZT1bOuWGLf95Lvw/jI8yVosMFf2ZPjg68GTddV24M13aor/VNVqcqXCHrv4k4QeKFLZa4 hkBbbUZ0aGRNwZdBqkz3FyoLQsPdshoLyqbBNKsayRkcA8zemP7798s3sGi1Q3SNw2CbW2qcoHUR 7loHmMo7MpXaBZQQm+YrzmggssH0pt/IMk17n1FvIbowgCCalDCkzxyeHmbUvspy/H4LMCLIgYea uwv2aEqgrMmQMli6Xo1KCpoRBGE7RY24aT6zgDFZ02kFklTHIzQFIP1BGL0V0gp9vTdxdSO9iewI FDPxHZd6Mx+/yhT9DIog6tFl4ka+3mKDcql5vFDoVgsPt+JGxYAa4+Wa2PgJ18Am6A2VYBpeH4C2 S/sCTMu72w51uCC6LiOB8fhQIZv3qwjCjDKxFX6lYvRVDjD3ZRzyhQJaeh57+x481HRlpt3bAJUn 7/IBBKnJZe3hlB7vJ69CSMZVmw1mfAqhy/b2Cgk7ajEKsn2fgzMKRzUk2nR1rHPE+dXq94N3JSSN lm82Nld8OVZRC4iHLvZdgkRYB2U11+EaffAOZkEajbG2Zp6niwtJtIa5IMcOU3gpL64kjCj+2G8A UBo7HhrMAOdskGwW63WYHl/aVBbaVJZ41BaFnOy75JngJhRJKWFWSn0cxM7yc6aVzrgBVTY7X4WH wNaQ7oKkddRsMmKOtEN/LVyyATZ0Jr7NthEXUtg10WDfZHjjEQlNZj5aWsc+8tCUBHOyGFOJ98xj ZpGOh6iPHfG5gN8VmbV0q+wypLHp5Fow6ki/65qI7hBkAnL/hfHh7ZbzXV+3ztIY1rlA68ElTBBE 11vfZdqjJuBKaz2TxihjVipVfTL7oE0rvivFzz/bLiZehE9j+RQXP3QXLHrVizQa6WFU9UnzRIVH vFYFLNtDhRHFrnmpGADqHwKdxS4BaRsIKlQfp2Lr4cRzvVYaQFy+oiG5x8MYe4iOnPSkCfHBEBH5 4FIRnwbIFK3qIAqMUYR5YBd0abRpVVNsgR1taRmYi0ybbH9IdylmhCD018Ga9i+EiDX0yHJrLUI1 9EOjUeqIeL5NI9ZOQJhqYvfrE9OS85waOoIfD+yAWAYeGGRp8AAk8hY0dGljakjlZl/z3QQlhiWo yuPKrVuwzgmsg/ie5Vqf8ItCKRNB68JvgAeZ6fCcI6qX5UngcPkst12ZH3suO5rx+NR0rDnrWDXx bnzlRS8pFrez89noDq6adB+XE3EhmPGM2ZZXiOjRVL3xuhLBgkPwk/R/351qx/XpDIdbNHGJ9tkP uIa3M+QmjgKdcQUFe3YW47s9HQU7+zVYHgUJPhgV0CAmqrzBB5wN5WMT7OLW0OKg4RMgH1cOFJDY ua+Ahrm7ikJcDyA4oTauYRbCTSqjWWyKPwNa63XY8jqehWgg32G8YIDWUtqmhyiIVkslYMxh8jTD nEriDJGb4U+BzpHjXewMLLsqCby10nNU7FOyNpO4QhA7PHAoIK570rXyC9DacBBWfevZgfr3CdBq F0oFeQ0kFZ1PoGKKxxCeUbDljdgnUCwO13IUKEfIZR3Fd7CfQa1OVoGi+NGUgUo+leOqjB538J+C JZ8oGYXXp5+C+auZSvuST6CY4xRSRbWE74BWYW3kilOtghhzhIXWQasrd90+0MRx/KKg+jhYlakA cgS91kGOqH46aHV0gWOg0BHWXEOtLXXtll1XdZd2e/pakHXYma8WqxNWoFZXFYFyHH4rqAt+BzEj jmnXbsDBo/BmOwXQ5KoyOOBd+1gezqyh4LRmDdOHVshFBFSfV0djV+3gPn8V9oURL15b/TiKuWLI GCiKvx6bUXxnHxE+CdZhsR+sjhJ5YrI6o8ZDmE/BVsUsZnjtgpFP1RSOdz4HWxtBErbaV+Nhzudg q1VY8AWlbMOcDmxnjO39aYRkxvkNJ9Sp9tqqKo8OXTyTrzP4ts7NP5dZgT1szYbz0vm0ACiHpi+3 pR5yqC4gPgdwUZcrMxv2TkaAI5HLngaOrZBgL+w2RNzgU9UVDKBOyDEtD90+zZuLCdPKiJRPY/DN N7i6xM8XBuAmP55FeJuuqIrMfqZQP31/fhzPBz7++qU6AxpaKq3FNepUGI0rA6/f+rMLADHuegic 6EQcU/DC5WB2+dHFGj1puvjC+YvahpMPSKvKSlN8e317skNEncu8aG7Sz6k50BphNF6hTkvy82Z+ L6Dlr+UzuML6/vQaVs8vv/+8e/0F5zbvZgHOYaWcCM4089hRctL8vHCGIzHy/KYuD2LxPezQuSey qIva5z83zdmr4GwvBz6pDWIKYdTUamPVE/XOn//5/PH4464/29WG9qtr9S4JKIei1wlcRea1TVs+ G7q/k1hlDT7ZZRW1gMWCKwJOdYVwDH6rmq4DJ6qOjjxVxfRmZKoWUnp1YtlvRuWoATEwjM2F+QuP axDUKC/FxJnqrT29FFOqDKnruGYCOIxXZoBDLYcK1EfXQRpw827jOLETafM+K8X/lvLfpw5X2Qof F9UivDtXHfFDSSmFj0XdHPD8RfXSxKUEitz7Io1ojNvLDuVLU0q9GPddOCay5RtKx8ouEcvOJiVI XqHgEz2sBlDZjc+hUHdQgzgDIzYlYrYYu99ef/6Ec2QxnB3SaXPa+oZyMNMRySXoXKI0qh3JzMlr KVvLHZpenVZVk7k+7JS3wV0N5onpgQ+5vNdCfM4cVFOAhpsWmaHdTOmbpdvilmXqs5FBLE9Lp5rj +JE7essgui13HgbACKlhcG0XyUqVJkGO12iW8yJiaSWtWBQxiDTJ3KJ8uTb5ts5RZ3/rYOLz1Mao U6rLH+gVGK5cddHajpddrOlIuppQd4FE5tvnt6cL+LD7t7IoijsSJOG/36VWISCdbXks5Iixibfy 0J4wtUL1dixJjy/fnn/8eHz7C3lOKHWovk/VgC2yH8rjsKpLm5nf359fudLy7RV8Z/7H3a+3129P 7++vb+8iXMfP5z+1hGUS/dm4gh3IeUrDwDdHLCcnTI9ZOjFIkqBuwwdAkcYhiczZKOm+Z42wrg1C 9dXOMCu6IFDtM0dqFKjuKGZqFfiplWN1DnwvLTM/2NgK0YlXJAgxM1/J57seSq28gBokltrV+rSr 26tZXog3e9v02xvwlOHxuQ6UgQjybgKaXcpXlHj0jz0GJVDhsyapJmErhmB64GwHyQ9QjZKGbEny ACJ2eNObEWyhEzY9I1Zrc6LquG4ixrHZ/vedZ7iwHwZdxWJetBi7J5+alhJijVZJviLTAs7hKeqS epx+bURCa4QIcmTlw8nU010RDIyLz1A/BCM7SbwAKR7QsYcPM5tYc/DcXvne17MLwbW1xNdPZJSh BiP4URvgyLilhFptkV39aJQ56j4BHdBPL64BLVJH/ckofBaZuYtxTq1GkGQUHahvmhRy4pgskeMk ekQkAUuwR/gD/54x/YHa0Hf7jvmm5q0139RUSvM9/+Qy519PP59ePu4gxKfVR6c2j0MvIIjGIlnm 2aqWpZ38vHD9TUK4FvnrjQs9eJIwlsDuyZhG/h6PA7icmPS8nR/vPn6/cC3VygGUEz6OfUIjNHXz U7mGP79/e+LL98vTK4TaffrxS0na7BUaYDOxjnyKOmsZFno9pubQDj3fsbZlbt7BjMqGu1Syxo8/ n94e+TcvfIXBgpzLXPZlFOGnrEPRat5a/0/Zs/bIjeP4Vxr7YTGDw2L8KD/qgHyQbdnllF9tuarc +WL0Jp2ZxmWSQSdzu3O//ijZrtKDcvcCmWSKpPWgKIqiKGpLmQsC/HDnRmA5Q7kRRK9VYfHxXgn8 19rg+69U4VsOZ2eC9ux4xOKlXSm8cPcageUY7EZgOWeRCLZbCZzcLiF4rZFAsF0FENj1bHvm6apM hcU/s+RplAiwQMkbWk0us8IjL8CCE6/oyENWboCH6IsIN3SE2MG8uFfYF8eb86k9718bgH1oOSe8 EkSbstyeXT/enHFnFobeVhH1sK8di+dDovDtNhzHa5nfrohOCyc1KYZXKx9cd7Pys+O6iA3DEdut PrvYh6x3fKdLLScrM03Tto3jvkZVB3Vb4TvXmaDPSFpbThVlii0G9e+DXbNFwIJjSPDDRonA4k1a CXY0LbZ2AkASJASPrVyMv3SLE3SI6XFLjlmQRn6NmyT4+icWwApgmF92NcmCeJP95Bj5uv2gEGSX fbS5ZnKCcKtjQBA70XROa7RvSgdmn8aXx++/2Vd5kvFojq3h5HHWljPeK0G4C9HmqJVfXz/RLCWt vIK5oe70lN4gMQ2a2ZXCcaavJh0zL46d+QnWxWekOGWUz7RDolMjTg/nJv75/ce335//74l784Ul aPhqBD1/X71T4s8lHPeWxJ6csErDxp5ys0BHyg9EmeXKObo07D5WMwUqaOGqxlZKkyrCW16z0nFc C27wnHG040ILNwTOtzUasF6IL6camYveUJCJ7gfXcS1sH1PP8dQQeQUbOGhKW5Vo5ziW4uuxghIC toWNBisT0t2OxWgGPIWM72vCYEt0XGsX8xRG9jUOCiIPr0Dg/M3KLV9SwTdUOPIUdg42nsZxz0L4 1Dz1nSs9kb1VWlnpuUGEf1gOe9e3zMAeVobBIshj5Ttun+Mf3tdu5gKL1CSvBkUC/cFf3MIUk6yx vj8JX3r+8u3rD/jk+lq0uAXw/cfj10+PL5/ufvr++AM2jc8/nn6++yyRLu3h3m02JE683+vHpAAO XXQSzNizs3f+LUvwFYzexVqwoevCV3+ZUFcvik8S9Oq5QMZxxvw55R/W64/ibev/ugPt//L0/cfL 8+MXtf/qiXA/Yk8RiXOFRQOnXiZlvxPNLtXJJ5rVxPEu8nRWzmBlOs+n4OfkH+wtQ5SO3k5xU16B nm/wbfBRQ5njPlQwon6oljMD98ZQBgd3ZzGL1qH2LJHLq/zYguCu3++xy9mSqGgsF0KnsYEvoI58 PXMdNke547eSzlmrlZacKXNHS+Su+GzREpke1GfQzONktgVqHbWmnAifXSpw/jzU2zeDsR34TQwc Y/qCeFqnz8Bg9TM+gRll7yB/Rpa4JkOhE9H1ZTUu0MPdT2+bdawD4wXfTSz98iJrc2asp8kHF1nf U9kPkzvTe1qFuyjGFr9bp3ajWkwzDqGjvpm4zDZLzPo6tfwAW8dFy8qEM7xOtAYv4FTtXCYSOTm1 3oIFjqX1W9B7B5kzvIuxXhbJ945ray5NXbP/fEr6IR5AOY8T2OmeY42T4uidqwbzcUQ/VF6MPsx2 w2qjvwC5yxfR1EZfP2QuLN88GqjFD92v7VM9dFdBT5dlZkPEuWaJ0YcxbqMgP0EjQX1jkQRtGV2P hgcG1TffXn78dkdg2/v88fHrL8dvL0+PX++G2+z7JRXrYDacrUsLyLXnOJqwt33A08+qbORA1/d0 PiYpbCStS35VZIPv6+Uv0ACFhkSttypg+PSVgM90Z68CySkOPKN9M3QCHliauBCcdxVSh84EsErC vXfLLJVtKzy5uL3nGjM6xlQK17SeY4YxiNpUe+Hv/1EThpRfh/MQm2Un7rIroXlSgXffvn75a7FB f+mqShdzAG0ui9BRWCV0HXRDib3x7EWg6d1HaPvLty+re+Hu87eX2VJCzDZ/Pz68t87eqkkOaIqM K9KwegDaoU86XJEa+/glNuUZzCtQH+4ZaNhr3DdgU7lVweKiCvT5AEA1UY4oZ0jAKrZ4IhfFEobB v634cvQCJ8AvZy42dw92g3VJ5ouHfHGfww5tf2I+UVlGWNoOHtUZcaCVFro3D/gchsYzsL58fvz4 dPcTbQLH89yfV0n58vRihtms2tvZa1qCdZ4cSWHbTYm6h2/fvny/+8GPpv/36cu3P+6+Pv3LNrmy U10/TDkS9msGA4nCi5fHP357/vhdijy+8oMU2Ho+XwEvBsVxcC7IRPoEHTaOY5dySA+0b7F0RFkv vRgEP8QB5JQlJQZlUsopDs060J2jeC1OCRAWOPHsG6NVziOz1NKONeOD3cnvYK3wPFlRsnhfC4Qq azZMQ9u1VVs8TD3NLTFh8EmeQLNeSa3M6aqWZBNszDMe6FVfiCW32tJlPHqfI4dBY+a5JzXaU6BE 4QWtJ5Ehb2WBxh0bjn/HDjyCD8MyGP7sumR56RopcAeK1eay5d/xNFbpAYxLLKJkJWBlxR+1/l2H N2MnHJT7WDmMM9D6ydeacHmjmbMF1NeY91twqq1pRtBi5a/kFvcko/IzDjeYSEnQDRpLSZ0V3Unv 2AydGJ4eQ6JISzzCWSJZqjXUIUm7u5/mwLL0W7cGlP0MP75+fv71z5dHHqarcwTK5CnAcJa8qcDF NPj+x5fHv+7o11+fvz4ZVWoVZqnBM4DBn0af2wvmkOlNNGm2ecuj+k89BauCdRV5QPu72Qm5vU17 OlNyugnFApgqWpD0YUqH0byGstLMdwYCFLzmoX7n4+haTY6kIrsTw0PLpSZP/G3tqiwO2A0CMQP3 8qMwK2TK2z6lU9e3CX33t78Z6JR0A+cu7fvW0M8zRVt3PWVsJtmoXJpVQrI+vfz+yzPA77Knf/75 KwzMr6o8iW8u9ortV15UEiOFvo0ONOo2GbvAWs/zWM/0bfKepgMWYm9+Aeo4PU4ZKexdmYoTfpXs VtqytG7VWLUXENYzz2/fk5R2LRgQbKPSc1KR5jjRM2i+rXJn6v7U8MTqU1fLJg8ykuoIg4r5/Ayb 0uLP509Pn+7aP348g/mF6BBRVU/vTzy0e81vz+1PxxRcwdeVxkVpuMTN6d/F1bgT62iTvQOT16A8 UNIPCSWDMLj6M6k4mUkHok7r7tY22AcYNPyW0tqH5MQeLqQc3sVY+xgYNXIXDAKOY1XJRe7UC4vm nYvwfYu/6sifNSGXUWBtaLZMfSnyEYOBnZW2hk4vaoK/CsmRp6xSSyK6jVgXpPAU/yhfkVPS8yzx h6wu9bVX4KpzZpuC96NWZdKmB72PZQ9sneaVXYJ3pKHV1ce5rB/d49enL4btIUgnkgzTg+M74+iE EbG0aCHl9dKewYBWVO/UQgLCOn1wHJC0OuiCqRn8INjjh6S3r5KWToeS57jwoj32SqxKOpxdx72c YA2pQrwZYP9PqU1gZhLOf4R1t7NzpFhalRmZjpkfDK5vccJfiXNajmXDX9t1p7L2EmLJV6F88cCf cMkfnMjxdlnphcR3tvlRVuVAj/DP3vc8rD9XgnIfx26KkjRNW8HuqHOi/YeUYCTvs3KqBmhWTZ1A dwRdqY4HkhE2DcyxBIlJpGVTLKYPMNTZR5klKl8aMUoy3pVqOEL5B9/dhZe3fwKtPmRu7OExjtL4 k5qdYBCqbO9YYuGk8oEucfzg3kGPsRS6YhdEPs63hl8Vr2JnFx8qS0S2RNyeCe+TmFqWoE+UOgwj D4+qQsn3jiV3yo26JrCsjlNdkdwJogsNXmt7W8HCME5VmvH/bU4wQSxb/fWDvmRUvBjRDjwt2p7g HGxZxv+DuTZ4QRxNgW81cOYP4G/C2qZMp/N5dJ3c8XeNTawtWT9e6WtPHrISlFRfh5G7R/10GG3s WZvRNkk79QnMwszmOzPEmIWZG2Zvp6b+gWzLskQb+u+d0bEItUJX/wctiGPiwA6J7QKP5pZQS/xD Qt5cTZtD2bY1f6Gl5bGddv7lnLsFulQcSN9N1T1IXe+yUc17a5Axx4/OUXZxtkXhSr3zB7ei1kLL AeQBJh8bouh1JinUqAsXp433Z0v9/B4ZScedtyNH+1ZYJQ7CgBztm5WZeMj4PTkQ8gs7vCrmQ8fv /zlePICSeI0LC/HOrwdK3kTcFe6rGnboT9XDYuhE0+V+LF5TsueSgUXcjny677W4AoQclCTY/8U0 dp0TBKmnp4XTnAWLsafYj32ZFVR1cSzm1IpR7MWbAzt5ef70q+m2SrOGcSPL2vL0UHZtQ6cybUJb CsGZDmSNe4q5827DpFpNBQA14tG3DQ8prEmgSqsh3rse7mtW6fbhRgNVstNo3+pyg3Li6WNsPtea +2KAL/z91Kwb+TsABZ2SOHDO/pRf9HnWXKqrM9tSIvdMdkPj70JH10/cIzh1LA5Nq/CK2mlfsZLP /hK+MRDl3vFGE8jfYtaaPdvPi2DZvM+HEmRjOKShD1xzwdbVS4Fd76FMyHKJMbStSBqZ5tvVsNEr lVjigwxC9CKIIAPzIO92rrF+A4I1YQADaUkatX7dZa7HHBeP3xabT5HPBpQzacbQt9yF0QkjW75T gzD07CVyV/jWncGrXqgPWRcHO5sn/rYpNoETOSRLXm4UXXpsC53SVI6utqsztdV0aMi5RM/c+bQd mao5AZAnhj+9T7viZCkiLfse9sX3tDb88EXteiffErvGk8pxosMY+0GEbQRXCr698zzJUSoj/J1i RMioHZp7fKWoS1hZ/fvBLLanHVGOhFYEGA2BHMsmwSM/0M6Qzkk7ioB7gysn2653dmjrHpwhs+S5 Fm11LZm2F+eNFXcu7ThGzsSq3+g457/iOc8oGxi28sLOhjaD8I1N/KW/o+aNqEqeAqbJ2npdnfOX x9+f7v755+fPTy/Lo4/SuW6eTGmdwaZKWucBJjKQPcggmdfrIaI4UkQ6AwVk8tMJvJKcJ5moqh6W YQORtt0DFEcMRFkDt5KqVD9hDwwviyPQsjhCLuvWk4Szm5ZFM9EmKwn23tZaI8/oIhea0Rx2dzSb 5FwU4nQ3PSVa/eeCwMgosOsJhgKtwRJYjjiZUip3nvHWD/MrgObQ/vb48ulfjy/I816cmUKVKDV1 tadxAiDA17zlZtNiMeHsSB9gX+spIe4yVAz+X0rRxJI8D1DAGhfTJlwKleAkztqCaG3mT8Py7Dz4 GTlnvZuJx5Ns+AY0iWXCArYvz5hzk4tmtFO7X9HYCaJYZQnpQU5bPiPFi0hy0TWBDRMWvsqr1Q5r ryA9JdoNsXUgdqNaE31JIzM8gKJTqppBkoBqYzngKUs5s3FTnGNsio/jSlUy4ffkO45WrYCiz5tx ISp1wTiLLH1cRfDjvjTH/DoL2ShO9UCnJtzr+aAqQtqC3ihTrfTjQ48fsgHO11YVqbK2zdpWFenz AIazr4AGMIJByatj0h+12etrTQJZq0tLYjBAF7RFj7w4Z/XHdwSMpSdbP5TDDT4XElh7x2EXaBqh aKssL9lB7fD8XoI+Gyh3H7S1pYk8YtCT72LdYCKdXCEfxUs4c7owxqNi8XBe0e9IT0i63gjE1lGh hpPHj//z5fnX337c/f2uSrM1CaORbpH7L9OKMLYkBL21mGOqXe7AlsYbHEkaBKJmYE4VuQjBu7ZV YIazHzj3mAnK0bN1N6qlCctO3qlx4JC13q6WOcWh56Lwdr5HcA87p1iTYlkaQGrmh/u8cEKjRyBx x1z1AXLMbLJa62uH2gd7FVPJV22ls/hawI3iOGSe5ebojWh+pWe7Jk29GwTdpcZbsPFsw42IdJ0l O96N5h5U13SpKGaG3agYORD1rUmplvkhzs3vgSaOZW+BhpIjXxUOhr5DrKg9ioFNYDBiNekPbUls 5gZvj1ZkPm8lNX19qxThiuVxQ6k1Z2BaVHVYS5MsdJ0I5VafjmnT4HUub+O8Jpd6Br1FP72ihda2 gLnFYP8gXfMVWync7hQ77puWaotW/TWJ05iJ55tUlMcNZVh3GFFanQZPT96wdMuIIV3rZ+2pkS6s Me2HCCboVVCX1gZgovLziyuwpOk+iFV4VhPaFNwFZZRzuGS0U0GM3q86SIH35FKDxakCr1FFbZ7z WE0V+x7GXm0Kh8y5+vTsvRzbMsZDQlGurx0U3EEEXHRUTy4r4Xi0B6i9jL3zPaW7S/JmWPFBb5Ua R8EAm3KtpDN/JZHRxTqz4cpmOOr9M0Kh5C9rEG85PHQZuYkVySlXwenAj3ozY9xOPNamR4aTxz+b YD6cEz0rFpuMs30BQ6Si6u60c9zpRHqtpLar/EnZP8pQXqSKIek+mn3K8voqOGHNkyraliyJUvXP SoYtubMslfrwkMyNY+zWo0BWbKcFAggwKw/oQ3ICOZTlqE2vGSa2y9pcJKc4lq9VrjDPrPSk3yaQ kRdPLSMZ4mjUixDAqQWeplWb4iGvQtSI4zq4HhToutTeE5fHeXwoaIOMv4BrioTtvNg1YOFotHyG wv7mMmWWNWcmCwIrj+b3wTXP6jyzxrw0ZI/0FUENDY4FxUrMIarIg/4NUiaWRvBa5g4vEzdqxTRs G5u01yVRO0rTQ+sXxnRpsrLAzIcbUufYDM3eY9CyNUZvJcfdGqJlDXP9yMbtGasJSl7H6j7wCpxz aATjlLStfV05aIKkobR5CiujG3k7vcMDreLRwaFaCce2L1yeg0Ef4LayDWA1hrtwR5laUF2Ohs5t ai8IVVCXjgdtWejLbgDzSQPW1PcM0D5EQIHR+HNJYg+91ixhMb0n9tUta40CR8/DDsI47qHO5xex xT72kP1DRMlLSYvF0GkyD4AJFA/twUSA5VNbujlWjJf50WwlGdQ9nQH6OjKXxG2chFK7YBEw/4f0 IO646As/x4p1ECoh1UCPZqNm9HycZcOysqjJ3CWjhTOF5vNHafSYThU7e2hfLQSAdCS6qSHhYaHR Vz8V6xsip+P1BQEnFYk+7EWx0ncCm2KWRMhs6nwHTJzMsbICs2piAwyfEoN9FVWzcT3Fel/zuIJm QGSVXxQwoB2XF1jRoQUf6DtHRs/Naw6VVtgMz8TbpkKcTRU271kuZU/Fc/WaYdgZltSJYVlUhVaG Mng5ahNWqGkrZPM+RN0mjPnFtkYx9WDiWnirHDgJC4gmbYJQluKSSlHym9f6krLiB8JSgjmPFKq6 VZ9GX5E5sSSbX/Y4qXVOslYTOwDMRnNy0tQZx6zKTt0AGmTrJs7EIIPNocbWYAZOZBRH1nqfZTTr sjK39269DoUWAaj0A1hOkefu63HPHW6wrUvxOzfaV/3AU2m+jRzq9/G7uDJVT5u2xONyZju/FvMG Pz4SApjWoS9cvWy6HEo2VJbHg8Q8oCDZjTi7BHqZbL6c/S1dUprzK9n5y9PT94+PX57u0u50zT60 3Na9kS7vRiCf/LeSp3fpdM54vDv6NINMwoixWKyo+h4/7VJqOIH+tFkR1zoYIoECwcULR9GthpVp Xtrtw5VsTM/2EVqJ+q5mtk0+pynrUXTyNKuXNc3e1gAqGg6k5VCGnussU80ovjDnMQDFh6WxQZax 7Qk7g5OpeDBVVfHT/JPuM1goxADM9Vix1o87mAQ8ZKydb/eAaQOzHdF4y5o03zYWt6i0LgOGDG0N jMpL7+rs1wrCiabZrnwD4aIcTXbOrTvCZu1o1/My5bbkzVSkewvVMXkLVVHZN/w3qrR5S1lp/iaq GgyjN9JVNhtuXZHW99a59WwTjnp+wsNSDb9wNuU8IiWrHnjMYzE1pLacxa+f1v9P2ZU0uY0r6fv7 FYp36nfoaC7iopnoA7hIYotbEaRE+cKottV+Fa/s8lSVY9r/fpDgIiwJyXPwovyS2JFIAInM9jBE bXykuE+amY1W22VY6nK6LZ4+vr5cni8f319fvsLxMIWroRUsFKPLftHlwCwcfv4rvTxj8Bl11TCx 8TMRMC1hrWsKZqd8cm9J79ttvSMGaQVGdpMOOW3mRpNSzRZE0nbmI0JNySDd0LVZblBBSGe7AY/O dnt1nxmp8WRvYQssGy+HHfRGxL+BTP7nTSjFFTLS8WAVhloHth2yTezdSnM+pXVUtsPatrSTqRmR 43DqDGsvREp/WHveGqX7tovT1w5G99zQR+kemm8ee5IFwwxEiRPiANvRxdo5BVeh1WDBKj5GqTQN 3Ji6Xq4evVwBpCgjgLTaCHhoITmEmSxdOdZOjrUtBzxk2E4APmpH0Jgc0lcckJ+NiZBr2pfPDL6H pxlYBrqhSsGNGgWGWQhY3yMDbQKMKbq2q50fz9Aaez0jMWywNCEcE55m71iBc0u/5vsrZMQxtRwp /miHjI/qlAY2NkQZ3VkjDZ/S0LWRQQF0B2nXkY4364ShHbVrCx8T2/AudGgOLrjh1ECIuBNaIVIM jrC9KDFAnoW0AUdE384SsJFDJsk5Be4dGT2ybZAhP+aKAbQIN7Y/nOJkDhGrM9VxYfvqJc0MBCEy ECcA7yIObnojcPMrvGcBDH1DkgwwJwkgxfV5BruWb91VG2a+21oDcLFWRAbLjBgLOaKminu25eCp erbztxEw5sZBQ5OwSeI6t9b6JmcLKDJS4BgGm+JAN/F7yMCiuzb3JDveBYEj74SqRg0Cgtd4QZt0 BxFuEQb+0Iewv8cY10i7TDxKNEiVCT+joLRwXAtZvwDwLWQVnQB8RMwgXllarD1M+rD9t+sg8wfo Htba8NSHIIp9S6jj6ZdEC2QIsSrymGK5SjzGi8KJw7MwlRCAwEbqyQEHqSgDmNKJCHIevdFGhmi7 JZswwIBrUMSbIN51IgPa8QuDa/dYDRfY6bHqiPCdEnAWg4S4MvV3BafIqwhPE28S9zYaMmjhoy5x nCBFik9HfcyAeOjmhoeqNAT6mXnY2rlxXczOe+EoQk+945rp2GDgdKSTgB7i6QQ2IkWBjilQPJSm gd9FpAPQMcUN6Jh04HS8XgGmkXM6Ml2BHiICkNFDTLka6fjonTB06jBsY+Hl3Rjy2WDaFKfj5d0E hnQCvH82IbqV+5C7oWUIEbPw8NOcjV8bb9FnlTDAltii9V1sx8fpIVYohvh3ylSSLsTfQogc3hpp 1HKxj0JTDW/WcuTA5F1NfLb9IuhKlddgkH2iBI4TDe8mZN7jz7M2/U+ztijr7CVVOi+T6jdqI2D1 uJyK4bAMjGeAu4bUewTtQ1+2s2WFTJdXqopJCDhtQrplubKcDTiyRH91wIhCRlkyRPxI8sxv1ctd K53vMrwh2K1wB8l8EZOZ7+9nv8bfLh/BkTKUQTt1BH6yBocsYt04NY477hwFyXPEm66XM+akYbuV a8WN5RFS1mhZUtTOgkMd3PsrDTJEaX7IsJeBIwhezbZbNZco20VpyQDDd+CwtjmrWcX7jP3C31dx vGooyTDD3RHtdkSrLxvzJM/Phm/qpkqyQ3qmciPr5h2cypqnzcBKPbI8VHHgXOf5Hlz6mI2sXVWC Zx5j9VLwj2tqsTQnpVzINE/jqlALmea4MODYB1ZVQ/q7tIiyRh3l26ZQK7LLqyarjINoX8mWRuNv bcDuqmrH5MaeFJKdOkDH7EjyJFP4Wz90FUZWGT55FOo5lQldDG/1Y5l4IjkbuWrdjll64g6OjE24 OzdmZ8PAkMW4c0WOtcoc/YNEDZEL1p6yck9KtVsPaUkzJq8q00zM47o6yW6VORl9GzMiZXWs5Myh obicUlOZ6PCjxs1lFxZ0BAPadEWUpzVJHGk0ALTbrK2RKKV32qdpfmNO8LeJBRuLqVrggnVvY2yr gpy3OaHKwGnScY7KXVRkcOxebVuFXMHlcnqWkyi6vM3mMSkVqGzxLcmINRl22Q9Y1cBskrKuSdky 8cmmobC0CURtrtVpyRpJtJobqS3Jz2WvlrRmMhhe0BgEJhND3NVRrEk48DVDW212SNIW/BWqrc6S S1K1FE0VxwSzJACQLQJaq0xOquTEwYeSpE+AT6UtHr+S89dpCu4AsGhRHG/BEu+LQmJjlOkCqdYg rDx13uE3pryOBXbGx6UMuEojNJMerS1E84SgBWnaP6ozZCsoXAJVGxxsQavUgjPJSFP1oZWI75ko wizXRrDpaDs9RFnKIFKVmc5lNCheQ214Qc05nO2HtMH0wFGcI0vhKcuKqjUJ4z5js0ItB2Rxs88+ nBNQok0jnDIxXTXDvouUUTLSY9YGVTH90jSzvDbnW8RsB6ZGiJ1v9hHlk2ulYEGJasUMGEaVVpn6 2LSfmMenVlK60QvjrF9f3l8+QjwPVe+FDw+RFJwJSFxgoxW5k67KdjVX+Mfo916u65Ip2AeMqrPh XGhmqHDJcIVBbUmyHi28VoDFWlcsqtAO1T5m256sbZkeNLrfuM5MwDXf4931hZJEY2s8vJrfqQ3d 5XUGGyS0UmNiZWl66wk4aWDJJ3TYx4mUpZqT8nxHwkhZssUnTsfHNvxNoG56WDy9fbw8Pz9+vbx8 f+MdPNkViv0IqU2u1Qd4qZnJ3rElvi3LLCuzlq8vmcEohycoPfczslXtjm8WurjNb+ULfElGSQSd 2k/2Z0wcGFoYXhDwvtsxscgIvMO/SH1wdWbNKs+W2N+df0iTqZz3v3xavLy9g8v7OWxKgs3J2A96 y5o6VSp9D0Nyjy7+AKcTLJeQU5uqaqGeQ9uqiXK8baH/eaQKY9txxi3FTcHE/NGX93I39J1jW/v6 RmUyWtu232tje9iyPgTLSK2iTHdx1449AXJ+SMPJcxFeFtxioHlo2zeK24QQ3WcTYLlDk0RxgRl8 zzC4VOLvZkQZPvpxWMXPj29o+Gw+/lCX0HzSN9zAUu3uU2L6oC2W85KSrcv/teL1bqsGfKN8unyD mDsrMC6Oabb68/v7KsoPIDEGmqy+PP6YTZAfn99eVn9eVl8vl0+XT//NcrlIKe0vz9+4AeyXl9fL 6unrXy/zl1Dn7MsjOK3HYovwPk7i0BDUksFZzZ9YmAUquHKb5LaRqeB9kqA20FwinWJXHpFAYRtp 2s7NVz8/vrMaflntnr9fpkm+otgqzz9lC9fkoVtN1pFHOFCkjHaPnz5f3n9Lvj8+/8pEyoW16afL 6vXyP9+fXi+joB5Z5hUOgimxvrl8hcB0nzTpDembYzksLBDO4MBEMqUpKPGGGEC8xfcZ01pS3IXR PM0D+UB5GQu8wKja0lEq3eDx8cWUfPl47EqFpZRWOaZrCkzXQ0MdU8OPCxDJmhgWFHXaz3BzcG3U iZTANJ7ioTnHe1e8jxGQ057ts/YpadHvwMQDTjBTtqnXlq057ZpJ0h7PdjwnG4oQhdOiTncosm0T eBtVoeAxGzVrHclq8mDoPPRIUSxLspuriH0+w4Nhjy+WPbQdF7tjkHk8F2+zHfdyhEJZfTLVrsNc LAoMcP5Zk3KoE2JIYuK4V7tDjnpdFTmqCPylxqamLOKW7fTutRB3l2RKoaJBYHgzrbCF6BmuyNR3 ugY+YSU5FqQ0tFedOy7qMVrgqdrMDz188D/EpOsNFXzoSA67gNup0zquw95DZwIlW5MsAWioCdvs 3dBUZoGVNmzTnjVs/lPsNFjkPRdRZRKd92cN960HXj9u53I6aVuoqalrHk0Fr3JVlFmZYkdNSgqx 6JNOwHrYzg8FPkxOGd1HVWlsb9rZZnVj6fL2zoTo6iQIt1bgWoY27u9IuNkz3rI0yhsyg26YFpnB BGdCHdOqRJKu7TQZd6TpTm7iPN1VLT/Xlsn61mVeTuJzEPumqRef4ZC0kPPNkvEIWcqBLzL8zkXi 5Zdqk19tsQScPhRbtn8htIWIiai7P17NjG3noqPsy5FXyqT6t+Bhi22co4a06uKWVSfSNFmlqeGG 2FHjNoqm7bgj2GY9hPxS0uTvX7faenJmnJhNGk/zA2+13lE/gj0h+9fx7B73K86ZKNuBs/+4nlFq zixrXzSi4O2WlQdwa5GOjmNlkHVFRQ/8nH4Z2/W/f7w9fXx8XuWPP7DQonxzsxc8IZZVPW6P4zQ7 yunD6clwlB7OtmR/rAAUTj9nEleuh+i8hIhTWgu0VVeNTCAcexmKLieyI0whwTq/PdeiiTX/ObSx 6JtiocWSRdZIblo7sG38CZbwIWyUM3xvPnJtoavR6C8j3sVUyh1+D3Fs2DQAqB7tyYUCL2hKnMoR 2Scupa5j8iTNeWjLSmv7ljTyl7HU/vh2+TVeFd+f35++PV/+vrz+llyEXyv6v0/vH/+tH8GOiRcQ QShzeXt4k28Cobv/v6mrxSLP75fXr4/vl1UB+zZEjI/FgEiveVsoPjKxohhSlE4q2D5oikqrDm+A 6PR8Ds6akC4rCmF/VJ8a8BiVYsRlR3u93QGLE/AfhSc7SodpjWO/f6PJb/DJ/UMz+FjxHQskmuxl /08L0XggeuUwjFghibzdChPzCrDdPGkIle+IZZjfY91MHbjajW1In23CC7qPMRSut0vZncIV3MK/ hvgjV64iy6OUoO+EgekU0URu6DbbFgNNtKY2HOePObGNdrUfYkwzBYY4CmxLzga84dIExppU72MX jf54BVqntU7H6pb5bIBbMn1yvTIoAlWEOtTRBS/kw17/bE8fTAN8CrlQ68OyaPHXutde6dPSYNYg DI2C4Lf/wrgufNTxSZEWlOnQkju7maYfCY1z9PLl5fUHfX/6+B9MdC1fdyXftjD1ryv0+OJiKuaZ rqfKB12BnzwtTH9w84BycENMMVrYGm8jhJW/kqWxYUIlTQIuUWS3Q/wKgXt9lcwnFurATR1wm40r E7dciKscjerK+aIGtMISdOz9CfSqcpcmszgFMxOki/iHpGRrvbfBj+hGjpNj2ZjiN2YMLi5Ey+Mr lW+fleqojygVuLEse23bBq++wJLmtudYbMpjpwOcgzvHtbSsORnTaq6oq9QCPMGuHaUzgbhxJHWF 08Fo1jE2E6v3BlSIHxiV66lagdWrP6U6tbtZ32gnwA1efCfcs1BXXjPq9f31PlP71nOwV4tX1EU/ QqPeTGgIjr5/qMQgVIdWPrr7RRrS67VMJ7rpGnXh8V39W90PsozGtrOmVuipRTkV2tho0l2Xk/bG 9E2c0HL0Nmtdb2McU5N3ZH0kxrYbhLixxnilGRPfk/2MS3Aeexu7R4Y46cPNxvgdzCHvb6W7qtax 9MlYpOXWsaMCN+XjLBl17W3u2hvjIJ044KGHJuj4FdOfz09f//OL/S+uIje7aDXZ233/CoHtEcOM 1S9XA5p/aaIygr0s6k8cUHqGwBR6TfOe9b7pI/BSoTVzmcVBGOHuE8eswHLg3OI3XWMXZqw3umn+ 3hACsp3QGLjj+fHt36tHtrdoX17Z7kVePJZmbl+fPn+W9HDxtlxdAedLdMXxsYRVbPXaV63hyyUs tOFrMWSJ0hYTR1xjJ+0SC4nb7CiFWZBgLqbx7GfLBz4CeCM9fXuHW7a31fvYUteBV17e/3qCndrq 48vXv54+r36BBn1/fP18eddH3dJ0DSkpBNi5V4mYsDYm2kCc4ZqYLGgltjJtk/R4n6/mFvuYxZXc surjAbluhrAdcH9F6RT7Arf+YX+XTKsusQ1VyoQ09+uTMf03brro2q8c0mx4mjbm/ukkAhOnaz+0 Qx3R9Dog7mOm6J9xzRRwhrXVHrteBnTeyEqflMci1ScqQ1ZPcxwwSbGDb9g2fgt5ocFFFgZwcC3X iZNHazKEOnRZOnqHVoqYNEftUHOx/IKSIvrn/F0Y1kVoYWJ+5iBR5H1IqYvlSqK0+oAHnLyy9HfS p24gRhWc6QmFeBwm+hCz2djJDyVEjgDbaQkMfoBkuT8XoeejNR3VoRtpsvXZ34gvpgUg3FiSwwEJ 2txJFVZ9eUBMQBD44uPbGWkOoRUiZOrFLlbpjOa2Y4V6FiOAdc2EIJn3jO7pSdXxNlT0Ugmy0HsJ icX1XawJOXb/6xD9uFjbbYifycws0YPrYJdry7w75WtL3vEsED9ctbG90tIpsddC2ZCvKdsTbSx8 azjzbAvwbXIrfTb3xLMcge6FNtYk8IUhauPMkhZs14rHYllSOTIWPEqeyIJuCa8MYWih3UYTJgBC TdrBodcdaQcdjur0EsPaIHQck7CxsBeXIsMa7WKOYBq9yLCxDJLD36CWLUvzbQLL0MNr1ve3+wZk xfq+tHPQme7Y4mPr5Yu4DjaeTBfdk/24diLovz+xdCXUxe0h5LIEWNPzAbqJ8T36taV8JV6zbGIm l0/5OC4qqjcP61GHC21sMJhCoYos3q3RC+ta6A1bUmS5aWVkDPcy8dEoDQJD4PCdN/ZtsL6fPltI 70yYYI0qBM7aWiNtys8KDHRkMaLtwQ5agqyRxTpssSUV6C6SEtD52259ftLCd9a3Bmf0sA6xCdTU Xix6T5rpMGAR7eLDuXwoaj2ZySPafLn78vVX2ILdmVHTDcbtNadl/7MMYcyvMw9113aVBTz0ItKg re9u8AkbuGik+6XokOXvwntnevn69vJ6r8ZzJDq0NklBTFb5DIq6rWCKP31Cz2UMMUjl27cTp2PX 92M6IvNIGYrqmE7RVk1lAzazxejEQNN8y4PG3mJi+3v1gc0c81iu51xu0vVXU4+JBqYduWgxvk/W 6yC0kIPMCUGLdKBscOGqA/iwJTTOsiE3mIvvW9s/GOK+s28cbD9Wk4abKNYQR1e4S+VhdSfw6ul9 IjcV72RPJo9H/0PB9s1kl6ppRfAQYMb++c9ryaaWG6Ic4jyhhRdZsP2+gI/vN+W8pdtmw8n2cYse 04KTgTk80DVVCLW868Zb4yWFMmubamASJCfHFGvq0WGBkAr/zQM1Svv7iV6kZYcx4wnMkZxlKAJ3 /bKF24TwEBLGQg5FIV8LCOQ5MjL2Zkfm5gGmWNekrGe67VY+bzwmNfYu4cgNYaa6X5k5VbHGU1CQ +XR6MDW1h37nB55u317+el/tf3y7vP56XH3+fnl7xx6H7c912hxRsXAvlbkuuyY9R+KDy4kwpFRY 4JmASBPpMnekGAN6LfB47sflXPYhHQ7R7461Dm+wMb1V5LQU1iKjsT7YJzCqxFByE1G2q5qIs9TQ a0Qp00tKbG2cGDJKhAKon9dxHhiURIEDjXsk4r5eYkZ2LYwcynF0RADbfoh4iH5YuDcLCA7gWB9k lWNZ0BpamUaGOnZc/zbuuyjOJmYoBxoTAXxHMI8kEt9jYNpzgekpVwYrnIqFfnzzU7zc8F2IXsle Gfy1pU24IWmdUFQ1BbJtIK9xsoeTA6y0DEAdpM54UbgOwebONvfUELxKzzOJy/7YzoDrDwJblrGV 6lZrZ9xSybEOsVa12O/hgUelAUUd+47eQiR5sJ0IqVDJsHYgju3d6LyJqTJ9X6CrtsJh+wn+fU6i OjaE8bvOWKLLPUZNiK2PKUYvkKZh5A5rMbCteHAxQemhFtJLctkiJdU0Q8fzZLuRpR/YXyfwap+I IZ1ElEDCtuXq9RJgD52FIoMhsinCafDBqHP6qL2AxudYLiauBQbnlqS48rm2oy8HAuwhgkOAe9EB 4ALn0C++Ix5Py1jQu8bv2HqzRqvG0Y19e128st2WDQlsXjM7QI9tVSa0iWbMvYHhFZlQg483mQ1W yJ9cRNG5ICyiiv0dsoyabDYV1sy5v7ADl4vNH/arTeP7VRtXUKxOSeta+AJ5Lrnln40b3UxcO6b6 7etET7fY+j3WYVlcjwLstkbwEFWkSRzcaGri+qNxDd1wSMF7V2mKFTE3Hnc0wNf5n2Izl2RiSXS9 aUSY1KdY701gcmMhKdK15FJ4IUMjaWS2OPmeg2kQHLnVk8DgW7ogAXqA08d1EO+Ckq83NwflyFJk 2CrdtAkeRn1e6XxEHy8yMRL3NRe2qWQLL7a8xhkxLomsd7hyOcQ6Ns4nBCj54B0CJkHMKIiYtQEf 2xTH+C5aRx46wj1lsaRrDOfPoA2VTNpNiCgk5f8x9mTNbeNI/xVXnvarmtmxTstfVR5AEpI44mWC OuwXlmJrEtX4SMly7WR//XYDBAkQDSUPiUvdTdxodAN9yK+m1HkF8Mh2JrQQ6K/knzZFI8NaOwVv 0tXsmjgBQTRxxUOUV4g2SDHmkly2Un+tlH4E+7/E+unF4EBBPIyIXuop9qxTClzm6yrOFkR3nTvK Bi0qtsAvrCth0C1vh2uS0wESRoQoaB7UIrXD6Kqia6yfmRdHEc/rh7xkvVujFlxHIfnqapI8lKPp tRm81kQG6wcaEYUDzydJqvKUkO2RyJIUWUwythFTfs+FW0xcrEd4vUqPabQJ4Nsb6i2MvT6d3o5P 3QU4E8uUWyk5NUl/2OWxaFlKVbxeRClIRxRvb9M+NsEG2lGab6vqXuafr/IKPYzzshKfp2MXLyO1 KvRo2NW7EDVmUMLrWeqKPovFvRAFM1LIwlqq5sa1j/pds0U6GE7HK9BUzSFusEE0nY7GN/QB3dAs d7PR+DqgbnhNipvIqRvhk5EHfhMR7QHOcTsgLScMgpEp4lrwCQ0fe+jHA08TxjPqusQimDpFFmE0 m4zHDrxks9nNhKhJTKPrIbtQExAMBkOqkYIXoIzSj5qaZDnwZXHXFCIaDMlnVYPASk9gwd0RkPAR 2V7ETC51tbq5GU1Kt0iAz243RJHAs+99Ty6aJBGz4fXFxb0OB9PBhXYB3kr3pcFFBN/dXLuzvZXm j3llXRal8iZc5/Wln71W4uaa5Jb6etqxmLQQoONihDCMC+0vAtlJmRtuahoBjCzFRKMuphd3ToOl Ze2FmqwE1R0wL9As18UUttO4Bpds6wJdz+q2e2UcLXgkvYEdpG2rq6EqXrzTvWJLmXVrrIiooqzw 8hq4tnK1t1DTR6iIxyMjgtAuTjBrLs7J3JruecyTCL/2meHeJaQX+2427RJYNq9DXXUyueI2NZoO P+ogze0g0Wu25ZKOfgSVLqL4ocDHvi3uD+YxR+9oq+U6i3gZ5AnpW7hLm3a1nxYcpHtfG3Yxy1N/ ExcxnKb3cJr7CFjIy2VEv3QirqZiZ/QofEWnsCY9rg14dSK2wbqqPHb5KlbBopdfpkML3FisqHLa jUriLzddUniazjkHfelC+fbqUmoQRr6jw8LN13/GlVhfKlCTVBhDiX5ZXBQoMoUrXtVzT5ibZaFi HfmQF0cE8Z7xqEI4Va/7aL20gxRlfcvMQsYOFZin3RMuFJ1LVgW7FO9S5ZjHiOCbnrl/jyblWZLT OR8VwSaoPE6jwr91dvlgUnM4O2if1CJUicbhICrWZEIGFeu3mXRzQ2vMncd0R3vIBiBFzVexZ1Fp qqV3iBsC/9YHHhimhccLYnFpuRYsYzIG+SUiNPHn6c3UP8cYw7di5aVC0DBRXu3BXAJtVsWMjJab Jrsu/fGP/gqIPWOksKUnVmaTtRgjEwMk4yHFsRVRgY7QuSlKNPA1xveMC+u8bcoN197rXIOCiCKp JyFVbh3dsaZtqOB0LcxLqyWIP7wtyn7OlrgcDn8MTUgfXi1N1XNV0/jGwu1HD9CXMzTYSRzuUPi8 5jU+KS60A22CKstiRCJWgYw037lIXSgBjXjQ++Olj5AfBqx0MZuA7Ks0nPDEBmx7K9klHYC1pUEf FrfWXrQUCQY5q5Dh8Be8306FUsZslqgORxfL8t2l5ZbDoFuzLNblHCQAel1p5EhJuXVelHzhy0mg iZd5VSQeK7q2tjIf1RckB03HFiB3Ljzepku24XWYGOHZ4Qc69oCwvlobRpyaEKrlBaoKpilKmmdN IeYdWgNt/UJ8zwAG3e2YNMM1iLQrCVWAiCe97Kk+KlIXtWkGY6qLiBnbF6YG5uaaxIRRyG+up57h QeytR5k3yQS+19QhaagD+GqbTK/HdANAocC/C56RfVIuyi58E/ZvgTUmiG4Gsx0tkhpk83gHfCZN PeZ9SJIs0jr0XPI12cY3IeWoudwCbwR5RwapUDZiz2+Pf1+Jt4/T48E1gofKRAmceDacjKzVzjcV AQ2SqIV23AHjR2AQUzhXqum4FyJLZ2eimtGezixOgtwwM26F53S5tpSdkGLsGGOiZHWKRZhcS5Xq CyMWw4ysQTyz4owoIBH+VjkTHl7ezofvp7dHwp2AY6D+xlWwu+VuobCi+ypqMzREqaq27y/vX4mK 8Hg0+ykB8kyiL9olOiM9HCXqDtZmvUC37W699zEIcOt081p1nbIab/DfHPRbVDScwRV5ePUv8eP9 fHi5yl+vwm/H7/939Y7u538dH40wJ+o6++X57SuAxZttNa6vsgm0xAent/3T49uL70MSr8I974o/ 5qfD4f1x/3y4uns7xXe+Qn5Gqjyf/53ufAU4OInkMiDxVXI8HxQ2+Dg+o6t0O0hU+JK44juV8TrH rCdJ0n+gbur89dJl8Xcf+2cYJ+9AknhzGYS9oJny493x+fj6j69MCtsmkPil1WNwEnntMy85FYiI 71Ci1xyU/3N+fHvVkcadyFqKGE6TYjizYrk0iLlgcIDTulxD4g1i0uAbLSWrRuNbytiqIcPg7iPT kaaDg6hxO6IRszGJaLxZ+00pqmxCm8E1BGU1u70ZMeJTkU4mHqvMhkJHI/wJTajl25/SYdy7Efmm nwJjtp2SY0+9WUUJ3hvQmFTIRLlG4CfwjuPTV2KBIGnIbgfhbmw5JiK8EvGA9N9D5JytuFXB2/70 RJUfI/XNTL5KtNS+9Yq0TUQNvRe2hpIIPxptwmgqAv0eLIhlFSgJdRVSY4X4Tgm0C91SBzpi5gLD ZKf9D9RWSMjUPYiXEX9GVt8AJoTdQYT0Q4x18As6NdDISDiziV0FyJkOANVJPX1xeXf1CDzJDaII GJSdzJZgAO2YGhd0cwJBBz4x322dso01XWAQ+oDMdFdyDKHanQq22IK4oAxTUQX4K2T0NZMiVJOy oC/ZFAnmx5axXxyeXyzvr8THl3fJu7txaQLNN1FM29JktFSQjvErt09BmNarPGMyXGvz5NFN8PJe O87VoPeVvmtDky7q1UMSqTDSPydjyYZmMUiF6z1Od7P0rh9MzyJLQXlI4P8idtpmUBU7Vg9nWSpD zlqL3ETiIHkKSFlRLPOM12mUTqd2bCLE5yFPcnxyLSPSrQZp9EUmVtOfh3Ybo2IU+Eelo8MAmqTg Yq+ftgF4rYCehu2+by7zWJGoqzgCYR0HUcIB9WfvWq/FR1VBcYg0tAzI09DJLGRgkqL1+ywOJ3RV 3r8+YoKK1+P57WS5+ujOXiAzthyjb5Qw9rCz/1zLkCwq89iy+GhAdRDj4xReWJJT0VqQdKPEKBMR GaqlmwD50z10SrxcEUXNUX+iY/GqL0sq8Mtye3U+7R8xT4rDc0VlKTPwU10K1gETsSdHe0sD7azp JYE00TpNqV2JONB9yhDNRGWeDdO+qcWZAZyschv8HNOKUBfcahFXy/6yrpZ9Y8kW7gnf2uIxszD1 naioXL8tGjZ9/5obG1HRjSCkCp1zzJ3A7nu0AyIaMbeDLsNPnbKrznIypymSNCn97MdwA6FS4Vml NhhvlFqkgdMu7X8nAo5P2PRzBydfLvB1o0j4TkpsyjXQiJ5MBRVd72oWLW5uh/SZ1ODFYHxNSZ6I bobC+sS9sNI+hkRz2qUdm9c6+Ktu7Q46cBKnyvmwW/IAUtcPYVUmnuVWhurZxb5CdGywdR9y4dqf yHjmZLYnZZ6ibvntb0QWkePQE7hVnLgj6NTqaDJE8Chk4ZLXW8x0qqJ3WXoBS2K0FIAFgw6KguwN 4nIRw0yGiam+4b3VXLiQOsBLwTovDBx6RtcI7tltpsDu8Wb83qKgGwGSTXmvclP8MMAbkN3MCHEt qB+EukME6xhWOqiJ8SJjGNHfbKloHiVaSNQHxAqgVJvuQ9bSdfuwgTVDj3n4ME0TdIE+Ne/WeUUx G0xrNxfj2nx4UTALNIcWWYBQZWro5lu5IJMxyHIYnIShdGj2oINixt+4hD1QR2QGIIqSJVsGZ9gc pP582w2VQYqn/M5TYYaTvvNmBzMoU16xMC9c1+Zw//jtYGyHjOMC1XHmjJFpEBUjLY7nQm4jm8Wq neV84lAsY1Hli5JRW1/TOGHmNCIPUCys3SyK+rJbdVAJdu+Hj6e3q7+AETh8QN4L9zRtBK36GdpM JKpRlRn/AIEFw3AGeRZXedlDgXaZRKX5yKG+wGyimBwTx8rM/bDiZWZyEC2X6VMqLZyfFCtSiB2r KqNBy/WCV0lgFtCAZA86KEh08yZbvfWohn/0/uqEYneI23LQTxy5mLI2MOpFQ+sFV2V13FIyM118 H9jEhKB5YQhryf7uwpM5RmUQc3rLw6KHg2FFtzrrNRh/b4a939brjILg3FB1IdJymVKQ2hPfCANj ZJ7navwSWZ2KZwAMmuxcQ4SLDARAILLbrvOOrqPCCDxp1kFJW4tSPv3COZIbYU3w3Or/xN5aFYa9 ZLSgsZZF2P9dL4Qtniio/0os5MWSnt4wtlcJ/lb8itLFJRYjY2AqWMFDOBa7ABp2GVvO8NESk93S UXIk1boImcdkSOLlhvU1xGGIHdTj793iUTEqZBK2C4S/0D6xzX5K07BpzztsxGrPCmbyWxJ1W3g2 a2Iu4ES0SXE+Hd/fZrPJ7e+DTyYajZElrx6PbuwPW8zNyPKls3E3lCGARTIzPWd6mKGnypn5aNDD 3PhKm3rrmQ68GG8LpiPvN2MvZuIdqNmUeifpkdx6Cr41Ha5szOTa04HbkXW3b+PGlD+B3ZibsV1w LHJcPvXMW+pgSD7D9GkG/QJkYCaagxv1UqYgJn5oj5AGj+hejPuN0Ajfctb4KV2Ns0M0wjfQbbdG vpZ40ilYJL7WrvJ4Vpd2UyVs3W9nykI4RVOPga6mCDlmsvBUpghAyV2XuT3aElPmrIpZZjdGYu7L OEnM1K0as2A8sa+JW0zJOW3gqiniEDPMUYdyS5Gt48ptjhyF2Hbd0zjQ/la+WG9Is67mdHCAKPHk 087ikL7/AcVra72mWBq7Mkw4PH6cjucfbhA5PM7MYcPfoGfdYbyt2jmDtFio0r7DDCJ9CdKkVUaj UPPIf1gCoo6WoMvzklV9tVVLKCgrSH0bhFb5CFOVcWhE8dYELsRWSNqCGrmUvvnURAUjLwelnZw0 msygY6iio2IoBZuQVXbmP4eM0tBALkQNXt2KGl0AOUrm2AOVHuZ7yZPCvA0g0bLNnz/98f7l+PrH x/vhhPmhf/92eP5+OH0iuijSXhZPl6TK0/yevuRraVhRMGgFbbPTUt2zlL7E65rD5vjAFtNOXy2Z lH5zEJ4SQW+RjhK2M1J7Lt0WzaKxrnIXqin65oasIPb0hG8oHVzHAuvWMTM4F3Ti86fn/esTWpX9 hv89vf3n9bcf+5c9/No/fT++/va+/+sABR6ffsP4719xB//25ftfn9SmXh1Or4fnq2/709PhFe+Y u81tpFy6Or4ez8f98/G/e8Qar7Zotw3LKVzVGaZGtcYjxgwHanEbKQ/oQWmI58BovbT6npVukkb7 e9TayPQZWae7AsfJW4vB04/v57erR0w9/3a6UjvBMBmUxNC9hbKdo8BDF85ZRAJdUrEK42Jp7tse wv1kaUVSNIAuaZktKBhJaKS47DXc2xLma/yqKFxqALoloE+kSwqnJVsQ5TZwS/psUGv66tj+sFW5 pWO/U/xiPhjOVCoDG5GtExroNr2Qfx2w/GOGyGj6v66WcAg68F4Av2ZJxDLIhrpp+/jyfHz8/e/D j6tHuYS/nvbfv/1wVm4pmNOWaOkUzcPQIeNhtCQGGsCCZm4tQfkTCpF6NOhmrNblhg8nk4El4Kr3 24/zt8Pr+fi4Px+ervir7Dts76v/HM/frtj7+9vjUaKi/XnvDEYYpk7PF2HqTtYSxBk2vC7y5L7x f+63kfFFjFGz/UtO8Lt4QwzqkgEf3Oh5DKSpMJ7C725zg5AY/3BO+0YoZOVumpBY6TwMiKKTkrZq adD5nDbVaFd+QHuiSOyOaAXIdtuSFdTwYrTQak2f3roPQsQbZ4UsMVeRZzxBmHHmf5kyd+nvwr4H iwRvgNapMDp+Pbyf3crKcDR0S1Zg9SRMI2koxq5EzuQ2arfzX4IpiiBhKz68OHeKhLzCaxtRDa6j eO4yTfI8MrZOjxNHYwI2cVl9DDtFWv+4c1am0WB6TYyFWJLRFDrscDJ1T9olmwyoIwUQVCCKlomN qBZUINwEOX1pLim2hapNiR/H799sHwXNXtzdArC6it0jhGN+sGZF9ZFBkm/RC84pTCOcO2E93wz9 oGL38AiZimRAfySqCcWyAE7GTWzOIy6chs/VMdoHa87sTiIvC9AyqRlRmFoIPqwnswvtEKm7NEEN JMevgXcj0a9WE/RqVLP+9vL9dHh/t6TsdjDmCbPCbDW8+SF3GjEzExK0dGOHDmBLip89iCpyGleC pvH2cpV9vHw5nK4Wh9fDSesDDpfOMM93UXreiFR/ymDRi5ltYkj2qzAUW5EY6pBDhAP8M8ZQHByN Pot7Yo5QZkSnmAvPCD1CLZX/EnFvXLx0qBn4BxDbhknN+irL8/HLaQ8q0unt43x8JY67JA5IPiLh ZTgmFgSifnoUIJHah9pulRIlWqJLQyCpSGnPpaO4BML1SQOibvzAPw8ukej20j3XZD9tcU88vNzu 9tTpF7XcEh8ycZ+mHK+v5M0X+rR2E2ggi3WQNDRiHdhku8n1bR1yvDWKQ7StUYY11sv7KhSzuijj DeKxFK/xDZLe6AwBXVEWFtUVLMV6NowXeLFVcGVYg4YvsjkxYRYdHk5n9F0B0f1d5ul8P3593Z8/ QCl//HZ4/Bs0fDObBb4T11W5Fs3NYRmbeq6LF1ZigwbPd1XJzGHy3RTlWcTK+359NLUqGrYQ+lWL iibWhhO/0GndpyDOsA0wYVk114wg8XIAzAPAylq++tsWF8xn3hTEILlg7A5jIWmzdBBqsrC4r+dl nvZsjkyShGcebMarel3F5vuhRs3jLMLoQzBY0ARrm+RlRD4MwCikHNTvNLAiLqr7YZa4dWD41hhz obuoHlgaiQDHrTHMojZHjM0uSQp81Ye9CAdgllfqWtpksyFomnFlSS/hYGpTuNI0NKZa19bVQU8d QD1Ap05x4MAOeHA/sxmNgfHEYFUkrNz6doCigLnxYadkeF08YOymkFmC48BVlEIj2KPSbjosLOko T8lxAGlIhtgquTBWBUIj7sIfkDfDsZpYRjcP6qTpiWAge3Ul/zChRskGfExSgwxGtA+pqVJQNiMR uwcEm2OrIBiIhxjhBildBExbjwYes+mYKIuRHkEdslrC7nMKw3iEbhVB+KcDs++3um7Wi4fY2I4G IgDEkMQkDykjEbsHD33ugY9JOM6EyzjM55wGJc10NyypUQc0T22RhzFwiQ2HoSvN7ETIaYADmf4B CiRz/1icCeGR2dGMw2EkZLakGjjvwjSHR1iYWn6JCCp4CVxTotxrjMNf+4/nMyYgPh+/frx9vF+9 qDv4/emwh7Ppv4f/N0RMTCGDKUtSDKklukxELQLqwodbNHS7NtiARgtU7eW3NFsx6bqifk6bxmQi IouEGVbaiGEJiCopao8ze7xQOL+YywqnqA54FoIaU1KPSGKRqJVi1Fis69Ka2ujOPLOSPLB/dazO eFe2TQ3D5AHDZVkvM+UdCrSUIVxaxFZiI3R2wYgkcIZbaxPWq17tm0jk7h5Y8ArTd+fzyFzU5je1 eYBZiEqe4WYcusY+M1xtmRmNRIIiXph5wNVjlNSMQEiA43l43aFQ4DKPh1bgcuQl+3FMi5kS+v10 fD3/LZM+Pr0c3r+67+FSFlvJflgSlgKj0Rb9IKEcYjAmTQLyVtI+u9x4Ke7WMa8+t6FjtSjulDDu WiGzejVNiXjCPOna7jOGmbAvrHGTovZY6oIkFOSojfCyBHJuclH8DP5tMMqf4OZseEe4vSI5Ph9+ Px9fGnH4XZI+KvjJnQ9VV6MoOzC0CF+H3HL0MrCa2XP6ZdmgFCAPUhE2DJJoy8p5XeV5Ii/7jYc1 qkBJTQtnfSpK0yzYEhcLHg+yaXVQWZn7FlGAmc7jgjYrL2G+aig7+4zRq81NVMDBhY5qdiyjkrNI vqAxz9P6kqPfrlAhykj2o3oFihXKzWi0nGL2E2Nz9zCyeXWe2clDVSnzXDqKrTP1ieTkwHPIUNuy q0UuT2mzpE0K6hL6/JDZIc16lNUpL5GHm0v5lxerXNrySu34qBlPdPjy8fUrvmHHr+/n08fL4fVs LOuULWJpBy/9oF1g+5DOM5yXz9f/DCiqJj41WYLC4YPVGn13UVm2Oy/+V9mx7MZtxH7FxxYojDpN jeTQg6yd9QqrlWQ9rM1JMJxFUASNjdou8vnlYyQNOZxFcrJ3SHHeHJJDcvSOWvx0s7I0JoVdmwnh gCFKZxb3Qgk9C1LONHQ07GElh3Xhb8uAMmtww02XVaDZVEWPJ79qKUFNtfyHpkcOBzt460FCd/xZ VffuDAux4BhBVu6Ovau6QhqSmQrCSYIw9z58W4+VMMiQlaYuMCWhjHRa6cEu3iaXeltvsj6bpG61 jCrjjMeY8GgFvy4aeI8u0eKcpJKzOeGYLsef2BhdOdwkQ2Zo6fgJAhG7hM0bt3qGpPkUiRqDfDqz y3cofBPIVZsJfub75GjdH6bmlrKZ6iVyf4hL6JaRYn2ixgKwta/xgopAd721hiPdFt3cou2HLFrO a7GqlbOLkHfPmbZ5tolcNt26PcrTqFeVqnU+PKILMDxPlpK0opLG2RW3O6V3LauF5hVju7YcM6Z6 IsCWhJfTYO4z5D+rhVxC0bsQ5dSqXjnUZiM1/YD3bYkxh9xvG7+JLF2nVl4TdWCHWTRiBRDwL+qn 55ffLsqnx69vz3yI7R6+fREht02GyU7htK1rcyoFHAM8Bydeqi1yEprrIXjAFn3wBuQGPez1UKfu 6m2fBKKU22RwrodoVMOP4PimXQWyS9ZuVGWJFY3AaYcJH/qss5jHeLc+6hZMGxnKuQpz7s5PAnvo goDx+Q2lCuM0Ycakwk65UErGVEacMxRkLNpy3+DM7Z1r+GxhMzR6sKzH5C8vz39/Q68W6MI/b6+n 7yf45/T6eHl5+WtgocYAXSJJz26s4U+LGoVPTRvxugxos5FJVDCgtkGbwNhDvfXRsjL07ugisSZI Jyg5nI0+jgyBY6geybFW1zR2IqCNS6lhyihAHquuiQrQ7tr9dfWnLibFovPQaw3lg4myJ3iUj+dQ SI9mvPdRRUWbD2XWghLqhpnaO81RPHaSr2NqXhQ3S+eamKH6WeYLVutl8HBGYU+i1+1sQVxIrZPh KdgCQ74VFMxN+DMLem4bjyTwVTp+13mU5VN1KOL+z1BLtcZpIhorSVKHYP1MQ9U5t4GtzUZxQ7hh 2SnB6r+ygPv54fXhAiXbR7x6ihRqvMYypEgdDiz30a1e8xSEXqjng0m6A/UYxc28btuh0ZeDii8m Wqwbl4Ou7zA7dtlFXYfVbErhzFXywWA1+RAFP8+TK9firCLDB5hDyipPrV6EgVAefGdUh0goQpFi vZyh765EBXKtYJG7M4IZqZEUJSECSs3Bl2OmeOCdl7HaVSueJR1oqs9iTHzYzfm3rK0N4Cr/hNnh l7aTf8S6AeIzoqob7m8YdI0y02IPOA+Fjjc7G2e2e23VeBrAaSz6HdpPteRmofmsBGgd1Oge7UBJ PYAeXn8qFIyGp6lHTLJkaCK5/5CpaDaUy8ONDKX+BfilkF4YIHxxqY5TB1rq1EE38njQmta5A2zf 9s5uXETPF1hxz8m0P7gfiw1ovLu8uPrj43uywKPaEX7d4UtyZpquQM2hTEqFNz1Io6DfFIwTsY/v H64t9qG4fLRS41MgxnFZW36abbb4Psx6Z/bhevIGVBJnw6Tc4VcJWpub28QHlBHmuJFuxl7OK2+2 5dAl45swQY7eluslIjQYL9AwfdbZ47io2To9/X5MJA0NMMzcQwt8oD/iBmQGadOS6irbylFFSNxF Ndk5CznRQB+zhI2dz79DYY6EGDCyhzWBoxy/mIDCkxbph2rk7GSxVdMzbblSw5uO/vTyinIN6hX5 03+nfx++nEL9bj+kNPn5FEdDf936hHGpRPZslTRxpCoMCnBe3/s90siM0sDE8J6oZymf/AhTOxt9 MmDLSrvVWqBDh+xRiOKL+FLofzwAAikZWwIA --===============0822500697175540629==--