From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id 4DC83C433EF for ; Mon, 1 Nov 2021 08:28:56 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id 2407E610A2 for ; Mon, 1 Nov 2021 08:28:56 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S231472AbhKAIb1 (ORCPT ); Mon, 1 Nov 2021 04:31:27 -0400 Received: from mga14.intel.com ([192.55.52.115]:32843 "EHLO mga14.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S229933AbhKAIbZ (ORCPT ); Mon, 1 Nov 2021 04:31:25 -0400 X-IronPort-AV: E=McAfee;i="6200,9189,10154"; a="231234393" X-IronPort-AV: E=Sophos;i="5.87,198,1631602800"; d="gz'50?scan'50,208,50";a="231234393" Received: from orsmga005.jf.intel.com ([10.7.209.41]) by fmsmga103.fm.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 01 Nov 2021 01:28:51 -0700 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.87,198,1631602800"; d="gz'50?scan'50,208,50";a="666645560" Received: from lkp-server02.sh.intel.com (HELO c20d8bc80006) ([10.239.97.151]) by orsmga005.jf.intel.com with ESMTP; 01 Nov 2021 01:28:47 -0700 Received: from kbuild by c20d8bc80006 with local (Exim 4.92) (envelope-from ) id 1mhSgR-0003BG-8j; Mon, 01 Nov 2021 08:28:47 +0000 Date: Mon, 1 Nov 2021 16:27:59 +0800 From: kernel test robot To: Qin Jian , robh+dt@kernel.org Cc: kbuild-all@lists.01.org, mturquette@baylibre.com, sboyd@kernel.org, maz@kernel.org, p.zabel@pengutronix.de, broonie@kernel.org, linux-arm-kernel@lists.infradead.org, devicetree@vger.kernel.org, linux-kernel@vger.kernel.org, linux-clk@vger.kernel.org Subject: Re: [PATCH v3 8/8] irqchip: Add Sunplus SP7021 interrupt controller driver Message-ID: <202111011619.BZmnWfc7-lkp@intel.com> References: MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="fUYQa+Pmc3FrFX/N" Content-Disposition: inline In-Reply-To: User-Agent: Mutt/1.10.1 (2018-07-13) Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --fUYQa+Pmc3FrFX/N Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Qin, I love your patch! Perhaps something to improve: [auto build test WARNING on pza/reset/next] [also build test WARNING on robh/for-next clk/clk-next linus/master v5.15] [cannot apply to tip/irq/core next-20211029] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Qin-Jian/dt-bindings-vendor-prefixes-Add-Sunplus/20211101-140155 base: https://git.pengutronix.de/git/pza/linux reset/next config: sparc-randconfig-r011-20211101 (attached as .config) compiler: sparc64-linux-gcc (GCC) 11.2.0 reproduce (this is a W=1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # https://github.com/0day-ci/linux/commit/ca53c40693bc90c44e3ec4c95c7758d7342229e2 git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Qin-Jian/dt-bindings-vendor-prefixes-Add-Sunplus/20211101-140155 git checkout ca53c40693bc90c44e3ec4c95c7758d7342229e2 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=gcc-11.2.0 make.cross ARCH=sparc If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): drivers/irqchip/irq-sp7021-intc.c: In function 'sp_intc_handle_ext_cascaded': >> drivers/irqchip/irq-sp7021-intc.c:154:23: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 154 | int ext_num = (int)irq_desc_get_handler_data(desc); | ^ drivers/irqchip/irq-sp7021-intc.c: In function 'sp_intc_irq_map': >> drivers/irqchip/irq-sp7021-intc.c:212:76: warning: cast to pointer from integer of different size [-Wint-to-pointer-cast] 212 | irq_set_chained_handler_and_data(irq, sp_intc_handle_ext_cascaded, (void *)i); | ^ drivers/irqchip/irq-sp7021-intc.c: At top level: drivers/irqchip/irq-sp7021-intc.c:217:6: warning: no previous prototype for 'sp_intc_set_ext' [-Wmissing-prototypes] 217 | void sp_intc_set_ext(u32 hwirq, int ext_num) | ^~~~~~~~~~~~~~~ drivers/irqchip/irq-sp7021-intc.c:223:12: warning: no previous prototype for 'sp_intc_init_dt' [-Wmissing-prototypes] 223 | int __init sp_intc_init_dt(struct device_node *node, struct device_node *parent) | ^~~~~~~~~~~~~~~ vim +154 drivers/irqchip/irq-sp7021-intc.c 150 151 static void sp_intc_handle_ext_cascaded(struct irq_desc *desc) 152 { 153 struct irq_chip *chip = irq_desc_get_chip(desc); > 154 int ext_num = (int)irq_desc_get_handler_data(desc); 155 int hwirq; 156 157 chained_irq_enter(chip, desc); 158 159 while ((hwirq = sp_intc_get_ext_irq(ext_num)) >= 0) { 160 if (unlikely(IS_GPIO_INT(hwirq) && TEST_STATE(hwirq, _IS_ACTIVE))) { // WORKAROUND 161 ASSIGN_STATE(hwirq, _IS_ACTIVE, false); 162 sp_intc_assign_bit(hwirq, REG_INTR_POLARITY, TEST_STATE(hwirq, _IS_LOW)); 163 } else { 164 generic_handle_domain_irq(sp_intc.domain, hwirq); 165 } 166 } 167 168 chained_irq_exit(chip, desc); 169 } 170 171 #ifdef CONFIG_SMP 172 static int sp_intc_set_affinity(struct irq_data *d, const struct cpumask *mask, bool force) 173 { 174 return -EINVAL; 175 } 176 #endif 177 178 static struct irq_chip sp_intc_chip = { 179 .name = "sp_intc", 180 .irq_ack = sp_intc_ack_irq, 181 .irq_mask = sp_intc_mask_irq, 182 .irq_unmask = sp_intc_unmask_irq, 183 .irq_set_type = sp_intc_set_type, 184 #ifdef CONFIG_SMP 185 .irq_set_affinity = sp_intc_set_affinity, 186 #endif 187 }; 188 189 static int sp_intc_irq_domain_map(struct irq_domain *domain, 190 unsigned int irq, irq_hw_number_t hwirq) 191 { 192 irq_set_chip_and_handler(irq, &sp_intc_chip, handle_level_irq); 193 irq_set_chip_data(irq, &sp_intc_chip); 194 irq_set_noprobe(irq); 195 196 return 0; 197 } 198 199 static const struct irq_domain_ops sp_intc_dm_ops = { 200 .xlate = irq_domain_xlate_twocell, 201 .map = sp_intc_irq_domain_map, 202 }; 203 204 static int sp_intc_irq_map(struct device_node *node, int i) 205 { 206 unsigned int irq; 207 208 irq = irq_of_parse_and_map(node, i); 209 if (!irq) 210 return -ENOENT; 211 > 212 irq_set_chained_handler_and_data(irq, sp_intc_handle_ext_cascaded, (void *)i); 213 214 return 0; 215 } 216 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --fUYQa+Pmc3FrFX/N Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICLmaf2EAAy5jb25maWcAnFtLc9u4st6fX8GabGYWyUiyndh1ywsQBEWMSIIGQFnOhqXI SqIa28qV5DmTf38b4AsgW56pu8hD3Y1Xo9H9dQN89593AXk97Z/Xp91m/fT0M/i2fdke1qft Y/B197T9nyASQS50wCKuP4Bwunt5/fv344/1YRNcfZhefZi8P2ymwWJ7eNk+BXT/8nX37RXa 7/Yv/3n3HyrymM8rSqslk4qLvNJspW9/se3fP5m+3n/bbIJf55T+FkynH2YfJr84rbiqgHP7 syXN+55up9PJbDLphFOSzzteRybK9pGXfR9AasVmF5/6HtLIiIZx1IsCCRd1GBNnugn0TVRW zYUWfS8DRiVKXZQa5fM85TkbsXJRFVLEPGVVnFdEa+mIiFxpWVItpOqpXN5V90IugALb8C6Y 2119Co7b0+uPfmN4znXF8mVFJKyKZ1zfXsz6nrPCDKmZMrN9FzT0eyalkMHuGLzsT6bHTi2C krTVyy/dPoYlB30pkmqHGLGYlKm2M0DIiVA6Jxm7/eXXl/3L9rdOQD2oJS+oO59CKL6qsruS lQyZ1T3RNKks19GaFEpVGcuEfDAKJTRxuywVS3mIdEZKOAd9NwlZMtAd9G8ZMDnQQOrYmk+1 WwFbExxfvxx/Hk/b534r5ixnklO7cyoR947ROxya8MLf5UhkhOc+TfEME6oSzqSZ7YPPjYnS TPCeDevKo5S5BqUKIhUz4q6e3KlFLCznsXKV9i7YvjwG+6+DNXfaMYqjYDULJUpJWRURTcbr 1jxj1XKk25ZtO2BLlmvVqljvnreHI6ZlzemiEjkDDTvbCOcr+WzsPRO5uzwgFjCGiDj1l+W1 46AqxFRqZly6c4Z/jAOstCR0wfP5eU4VC9CIOxnbHzJOwudJJZmyerJb1ql9pIfuSBbxwIgZ kKo/rG1bFcJPT3/dNIxcsxfoVvsN2zEKyVhWaFhE7i2qpS9FWuaayAdUz40Usvi2PRXQ3O1Y 0YRFQJbe1tQLKcrf9fr4Z3AC9QRrmPbxtD4dg/Vms399Oe1evvX2suQSOi/KilA7hLdnCNNY ZS8Qqsg4bsrA14CMN8Ehr1peIOvTRC2UJlq5TQ0RjltKHmzLM82qVTOkS+MCXUmhuLcrinee OOKKhCmL0M3+F6rsDBz0xJVIieb2kNmtkLQMFHJKYVcr4PUThB8VW8FhdBakPAnbZkAyyrNN G1+BsEakMmIY3ZxLZE6wN2naew6HkzOwP8XmNEy50j4vJjmAgNuPl2NilTIS304/9ptR85Q+ 6wDsaIKGRsVnpw0egkRVFrruwde+H65Dns8cffFF/Z8xxRqxS05goNoP2S1Wm+/bx9en7SH4 ul2fXg/boyU3U0C4A+zDcz2dXbvWSedSlIXCPTJgBghVcKpQNjgFuigE9Gk8ph54h4HzIKUW dihE5+ABYwVnBPwPJZo5mHHIqZYzd/LSHFukwzA1R3pp8ZB0urO/SQZd1jHSwUoyquafXTgA hBAIM4+Sfs6IN4GoWn1GV22FxXnW5TnWZ6UjbElCGMfsmw3sqiggUvHPzIQ4E1/hn4zkfrAb iin4Dxb7TOgqeTT96G0A1Sl4C8oKbVMOcwZ6/tCNDJpn4PQ44D/pRZM505nxn2jk83YekWj4 cY2qxtC1DtxnIh/Y6gJTbjl3O2JpPAp2rSQB3NbAkE4+LgFtIMKsEL6g4vOcpHGEzs5O/AzP IrIYswuVAO52xyActzoIViWsf47h8GjJYVmNsh2cCl2HRErOnBRpYUQeMjWmVB6i7KhWZeak ar709svYhQ2LZxa9oBnmLWBOLIpcL2EN19h+1SHXdscNEcaplhlMx3WtBZ1OLlu32iTcxfbw dX94Xr9stgH7a/sCsZeAZ6Um+gL060MqOpaF7NiInX/+l8N0iCirx6jxmJ9ApGVYD+idc8gx ia5CucCPVEqwLMz05RlpKnAxEoJByDlr0Yw7HeDFgBlMdK4knEyRneMmREYAICJvyKSMY0iO CwK9W7URjSbFdt0G/EACpTlJPUelWWaTHlNN4DGnLTjqQysk/R5Ss87MRjAP6fv5fSv88TJ0 s1WTw9HBz4+XTrZq8jETzsGOwZfUtZrec8MaQmPMecRJPmhFtAM9ABTRRY08VFkUwvW2JlmD 4Dhm2G4SHjKZWy0Y36h4mDqe22bTVnBwkBTTZWHsuEbjAHWc3M7gsJZlD2IVcwm7SpMyX5yR s7uKimWZs9Birg02Bsy2ZOCELr21NCtUVQkqDVkHiIrDfrM9HveH4PTzRw2aHWTUqjBz4npu JwX9T24cXAjJ5XQywYLi52p2NRnksRe+6KAXvJtb6KabkIVEiTQJ9DgHT+4ZZKF6zADvzEMJ OKjOVwbbnZGHxhPSKo7GptmooY8pRKYPMXbUIZ0v0nLeQOA2zQviw/Z/X7cvm5/BcbN+qjO7 3vfARsMZvzuX2SCtO8ygLqrMmW9eZq7JiQjScZXwWN9e+TZhqygQo+AUEd+2ffbIX9lyAChs VX2GFFqAQ5K30wsHKGSY77GbpjLXB1hS5hXQaBaZsqMBbHhO/5bJ1tWC12Ow/2GKvsfg14Ly YHvafPjNMeewdEMB/KLgVB1KmVcpeGAvzTVEUbAcjk92pq50Zty+qGKii+dxTfGwp9vJZ7vj pqlrW7sLHg+7v+rgic7FRyuNgAiLKk6JclyTJhGgKXCjajqZVSXV0kNWAhxkampeK3RpZ2fl 1XPXh8333Wm7MXvx/nH7AxpDdG514lTgJUzNIkRHG0xXsafxP8qsqCD0MQy+9m7Mdb02xEAA BJhoUiZq6hkDkQXacCGZRhl5xgfhzpQXQbdzNY4afQHWSiZCIN4JvIgt0lU6MVnwsLXKqkxE Td16OBvJYFhAB3VQaxZYkYKPZ11XmiMxx6bXq9aLJdWc6ASCTh1HDOJA2SaBx0TqoNmOr0jM 4DAXK5rMBzL3BECZMf26jNsW6RGhBpH9K1mRRo48tmzFqBFwjW5ASLVoq4Jua3q2UmrZmsfQ CkKUT/7HipxnL7k5WAatJuWcmZDv6F5EZQpO3MBik1uZZGAIOkSsTd0boIq4z2v7GIiwFZyN odGJKKpglpBYEerDPaNOIKtSgadxWjQqbtjDVg33YmYOosnIfMiWi4rFAC25WWjsn3cDxlzA rrBMy8MyTcYAumpThdodUbF8/2V93D4Gf9YZw4/D/uvuyaulGqFqAfiOpR50favtEN/+g7/r 6h4a8niwH9e52KROmRTndtKroNloZOGtCdjCYgqOpfSwSGiUh+lL5VOnuJDXV3oQ2nkOv0yj YdBvdQsJRAbeSmbO3Y9dRd24tjM3r5X3ABbOMe3enuHZcY1p21uxyIrZa5he5Dxn2Fje4017 ujUR9vd283paf3na2ovlwOaTJy/IhjyPM23OGwpVG7aikqN3AQ0/44q6p0OyqGxgZGNM56ZS o4Ht8/7wM8jWL+tv22c0mHYwzQesDbBbgcG4h7BnLeGvjBQ99uvhxVAGs8f6stKtoXetUzj6 hbZbXmcKg0ahOa1uk4ZQOw/MoQxoNiGSzBiq54wzPpdk2Bz87rwaFi+SB7DwKIJQ16WlfdlE Yei1vYGwOsvAkE3zOgnq0cEbPhzjwrTuyYN/m4KJZXWBCS8ip4zklACQRiZN3UQAfiAlj5Y4 xLQO35YS8d5teqtupzd9i8/FALi3dDUsIbUUE628HQBdMynNgbdvCer9NrVn7M7N8gyeMqCs 79ySLNMJ2n2CwqQJR/YyC+l0Dgl889Cg9W7mwkLk6QNE0MIWgeOhFzL3A4U2zpXRurRij3C0 Pq0DsjEpS5DtX3an/WGQ+kUkEzkKu8+17WD5WffQFxK6zCLfnv67P/wJHYydCByxBdPuCTO/ q4gTT20QQFaomUBQwTYHqObdh8FZGZFefcO4iMI8lFGKxw8exzaBI2rxA2xRVgx2D2Rinmom 0bkQjWaf2oF5oeSRezjr31UmRzIVjb3q8DIleXU9mU3vUB9Bc1eL9e9KCoibbjBMvYQXfs6w 0K1JuvBPxRKgfpEyw0BXvppdYQ9hSBG6rk/Uc+z3jjFmVnR1ie9gnaW3VnT3un3dgg393lyW DWy5ka9oiCmo5SY6HOxnTY7VmacNjUAhzxTnWwF7X/LWyNIvnLZk5ddxEP7dm3zN7jCf17HD 2Lf9WkVqTATLHhM1MQsf0+fSreK31Eg1Z200S/iXYWejaynluLvsrhl8rJRFONyQ4RITsWBY 07v4rU2iImIp1iy+q3lvtSX4iP+wgUkSv9FpwdlYMTCZmj4207TEron6PVZYqwZhjF+IPK2P x93X3WbwjtG0o+nAhoBgcgv3dUFL1pTnEVuNGfH9mFZeOBe3DcHezYypmLUZnlTL4qzOW4GP Z/Rk55UKZGb1a6FRZ0aYYVcerUBm6iEkHdkVs4w3J0rQZy2dHfDYOx4RxX1JlCtzzy/Mo0Q8 pYDIRQywXKLspTJPy1C8AlOBvG7RxusWDhfpyNAMrZqrc4c2V97Lw0RhGr2T7pNP8wsyWc+t Wpou8zONqyzxX/gYLGbCm2QxzTFdy8KxcxnbR0pu8cqoppKrOtuDrS4KLzFYFYNjAqJhqR6q 5oK53YC71BczRtW8RPVBVHDaHk9t6GvA2Ig1YLjAqy/MZJJE1r3W1zHrzZ/bUyDXj7u9qTyc 9pv9k5eWEjzIU/cSDH5Uktz7hJBmPmE+EPhjenNx45MgvbOwqZ4AyYNo+9dusw2iribtCC9H c1iualKfTQAR9hmff1POAT6nzLtPREbutsx/xmYub1mEGW1oHjMNZFMWYacJOJmKm/tGV54I wPYrjR9e/WYeBWzF0tgkFfiIMSO6lKzL0OqbjKfX7Wm/P30PHuvlPw4VH5pHy9o9CkYH7lbD b6l9/h0l3u+E8lCXKkSJ9uXRqAroCgyH6xiZXuCM4YQsQ0UuxmmoNJtNLlYjckGmkzE1rtfg Kh3IkU6n5/bEDnxB8S0xzLRklMjRwpfwZzBSJpcYLjFmo5MLXxGgmNFqgVYS9zbBbpTIBq4V qKauPMTB7RXUOYPpYgJ186CYw16YuqI7wj2XDEjYyZDxgrsOs/5dzYshOr0phr+bWY/Irb13 foDHvsfgcS2DOg1TeGcaXIbfQzUwhDzGtrhQkFqmIwTHY6yUkt5DPMt9WBoTnoolijqYTrQQ aRuZu2LAGf/Z3DsPoiLHJk19eyxoRjnx2xlKlQoSVZSrEZ4s6PvN+vAYfDnsHr/Za/7+Em+3 aeYWiGGJoKxL8wlLCzeZ9chVAbZuHgW6SavOijNOEXL7PCIpJKT4Y2rbc8xldk/AM9qnoK0i 493h+b/rwzZ42q8ft4d+mvG9Xbk7xY5k60ERdOSgWFMhJd0gzoPGvpV5ZzVaN8oGi0jT0Lt6 6eVMJVPWF5LdeR0uo21lL7QMIvIqxi0ESA0scbk43qzDqeTn8GYXb+WZB6q1gIlZTTcA0DIw eKy8ImjlXatLNgcYNvxdcfcdb0NTgLCQttX9dETKMteFtH3az0DaOZuCZUJkvc+x/3LSMGOW 07ooyFAXeuYcdC8LasfqYzKZ2Shsqs9CVml2JtxMK1LguYHlrTjKS7jiKYcfVVpgbszG/4qv isvVqmJOEDfRAwjcyeQAePvb1BCcwrDzmKFdaudaBXhA822X527ME636LR9WSc3dG3jzC3CQ 9F6eWSJEP5yhuIx7Tjeq5ZXhqmGhisvQh8CRdixQeMFGxOZsgUSILQW4cWo+d3PvvIFo3wHh LPNAY0RciPAPjxA95CTj3qxMosjk0jyO8V+nAstEHPzZdkGk/yChIZgHI2Hh+ZCWQ1bX159u PqL6a2Wms2usNNiyc6Grort8zZcZC9Trjx/7w8nLjlx6/9KlP03tWYquZlerKircT6IcYuNB +iNdZtmDcQHYyaDq5mKmLieOI4EcG06Lcm/lwCGkgHClebon2+TDPUNUcPAZLvCxZPMdhPS/ /iNFpG6uJzOS4h6Vq3R2M5lcvMGcYc/fFMuVkKrSIHLlP6drWWEy/fQJf1bXitjZ3Uywp9ZJ Rj9eXHkfB0Rq+vEaq0wrSRzAvzJvQuEcRjFzdGruPQHmq5VXTgBPBn8t2IMBaBigmzX2W1/S MnN+gmNnTO1+WTrs5OzS7b0hp2xOKP7lViORkdXH609Xb4ncXNAVVppq2JBTVNc3ScGUk4Q0 PMamk8ml60oH66g/Ctz+vT4G/OV4Orw+2yfMx++AAx6D02H9cjRywdPuBfA7HJLdD/Nf9zD9 P1p39m/uTYiBXoXnUBlNsKJQt4+Vlx8Wy4LkrstqCG2A9h+OdXHEfoJDFW+zk9HWGqZ56+B2 gTWov11ljAXTi5vL4FfAUdt7+POb02UP0yGhMUkNGu7f7MRLDJrCxAhP85cfr6fxipy7saIc V3UTgOAW+fHfRWCauFf6zCv3169TzZPW7n3qQML+rPj15HI2JIKXXoT+Y3FLTyGJVujptmyv fFSTGsuBVuPegJgNatcDCVhE9daAgIzqnj2qAMgDLFWMh4SYdsnf7NKkpZXXaTnQ25xkzI+Y LaXK1dXVNUJPvaON7WJnWZhd1IYBh3W9OQG6RMCk1lhor2GOBf0efrOf5HiXi4X9CF+4z3mL os6Qe5MsMt5+Vo3foarCvEBfUFXLhtmZizlINj8B7Dwn6HdnilWNUD85oIRN0c9CWRl7H0gl 96PvITpS/ckPFwOM1PNDcnmB1356mXwm0Y96eokaWmPjD2saTptsVUG/FOMpnbm3Kz0jY2rw yXfPQr+76vls9ZALhXVqtgijQxRW2n/q2PEo1dK/cu95K14k4AeQsoIpOwQbxLbb6E5kZC44 LieTiVuvaamXPq6hcnaJv0Y+O5RXd8jQu05N4U9xxl40+nDdNuFq8GC5obodtYIAUSsqrzAg 54pYKDrq0LI4UHLmG4PLz8ul0MNHI46c7frM8EtYpclMVg9Y70pfXHwuZpdmGKQDQHvpg0kY 7csNt4OWc66Rhx9I5DxZbpUvS6Xtd5pdAae/AUC22oRemOMIQ3glBqOsUICJgUa9Wzq7R/ax Ko7FDdt+aoXdVhhuVq5aoJq9Pp0AZm3/hvmZKdHvux/ovCAKhnVYsleRLHcfoTSdDqqpPbUe 0JuhYaSaXl5MMLDaShSU3FxdTrHGNevvsyqwMpD8aImn2K2MZJgLNdyIOX2Ml5WlK1qkHt57 U5v+0E3VzdxQnBleNZWlzlzI07f9YXf6/nwc7Ew6F973aS2xoDFGJO6UBx13g3XwwFRTUINI +OoqiWbuDOsv4IMvpgBT14mDX5/3x9PTz2D7/GX7+Ag4//dG6v3+5f0G9PObBzjtJPXASbtM G46H9kD0zfRcg9WKk8Ghotns+uJq2AmQIaJLgRaqGv5C5P/H2LU1N64b6b/iqjxkU5XdQ4I3 8JEiKZkxKXJISqL9ovLamnNc8dhTHk9ysr9+0QAvuDSoebLcX+PKBtBoNBp6Zm1adf1GJaYw zZiDIUuOTJgKjZjD1WBuJh5naaVWEtyVCWrA1NiaMunhKriRU7Er0rpEL1cCnlf5kah1E0tz oBLHdil584lhCkT0D25os5QCUVZKNmvKip+gd1rHFNVOJ7ApozHmyKJuPFnHAdo/HvyIOnot 7/KKDVhLxZi6Tu60Ua4rNZzYh8GA2SEEGIXEnK6OIdPCrGmGTi121ExVYg3CoTHWipmaU06l Xjgb77NIWCfCZm+rXTNoAs8IQhJVsrA2qcdAM32X73EDMXC0RWEbcu2dp3VD56XEdx2NyPaY bAYstSp1RdXnmrB0jRIUAii9/j9Tcbc+Roz0xrGNXMg2cuSEHXdxhvv9lwPbFGjCzu3temac eN40ljMRYDnsmQZboKd2Mnze6nnD3aOkL0rcERs4ThV2ug+IsJKpDRjKVic0sS6ybcqvJo63 FpgG9Pb4CsvEb2xlYyvE4/Pjd64W6SeKYrKqwV31oA/2rNxrc1TakNA1JvPRwmuTq3pT99vD w8O57gptjeyL/f1oMeI1rz//EKv5WG1pcdNXrlEjsBQKodLOeXqeD2MlbNsZA2flqNy6Piui N45RVWBLfp1KWPVsoiCY4OzsgDuuiwUHDtjUfcVCB0UDowuNRmkIoh55+I69a/BJpGMbc3yn 3OH0pkGOlvvm5un1/emfprID3t5uQKmIkMbjqTA1YPaSz9/4DZjm9h5C3cGdXpsj+M3nOyvw csMkikn/8wscz7EhwYv98T+yldSszVwZXR2dLmeOwFkE9ZEsKMVeqN8mP2ix28OeX1FRU8Av vAgBaOesa3r2VK+k8yKCWbxmhqEhTqxWg9OZcsfUC8VgPmMVNtomdFO5lDpmjllCA+fcHJoM y5MpAi5FV+uJo2Jzjtc5VN2v6aiJMN3yTg08OCEdkw7F7DXRBzdwBqyWTQEXJW8tTuVz+r7a rrWkScoq6cxyWXVy1Ug+NeGOOgFWnzrNyxq95TJ1S8EmQGj9udM1yDmPE7bxXwRIV/1V5LxD j/o0HrTyE4htRWdhgl2DK69xCuIFKBB6lhSh5yISwgFiAwKKVZ5DIVmVA8FD15vHSg6Rovme SNvNTFh6v9uzrY4ywUyYPqUIWmPJad+Rs2YmkBMxaK3yeVvKsTvlOQcZ/4L9vNn5aijBuUCh gK+NmyFBB+WQkOBKOhKhjazQq3sTyrXortswZbPChmXZJF0Hm6NpUWovb5cfjz9uvr+8PX1+ vJpK1jyg2dzeYVMAU6qbLVKUoFu+IgNhQTH2iHP7t+M+c1VagaulSRTFMX7UaTKuDX0pO8dW KY5H8S+WhplHTa4AET0JddfrQn+xLli0S5PLXatKiE6KEo6fzSOMmBXGZCPrxdFf695o/WPG yS/W2v81Pi9Zk7H2IUG/J6Ovy3r7sCPritNSUYuPhMmIudmbXGRFJnxvDUR1sQVOf+kD+vma UPrJKrpB0PZhbx1T3W1ELL4rOlt4bS7hTIiiOmKsoBXMKvuAer9QdBREa1nQ6zMmZ1tTdUYm L7GOMN6UazMPZ7KKSnc7aN9jiqZqWbqMtSapuoMSfHcE9ANFlQ4uj2tYiNaY250G/AaxxBP6 q2qDan2SqecujWmICM504oqp9mCnIvFaeYKHC6slg8hfk4SRB5N2Dt2KiQLPu2pcb10aJ7Yg WqlDX5yLeop6ZmSBmcTG+BPPL4/95Z92FSiH8LXKRY9ZGbMQz0dkzgR6VSuGEBlqklY9al1A EjlrCyY3JiPzMKcjX6TqqeuhSzkgZK2PoS4uKmZVH0bh+lcEliuqE7DE6xVgbbJUgLphdCV3 6kZrsxEwULQnqYtrIxy51mzqhWsrLWMI5Ii4Umd4cSTbwayyaiSF0+XEzDLt/KjEtqAcoKhQ 9FVzjCI0ZOE8K345FBB3sDhIUybo9oxoELhTKdyiGK8dBu78Aka91fYLU5Ki/TIaRDSLEjAg FePnTDyoh5rXOdXchGbi+YgNMQ4bwcw5Vff+58QqGSLPWU7NRfCKb4/fv1+eb3hdEZMwTxmB a3uFx7rjDOK406i7MElYEwmDRWf2KlzZimK9TYyfbXrb+6aAsM1GYdPRJirvM8ew68wDUo1N nIbaGVImwPsUO8UUcNl0kesORg2zk3b/QAbzQj/YEWRNaM/bHv448vGRLAjoyalgaNfkUT26 FKTypNemqM1+L+tdkR5X+tNui5xgj8gGJiGsGxp2kdmHVb5/wJcBATcpKwpJxs807XWshpUG VAN6tYK7N0KQLsunU04dhQiLwyQ19zZbEdnJ2mqdRpIqCTLCJrt6czCy7optccSPy0a8xrVB ge6b7pziriWCwWwzmzzPw0mO7TrNdql6Cs3J/LTQXgEOuxS/HSE4Op+ikz9HJ7VKq8yxgMr0 hVGdU5rFnm8V1AGG2Fm92SgAfrhoTVbqE3FSZeet+saQGF1Z7xHfs4QAtU/Xs+sKp17+/P74 9oxN40nWBAHFDKgjvNdrujudhXeQuY7o8w+nEmTkCbp+RURl4n5QnrULORzpJTbplgaRPnH0 TZES6jqmsHV+rMc9lo7wtL4TS+Q2M/vU6FFilpUlsRNgapVAxfmvmsTq1zFOkl4smzPGrs2w VRd6nKm7uJ1F9Bw/MbGV1aZBH1C9sK4kdHYVU4d81VjXwj71Ahqb36hj9aMhRiYuNT8dADS0 ygfHY+ybCwA7sRP4l2owq3EqfcfTpe1UUW+8+TONSFM6uNTA3RpkJI6pEJTDx5ePz5+Pr7oi pkjbbsdm40RcAFS+OFslDubKvHL6jpY25XlSLFAnFzzaja2p+9//fhlP7qvHH+r7AixJBc+I teesI75qsFYx9ILTwsKWZVta94SdNSwcuvV+QbpdgfYJ0iK5pd3r478uaiNHJ4PbXFW3ZqTT fKBNDugDB5soVA6KZi8gHhsPLj1fy8WVhrSaR2jNHrWPyRzaSaqS2MNWZpXDtVTJs9XV85hK oguFBOMnDjJP4OA6j8wToeZ7lUMfJEuX5A5mAlVZXGXvrIrYvIOFkEr8Kqp6wreQVxwSJCbY 0IxOn2gmyIYH4drlVbEvBKneSp4VCpN+ZqZh/KWlBPVpklnFQfzcdISj7FMSBwQH2Sx5KNV5 UoV5HXDQXJtk1Ly1IaOmmmuicwfidhm5msIfEOmqNufPKVV1JoeWFdmjmFKNlCiOmXAzuFpL BjGJy3ucqscPabJE4NIKNW5MkyyFEFtsBlZsoExboTEJRCps2PB1d850TsfDItgSwdWBHXim M/3MCaVJZqwAhBSjsR8kJpKeiOMGJh3GfKisZDKCzhcKA1IJTidYlmW+Y1t/9BHAicXwbpmA To5rOHWEQqySfWIQp+SbLyAeA1arEbJcTdG5bjPlddCpJkw1dtGrOXOvcIalYtylatClauJm 4uNG4uoSjhALQtzBREbVDzRrpGfbQT3vnppUdA2UtCKHXMgdD0s8FonOBhNP2dAItXlMDPq0 u5TLv/R65r0XBph9cWFIfTckpSlV0JF+EEUmkuU9d6AXLGEQoon5NsWGxGh38Z6McVP6zNOQ ED1Smhn60AuRgoWHSrXZmBCTat8NBqxKHELdKWQOEiDdBECkHndIUOAGuKoi89BrJQcxRZoK QDig7WEd4PnrPTzu1DCRnAbLLjnscrFM+8jct6vLbFvIL6TMo6wPHFn9m8psezZhB8jUxtYz WY/cHvJyLF1f6qYkh7RzHYcgvZLFcRz4ZqXA/f2cBI76ktLJCBw9LU6wJUgwR0DzWZCJovkh z+R9fUrua/mN8BkSV4jF24oijnyGcMFTNdyFFzKRXjuYGYwA4yYLvPVUQQSnNh9zMjaEp8fP pz+e33+/aT4u8ALs+8/Pm90721i+vSt7wynLJSsmDEek3ioDxO9TNG4L276uMWupjb1R4yhg bFPoeZldbbEtrBi8CoJ8cYUslbRwcPsRQZIKw5IFCD0ZWA6jAFoys9lCzDwVsgiDAFHg1Ze3 q3y/Je6mSpEMwFjvhDEm9EKrw6o7BjTBqjvzPBRFC1uplTZxvGuwWpUs90x5/k+YttDqJGwg M6XWg2v2K8UlXRWT0EGKA9fvloGODeySKh7QsoV1318rdzyLQpNve9ZMx3XW0o93kTCJOqGZ 5k3srXdFzYOHGfk1+8F3HGoRUX6pcC3XO4+tANizSu0+6EOXYuPssB+wFFPUBBOZ9D8kr76C G3oDq0SKNkEcRay1oO8igpYKAXG8ARcBoQsRPOOZq6gGAjKNlMqg6FA2qsSzKeeAVaQe2L54 ZF2ksIczuvUKiHtfK43nqpVSB34x8bwbNht05gAQo2dF0ud3mLxOV0MRbDyCxKRHuA7r3SOI 7UOi0McTa0w64CDQRb/gfKFttQPbPnPdeHVccRcrs+zpOAvrrS71XA+fHLo0AKlCZUacRah9 skkrnw8ajQi3BgwiP4i3U813UBgaOR61yvCuyVJNfBpogKPL1P6cEFclLnrOYe8rDhaHqkQ7 fDLjjy9Rzet8+vjxrByrMZ4mXftk3QZ5urSTQzFwFh5Q47bmFo2Ze/lUCoulGAhoq+eAwHq+ Y2BJi2vABt6YMTPcKA/bcCZedFen2kdNpgKqokFjPfMHbrS3EuV0uypJz2m1t6CK68hGfmJn iQzx9efbE9xSswZxrraZcW0daJORCKk3wCIC0q4RtgI1ZedFLrannkAiWy4rbh2bThJlzqQn NHK0nQFHmN7ApE+xYgo6hNfblvmQ1pVRKw7elmmG2W+Ag/VgEDvqtpDTsziI3OqEBePgOXMb jVYVYbfR4gXyvh4vkeLBPYBDdxFbaGh+4B/mYqcoMyq7lc1E1aVsJse4MWbBMXu7+LBFqnqS wpcF9Vs/05cSjao+blObGYyaCqV+JUnoqS3WzWqcplxEBgp4ONxtvNhz9AJH52J+ScdS7I6t zHBnFF44MD5Slbqe3XjIObjZRvvoAyuyVaxxgkwCpk8hI++2CH22AEDPW7uc8QTBYOe57eHu NXxMK8xaoZ3WKyUUX7qQYMfVAIrVVW0QpU1FHaPTBRl34ZzxEI0oKYbMbJ9Tv8WoVNqTaZa5 hSofjCxU1VY306mPWbBHmMZOZOQFRwEIMcaawMiYLwtHJwOfmob7rNqSTPtYPdWxaPKWxzCy pNz3Q67JJ+jXej5Nug3YqLT1CPuQw6BNoW3vU9m0JWiqeYzTdI8NTryj8v1dThJbJZXY5Smy wHSFH4UDCkDQdiHlRGs25iPC6VXg4M53HL27p0xM8StGyWYIHLEC2pZh8D+ZFnz2z8vTx/vl 9fL0+fH+9vL040b4pxRvn5ePr4+KlUba4TAWfWZaAmD+ep6aegBxNVo5/BunT4eEEo1p70nl eWxS6rvUmO1m5x+l2+BQAHXmGjMs5cfpuYRp96TBiOs6waBSAsW1VFAiTS6lM1KlSoKOGqRn mLjGWIbKssasLJIjR4C6qktZU6SeNMRqH7sOSiU4FVM7GMambA9T8yY7gjl8JiQ5ZOqRDQNC xzclXSnyVLok8tZGQ1l5gT49LE5Yav2585O1rLJOb/fJLsFPj7i+0xYPsKMzBo5c44r6uted CnvumlIwu10ZNOyTMCRGr9GKieLkU9fohra+rZiCG+k+yigTU9VwDxM1p+tMTJ8eqgP26Nk4 p3mEiTw3umvTB4c40OkIN0IYk68lfALvL+Hxam4UUhI6a5/l7jbJko4pddocM5+TnPUlkRuA uJIjDdLJSIvtvtquOljn5NWN3WLGMdxAZpLuuLAA22LI2apfl73ygOzCAG51h6TkcV4PyvdZ eCDSbdfAc/US12LsmfmYArfTHBtxropaRtHCBdtVis6QEk8WeDHFapzs2Z8GRcQuFG+A6YCE MfGt4GrFsJ3ngo47xivFWL1uVRZ5Z6Qgrhr1TMGIe637ORO2GEiilewDL1A3cxpKUU+ShUm1 eCz0oivZpi2wQCGJ3ATD2HIRyuHJJIQpHZFrRQiO0IhYcmOrN1q7UqxONiiMQry3pr3Nam8B UyBvWRSI74HsWGDDaOij9eVQaE1F1V2SCrLN0LWWjHsjWwYBZg3QeOLI3ty1blqpOdvlOdfG v2Aj2CVdiSltXNbnqGBVTeC7eP0aSgP8azAkRIWxar5EMbFMaLBJdPHNisoUrg9UYKGWMqz+ 9xLLppB1dQlIk9gPbBlv6YDeh5FZDg/wRAKa95FNP6EtbwCvzE6cJ7ZlgHpsLzjXENqmusWq NrrmZMBgx5kCZAXh4buj/s7RyCDfLezrQ3rbpW0OZwk9RNLDmzNuulebNO3BseRiL76enO3/ HcuaJGwDV+RUGAuuMoWuJTqKwkTQkBwyyxfiej7av311xKdaliiMAnTQd6RqEgddggDqXBwK KhqFEd5pwldotRVduQtcBx8fQvfe1HXX2xQ6wXJs8+0G1e51zuaE6o+LLo9AfBtzPlZyGH8J v6euE6KrPYMo8dE5kUPRHoPYPjdwQw/9RqYNQcWIh6+HwlJAUE3MtDnoGL5UccxVA01oKO6y ZjChCrJkTLBlH7vr06NpY5Cw+dYRkvtKzFRpXwLX3fH0Ysd8ffYtk02heD8utsFlbwZH8BwB F3A8PqngGXFpHyiT2UarVJ7OmNBN1h7Fq6d5mafzc0Q8vsC01fv8z3f12Y6xVknFX9e5UjG2 1ynr3bk/SlXUcgJvgp5t8hYea278BWFrY7PWBk03tW0493CXKygHWlA7Ykp4LLK8PivvcY4d U+/7ti7LfA5me3x5vrz75cvbzz/nZ5H/ouZz9EtJVBeaaviV6PDtcvbtGuVurWBIsqP1IVHB ITbeVbHnC/J+J0eKFhz9YS8LDC9ze9ordxY4Menu96ncZ1hrJal6en/7/Hh/fb18SH2hdTjC I8vlbFUWD42OkeO/vrx+XuBlqMcfrMFgQYbfnzd/3XLg5puc+K/SkTT/aqCyLALAM07eHl/f f7/pj/wiofHGh+in5tgy1Ph2I3mOPaF9oQnmX6lAn2wVjLcZY9Uz7/o7l6kR8KhDJZu3FVRt xW/PL7+/fD6+XmlNOhBPxKwUXpeX/316/PZ3SPRfj0o+f9Ny0dqXV0Qz8Ykjg/evnzwI8fPl 68sb+zwf/PVvtEL8Peai7RrpOgzQbpP0rlViyopJIcmShs1xmKq/tM2XbyKMgn4U4Y01OlMp iGZTXujIaOX0Kq/qRh9KIkUFT5nqQ5lD/BlPxUOHZb/MWML9AvcZBkZWJoFbRQjfyAXzop6d KZIQMAFQ/LIUlCS9jqpVR50t5avygvT49vTy+vr48R997CY/4fs/X57e4Xbu3+EdeCYEP97Z cIbAyN9e/tSOj6Zvxm361k/dZ0nke8agZOSYqk/vjECehL4b2IchZ5C1akGuusbzHYOcdp6n 7kUmeuChiv0Clx5JkPqVR484SZESD4+VItgOWcI2BZhtQuBMNYmiwMwe6B4ekGlccRoSdVWD mRXHOafe3583/Zbt9wd5Lfi17ysikGbdzGh+8S5JQi2ewhIATk65LLgrubEFMnIpvg+TObAd 54L71JhMgBw6voUMmhwGUZ+YH2UEIM1KPTcQd2odD/BzpxkP1/C7zsFDwIwDoKQha1oYGYtQ kkSua4wMQTbnYLBLaqHhVORKN/THJnD1J7RMDlQvn/FI3FPRE54IRS+cTXAsbpuZ1BDLLMY3 LtM4GzyCTDPJEBO+EZOkG8bPozK80FETuZF92LIlMZgmRFl7Q4fT5W0uxiyE4BJAA0ze3QiZ gwVgnx0B9zAR4QAa1nbBA9mAoZDxMRl7NN4Y5DtKEdm97ShxkD6c+0vqw5dvbAb81wUeMr2B x4yMzjw0Wcj2pvIJggxQzyzHzHNZWn8TLE/vjIfNu3CAhxYL02sUkNvOmLytOQiFLmtvPn++ Me16ynZx9Mi4MZq4+vOzk6uHlnR+KPnCNIW3y/vPHzd/XF6/Y1nPHR95jv27VwGJYmMsaSfZ k8oMTrNFplvXpZddLbUSvdAUZl2nZuqYtvEct1mieT9/fL5/e/m/CyjcvG9+6NsUzj86Bhmb WI4xJcelRDnHV1FK4jVQcUAx8pWPqTQ0pjSygHkSRKEtJQctKaue6C6yGooeDRhMnjV7EoZW zPUsdf7Su4rrjowNKXHkiPwqFijmThXzrVg1lCxh0Fk7guOR3W4ysqW+31HH1hkwWMNg7eur 8YJkfJs6Du6FrTMRvACOWWo2Fk5shefQc1fLZkudrXspbbuQ5YEYp8YaHJLYsfnVKQOTaMFp Ubaij100BJfM1LJlxTRUTd/bc1x1F6zIZ+Vm/1/ZtzU3jusM/hXXPGydU3XmHFu+ZrfmgZZk WxPdIkqO0y+qTNrT7Zokzuay3/T36xcgKYkX0OnvYaZjAOIVBAESBCYwoKQt4BCux1YWb0oO yVTe5/PjG2alAWv9+Hh+GT0f/2v05+v5+R2+JM5xXLtP0Gxf71++o2+fmycwO7RJ2eynzlFo ZGYjk1scwPQjiG7f0sACvnm9fzqO/vj480+QwpF90LBZt2EWpYkeaQRgeVEnmzsdpP2dVJnI nQdDGRlfhfDfJknTSp6mmoiwKO/gK+Ygkoxt43WauJ9U8b4tk0Oc8hYzUNzVZiP5HaerQwRZ HSL06voBxobDmCfbvI1z4JCcYJ2uRuOQY4M5jTdxVcVRqztMADxjIUY5MYkxflKKKb5NUqBT SS5NcsxChm2tk7x/a2JM6Pcus5Xz2AS+3lb7rTXeQ8jQAQh8Z40Fq6jTADGJ4njX+LzZx5xZ BWzXtG8hjqGVO1bHHfbVhJZmgCz3VWBUjO/NrUR/WD4s6qkVWAS7ZGfK1ZG32WpORsfCag9s omd6QXLjWhvr7HLqtfjmxaq5puPZ4mdTmxYgKqZvFW9vq6Sm3C+RLuNhszGnsYlSq7RknbXb Qz2b+4bUDY6A/MxWB7Nk5YhmsmwMjJAXmbkk11XBIr6LY2tBdiqb0VcOE0V6o+DcZqy0Zhsh anCci4wenzcZ/OC/Td0vORevyqlCzQzHxifOVcIFMk9wA5OwpNIfGiT7OLf5ApEzgdxFnvxt impOUlHVcD03s9lG7sNkIIc34XWL2XjL8FqP8mCWncZx2bINhsHD7siQUM4ehh9s1qMSjItH YdPH0mykfOf78nHRR1BuUTJfJieHtt6UM49jnUtbRpOAjyf0a5ieHH7nMvRMtL841gMhzgrJ aANJf2N4ufKS5XH6GS8pIp60+tMAC813rCrblI+ny/0yulVeIEqZ+HR+erUqK9so4ZpbZwcx bwQHhQ3QG+s4V1VKKiyCE9b3D389nr59fx/9rxEI2u5q0lGkACfzluMNZRJqIgoxWuBqBe03 Zc9XA/66joL5lML0/vB9Fwcc7ZA04AmfuwEpH7SnZHjzgcp+wTNgiNCxBnK1Iq1Ii0YPiquh eo9dajwW0zHzoq5ITLmam7GPtEHE7PFkquuBRnv44eCsJ7xDnXsYnaUeO3nAraPFZLz0DF0V HsKcUhS1WZFu52S1sZEM/RPWNo5xaG3RFi4gRuiQrI4B0pXAiyY3nlTxnGI6vIcsdmHSol4K Ko9UmIeGmJfJGtC+sUVYk5ZJazjLSco8tyZM3IhiZJgdg+0tjAyM3mhBmOfQlzBu8/hWrWY3 ial5sIXXsI57ApbVReXB0U7Md+2I3kANqFbgU7wqiWkNQJRzlzN8piv8DqibSjGuNcbpKaIm rFOiMkSDUMUATG18gM0Vdot211ARNcUwoG9Lw0FNjlqZEyYwy8uIeLs4ELvz2/soHPwQnIBD YpIWy8N47MxFe0DeoKEl/Ofk0BuwREaBocCEjhzaExhpaAboPjbj1fcYvPL1lDekqTc+i1XH fHN3aILJeFe6fce4fZPFgUaADuMiNjDTUJiLKMjB7aAga2JW+XB14sPYecIN7JA3weTFDo8h Arxc31OhKkEdVxtEKjyPr5nuQhc4vrtcvXxR7qUJcy4ejCPtZw10dQchxCZTYg55uppMFNio sEfA/FOW4UATcvvbasUWi/nV0uZDgwiLxhgVnrKHsbSAwsdBRWY1a1VxauDvnStIUWKoyGTh 4/3bm3sWgWWUVZIbbngIvI2soayzPupZXtTx/x6JwQDNmG1j2BRf8KhtdH4e8ZAnoCO+j9bp NUp4sGZGT/c/OteK+8e38+iP4+j5ePx6/Pp/RphIWi9pd3x8Gf15fh09nV+Po9Pzn+fuS+xM 8nT/7fT8jfLwEVIzCulkEIBMSstvRsL21LId4C1ulvy3FYHMwWwANpiYKDvCivqgieh7Yon2 ecWJRRDl3LNrI0bVZ4GnNp8IYLtl0TamjuMHEk95rS2iJFQeUOkzUDdO3Qhr7bg1LsXFxgmK CN/cgcUSu3Xaj/kEXKyeyIwVPiDoQDo9XjaH/LRvh7Pgysf7d2Dep9H28eM4Su9/HF/NtSa+ r+F/i7Et1gWqOczHFLyLetUtwUwsbRAkT2D1abdxYj0nRVvkqeWgFt2GzswgTCh6noEQeIKj JcKdMJfmZ4dK6jEj3huMblG4T11qp50vsUe4Ebt7lIpANgkYgSw2zo1mj7MXiQDehEVGgGGR rLLSQQQupBtreR1x//Xb8f0/0cf946+g7R3FVI9ej//34/R6lGqxJOnMBbwBAcl6fL7/4/H4 lRjDAFXlpNzFFaMPXHs6ctocInfJCfgeg2Vwd9SCtq7AygI9m3PM+lVs3NnqzhGxoUWkx+UU QmeH1+Exc6SbgrcXxOxAROeoNkgcudZjhmxrdOH+hEadWrk0rfp+bxNTSG7QDecyG2tPa1pI 5EdxliwsFgNQsLBbzqKmbmgXJbEvx3tO5n9CJAYpr+2w7ALh1cXDu7KKOYd/l+HC3abuRNxc z7dJlBWNzVibOgJFMrXtXFbCwsVjLivhpoC32SYRef5k1F+fppeAibY2LmpE3xy1EdgaTNp9 sq7wHYWv8cUtq4CpLT0LNTtrlnYiCQFqfJvkUDeVo/QlHA8qNreemu7gE4t94y9iqA4WQ4CB iv8G88nBVjo5GMTwx3Q+diapw80WpAOaGKMkv25h5IUjhaNQ7FjBQSgbpzdg2EllNMktOd8z ffn9x9vp4f5R7qseXXanbXud4HcxeVFKezOMEyMeoIrBAsSI9/ROptYxzkZqttsXiNQL64Ey Nt76rjuy8LEIGp36czfJIBhX02i9kAlpmbiQdp/Et6am+PuX2XI5VgUYOYg842n0lFSCJPTC BYxNhJfBse9kxSS0tgSFxMFuo4rd/hYQWGU7iCumdbPZ4OlboPHN8fX08v34Cj0dTk5MtvFY 0p2x35Dh8kQLKkT6bEHv4GhmG/02U3DsgQWkl6RQCPeqYgs2dY3avERSYSH7CsPWWrJhDZ8Q XcvjOgiW9OWONiVuQjxz05FhVPfWCZmpiDRZduda0zoDkxNryqE16GRlwZPa3jbA0G5TS+o1 bYz7j02Zh5kNil1QuQNRUzuEsUPImzV3Cas8SrgNtJfDpm32tk4k/rQJO6hqu7nJdjjohG+X 1kjI9vYEXbM9NcSf1kANW4/cwBS13F8+jpBf4RuodolXHxmI5ND6ilCnJOTOpPTwl9cjOqSe MWLsw/n5z9O3j9d74uD6S1xZ5+fAds6ZZr2Tg+tpOOId5tpS8y2X5MZX0KbJwzqB384wDxis 7dPPaV7Q8Ep39ssOapAtCv9MbtvbeB0ya0Rgz9B3MT0F36eTpu3kd2Xs2wLQTGr5bVKHmreG 8TK7vK14fAPqNwF0fGazsFOZpKGfhf/h0X8weO+Fw3/t9jb0niYhjkc7/RS3B7X4VC0MQTMv jKBSPb5M601GIcBKZhXjuv5tIuuriQcV4186vxhYsAYzvvPEFdMJecmqAxkWrqdSMfKpdqhD Zgol2mfaggMyKvZkeUJfozvFp2QwrWGMD2w/pT9FFOk1ORSuLhiIj6m82A7RBv+1gr72yCxJ 1zFrSE/egYUwqbb9fRfj3TuNkiA7iEL8VCIu/Se8QB7oIVrkI9iRfJ3xzG6yuuvwV/bJNJpJ kcWCzjApuBkJUIGdNrmrMxHejVHGqLUCw56r60ak8DTMzYMsOnpr/+6XuTkgt+06beJNEpPp aRWJff6mwLtkurxahftg7PAWYK/JYKjYtR3+k2zsb/bNekp7VWM3+S40G9DgEC1ARDuVVzGm rL/2mHmiCZg8wiwuvHHE547fmAAVEd8EGnegA6sc4tzMFqgJNssMJkhYtpiToQ6R5W+NDC0Z r5Pw2oVYwdJFhmn+fnr4SzOvNV9u9VGTc7aJ0XWsydyTXb2UT++r+zK1+dDs9PgW/TE0rsJf 0hlIH7YB2opI8sSgaCQZmOAyUYRV7rrCg5Ucz6d2t3hOkW+FM4joFjr6OGcO4jOWT8fB/IpZ pbEqiVMbhrkFp3a1YbaY6o8zBujchtZNVSUcuCNP7PqEo9PYGRYBpg22AU9boR1+QXrs99gr M+m3gIub6wNlvcqJKNYw3e1Ns47deZS4itHpwmXxDfxNhkAVaDsbnmwqBtGdXego4MkQago7 H+tqQgecHw6O/0yPM8MJDmBK6vXYRUB8tLLiJ1vY1cKdeJEz3ZM/ridYkA8+BFrLd218dUtn NBbIPpqMn2QdBVa8OKMv9XR+ZS8QJzWCgOY8sCFxfVgnW6fJTiR3Y0WFDIMN2essDedXE2fC tbiAZhWXshL2y2z+t68RRR2M7f6R8cgFJuHTySadTq4uTK6iCYh4F4MkEzftfzyenv/6x0TG zqi265FyafzA3OQj/nJ8ON0/jtDwUuJv9A/40da7JN9m/7Rk4RqPfjOrJ3bAa8lHGNd+5Q5k eqjICweBxaiq9kyJQNeeNYjSyZ5aBAbLmV2ME8dKDmNJiNQ+xq2Xi7dZt21sHu/fvgsX3fr8 +vDd2kb6+ahfT9++WfutbBfsSVs6goY02JJ1kib1XVcfzNf9Xx8vaMu+4a3h28vx+PDdePtJ U3SlYnqrNNE2YQRk4WS2WE1WLsbZixG4C+sCJp1oM2I5HsvrmpoG7Fx8f3l9fxj/YpbqM2wR J3IYd2MAgNGpC81uDCmSgsK8cTMe2gS2MdMj6Jwlon3V3rDdMXQxNoVQpTpyGdeXDIyrKNh6 Pf8S86k5WhITF1+uKPhhpadi6eCE81z/yYUYvook4p43ITrBckaVLjF2ZieKbOE5We5IdnfZ ar4gIy4qCsykdmXE7hsQKt+EU6ry8r5Y8yX5rtGIKKoXmmelZOjBfB5OjYi6CpHwdBJQX0hE 4P0kWLiYA8DnLrgMN6t5QDCYQIzNW1oDN704FYLkwtd05MxuLGeT2gqeamA8ecJ6Xr+ZBtfU 10SyZbttVpKE/ksnlYCBMdIJ9PNqp+XQEYsJsXg5KONXund+h9hk08mUqgIW+4QcKMDMV2So S+3TgGCIOAN7ZklUtZ/KN+RuVYDx2BgDyWo19gQi7fo+pzXLHh+BBFo56gzGMjDFrC6ygxDs M/S/TfodEuhxP3bFsyOQpsGUWGHAvcHEO0BXYUDPxmIycT0/ejeoiy0Js4LgSBCYwYpY5wA3 Iovo8DnBjCh4V/N2w7IkvaPaLgk+Fd4rOuSPRrIMVmQEWY1iZubk0lGrzz8mhz7iwWxMG349 iTdwvEZAyzIMbLesGZ3vYRBaq9qTaUMnmV5qAhLMCZGR8WwRzAg+Xd/MVmMCXpXz0AoqrDDI v544wIrCH0e0Gw4r43YPt8+nO8SXu/wmo4+6eva/FPxf0YgcTM7yOj//GpbNZ1qYSt17cfot //ce0eUcJSQ2T9tNnbUsZbpfej9tePDtAbd7+Oni8EyQZEHyRLoX5yJfLznd1WxCntH0A9Nn LibUTJW4mCqYuOmzq65Xc6pUJ0OnhljQZ/IaxYHyZB0WKqvw0IgYc7JGmfd5uro0QM7FUj/5 Nfw1pnQCzBxFVYeOOrNLPJiW4kjNLXHwXrE3qi6jmKsGed0U+zE5XF5vgG/JK6m+n/me2LPk RQ4Br4PlhJBVMmMbBV8uKO33gKxH7r/LKXmCpk0LtderrLwE+wsXlk6nwNMRfgR7+vXyRq69 6+9bGGFeS/olHKAwiLgekVZ9hOFthVsVdSstPxvaLH/D2O/jIYCIXj9i/e5cioDH6QbNW8ps ViS7mOkxOLoP0dbG5Vdzol7xjTD/Y0v9U+cV1iD0px/NYfDvVDD050z1xxy7aDZbrrSYtH3t CkP0Jckwz3OYJK1RFPwItL6VrBIxrEt8rK2D8e22Qv42tsBVgVP229wEy4sGlPzcSDgkseui qHvcL9qRiOpsu07bYkNFmtcJjL5rCOe6pCcStVPcZR6tw8+2VDI/qegje6SJMBqsS6OXUjXm SdJ+kxRkeRj24kJkWUTrN6vyN56nNg5wjQFwzWjFkjgzu6mBu7g81PvVgT4qqfv2vfAEVS0Z iAUUtRyuHu2iazUL79y7NcxD+Hb+8320+/FyfP11P/r2cXx7117aa+HhLpMO1W+r+M4X+wUW ThzR+y4s6G2SUwdybvSSDtKWSRnr67WC8vvHe9ryUiqfAzAjj3fAqsz41gXDeqv1G/Y4TVle HMj3rAVmuD8UdKzHHT4XD1PtArWDQB0xrHa9T0LUKGopsh/P/YWqOHHHUFDV8c/j6/EZk5Yd 307fTOmehJ7XUlgjL1d2xIwuQtbPVWQWt+PRNSU8+m4Q2YFM5JVls2lYcd51uXSZi5csm4d6 NhcDYQaY11HJfDqjn71aVPOfoZrQdqNJNKNu4U0SPRaDhllnk9WKRoVRGC/H9KAjzopLoWM5 KOyw51HPpTQyYZ+k8YGX9CAjnjPfOG/jLMlpwaBRSS3pk9HpU7mQJRwS/Bd2Du+CuCmqhN54 EJvyyThYYbKFFIy1zxos9MfL7e0PCKnvfbejGklxyMnUTxrJPvTNLS+X44DSY/UlmZWBm15U n1mZZ4EOfyUGXbhsmn3Elt8CR9DXXj16qVt1PfTKhspwOuuk5u1tBVMDwDxY7crQrnPNkmvM wkH2GfFhBpbDpI32pfOp9MTxf9guprrZpENFKnOiQJ8jnTa6jh9c92l4t81926wi2VX0KWqH zzm5qHts4PaGVyZMy3RFLvtdApJxEe6nY1ouCfyVFyX9YCiuA+yCPGSxaDyi0nQkI/eRwMww he+odgk3JBivm7VGTrRGo5guTLcKXXAX+LSIdtA64K3otXcexXtQj5To0H5hJ1+TXkYbwlBe RT5/Oz6fHkb8HBLvBUGLA8UcWr1179F1nDyi8OOC+dqPNIfSxi5JS8wiWnmLOHiiE5o0qynR +DpslLLW61HkaBEsp70s7ltVJ8rdweYBWhUUEUnr419Y1zAhujAfXn4TyDpY6g/GHBQIeWiN h4cVCdi79F29S7qP4lCW5yXZJZtPKOJ69wnFOio/oYDd7dN+bafRT/XLjODrIFVrfqYcNZSX i/u93MpR/KkSs8023Gy9Q4EU2aWxAoJh0i60CmMBWm2iqRdLMsGwRbO88laHSNnon6kMaH+O PyVpGV/qqaAJmV23l/Qyu0sSOW6f9FZM4k9V+QljL5ZXlA+GQWMejDqoy+tPUPRrmG6EoJEN /Ym20KqCRA1t8dZ0pVrzWU2rie5zbaH0QO4OahhzL0W/rr0U/TqlOyJpfobxBOVnS3Y1WdJX 6RYV6WJh0Vz5xR8iiYm+QPxzi0uQfiIrFU3ZJrBH31Zk7A/ig8sCQNBkPyXPJelns3oV/Oys IiU339O6FDwsWxbROr5DfFk6SZJPpNMKNP2fO0ky9BRNlelij4jTpqfH8zdQm16UT4MR/vxn yDUdGi/r4P/hdDJtM7APP+O/MoEvwh1p0YpAnduIaweHXabhMCSHz4zkIojZfArN0EdSgpdW 43SkMHTLkOMV/epKT19tonl00FOj90gn2zErb9ptGLar8WpmQrPMAScAZiXnrWy3DV2MzbwJ iSp7NjZTN1lo32er8YL2RkaC9DMCWcKSfM3CM4k2Eqv3UDmsGuN08CnViwFtF5a60EjSAnBJ QSdzE5q6UChXToxTnWyE7o0zQE0vSq0Q7/go/NWMKs4DXZDttMGKeOWMcdkozCdNWplLhise o3rCQ9w/AI1Z0IZmABgv+i7BAwu+pYi3PkrYJ3V/WYCmJb4Twhd7ZEGi7z6wXUMGJTm0Ilzs UMhwPKGhAvJ2kgOnyVFazTRW44otjUWCQDEPDlS21QDj3NRNBWYxTo89azcLDqZu6Zs5Vbts 0tCbvqbVjHYfQ4quwysyCSFSqGl2OiymyUUcRFt0icr7MTNSEPGhaBsuxnIymRPAgABOic9X EwpIVbRyPpeD5hQgwXYR/QDa9D3C/KLMkhaTi+MeE5nxb8TWs9vQe9o1bhsHY8vEi4CNmgio 0ayo1+HNs308g5TxTz65FwBdsskN7YtPw8Wsf3DlPWXj83KPcVtoMkUk36u2U2iyeWfXF6Mo Zj9Vztwpx8YvLuNnk0/aMZ8Fvi7ZpKzKFj/XbNTuuRju0PQRUHjAFOS7bxWaluySxAWe7ggs 5k681DzBAMkm0R/ZD7C2rMLEZip8CJ22vAg35Zb2bcKX2T9RrfnutwfBX0V4zSlMWYk4KfmC /K7Dri5ir8xzallj2FxeI3WCj+N0/Q6h7nNvhKbbDM9BB6AM0tPuQ8MZQSvddc1UNLtbXiY5 DsdQ2gBz/BQ1FAqYiwVaz+d1hPLQoop1vAM7Eh5nbaNcDTWzhZ8/Xh+I3Dx1kmECC813SkLK qlibnMir0HESURdO8huiNd39kSTQv1T+pd4vezdT4tNb0OfX3i83dZ1VY1io3Yed1n0oUZJa UOF9urCheIVngaqI2SB03UwI4DyBabDAMqqZ0xfpFertS16G2dJttHLCbOs6tFHKs9etSc1g tMaAq2INkitNZWVyhunAbVAOvFjFzijnoqcigUjpbQVpvNpEgv1hpyVpQODvl5nwoktCemsQ eZagKtqxRGL9XieiBXJD9t5xdw7PvukTF99g0HJ3ILL62vuZkNq+ofsdlXS7V92HO7V6w0zz OO2hWd3oDp8qJFsB40wQ15khJGPVS4zdfmnIygN1WbxbTZHLs0p72NXDTHtWgcuGrEW2AdPT icxqNf3sumcg9BT2zH4IQzihlp5z3fY5BbSFDq7cERgxpkERrQqMn4qzuJjJ94nGoZElrPsP WZKuC81ww3HIJGTwYuwyzGc7egill3c7RblS3QL3YglE26GN16KVmVEnBq0ASWZXK++OnbI0 PN4++/Gqb+I5KdGYskilLzuqO+5AyJMpPFlKTIcK3IDKKPTXK4UMfEVGkoFFGmbRjTUEYu8F M3drjYFYt96aRBvtigZWAiWk6cKeO7eo1fHp/H7ErO2Ep3WcFXXsvN7toW1IP+DteHNfNiCi 5Odav3lY6oxJtEC27OXp7RvRKNM/UfwUXrg2LOc2ZKjcAMszTXxsb3TTwiGA4mWbjMvH01Q5 PKPctyRB72g7jIvR/55DMH/NrXx6IZ/gnT+ev96eXo9a3kuJKMLRP/iPt/fj06h4HoXfTy// xAfqD6c/Tw9a9BiZaFMdIPMz4XEv39GELN+bvloKLm7WGW/IGCJd0CpcXUm+Kdzvsx5HHp9T LZNNlv5wZItVUEz0QwVJbhw1ayieFwWlkiuSMmDd1yZC70rXSrcx+pZwNRHShQy412P5ps8T vX493399OD/Rvet049KOF42liFg3B49IQrx8uk7ihUzL6IxlZJNkDotD+Z/N6/H49nD/eBzd nF+TG6vdg6RqkjBs43ybkJ6BqPVsm1p/9lAyJlLH8ULlVlTN+axSUevp39mBHkIxG+jfo5fp kEt/H9Du//6bLkZp/jfZ1pgHBc7LmBxKokRRUyzCzY/S0/tRtmP9cXrEwCH9qnWDJiW1HqBa /BSdA4CekU7V/PM1qPBTw00VIRbUHmZKd9gSWGlJfFgtFTN8LxAqzs3wOtLWXkFG+65JEU04 POg5ie32ip7cfNw/AvN6FpS8JYJ9Ep83R2tr98fNrNVjpUsoXycWKE1D+16sjCo3i5nA3GSJ hjH3ctgSqLhbHa6MrLLUnmOCrPuunlC8HrJ7w7MyKB0Yd753hbhM3RfmXCjN3vs7VhqMSM6H KaiIg81hU+00yG21uUyQFFEBSh3tBCikrrTEKJunCKVxDdrlvkhrjIsdFk2ZukJXkE0dMl+h erhxYTTLzaGT/ofT4+nZljj90FHYPh7NT+35veqb4WrdVPFNV7P6OdqegfD5rK8RhWq3xV5F Wm2LPIpxyWjiWiMCzkZrgRlvOg0C3L4423vQGJ+Il8z7NeNcHmkaLXei4oFR0h3AisjnfYd1 s0XYOxqa2qaBSh61DEU449jG+zg3grwbiK4heUE+KCBpyzJr3BGQJD2XRxuNpeJDHYoDaLmp /P3+cH7uclcRcW4lecvAlvmdhfT5tqAwcxUqYMYOk9l8uaQQ06l+cTTAMfqLA3efAnSIOp9P yHfyikBKJryJyRLdMUGhq3p1tZwyB86z+Vx/0q/AXVYCChG6b6l0JCYjmup+0yBti0p7UxlF +nmaPCaKKmaGJZPweE0fhij1DRSjDZ19fF1P2hRUppr288BT7jhLqDeOgEKMsRtjZkFkOm8+ c9DY8Ngoj+s2pApFgmRjdFC6H7d5nPksY25m+RTJunHwrE71Bqk8bqpKGeS0k7TC/t5kYYCD qcHV0VtmzCKutfksCNrInA21CnlFnrVLgWB+0W0ZVvdM/PQT/CSY2QRG8RPxfVtjlkzrKFjh tLMcnZkTfKgpEjtQsDZck+AoYz64VOZJLAb+BM29yezKrjfJRlCZYBWfDSwsqoXyTz06v/aN Qypq5SKHd0cS6CS8y5lqfglgssShaZ2Elzbow8Px8fh6fjq+m5tOdEin+k26AphPLgVQD1Wl ACbVOmOGywP8no2d3/Y3IYhMEdUupaGKXltjtI9ExKbmezKY8ioa0/FXJI5yFhIYPYTD5pDy 1dUiYBsKZvZGTEyt2j1lh4R7cBihyMJfH3h0Zf00S78+hL9fT2Qo2UHwhdOADG0FNsJyNjdC EguAPZ4Ipp/oAGY1mwdGCVfz+aS1o1oLqFUmgMgwz4cQeEBv1SFcBIbbRn29mupRIRCwZir8 ZneKYbKzZPHn+8fzN0yI9vX07fR+/4jBDkGHsBl+Ob6aVAbHLwM9XD38XuhPMOVv2BtAw8NH /gxsVfOgJlpeXVHHxyxKxFNGpidtUUczJkycrLCMzaNAYYbSD2UwPiCUqgGQq5VZGJ6NiOdo JjgM8bnMxARG7AoX2rY0oWnuNCPO93FalPgAvo5DOuVV515gfon3ZmmFWhvdB9x4s0Mwt7/b HZYT6gFgkrPgcDD70R3kWkWAsryMPNWmZThZ9eX0n6i4Kr6P6jCYLTVmEYDV3ALoWiPqndPF 1ABcLfRQXVlYTmeBccvdPQASoVAWY09rdCrQbDE6hj32cd5+mUgOoY8pxMkmZ5WnhjJAb3xj rHPWLI0QU3hDaw+jVJIlY/ks7T3yhP30TB5GiBg27aGwSsUs0e32riq8/alyjLHn9LfDdmaI 7PBQa/VlG6RmN2VMKwuG8awskOAvzNMrYyDbap3soy4ve7gNijbCz48glhhrMDCmUOzpqLyW t5a18BsJx6uJDeOwqRg+fAiVSdHo4lVARWBlo/zbdIHQrtrhhn+zmPh4WPmj9IzbCfhLwlwX 95vX8/P7KH7+qh92wlZbxTxk5kms+4W6UXh5PP15MnaJXRbO1FP7/nS/p5I26f3L/QM0DGMs fL7lTMzt6/OPZR3fj08iWZuMMmQYw6xOGSZSanmcc1IaS4r4S6FIdPUqXpiKGv62FYMw5Cta ALMbxaTDcs/wDTi14fMwmo5bm15CMRij7wseVwnTVELsQ1IlKKy2pf6k00DMDJdHboZxFgBf pQLXVzqw7peVHXC7m0N7cmRMqNPXLiYUcOEoPD89nZ/1QzGaQOfcjKsJ42pG5OE+EGNoDIMX umN6Gyev1XjZ1eQ2w0VaeqrZBBqnZlUeaykeBna+l+uMXgrz8UJ/xRDNpzonwu/ZzNC95vOr oGrXTD/WFtBpZQCMUCX4+2phtj0qixr0HdOW4LNZ4MkOoNSDiM6vsgimpl8a7OrzCR0qGFEr Mn4D7Pz4gNoR98zdG5i9jYCMBuB8vpy4otZq9BBV69Ik9Wz29ePp6Yc6sjUC1ODsywNVkaeP vjKyC5AB0TGF8fH54ceI/3h+/358O/03xpSPIv6fMk27u13p+bE9Ph9f79/Pr/+JTm/vr6c/ PjAGmPusyEMnI6x+v387/poC2fHrKD2fX0b/gHr+Ofqzb8eb1g697P/pl913n/TQWCPffrye 3x7OL0cYum4x96J4O1kYohl/26J5c2A8AHWeFGVZ2UzHuku2ApBLWShTtKUqUIShmtTbaZfY x+Irt2NSKB7vH9+/a5Krg76+j6r79+MoOz+f3o1xYJt4Zr1IwHPa8WRMhypVyIDkSbImDak3 Tjbt4+n09fT+w50flgVTU1eKdjW5S+4iNLhMB6EoDMYTytTe1TwINJNA/jZnbFc3OglPloYh jb8DY1acXqioELDeMbnD0/H+7eP1+HQEbegDRsVQL9ZZoviOPk09FHy1HPt20+vssNCamuT7 NgmzWbDQj4N0qM3giAO2XSi2pZQQyaQpzxYRPzjMq+AkzwPuKuJjH7xvSx8YwjtgMpPD6dv3 d41TtHOB36OWT0nuYFFzmHTz1cHSKc0dgIAVpx1ssjLiV1MzuZaAXS3o5cH4chpM6NhX691k Sd5aIMKIUwUb0WQ1MQHmLgiQKZnoBhCL8dwiXSzm1Nhsy4CV47FRsITBIIzH1OF9csMXsF5Y qsmpXoPhaXBlPWA0cQH1nk2gjHc/v3M2CYx4pWU1nhtrUhUr0yvphwLV3Ay+nO5hqmehx3eA HUD4+SUdIumw23nBJiDrSVxR1sAy1ICX0K9gjEhdnEwmehfw98w8pptOzRj0sISafcID6kVX HfLpbKJpfgKwDKhJqWHk6YQPArMyzj8F6IrqFWKWZg0Ams2nFHHD55NVYORR3od5as+CgZoa /LyPM2H+kmMvkWS8m326ME7Nv8A8wWxMdBFkihjp8XL/7fn4Lg9ASeFz7Xk6KhBGy9n1+OqK lFLqHD5jW+2ERgM6Z8lsO53YcQo7XBZO5wEZ7FjJX1EirYt0ldnojmXAXp+vZlMvwtwHOmSV AQePfXDzmzuWsR2Df3iXb61z5aEmQk7Rx+P76eXx+LfpiYUmXnMwitAJ1Sb98Hh6Jma335MI vCDocgqNfh29vd8/fwUt//lo1r6rlHs7dX8kMktWTVlraNMCUE8SjDK8k4q0F2qrMfdeWhSl tzYRRpiopR8KusNqZ34GzU/kfbh//vbxCH+/nN9OaCa4mp3YQmZtWXBz5X1ehKHbv5zfQT84 ERdt88nEtHQD/UYt4iAFzFPi+UwPTo22orWLIYgWZ3WZojZM6ehWA8nGw0C+6757WXmFlweX ipOfSOPr9fiGihIpltbleDHOKC+mdVYG5qkU/nau/9IdSFLKPTUq+VQf4V2pj2cSlpPxxMpH WqaTydyjYAJyOjFV/YzPF6ScRMR06a4UDBTLqRev9XymN25XBuOFJmy+lAwUq4UDsFVTZ6QH hfT59PyNkhwuUs3Z+e/TExoLyOpfT2/ycNJZJV2U3ex6XeIDuUOSyTxkQ89Rb/IkLkwiVgnX z3ZvHp6sJ8GUiitfJrnuQr+JlsuZfvXAq41pJPLD1dSzAQGKDmOJhWiPcnBzn45175h9Op+m 44M7+hfHTL1aeDs/YjwV3xmx9rrgIqUU7senFzzz8CwuIcLGDER3TL7gzNLD1Xiha2ESYurw dQbKN31tLlD0IRegJhMqTFUNItzUfQUkiGhpTvSwZ4ZbzcsTfsjNwTiGvs28CeMQJzyW7A+k G1Md0p7mSNHfqF6ksIOE2gR2WFITH1ep6fupI90kbgjuHip6vnI8wBDo5gVBqHrE5ylol6z3 tf1JQspwiTlMXOpDQDGHwsF+ZU2tfNctUyqaJUke9w6kN6MGIq/jOFvruQMQKFLETm2YPP3l odNxdUHsqQGmhNtFiWg8bvBxRIrrV09Rwkc94aVZnBsaUkAPVq0iM45dm0iJHmX+x3xIJBLE kkmXBPbA7FK12LKgPdG+foIuZP5aO9c469GjTqHubi0R0MeL0IFWDAEBS4NVWKaRBVUJigxQ ZRPVid1nodh6GtopyMQ3JfWWRODwvtb+wJ+vRWCTOCQDpCnkrnLkZX3rCD8AtWkceWsBex5+ ed67CgLxeltHSwOmuhk9fD+9GEkKOh0QhE1CqlwswneV8K3ezN/Fa19GftGxDYiLEL8DfUFT FzpkdRO60OoLm3SoQUdQXCIKJDez2QotQ7OFelRZOtVFV+luJduq6aXVTR+6AToZxdorWZSM gOd1bBlGCM9rsCL9TjhYblhk6yQ3kucWRb5Fj48yxLQM+usX0FZlt7qhaPIybbgKPt61erBA 7QnuG12y8Bpd1PUhx6jMyEf9Qx8Dw+qdGTtUgQ98Mqbfh0kC8YKMDCCk8GJTtWsbdlMKrBwH 3NbYKRMsNLoaeRsid7PtrV3ndWAkixKwlMFyu3HrV1uSt44s3JUwWaw6zO0i7axjA1BGjG9Z tXYrRLceb2199AK7WPmGpuDO9KtXRqENl643DV+XuzvrFaMkMBNCKJi4hnTbTASCMfFmmBcJ 7KM3E+XJReAtzw26YsLbbdoQzcQYK9QhuYzC0gUxnxqhuiykinsujbfd3Yh//PEmntMMtppK yNYCeihGA7ZZAlt2ZKAR3Ck/+MCgqI1YTIjupx4JqP0HaLqMXAqEJWEkGqeqkOVtXbGchzEm 9jGR0okJvrVboB6fd633tEGE11Gfm+D5WMCnJkIsiNUaMQGBabeH1I+bBOxT5BSkbhJTFOyw vYgTXUUClULhIp2aUGPE1FtbbAX1Xk9MhUhUQDRD5hMwB7IPaoN9dqdV5iXoBsRoSc4DwQ5R RZ3hiI9FbCRWM6tMBDuzqRqnWm3U1EeIKSrYfukgJzrdBVbqSDgs6YrZLKxwLN0XJko8KRGx +d2GZ8kBtgTPClSRIpyPVIQJEr4k4bijoRpAVMET2JfygmDbTp9xypN7U7uvDgEG0HFYReEr 0IPsuVeJFZdz8QIJZGqNEZZ2d95pkZu3YITPaPxySD4LgmqhuU2t7yM6diWCyxHLRhKE5WQi P/fUAkZRG6xysJS5rlIZKGotIPJC27NySvANQt160Fhs9CcnHXAXmU+UOrjkPO7rklQk0CEu iq1SQ7AQS6JhrCx3RR5jWNSFcdGP2CKM06IeyjPaI/S/CyOhwobcYJRaaj+QqgVwI2mSdQQ3 WWm2SUJd9hdwlFE77kHwvOTtJs7qQh5k0h8nIdlQiRRc4WXsoSZK99DHBCPsEpMhIjDimJjw ionQHw69dKyO8ymxLw5vN8Wvw9ju1fCEGcUJMpynzSYhtSJMipAnF4SySRtJWm+ByB6fFVTf lbG1rpQ1FZUyrqZdvEKLxSQIPFV0T2Qdidm98XPWbo8gRqkLdYk4Lwupl4JIZO21BlmvqdqF eWgs5uhRbtcGq3ZnsyH6kOLJy2QKvYDBszenAT/z4JPdbLwklDtx9iKNCWf1yfeKV7O2DMhD JiCRDzidYqNsNemXmSm6ssV8psQaUaY4cQul4WuqSWB0YFrEqdNIqGQSkG5AcmtGS1KdY7Zx ljm9NCksoUpQigiRoCHQcehMOqzPS6Y8+anU3t2FiWGn9N3C1/Uh04RzZBwHZ/pTT/iB9klv +RxfMYq8uHd5kq595IlTBX3MqINWxERZuABtq1TR3rrGXii6N/bEO3D1HOHr6/n0VbvwzaOq SAyPEgVq10keYQg3OzBb/05BFqVdejLK7s/3RjQN8bO/EzGA4sAocWgRXIRFbRxVqkfP8abh 9Fmu/LYzEmMMsEVvZCYhVOPrg3gi5jQEtZTPWpEjb+ZR4Sld7vAbbKFhhHcC3yneJpBNMqpE i6NrqznyQvZgblNtoHshKKqyP5He2lZpfbAp8hPMsA1jui2NE8EKM5PykpgNRaBeM1lFimht Hcwa2iqLqYLUIKAxlu8rlnX8v7sdvb/eP4iLZXcBcvJySQqW2kiM3cHabU1Zqj0adsehHz20 1COW9FBxJaivbaKxQws8B0gbM6cc/GzzWLzNbvMiopkUiTImTB2M1PAZza6hhbVG4ubWNKg4 HWm3jvt3GvAnFatFB/eM2KR1UqbxIe7DfWmeSkSYpQbfi22XV4FxR4Rgb+8RaeekpPyinMaV sApLbdXwxAhPCL9EmBQzQAZPk0yeTGsn/kmmwirRQYGEQxT8ncfmXaAOR0n6yaeyjgKTTkxN Fu0pnLssAyv1Of08sEG00yThuxXmZGxUzR0rNKO/mF5d1ufDzX3SxjcxHY0Wg8DeNCyKYs9L 0z7kZw17OOz3tRWHb6As7JC0nc+RGRpGviw5PR5HUqPQWHHP0NekjmFR4JNtrh8tIqjgCfBq qJ01xweMOmm6E3Swdi0jXJeUiofJ3VvEG64qG0zqHVZ3JeZUNcD7uJIeMzaoF1MOYt0ksBJz 4OptznDYuE6VF3Wy0WO32IBEAkScIkOEMYkgJ+GmKWpKDLKmLjZ81upKhoQZINxTDEBobDwq s7pOUEBnU3bngbVVHCUVrgP4R+8ERcLSW3YH7SnStLgle6d9hboYfcmkER1gDEU3KR1/IMvi moVFedeJy/D+4ftRY8s8Rm5SEU61nV2Ca1YbExuycGdszAokKcktSuKd/U61Q6rMb8ePr+fR n7BunGUjogYYligCrp3XngjFKxNP6B6BLzG8WFbkiRUrwKQCgZBGVUyp5tdxlettcbx96qzc 0A70u2Yb1+l6Qw0Sq8Jdu8O36ckWjytlS3W5iv907DyYA+6w6bKNh0IQYCzuOKOqhTm+Lapr nUpjgNT8ATO4YbD7/vbL6e28Ws2vfp38oqNDUDjE+M6mS/PDHrP0Y5ZzD2alvxqzMMYhhIWj bmAtEl9jVgtvlfoLIgsTeDFTfzMXlDe8ReIdmcXiQsFUHBmD5Grq//yKfHtjfe7r8NXsyt8u 0v0fSRJeIFO1K0+pk8DLCICypoXxMElMUFf+hAY7rNQhqCcfOn5Glzf3lUc7MeoUtC+jTuGb 276PDsf1mM+Gf2Jx23WRrNqKgDUmLGMhmpkst2tGRBiDqkBrYAMJ6IlNRe1lPUlVsDphuVtx eFclaapfP3SYLYtpeBXH11RTE2gry6lrwJ4ib5La03mydaAcXSd8ZyKaeqNxOljkyM0OAKy4 KgO18QurRTCBON1gIHZ9DzB0TfnG/vjw8YoewOcXfBKgbaWYJlrvNP4GDeWmgTJbsU1TJ3xx xRPYG/Ia6TEtl7Yv1BVemkVdyZ2OKrVMBw6/2mgHOmtciQ7ZjWmF7peEEknyC4/DRiqmWcyF 60JdJSFpWChKbbNWEGMD78pTeyGBKVmtTd4OTzV2rIriHPqH6isqV6DegbqtYq0MW75NRto/ rBbXmnGVwYzLoLZaKyi0bNIv/3n74/T8n4+34+vT+evx1+/Hx5fj6y9O++siK+4KomMSga86 RAQwMNFgkqu734LxbHWRuImSusUr/8k4mPkoiwyI+jCkQI6OdP5WJLmAxINhEdd1YmXd6r5h JRhQmUeH66nwedRlCs426LpCRhbvidCOiorbHN/ikq3RCdqYVSltvQh7TdChFhmnYAtUIS5x Mpq3h1rmcbGeN3hoBRYYEIRmCl9c7CPIPDOLEllRDxzMPs8Rg6QSnKKVaQRCzFgX+b4tw6pN ogPwk+5QyMSbgRRMZnI8kSDfkjQaBU8GErNypc8O2F9OT/e/vp2+/WLW0dGBfr5r+Y7RL5Yp ymBO7/YU7XxC3XS5lNmU7gVif/vl7Wlqtf62wqctZQHbIMUBSFLFLFIUZtmwzCqWmAexOrxd F0WN0efIg1Rtfhm/y8AORR8vc0dAIth+mliuG1Ggs02JbiYco3W2OxG0kxzVeE81oxsfVxwO Nxk2CR1fBZf/L4/3z18x0se/8H9fz//1/K8f90/38Ov+68vp+V9v938e4ZPT13+dnt+P33Ab /tf7+en84/yvP17+/EVu0NfH1+fj4+j7/evXo3j1NGzUKnD80/n1x+j0fMIn/qf/vlexRvrl BYIV3d2uheww1yeg0O8Kd6O+X6Q3f0e6AU1Io9RszlBYpF/iqgDdIEU/M9jJqljf/mmkFlGe 7EiH9o9DHxHIVmX6xqF+UfRHGq8/Xt7Po4fz63F0fh3JjVA/6pfkYOeSp2UKy9ItK/XMeDo4 cOGwakigS8qvw6TcGZnCTIT7iVjOFNAlrfQzvgFGEvZGvNNwb0uYr/HXZelSX+vn310J6Mjk koK+zLZEuQrufmCfFZr0vYhADfnCNHfk8aHGzG9I7NS03UyCVdakDiJvUhoYEA0rxb/+loh/ IuJD1tQ70KH9XyojQJ6dffzxeHr49a/jj9GDWAffXu9fvv/Qn0fK2efMaXnk8lhspFXtYNGO aCaAOa1g9QSVRWGxf+ZOMojgfRzM55OrroPs4/07Pud9uH8/fh3Fz6KX+Nb5v07v30fs7e38 cBKo6P793ul2GGbu9IYZ0Z1wBxYQC8awE97ZASvsVb5NOHCIu57jG5HG1h6GHQNxu+86tBah olBnf3Obuw6ppm0oN7QOWVOrIry0BuJwTXySVrf+T4rN2ulYKVtrAg/EeoIdXaUqsVbOrhts V2hEYEzXDTVRMeYu0FsqL3vv3777BjVjbjt3FPBA9WgvKbtX6ce3d7eGKpwG5MwJhHBdDMnD Pp2O+P5wQKHv/26dsus4cKdGwt2ZgHrqyTjSQ713i4LccrT5ceRudKE/WeROKcC6gXBxCSwS 4Xzsjn+VRUb8sW6xgSpOAr2VSJXcA7701XxCbOoCrH1ljw8QkFGHOtk3pb6pQR1bF9tLUvW2 tGwFqemcXr4fX13eZ7HLBAAz0qhoYN8grNPidpMQDNIhnKC5HcOxLE7TxN17OoS3ypDhqZSv VF67DIbQBTGqtB/cgPQ2YSP+detROwUh/6tSBri3J9stu74tyAFV8KHncnrPTy8YWMGwBPoe bJR160j0L9SBqkKuZpTASb/QsScH9O6CYvKF130ingpMpfPTKP94+uP42kVGpNrPcp60YUnp sVG1FgGjGxqjZLgz3wJnyU2SyMpU6lI49f6e1HWMbzcqeadqF4tKKhjIyaf194SdEfBTxFV+ UTb0dGiIXBA+5rWuZl60KkOVblc9nv54vQc77vX88X56JvbXNFmTcgbhaiPq3nhdoiFxcq1d /FyS0Khem9RKcHjaIPSPGtJFnm52+ySo2cmX+LfJJZJLffHqQ0NHBw2VJPJscgKVUfvUjtL6 zBMb4YU+FKkhy2adKhrerL1kdZnRNIf5+KoN40qd+8eOZ0x5HfJVW1bJHrFYRk8xXLqr0iWG usOAQpboxMjxvtAtQOLRqsJy6DPjZIsn+WUsnWvQC6a7qXD3YgzO+KcwWN5Gf6KP7unbs4wf 8vD9+PDX6fmblkxRXLzrNymV4bXj4vlvv/xiYaUxq42j871D0QpGnY2vFsaRdpFHrLqzm0MP iSwZVm94nSa8pok7d4+fGJOuyeskxzbApOf1phNGqVcKpUmOIfArlm+tdzxMeEARDLFOQNGC OdT9f7pXqKCD5WF5124q8d5FZ0adJI1zDxbTOTV1ovtPhEUVWU9vqiSL27zJ1tAKyt1XsJYe bbx/JRsmmJZYN6cwjIOTkQdUfbB+YbsyQJOFSeFaA2Gb1E1rfmXbJgDobyM9+5EgAdkQr+9W n5P4lA5Bwqpb+oBf4tdJbbXOY2mFlvgLqdfxICh7a26g1Gx9aZINv4HvoiLTBmRAgYolXvFh xC0TGsUu/AvKaNh/zauKL3LbsaGgaBFFCzBV9uELgvXuS0h7WNF3FAotHkJ4krUrkoQt6NlT eFZR5/IDst7BIiBaJpRy/5fr8He7e605+sM4tNsvepAWDbEGREBi0i/6bVW3+PR7XoUSHq57 lrZou2lNYlXF7qTDsr4nYhZQWNv7uBUEAwpP2xPTU1+C0DGvNdY7wo2sYvADXUEHQC5SLUsE SKqtfoUtcIjAh0BWPlPhiYY4FkVVW7eL2Vq/wYvEjVOYsgqvF3dCEdanTnyJjzg9Aa+6Wtdx HoLybty3b1M5utrIiuTr9pV4iInRjeGIbnQxmRZr8xexMHNYTbVeZvoF08HpXcFgJaBoUe7X WZnAohy+hh+bSCsd39NUeEZY6/kL8cpa9w8p1r+zreES6WxxA9fkE/SqKKLB7b2/Pen0CQF9 eT09v/8lg789Hd++uU4goUwHjff3KWyBaX8lsPRS3DRJXP/W3/R3epRTwkzXDbI13ii2cVXl YOmTN3PAIy38B/vwuuBGWhRvN3pj+PR4/PX99KS0hzdB+iDhr26nNxW0ob1lVW56N4ByWsLo 4hMl3fcRb0TFXQLTk/juYnRhQO9cWDT6PYDsCShPwksnS3jG6lBbcDZGNKQt8vTOLkNe3G+a XH7AUtA6cQ0OdLInZZHYjvb7DPQgfLlABmDSi7+N2bXI7QcrSR/0nx5WMQnCoD89dHwYHf/4 +PYNb/GS57f31w+MSK6/w2DbRPjn6uF8NGB/BRnnIivj+O+J5sqq0cl0KP4eGgpgBxOC5Rb/ f+FDcYEk6DJ8xnChHI/LhnANEgL0ehsZsgR/Ex80a87cG1cBbdfQhkhjyUtQ5CQPiu+SjdEV CY6Svbi89TWqbXJYA2Bsrs2INl0rCmogJTLOG2MHgzEB6woQuLMmVkr1n2Iic6Kki4u9cFTy Wv3+vC9MvwkWDqNgC2GCHvJeXBaHZN1mZHFBj1Ks2nEu7U+O1RW3uS+2nTBHi4QXOW2nDFWC TNrYnYYdBCQL94BNd0GSAi//yYaZZOIBDmWkmGSm/5yJw/AbO+PG3MSDOMJ9fXhARFKZI/6b JiJ42qw7Yk+6cqTwvVIQbKr4CzSwFCSk3YbP4JivGOaySKVhPVmMx2MPZe9usXGmtKfBhx0t D02HWrXRCN+PBvdguqfhDpU/QRXnYCbsYjIztSxtn9lt2Gfiyku99LBR1ZoAlluwT7YOJ8oU zsLXhFhIcgfCDYs8npfS8Jqh7HCPzCQWWQ5WDkhkoEpqGHihuErTx/ZDGQSCM6I7K0SevOtD +lFxfnn71wiT73y8yP1wd//8TX+gwjAuDezWhaGBG2B8/NVox4ISieuqaOrfej5BF7ym7NNZ ahpEsaldZN+L3g9LJxR1UGcfXmLVyrE+PFhZu8OoDzXjFBfd3oA6A0pNVBi67OXBk47KoGN8 /UDFghTVko19loTEqtNqHdadbQ9OREQ1JpviPFzHsQrsLE+b8Ip+2I7+8fZyesZre+jN08f7 8e8j/HF8f/j3v//9T+0gSvhhYpFbZErnTVVZFXv9XZ7+7AgQFbuVReQgtOn9QKCxh/ZKQwux qeND7KxBDt0yXTvV2qTJb28lBuRlcWu6PquabrnxTkhCRcMs8034xcalA2hBC9bV5w4cJrBL gGmpPALB8OS/TQJ7rSrqC9sWmOcZ6otpfJFMzYO8g1FbJSWIRNdgwaG3q3XCMAyWY2HycGN/ NJw88UiWesuS2uXwwR78HzBhV29dobc1iLNOIFu97jC0K3p4Lb7XPxO2inDxy3kcR+jmJw68 vBvKtdytLR2QsPY0IfuX1P++3r/fj1Dxe8CDYU3GqhlLuMP4JQXkW7fj8m0BrcdItaGNWM3w qBafKycq9KIhzjzNNCsPq1g5XfeBOICvDRlnLvuwsUUEaktmv2j+QzqRUtRhMcTo31AnzEAC SqVZgIbDvVkYuv0+FUysCpBXyBWG2PiGX+Btc0jsyYJNRdqqldAR6MNhaN8OtrJUqkR13AW1 ob3vgSAP7+qCjPghkn1AZyqLa3tL/DJ2W7FyR9NEdzlDabTpFpZRgFytmVB7YTLwmsAiwSet Yg6QUpj93KII1YeyFI1lRHPEiw2rbllraO4KeHMDC2Gz0bsQ7/HdD9Ibd1I40GBEtfw2weMM u+NaUcpi5rf6eV0JZkcGSwzMebJbTn3d6atdkSJ0d1p7tFHnQS52i3ZnuGcZcnrpDcWYZJKk LwxWON4get6uCKXdrUmhMfAyGA1EW6U6dKGJu9uU1f6SC54X6NHvjDCafsOX9gh37MkdtuM5 qPWwPL2IXv83eUMWu4Y9BqNBi7GylCUD530/06FZDoKf4VWm/M66IeyoYIV1eKKwjs+0IszG 2KPTQMHrWC4fXVdSfGLDLWrtFDWvdwpOzypeu6pMRDSFHE+5jpMcN2ZP/+QqpE7m9eWso506 WCoO93FE6TUgCaW4wn+ayj6F6Wm3YbHvp+fSulJ8WDPY7Epnrxt2JK0T/yPiPmyHkB9RnIId RK9dhvGfDZzY/N9e7l8faBNHbnZRuEkb0smzF+n9tmkXpl8F1Me3d9QT0cwKz//v+Hr/7ajX dt2AiUzuflIzwrP6olJcYoQIKTZi0vzU2s1KXMvwQxep5PkHUdOGJSlPzZsYhMkzMGFg0Gxg FnjpxaVNSh0ciyqzLOxex/5UnYP+jEugJuWsOrfgIDWAvyVxqccfh/kW+5Q0ATvft0GNuY7I sFXCdyVLcjxk06UpgqNkr/s2rvtWotXiao5rvMe8sDbEzWKRFhj526NaGleiTg3SPlvMLnsQ iKbv4kPU2JH7+r1SLFOqEL0IRSZvEbk1NIDkYXlnQa8BXOuhmwS0d0cxCghZbsPAcJXXk2Zf miahg2QJrLwu9nUC47lsQJGyaqrQE6G2D+nlyNFu4wIH8syhl7eTNJ8nOcYH1PYGfzc2SZWB xUjLajkMIEIZ7WMlmTjOQtA36DmXgyEuTv14sQ4TWtZ1VagjSaPpyKsoZozTo0uy1TKSs4Rz ZLWoCJvM3rINa3qdSLlI1dRd6P5/mXKF+APHAQA= --fUYQa+Pmc3FrFX/N-- From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id 6DE56C433EF for ; Mon, 1 Nov 2021 08:30:44 +0000 (UTC) Received: from bombadil.infradead.org (bombadil.infradead.org [198.137.202.133]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mail.kernel.org (Postfix) with ESMTPS id 2613760F46 for ; Mon, 1 Nov 2021 08:30:44 +0000 (UTC) DMARC-Filter: OpenDMARC Filter v1.4.1 mail.kernel.org 2613760F46 Authentication-Results: mail.kernel.org; dmarc=fail (p=none dis=none) header.from=intel.com Authentication-Results: mail.kernel.org; spf=none smtp.mailfrom=lists.infradead.org DKIM-Signature: v=1; a=rsa-sha256; q=dns/txt; c=relaxed/relaxed; d=lists.infradead.org; s=bombadil.20210309; h=Sender:List-Subscribe:List-Help :List-Post:List-Archive:List-Unsubscribe:List-Id:In-Reply-To:Content-Type: MIME-Version:References:Message-ID:Subject:Cc:To:From:Date:Reply-To: Content-Transfer-Encoding:Content-ID:Content-Description:Resent-Date: Resent-From:Resent-Sender:Resent-To:Resent-Cc:Resent-Message-ID:List-Owner; bh=1HxaWEeZGNnlsbUslvQAiRWAA9S8m4RaLUe5F1QGvaA=; b=libo3ir9QACQnff80XI/xGouJ1 dozqXOsT6hecMWHsRZz25GRT3n9SUmqhlboU2QGYZ7jW1LeoWY+BO/g4bsUGbeL3yZSsEXlgJ61ei Ojfw/sDj7asPoZkKiK7TKWAR+qK46lymwypiyGDqlCdxtLp8WgaWNb8aw9HmXOK3I/VcgqWiK/anj TN1V9d6HG6/yWU4ErgTP4+h8fLrJ8ksUKdBSlm0yJ6ABwS25azle3fzFun/SnfABM/78h+ei+IENC jvaWjmrtjOJ4hw8if7LNG7sQWU/Q8Ttg48EO3+QeQaZRHTQvVkbhQybruN3mWgHigL2DGSR9av3pV Dngu3UgQ==; Received: from localhost ([::1] helo=bombadil.infradead.org) by bombadil.infradead.org with esmtp (Exim 4.94.2 #2 (Red Hat Linux)) id 1mhSgi-00FfcT-EF; Mon, 01 Nov 2021 08:29:04 +0000 Received: from mga06.intel.com ([134.134.136.31]) by bombadil.infradead.org with esmtps (Exim 4.94.2 #2 (Red Hat Linux)) id 1mhSge-00FfbA-Or for linux-arm-kernel@lists.infradead.org; Mon, 01 Nov 2021 08:29:02 +0000 X-IronPort-AV: E=McAfee;i="6200,9189,10154"; a="291809804" X-IronPort-AV: E=Sophos;i="5.87,198,1631602800"; d="gz'50?scan'50,208,50";a="291809804" Received: from orsmga005.jf.intel.com ([10.7.209.41]) by orsmga104.jf.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 01 Nov 2021 01:28:51 -0700 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.87,198,1631602800"; d="gz'50?scan'50,208,50";a="666645560" Received: from lkp-server02.sh.intel.com (HELO c20d8bc80006) ([10.239.97.151]) by orsmga005.jf.intel.com with ESMTP; 01 Nov 2021 01:28:47 -0700 Received: from kbuild by c20d8bc80006 with local (Exim 4.92) (envelope-from ) id 1mhSgR-0003BG-8j; Mon, 01 Nov 2021 08:28:47 +0000 Date: Mon, 1 Nov 2021 16:27:59 +0800 From: kernel test robot To: Qin Jian , robh+dt@kernel.org Cc: kbuild-all@lists.01.org, mturquette@baylibre.com, sboyd@kernel.org, maz@kernel.org, p.zabel@pengutronix.de, broonie@kernel.org, linux-arm-kernel@lists.infradead.org, devicetree@vger.kernel.org, linux-kernel@vger.kernel.org, linux-clk@vger.kernel.org Subject: Re: [PATCH v3 8/8] irqchip: Add Sunplus SP7021 interrupt controller driver Message-ID: <202111011619.BZmnWfc7-lkp@intel.com> References: MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="fUYQa+Pmc3FrFX/N" Content-Disposition: inline In-Reply-To: User-Agent: Mutt/1.10.1 (2018-07-13) X-CRM114-Version: 20100106-BlameMichelson ( TRE 0.8.0 (BSD) ) MR-646709E3 X-CRM114-CacheID: sfid-20211101_012900_993049_2A88E55A X-CRM114-Status: GOOD ( 20.92 ) X-BeenThere: linux-arm-kernel@lists.infradead.org X-Mailman-Version: 2.1.34 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Sender: "linux-arm-kernel" Errors-To: linux-arm-kernel-bounces+linux-arm-kernel=archiver.kernel.org@lists.infradead.org --fUYQa+Pmc3FrFX/N Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Qin, I love your patch! Perhaps something to improve: [auto build test WARNING on pza/reset/next] [also build test WARNING on robh/for-next clk/clk-next linus/master v5.15] [cannot apply to tip/irq/core next-20211029] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Qin-Jian/dt-bindings-vendor-prefixes-Add-Sunplus/20211101-140155 base: https://git.pengutronix.de/git/pza/linux reset/next config: sparc-randconfig-r011-20211101 (attached as .config) compiler: sparc64-linux-gcc (GCC) 11.2.0 reproduce (this is a W=1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # https://github.com/0day-ci/linux/commit/ca53c40693bc90c44e3ec4c95c7758d7342229e2 git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Qin-Jian/dt-bindings-vendor-prefixes-Add-Sunplus/20211101-140155 git checkout ca53c40693bc90c44e3ec4c95c7758d7342229e2 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=gcc-11.2.0 make.cross ARCH=sparc If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): drivers/irqchip/irq-sp7021-intc.c: In function 'sp_intc_handle_ext_cascaded': >> drivers/irqchip/irq-sp7021-intc.c:154:23: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 154 | int ext_num = (int)irq_desc_get_handler_data(desc); | ^ drivers/irqchip/irq-sp7021-intc.c: In function 'sp_intc_irq_map': >> drivers/irqchip/irq-sp7021-intc.c:212:76: warning: cast to pointer from integer of different size [-Wint-to-pointer-cast] 212 | irq_set_chained_handler_and_data(irq, sp_intc_handle_ext_cascaded, (void *)i); | ^ drivers/irqchip/irq-sp7021-intc.c: At top level: drivers/irqchip/irq-sp7021-intc.c:217:6: warning: no previous prototype for 'sp_intc_set_ext' [-Wmissing-prototypes] 217 | void sp_intc_set_ext(u32 hwirq, int ext_num) | ^~~~~~~~~~~~~~~ drivers/irqchip/irq-sp7021-intc.c:223:12: warning: no previous prototype for 'sp_intc_init_dt' [-Wmissing-prototypes] 223 | int __init sp_intc_init_dt(struct device_node *node, struct device_node *parent) | ^~~~~~~~~~~~~~~ vim +154 drivers/irqchip/irq-sp7021-intc.c 150 151 static void sp_intc_handle_ext_cascaded(struct irq_desc *desc) 152 { 153 struct irq_chip *chip = irq_desc_get_chip(desc); > 154 int ext_num = (int)irq_desc_get_handler_data(desc); 155 int hwirq; 156 157 chained_irq_enter(chip, desc); 158 159 while ((hwirq = sp_intc_get_ext_irq(ext_num)) >= 0) { 160 if (unlikely(IS_GPIO_INT(hwirq) && TEST_STATE(hwirq, _IS_ACTIVE))) { // WORKAROUND 161 ASSIGN_STATE(hwirq, _IS_ACTIVE, false); 162 sp_intc_assign_bit(hwirq, REG_INTR_POLARITY, TEST_STATE(hwirq, _IS_LOW)); 163 } else { 164 generic_handle_domain_irq(sp_intc.domain, hwirq); 165 } 166 } 167 168 chained_irq_exit(chip, desc); 169 } 170 171 #ifdef CONFIG_SMP 172 static int sp_intc_set_affinity(struct irq_data *d, const struct cpumask *mask, bool force) 173 { 174 return -EINVAL; 175 } 176 #endif 177 178 static struct irq_chip sp_intc_chip = { 179 .name = "sp_intc", 180 .irq_ack = sp_intc_ack_irq, 181 .irq_mask = sp_intc_mask_irq, 182 .irq_unmask = sp_intc_unmask_irq, 183 .irq_set_type = sp_intc_set_type, 184 #ifdef CONFIG_SMP 185 .irq_set_affinity = sp_intc_set_affinity, 186 #endif 187 }; 188 189 static int sp_intc_irq_domain_map(struct irq_domain *domain, 190 unsigned int irq, irq_hw_number_t hwirq) 191 { 192 irq_set_chip_and_handler(irq, &sp_intc_chip, handle_level_irq); 193 irq_set_chip_data(irq, &sp_intc_chip); 194 irq_set_noprobe(irq); 195 196 return 0; 197 } 198 199 static const struct irq_domain_ops sp_intc_dm_ops = { 200 .xlate = irq_domain_xlate_twocell, 201 .map = sp_intc_irq_domain_map, 202 }; 203 204 static int sp_intc_irq_map(struct device_node *node, int i) 205 { 206 unsigned int irq; 207 208 irq = irq_of_parse_and_map(node, i); 209 if (!irq) 210 return -ENOENT; 211 > 212 irq_set_chained_handler_and_data(irq, sp_intc_handle_ext_cascaded, (void *)i); 213 214 return 0; 215 } 216 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --fUYQa+Pmc3FrFX/N Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICLmaf2EAAy5jb25maWcAnFtLc9u4st6fX8GabGYWyUiyndh1ywsQBEWMSIIGQFnOhqXI SqIa28qV5DmTf38b4AsgW56pu8hD3Y1Xo9H9dQN89593AXk97Z/Xp91m/fT0M/i2fdke1qft Y/B197T9nyASQS50wCKuP4Bwunt5/fv344/1YRNcfZhefZi8P2ymwWJ7eNk+BXT/8nX37RXa 7/Yv/3n3HyrymM8rSqslk4qLvNJspW9/se3fP5m+3n/bbIJf55T+FkynH2YfJr84rbiqgHP7 syXN+55up9PJbDLphFOSzzteRybK9pGXfR9AasVmF5/6HtLIiIZx1IsCCRd1GBNnugn0TVRW zYUWfS8DRiVKXZQa5fM85TkbsXJRFVLEPGVVnFdEa+mIiFxpWVItpOqpXN5V90IugALb8C6Y 2119Co7b0+uPfmN4znXF8mVFJKyKZ1zfXsz6nrPCDKmZMrN9FzT0eyalkMHuGLzsT6bHTi2C krTVyy/dPoYlB30pkmqHGLGYlKm2M0DIiVA6Jxm7/eXXl/3L9rdOQD2oJS+oO59CKL6qsruS lQyZ1T3RNKks19GaFEpVGcuEfDAKJTRxuywVS3mIdEZKOAd9NwlZMtAd9G8ZMDnQQOrYmk+1 WwFbExxfvxx/Hk/b534r5ixnklO7cyoR947ROxya8MLf5UhkhOc+TfEME6oSzqSZ7YPPjYnS TPCeDevKo5S5BqUKIhUz4q6e3KlFLCznsXKV9i7YvjwG+6+DNXfaMYqjYDULJUpJWRURTcbr 1jxj1XKk25ZtO2BLlmvVqljvnreHI6ZlzemiEjkDDTvbCOcr+WzsPRO5uzwgFjCGiDj1l+W1 46AqxFRqZly6c4Z/jAOstCR0wfP5eU4VC9CIOxnbHzJOwudJJZmyerJb1ql9pIfuSBbxwIgZ kKo/rG1bFcJPT3/dNIxcsxfoVvsN2zEKyVhWaFhE7i2qpS9FWuaayAdUz40Usvi2PRXQ3O1Y 0YRFQJbe1tQLKcrf9fr4Z3AC9QRrmPbxtD4dg/Vms399Oe1evvX2suQSOi/KilA7hLdnCNNY ZS8Qqsg4bsrA14CMN8Ehr1peIOvTRC2UJlq5TQ0RjltKHmzLM82qVTOkS+MCXUmhuLcrinee OOKKhCmL0M3+F6rsDBz0xJVIieb2kNmtkLQMFHJKYVcr4PUThB8VW8FhdBakPAnbZkAyyrNN G1+BsEakMmIY3ZxLZE6wN2naew6HkzOwP8XmNEy50j4vJjmAgNuPl2NilTIS304/9ptR85Q+ 6wDsaIKGRsVnpw0egkRVFrruwde+H65Dns8cffFF/Z8xxRqxS05goNoP2S1Wm+/bx9en7SH4 ul2fXg/boyU3U0C4A+zDcz2dXbvWSedSlIXCPTJgBghVcKpQNjgFuigE9Gk8ph54h4HzIKUW dihE5+ABYwVnBPwPJZo5mHHIqZYzd/LSHFukwzA1R3pp8ZB0urO/SQZd1jHSwUoyquafXTgA hBAIM4+Sfs6IN4GoWn1GV22FxXnW5TnWZ6UjbElCGMfsmw3sqiggUvHPzIQ4E1/hn4zkfrAb iin4Dxb7TOgqeTT96G0A1Sl4C8oKbVMOcwZ6/tCNDJpn4PQ44D/pRZM505nxn2jk83YekWj4 cY2qxtC1DtxnIh/Y6gJTbjl3O2JpPAp2rSQB3NbAkE4+LgFtIMKsEL6g4vOcpHGEzs5O/AzP IrIYswuVAO52xyActzoIViWsf47h8GjJYVmNsh2cCl2HRErOnBRpYUQeMjWmVB6i7KhWZeak ar709svYhQ2LZxa9oBnmLWBOLIpcL2EN19h+1SHXdscNEcaplhlMx3WtBZ1OLlu32iTcxfbw dX94Xr9stgH7a/sCsZeAZ6Um+gL060MqOpaF7NiInX/+l8N0iCirx6jxmJ9ApGVYD+idc8gx ia5CucCPVEqwLMz05RlpKnAxEoJByDlr0Yw7HeDFgBlMdK4knEyRneMmREYAICJvyKSMY0iO CwK9W7URjSbFdt0G/EACpTlJPUelWWaTHlNN4DGnLTjqQysk/R5Ss87MRjAP6fv5fSv88TJ0 s1WTw9HBz4+XTrZq8jETzsGOwZfUtZrec8MaQmPMecRJPmhFtAM9ABTRRY08VFkUwvW2JlmD 4Dhm2G4SHjKZWy0Y36h4mDqe22bTVnBwkBTTZWHsuEbjAHWc3M7gsJZlD2IVcwm7SpMyX5yR s7uKimWZs9Birg02Bsy2ZOCELr21NCtUVQkqDVkHiIrDfrM9HveH4PTzRw2aHWTUqjBz4npu JwX9T24cXAjJ5XQywYLi52p2NRnksRe+6KAXvJtb6KabkIVEiTQJ9DgHT+4ZZKF6zADvzEMJ OKjOVwbbnZGHxhPSKo7GptmooY8pRKYPMXbUIZ0v0nLeQOA2zQviw/Z/X7cvm5/BcbN+qjO7 3vfARsMZvzuX2SCtO8ygLqrMmW9eZq7JiQjScZXwWN9e+TZhqygQo+AUEd+2ffbIX9lyAChs VX2GFFqAQ5K30wsHKGSY77GbpjLXB1hS5hXQaBaZsqMBbHhO/5bJ1tWC12Ow/2GKvsfg14Ly YHvafPjNMeewdEMB/KLgVB1KmVcpeGAvzTVEUbAcjk92pq50Zty+qGKii+dxTfGwp9vJZ7vj pqlrW7sLHg+7v+rgic7FRyuNgAiLKk6JclyTJhGgKXCjajqZVSXV0kNWAhxkampeK3RpZ2fl 1XPXh8333Wm7MXvx/nH7AxpDdG514lTgJUzNIkRHG0xXsafxP8qsqCD0MQy+9m7Mdb02xEAA BJhoUiZq6hkDkQXacCGZRhl5xgfhzpQXQbdzNY4afQHWSiZCIN4JvIgt0lU6MVnwsLXKqkxE Td16OBvJYFhAB3VQaxZYkYKPZ11XmiMxx6bXq9aLJdWc6ASCTh1HDOJA2SaBx0TqoNmOr0jM 4DAXK5rMBzL3BECZMf26jNsW6RGhBpH9K1mRRo48tmzFqBFwjW5ASLVoq4Jua3q2UmrZmsfQ CkKUT/7HipxnL7k5WAatJuWcmZDv6F5EZQpO3MBik1uZZGAIOkSsTd0boIq4z2v7GIiwFZyN odGJKKpglpBYEerDPaNOIKtSgadxWjQqbtjDVg33YmYOosnIfMiWi4rFAC25WWjsn3cDxlzA rrBMy8MyTcYAumpThdodUbF8/2V93D4Gf9YZw4/D/uvuyaulGqFqAfiOpR50favtEN/+g7/r 6h4a8niwH9e52KROmRTndtKroNloZOGtCdjCYgqOpfSwSGiUh+lL5VOnuJDXV3oQ2nkOv0yj YdBvdQsJRAbeSmbO3Y9dRd24tjM3r5X3ABbOMe3enuHZcY1p21uxyIrZa5he5Dxn2Fje4017 ujUR9vd283paf3na2ovlwOaTJy/IhjyPM23OGwpVG7aikqN3AQ0/44q6p0OyqGxgZGNM56ZS o4Ht8/7wM8jWL+tv22c0mHYwzQesDbBbgcG4h7BnLeGvjBQ99uvhxVAGs8f6stKtoXetUzj6 hbZbXmcKg0ahOa1uk4ZQOw/MoQxoNiGSzBiq54wzPpdk2Bz87rwaFi+SB7DwKIJQ16WlfdlE Yei1vYGwOsvAkE3zOgnq0cEbPhzjwrTuyYN/m4KJZXWBCS8ip4zklACQRiZN3UQAfiAlj5Y4 xLQO35YS8d5teqtupzd9i8/FALi3dDUsIbUUE628HQBdMynNgbdvCer9NrVn7M7N8gyeMqCs 79ySLNMJ2n2CwqQJR/YyC+l0Dgl889Cg9W7mwkLk6QNE0MIWgeOhFzL3A4U2zpXRurRij3C0 Pq0DsjEpS5DtX3an/WGQ+kUkEzkKu8+17WD5WffQFxK6zCLfnv67P/wJHYydCByxBdPuCTO/ q4gTT20QQFaomUBQwTYHqObdh8FZGZFefcO4iMI8lFGKxw8exzaBI2rxA2xRVgx2D2Rinmom 0bkQjWaf2oF5oeSRezjr31UmRzIVjb3q8DIleXU9mU3vUB9Bc1eL9e9KCoibbjBMvYQXfs6w 0K1JuvBPxRKgfpEyw0BXvppdYQ9hSBG6rk/Uc+z3jjFmVnR1ie9gnaW3VnT3un3dgg393lyW DWy5ka9oiCmo5SY6HOxnTY7VmacNjUAhzxTnWwF7X/LWyNIvnLZk5ddxEP7dm3zN7jCf17HD 2Lf9WkVqTATLHhM1MQsf0+fSreK31Eg1Z200S/iXYWejaynluLvsrhl8rJRFONyQ4RITsWBY 07v4rU2iImIp1iy+q3lvtSX4iP+wgUkSv9FpwdlYMTCZmj4207TEron6PVZYqwZhjF+IPK2P x93X3WbwjtG0o+nAhoBgcgv3dUFL1pTnEVuNGfH9mFZeOBe3DcHezYypmLUZnlTL4qzOW4GP Z/Rk55UKZGb1a6FRZ0aYYVcerUBm6iEkHdkVs4w3J0rQZy2dHfDYOx4RxX1JlCtzzy/Mo0Q8 pYDIRQywXKLspTJPy1C8AlOBvG7RxusWDhfpyNAMrZqrc4c2V97Lw0RhGr2T7pNP8wsyWc+t Wpou8zONqyzxX/gYLGbCm2QxzTFdy8KxcxnbR0pu8cqoppKrOtuDrS4KLzFYFYNjAqJhqR6q 5oK53YC71BczRtW8RPVBVHDaHk9t6GvA2Ig1YLjAqy/MZJJE1r3W1zHrzZ/bUyDXj7u9qTyc 9pv9k5eWEjzIU/cSDH5Uktz7hJBmPmE+EPhjenNx45MgvbOwqZ4AyYNo+9dusw2iribtCC9H c1iualKfTQAR9hmff1POAT6nzLtPREbutsx/xmYub1mEGW1oHjMNZFMWYacJOJmKm/tGV54I wPYrjR9e/WYeBWzF0tgkFfiIMSO6lKzL0OqbjKfX7Wm/P30PHuvlPw4VH5pHy9o9CkYH7lbD b6l9/h0l3u+E8lCXKkSJ9uXRqAroCgyH6xiZXuCM4YQsQ0UuxmmoNJtNLlYjckGmkzE1rtfg Kh3IkU6n5/bEDnxB8S0xzLRklMjRwpfwZzBSJpcYLjFmo5MLXxGgmNFqgVYS9zbBbpTIBq4V qKauPMTB7RXUOYPpYgJ186CYw16YuqI7wj2XDEjYyZDxgrsOs/5dzYshOr0phr+bWY/Irb13 foDHvsfgcS2DOg1TeGcaXIbfQzUwhDzGtrhQkFqmIwTHY6yUkt5DPMt9WBoTnoolijqYTrQQ aRuZu2LAGf/Z3DsPoiLHJk19eyxoRjnx2xlKlQoSVZSrEZ4s6PvN+vAYfDnsHr/Za/7+Em+3 aeYWiGGJoKxL8wlLCzeZ9chVAbZuHgW6SavOijNOEXL7PCIpJKT4Y2rbc8xldk/AM9qnoK0i 493h+b/rwzZ42q8ft4d+mvG9Xbk7xY5k60ERdOSgWFMhJd0gzoPGvpV5ZzVaN8oGi0jT0Lt6 6eVMJVPWF5LdeR0uo21lL7QMIvIqxi0ESA0scbk43qzDqeTn8GYXb+WZB6q1gIlZTTcA0DIw eKy8ImjlXatLNgcYNvxdcfcdb0NTgLCQttX9dETKMteFtH3az0DaOZuCZUJkvc+x/3LSMGOW 07ooyFAXeuYcdC8LasfqYzKZ2Shsqs9CVml2JtxMK1LguYHlrTjKS7jiKYcfVVpgbszG/4qv isvVqmJOEDfRAwjcyeQAePvb1BCcwrDzmKFdaudaBXhA822X527ME636LR9WSc3dG3jzC3CQ 9F6eWSJEP5yhuIx7Tjeq5ZXhqmGhisvQh8CRdixQeMFGxOZsgUSILQW4cWo+d3PvvIFo3wHh LPNAY0RciPAPjxA95CTj3qxMosjk0jyO8V+nAstEHPzZdkGk/yChIZgHI2Hh+ZCWQ1bX159u PqL6a2Wms2usNNiyc6Grort8zZcZC9Trjx/7w8nLjlx6/9KlP03tWYquZlerKircT6IcYuNB +iNdZtmDcQHYyaDq5mKmLieOI4EcG06Lcm/lwCGkgHClebon2+TDPUNUcPAZLvCxZPMdhPS/ /iNFpG6uJzOS4h6Vq3R2M5lcvMGcYc/fFMuVkKrSIHLlP6drWWEy/fQJf1bXitjZ3Uywp9ZJ Rj9eXHkfB0Rq+vEaq0wrSRzAvzJvQuEcRjFzdGruPQHmq5VXTgBPBn8t2IMBaBigmzX2W1/S MnN+gmNnTO1+WTrs5OzS7b0hp2xOKP7lViORkdXH609Xb4ncXNAVVppq2JBTVNc3ScGUk4Q0 PMamk8ml60oH66g/Ctz+vT4G/OV4Orw+2yfMx++AAx6D02H9cjRywdPuBfA7HJLdD/Nf9zD9 P1p39m/uTYiBXoXnUBlNsKJQt4+Vlx8Wy4LkrstqCG2A9h+OdXHEfoJDFW+zk9HWGqZ56+B2 gTWov11ljAXTi5vL4FfAUdt7+POb02UP0yGhMUkNGu7f7MRLDJrCxAhP85cfr6fxipy7saIc V3UTgOAW+fHfRWCauFf6zCv3169TzZPW7n3qQML+rPj15HI2JIKXXoT+Y3FLTyGJVujptmyv fFSTGsuBVuPegJgNatcDCVhE9daAgIzqnj2qAMgDLFWMh4SYdsnf7NKkpZXXaTnQ25xkzI+Y LaXK1dXVNUJPvaON7WJnWZhd1IYBh3W9OQG6RMCk1lhor2GOBf0efrOf5HiXi4X9CF+4z3mL os6Qe5MsMt5+Vo3foarCvEBfUFXLhtmZizlINj8B7Dwn6HdnilWNUD85oIRN0c9CWRl7H0gl 96PvITpS/ckPFwOM1PNDcnmB1356mXwm0Y96eokaWmPjD2saTptsVUG/FOMpnbm3Kz0jY2rw yXfPQr+76vls9ZALhXVqtgijQxRW2n/q2PEo1dK/cu95K14k4AeQsoIpOwQbxLbb6E5kZC44 LieTiVuvaamXPq6hcnaJv0Y+O5RXd8jQu05N4U9xxl40+nDdNuFq8GC5obodtYIAUSsqrzAg 54pYKDrq0LI4UHLmG4PLz8ul0MNHI46c7frM8EtYpclMVg9Y70pfXHwuZpdmGKQDQHvpg0kY 7csNt4OWc66Rhx9I5DxZbpUvS6Xtd5pdAae/AUC22oRemOMIQ3glBqOsUICJgUa9Wzq7R/ax Ko7FDdt+aoXdVhhuVq5aoJq9Pp0AZm3/hvmZKdHvux/ovCAKhnVYsleRLHcfoTSdDqqpPbUe 0JuhYaSaXl5MMLDaShSU3FxdTrHGNevvsyqwMpD8aImn2K2MZJgLNdyIOX2Ml5WlK1qkHt57 U5v+0E3VzdxQnBleNZWlzlzI07f9YXf6/nwc7Ew6F973aS2xoDFGJO6UBx13g3XwwFRTUINI +OoqiWbuDOsv4IMvpgBT14mDX5/3x9PTz2D7/GX7+Ag4//dG6v3+5f0G9PObBzjtJPXASbtM G46H9kD0zfRcg9WKk8Ghotns+uJq2AmQIaJLgRaqGv5C5P/H2LU1N64b6b/iqjxkU5XdQ4I3 8JEiKZkxKXJISqL9ovLamnNc8dhTHk9ysr9+0QAvuDSoebLcX+PKBtBoNBp6Zm1adf1GJaYw zZiDIUuOTJgKjZjD1WBuJh5naaVWEtyVCWrA1NiaMunhKriRU7Er0rpEL1cCnlf5kah1E0tz oBLHdil584lhCkT0D25os5QCUVZKNmvKip+gd1rHFNVOJ7ApozHmyKJuPFnHAdo/HvyIOnot 7/KKDVhLxZi6Tu60Ua4rNZzYh8GA2SEEGIXEnK6OIdPCrGmGTi121ExVYg3CoTHWipmaU06l Xjgb77NIWCfCZm+rXTNoAs8IQhJVsrA2qcdAM32X73EDMXC0RWEbcu2dp3VD56XEdx2NyPaY bAYstSp1RdXnmrB0jRIUAii9/j9Tcbc+Roz0xrGNXMg2cuSEHXdxhvv9lwPbFGjCzu3temac eN40ljMRYDnsmQZboKd2Mnze6nnD3aOkL0rcERs4ThV2ug+IsJKpDRjKVic0sS6ybcqvJo63 FpgG9Pb4CsvEb2xlYyvE4/Pjd64W6SeKYrKqwV31oA/2rNxrc1TakNA1JvPRwmuTq3pT99vD w8O57gptjeyL/f1oMeI1rz//EKv5WG1pcdNXrlEjsBQKodLOeXqeD2MlbNsZA2flqNy6Piui N45RVWBLfp1KWPVsoiCY4OzsgDuuiwUHDtjUfcVCB0UDowuNRmkIoh55+I69a/BJpGMbc3yn 3OH0pkGOlvvm5un1/emfprID3t5uQKmIkMbjqTA1YPaSz9/4DZjm9h5C3cGdXpsj+M3nOyvw csMkikn/8wscz7EhwYv98T+yldSszVwZXR2dLmeOwFkE9ZEsKMVeqN8mP2ix28OeX1FRU8Av vAgBaOesa3r2VK+k8yKCWbxmhqEhTqxWg9OZcsfUC8VgPmMVNtomdFO5lDpmjllCA+fcHJoM y5MpAi5FV+uJo2Jzjtc5VN2v6aiJMN3yTg08OCEdkw7F7DXRBzdwBqyWTQEXJW8tTuVz+r7a rrWkScoq6cxyWXVy1Ug+NeGOOgFWnzrNyxq95TJ1S8EmQGj9udM1yDmPE7bxXwRIV/1V5LxD j/o0HrTyE4htRWdhgl2DK69xCuIFKBB6lhSh5yISwgFiAwKKVZ5DIVmVA8FD15vHSg6Rovme SNvNTFh6v9uzrY4ywUyYPqUIWmPJad+Rs2YmkBMxaK3yeVvKsTvlOQcZ/4L9vNn5aijBuUCh gK+NmyFBB+WQkOBKOhKhjazQq3sTyrXortswZbPChmXZJF0Hm6NpUWovb5cfjz9uvr+8PX1+ vJpK1jyg2dzeYVMAU6qbLVKUoFu+IgNhQTH2iHP7t+M+c1VagaulSRTFMX7UaTKuDX0pO8dW KY5H8S+WhplHTa4AET0JddfrQn+xLli0S5PLXatKiE6KEo6fzSOMmBXGZCPrxdFf695o/WPG yS/W2v81Pi9Zk7H2IUG/J6Ovy3r7sCPritNSUYuPhMmIudmbXGRFJnxvDUR1sQVOf+kD+vma UPrJKrpB0PZhbx1T3W1ELL4rOlt4bS7hTIiiOmKsoBXMKvuAer9QdBREa1nQ6zMmZ1tTdUYm L7GOMN6UazMPZ7KKSnc7aN9jiqZqWbqMtSapuoMSfHcE9ANFlQ4uj2tYiNaY250G/AaxxBP6 q2qDan2SqecujWmICM504oqp9mCnIvFaeYKHC6slg8hfk4SRB5N2Dt2KiQLPu2pcb10aJ7Yg WqlDX5yLeop6ZmSBmcTG+BPPL4/95Z92FSiH8LXKRY9ZGbMQz0dkzgR6VSuGEBlqklY9al1A EjlrCyY3JiPzMKcjX6TqqeuhSzkgZK2PoS4uKmZVH0bh+lcEliuqE7DE6xVgbbJUgLphdCV3 6kZrsxEwULQnqYtrIxy51mzqhWsrLWMI5Ii4Umd4cSTbwayyaiSF0+XEzDLt/KjEtqAcoKhQ 9FVzjCI0ZOE8K345FBB3sDhIUybo9oxoELhTKdyiGK8dBu78Aka91fYLU5Ki/TIaRDSLEjAg FePnTDyoh5rXOdXchGbi+YgNMQ4bwcw5Vff+58QqGSLPWU7NRfCKb4/fv1+eb3hdEZMwTxmB a3uFx7rjDOK406i7MElYEwmDRWf2KlzZimK9TYyfbXrb+6aAsM1GYdPRJirvM8ew68wDUo1N nIbaGVImwPsUO8UUcNl0kesORg2zk3b/QAbzQj/YEWRNaM/bHv448vGRLAjoyalgaNfkUT26 FKTypNemqM1+L+tdkR5X+tNui5xgj8gGJiGsGxp2kdmHVb5/wJcBATcpKwpJxs807XWshpUG VAN6tYK7N0KQLsunU04dhQiLwyQ19zZbEdnJ2mqdRpIqCTLCJrt6czCy7optccSPy0a8xrVB ge6b7pziriWCwWwzmzzPw0mO7TrNdql6Cs3J/LTQXgEOuxS/HSE4Op+ikz9HJ7VKq8yxgMr0 hVGdU5rFnm8V1AGG2Fm92SgAfrhoTVbqE3FSZeet+saQGF1Z7xHfs4QAtU/Xs+sKp17+/P74 9oxN40nWBAHFDKgjvNdrujudhXeQuY7o8w+nEmTkCbp+RURl4n5QnrULORzpJTbplgaRPnH0 TZES6jqmsHV+rMc9lo7wtL4TS+Q2M/vU6FFilpUlsRNgapVAxfmvmsTq1zFOkl4smzPGrs2w VRd6nKm7uJ1F9Bw/MbGV1aZBH1C9sK4kdHYVU4d81VjXwj71Ahqb36hj9aMhRiYuNT8dADS0 ygfHY+ybCwA7sRP4l2owq3EqfcfTpe1UUW+8+TONSFM6uNTA3RpkJI6pEJTDx5ePz5+Pr7oi pkjbbsdm40RcAFS+OFslDubKvHL6jpY25XlSLFAnFzzaja2p+9//fhlP7qvHH+r7AixJBc+I teesI75qsFYx9ILTwsKWZVta94SdNSwcuvV+QbpdgfYJ0iK5pd3r478uaiNHJ4PbXFW3ZqTT fKBNDugDB5soVA6KZi8gHhsPLj1fy8WVhrSaR2jNHrWPyRzaSaqS2MNWZpXDtVTJs9XV85hK oguFBOMnDjJP4OA6j8wToeZ7lUMfJEuX5A5mAlVZXGXvrIrYvIOFkEr8Kqp6wreQVxwSJCbY 0IxOn2gmyIYH4drlVbEvBKneSp4VCpN+ZqZh/KWlBPVpklnFQfzcdISj7FMSBwQH2Sx5KNV5 UoV5HXDQXJtk1Ly1IaOmmmuicwfidhm5msIfEOmqNufPKVV1JoeWFdmjmFKNlCiOmXAzuFpL BjGJy3ucqscPabJE4NIKNW5MkyyFEFtsBlZsoExboTEJRCps2PB1d850TsfDItgSwdWBHXim M/3MCaVJZqwAhBSjsR8kJpKeiOMGJh3GfKisZDKCzhcKA1IJTidYlmW+Y1t/9BHAicXwbpmA To5rOHWEQqySfWIQp+SbLyAeA1arEbJcTdG5bjPlddCpJkw1dtGrOXOvcIalYtylatClauJm 4uNG4uoSjhALQtzBREbVDzRrpGfbQT3vnppUdA2UtCKHXMgdD0s8FonOBhNP2dAItXlMDPq0 u5TLv/R65r0XBph9cWFIfTckpSlV0JF+EEUmkuU9d6AXLGEQoon5NsWGxGh38Z6McVP6zNOQ ED1Smhn60AuRgoWHSrXZmBCTat8NBqxKHELdKWQOEiDdBECkHndIUOAGuKoi89BrJQcxRZoK QDig7WEd4PnrPTzu1DCRnAbLLjnscrFM+8jct6vLbFvIL6TMo6wPHFn9m8psezZhB8jUxtYz WY/cHvJyLF1f6qYkh7RzHYcgvZLFcRz4ZqXA/f2cBI76ktLJCBw9LU6wJUgwR0DzWZCJovkh z+R9fUrua/mN8BkSV4jF24oijnyGcMFTNdyFFzKRXjuYGYwA4yYLvPVUQQSnNh9zMjaEp8fP pz+e33+/aT4u8ALs+8/Pm90721i+vSt7wynLJSsmDEek3ioDxO9TNG4L276uMWupjb1R4yhg bFPoeZldbbEtrBi8CoJ8cYUslbRwcPsRQZIKw5IFCD0ZWA6jAFoys9lCzDwVsgiDAFHg1Ze3 q3y/Je6mSpEMwFjvhDEm9EKrw6o7BjTBqjvzPBRFC1uplTZxvGuwWpUs90x5/k+YttDqJGwg M6XWg2v2K8UlXRWT0EGKA9fvloGODeySKh7QsoV1318rdzyLQpNve9ZMx3XW0o93kTCJOqGZ 5k3srXdFzYOHGfk1+8F3HGoRUX6pcC3XO4+tANizSu0+6EOXYuPssB+wFFPUBBOZ9D8kr76C G3oDq0SKNkEcRay1oO8igpYKAXG8ARcBoQsRPOOZq6gGAjKNlMqg6FA2qsSzKeeAVaQe2L54 ZF2ksIczuvUKiHtfK43nqpVSB34x8bwbNht05gAQo2dF0ud3mLxOV0MRbDyCxKRHuA7r3SOI 7UOi0McTa0w64CDQRb/gfKFttQPbPnPdeHVccRcrs+zpOAvrrS71XA+fHLo0AKlCZUacRah9 skkrnw8ajQi3BgwiP4i3U813UBgaOR61yvCuyVJNfBpogKPL1P6cEFclLnrOYe8rDhaHqkQ7 fDLjjy9Rzet8+vjxrByrMZ4mXftk3QZ5urSTQzFwFh5Q47bmFo2Ze/lUCoulGAhoq+eAwHq+ Y2BJi2vABt6YMTPcKA/bcCZedFen2kdNpgKqokFjPfMHbrS3EuV0uypJz2m1t6CK68hGfmJn iQzx9efbE9xSswZxrraZcW0daJORCKk3wCIC0q4RtgI1ZedFLrannkAiWy4rbh2bThJlzqQn NHK0nQFHmN7ApE+xYgo6hNfblvmQ1pVRKw7elmmG2W+Ag/VgEDvqtpDTsziI3OqEBePgOXMb jVYVYbfR4gXyvh4vkeLBPYBDdxFbaGh+4B/mYqcoMyq7lc1E1aVsJse4MWbBMXu7+LBFqnqS wpcF9Vs/05cSjao+blObGYyaCqV+JUnoqS3WzWqcplxEBgp4ONxtvNhz9AJH52J+ScdS7I6t zHBnFF44MD5Slbqe3XjIObjZRvvoAyuyVaxxgkwCpk8hI++2CH22AEDPW7uc8QTBYOe57eHu NXxMK8xaoZ3WKyUUX7qQYMfVAIrVVW0QpU1FHaPTBRl34ZzxEI0oKYbMbJ9Tv8WoVNqTaZa5 hSofjCxU1VY306mPWbBHmMZOZOQFRwEIMcaawMiYLwtHJwOfmob7rNqSTPtYPdWxaPKWxzCy pNz3Q67JJ+jXej5Nug3YqLT1CPuQw6BNoW3vU9m0JWiqeYzTdI8NTryj8v1dThJbJZXY5Smy wHSFH4UDCkDQdiHlRGs25iPC6VXg4M53HL27p0xM8StGyWYIHLEC2pZh8D+ZFnz2z8vTx/vl 9fL0+fH+9vL040b4pxRvn5ePr4+KlUba4TAWfWZaAmD+ep6aegBxNVo5/BunT4eEEo1p70nl eWxS6rvUmO1m5x+l2+BQAHXmGjMs5cfpuYRp96TBiOs6waBSAsW1VFAiTS6lM1KlSoKOGqRn mLjGWIbKssasLJIjR4C6qktZU6SeNMRqH7sOSiU4FVM7GMambA9T8yY7gjl8JiQ5ZOqRDQNC xzclXSnyVLok8tZGQ1l5gT49LE5Yav2585O1rLJOb/fJLsFPj7i+0xYPsKMzBo5c44r6uted CnvumlIwu10ZNOyTMCRGr9GKieLkU9fohra+rZiCG+k+yigTU9VwDxM1p+tMTJ8eqgP26Nk4 p3mEiTw3umvTB4c40OkIN0IYk68lfALvL+Hxam4UUhI6a5/l7jbJko4pddocM5+TnPUlkRuA uJIjDdLJSIvtvtquOljn5NWN3WLGMdxAZpLuuLAA22LI2apfl73ygOzCAG51h6TkcV4PyvdZ eCDSbdfAc/US12LsmfmYArfTHBtxropaRtHCBdtVis6QEk8WeDHFapzs2Z8GRcQuFG+A6YCE MfGt4GrFsJ3ngo47xivFWL1uVRZ5Z6Qgrhr1TMGIe637ORO2GEiilewDL1A3cxpKUU+ShUm1 eCz0oivZpi2wQCGJ3ATD2HIRyuHJJIQpHZFrRQiO0IhYcmOrN1q7UqxONiiMQry3pr3Nam8B UyBvWRSI74HsWGDDaOij9eVQaE1F1V2SCrLN0LWWjHsjWwYBZg3QeOLI3ty1blqpOdvlOdfG v2Aj2CVdiSltXNbnqGBVTeC7eP0aSgP8azAkRIWxar5EMbFMaLBJdPHNisoUrg9UYKGWMqz+ 9xLLppB1dQlIk9gPbBlv6YDeh5FZDg/wRAKa95FNP6EtbwCvzE6cJ7ZlgHpsLzjXENqmusWq NrrmZMBgx5kCZAXh4buj/s7RyCDfLezrQ3rbpW0OZwk9RNLDmzNuulebNO3BseRiL76enO3/ HcuaJGwDV+RUGAuuMoWuJTqKwkTQkBwyyxfiej7av311xKdaliiMAnTQd6RqEgddggDqXBwK KhqFEd5pwldotRVduQtcBx8fQvfe1HXX2xQ6wXJs8+0G1e51zuaE6o+LLo9AfBtzPlZyGH8J v6euE6KrPYMo8dE5kUPRHoPYPjdwQw/9RqYNQcWIh6+HwlJAUE3MtDnoGL5UccxVA01oKO6y ZjChCrJkTLBlH7vr06NpY5Cw+dYRkvtKzFRpXwLX3fH0Ysd8ffYtk02heD8utsFlbwZH8BwB F3A8PqngGXFpHyiT2UarVJ7OmNBN1h7Fq6d5mafzc0Q8vsC01fv8z3f12Y6xVknFX9e5UjG2 1ynr3bk/SlXUcgJvgp5t8hYea278BWFrY7PWBk03tW0493CXKygHWlA7Ykp4LLK8PivvcY4d U+/7ti7LfA5me3x5vrz75cvbzz/nZ5H/ouZz9EtJVBeaaviV6PDtcvbtGuVurWBIsqP1IVHB ITbeVbHnC/J+J0eKFhz9YS8LDC9ze9ordxY4Menu96ncZ1hrJal6en/7/Hh/fb18SH2hdTjC I8vlbFUWD42OkeO/vrx+XuBlqMcfrMFgQYbfnzd/3XLg5puc+K/SkTT/aqCyLALAM07eHl/f f7/pj/wiofHGh+in5tgy1Ph2I3mOPaF9oQnmX6lAn2wVjLcZY9Uz7/o7l6kR8KhDJZu3FVRt xW/PL7+/fD6+XmlNOhBPxKwUXpeX/316/PZ3SPRfj0o+f9Ny0dqXV0Qz8Ykjg/evnzwI8fPl 68sb+zwf/PVvtEL8Peai7RrpOgzQbpP0rlViyopJIcmShs1xmKq/tM2XbyKMgn4U4Y01OlMp iGZTXujIaOX0Kq/qRh9KIkUFT5nqQ5lD/BlPxUOHZb/MWML9AvcZBkZWJoFbRQjfyAXzop6d KZIQMAFQ/LIUlCS9jqpVR50t5avygvT49vTy+vr48R997CY/4fs/X57e4Xbu3+EdeCYEP97Z cIbAyN9e/tSOj6Zvxm361k/dZ0nke8agZOSYqk/vjECehL4b2IchZ5C1akGuusbzHYOcdp6n 7kUmeuChiv0Clx5JkPqVR484SZESD4+VItgOWcI2BZhtQuBMNYmiwMwe6B4ekGlccRoSdVWD mRXHOafe3583/Zbt9wd5Lfi17ysikGbdzGh+8S5JQi2ewhIATk65LLgrubEFMnIpvg+TObAd 54L71JhMgBw6voUMmhwGUZ+YH2UEIM1KPTcQd2odD/BzpxkP1/C7zsFDwIwDoKQha1oYGYtQ kkSua4wMQTbnYLBLaqHhVORKN/THJnD1J7RMDlQvn/FI3FPRE54IRS+cTXAsbpuZ1BDLLMY3 LtM4GzyCTDPJEBO+EZOkG8bPozK80FETuZF92LIlMZgmRFl7Q4fT5W0uxiyE4BJAA0ze3QiZ gwVgnx0B9zAR4QAa1nbBA9mAoZDxMRl7NN4Y5DtKEdm97ShxkD6c+0vqw5dvbAb81wUeMr2B x4yMzjw0Wcj2pvIJggxQzyzHzHNZWn8TLE/vjIfNu3CAhxYL02sUkNvOmLytOQiFLmtvPn++ Me16ynZx9Mi4MZq4+vOzk6uHlnR+KPnCNIW3y/vPHzd/XF6/Y1nPHR95jv27VwGJYmMsaSfZ k8oMTrNFplvXpZddLbUSvdAUZl2nZuqYtvEct1mieT9/fL5/e/m/CyjcvG9+6NsUzj86Bhmb WI4xJcelRDnHV1FK4jVQcUAx8pWPqTQ0pjSygHkSRKEtJQctKaue6C6yGooeDRhMnjV7EoZW zPUsdf7Su4rrjowNKXHkiPwqFijmThXzrVg1lCxh0Fk7guOR3W4ysqW+31HH1hkwWMNg7eur 8YJkfJs6Du6FrTMRvACOWWo2Fk5shefQc1fLZkudrXspbbuQ5YEYp8YaHJLYsfnVKQOTaMFp Ubaij100BJfM1LJlxTRUTd/bc1x1F6zIZ+Vm/1/ZtzU3jusM/hXXPGydU3XmHFu+ZrfmgZZk WxPdIkqO0y+qTNrT7Zokzuay3/T36xcgKYkX0OnvYaZjAOIVBAESBCYwoKQt4BCux1YWb0oO yVTe5/PjG2alAWv9+Hh+GT0f/2v05+v5+R2+JM5xXLtP0Gxf71++o2+fmycwO7RJ2eynzlFo ZGYjk1scwPQjiG7f0sACvnm9fzqO/vj480+QwpF90LBZt2EWpYkeaQRgeVEnmzsdpP2dVJnI nQdDGRlfhfDfJknTSp6mmoiwKO/gK+Ygkoxt43WauJ9U8b4tk0Oc8hYzUNzVZiP5HaerQwRZ HSL06voBxobDmCfbvI1z4JCcYJ2uRuOQY4M5jTdxVcVRqztMADxjIUY5MYkxflKKKb5NUqBT SS5NcsxChm2tk7x/a2JM6Pcus5Xz2AS+3lb7rTXeQ8jQAQh8Z40Fq6jTADGJ4njX+LzZx5xZ BWzXtG8hjqGVO1bHHfbVhJZmgCz3VWBUjO/NrUR/WD4s6qkVWAS7ZGfK1ZG32WpORsfCag9s omd6QXLjWhvr7HLqtfjmxaq5puPZ4mdTmxYgKqZvFW9vq6Sm3C+RLuNhszGnsYlSq7RknbXb Qz2b+4bUDY6A/MxWB7Nk5YhmsmwMjJAXmbkk11XBIr6LY2tBdiqb0VcOE0V6o+DcZqy0Zhsh anCci4wenzcZ/OC/Td0vORevyqlCzQzHxifOVcIFMk9wA5OwpNIfGiT7OLf5ApEzgdxFnvxt impOUlHVcD03s9lG7sNkIIc34XWL2XjL8FqP8mCWncZx2bINhsHD7siQUM4ehh9s1qMSjItH YdPH0mykfOf78nHRR1BuUTJfJieHtt6UM49jnUtbRpOAjyf0a5ieHH7nMvRMtL841gMhzgrJ aANJf2N4ufKS5XH6GS8pIp60+tMAC813rCrblI+ny/0yulVeIEqZ+HR+erUqK9so4ZpbZwcx bwQHhQ3QG+s4V1VKKiyCE9b3D389nr59fx/9rxEI2u5q0lGkACfzluMNZRJqIgoxWuBqBe03 Zc9XA/66joL5lML0/vB9Fwcc7ZA04AmfuwEpH7SnZHjzgcp+wTNgiNCxBnK1Iq1Ii0YPiquh eo9dajwW0zHzoq5ITLmam7GPtEHE7PFkquuBRnv44eCsJ7xDnXsYnaUeO3nAraPFZLz0DF0V HsKcUhS1WZFu52S1sZEM/RPWNo5xaG3RFi4gRuiQrI4B0pXAiyY3nlTxnGI6vIcsdmHSol4K Ko9UmIeGmJfJGtC+sUVYk5ZJazjLSco8tyZM3IhiZJgdg+0tjAyM3mhBmOfQlzBu8/hWrWY3 ial5sIXXsI57ApbVReXB0U7Md+2I3kANqFbgU7wqiWkNQJRzlzN8piv8DqibSjGuNcbpKaIm rFOiMkSDUMUATG18gM0Vdot211ARNcUwoG9Lw0FNjlqZEyYwy8uIeLs4ELvz2/soHPwQnIBD YpIWy8N47MxFe0DeoKEl/Ofk0BuwREaBocCEjhzaExhpaAboPjbj1fcYvPL1lDekqTc+i1XH fHN3aILJeFe6fce4fZPFgUaADuMiNjDTUJiLKMjB7aAga2JW+XB14sPYecIN7JA3weTFDo8h Arxc31OhKkEdVxtEKjyPr5nuQhc4vrtcvXxR7qUJcy4ejCPtZw10dQchxCZTYg55uppMFNio sEfA/FOW4UATcvvbasUWi/nV0uZDgwiLxhgVnrKHsbSAwsdBRWY1a1VxauDvnStIUWKoyGTh 4/3bm3sWgWWUVZIbbngIvI2soayzPupZXtTx/x6JwQDNmG1j2BRf8KhtdH4e8ZAnoCO+j9bp NUp4sGZGT/c/OteK+8e38+iP4+j5ePx6/Pp/RphIWi9pd3x8Gf15fh09nV+Po9Pzn+fuS+xM 8nT/7fT8jfLwEVIzCulkEIBMSstvRsL21LId4C1ulvy3FYHMwWwANpiYKDvCivqgieh7Yon2 ecWJRRDl3LNrI0bVZ4GnNp8IYLtl0TamjuMHEk95rS2iJFQeUOkzUDdO3Qhr7bg1LsXFxgmK CN/cgcUSu3Xaj/kEXKyeyIwVPiDoQDo9XjaH/LRvh7Pgysf7d2Dep9H28eM4Su9/HF/NtSa+ r+F/i7Et1gWqOczHFLyLetUtwUwsbRAkT2D1abdxYj0nRVvkqeWgFt2GzswgTCh6noEQeIKj JcKdMJfmZ4dK6jEj3huMblG4T11qp50vsUe4Ebt7lIpANgkYgSw2zo1mj7MXiQDehEVGgGGR rLLSQQQupBtreR1x//Xb8f0/0cf946+g7R3FVI9ej//34/R6lGqxJOnMBbwBAcl6fL7/4/H4 lRjDAFXlpNzFFaMPXHs6ctocInfJCfgeg2Vwd9SCtq7AygI9m3PM+lVs3NnqzhGxoUWkx+UU QmeH1+Exc6SbgrcXxOxAROeoNkgcudZjhmxrdOH+hEadWrk0rfp+bxNTSG7QDecyG2tPa1pI 5EdxliwsFgNQsLBbzqKmbmgXJbEvx3tO5n9CJAYpr+2w7ALh1cXDu7KKOYd/l+HC3abuRNxc z7dJlBWNzVibOgJFMrXtXFbCwsVjLivhpoC32SYRef5k1F+fppeAibY2LmpE3xy1EdgaTNp9 sq7wHYWv8cUtq4CpLT0LNTtrlnYiCQFqfJvkUDeVo/QlHA8qNreemu7gE4t94y9iqA4WQ4CB iv8G88nBVjo5GMTwx3Q+diapw80WpAOaGKMkv25h5IUjhaNQ7FjBQSgbpzdg2EllNMktOd8z ffn9x9vp4f5R7qseXXanbXud4HcxeVFKezOMEyMeoIrBAsSI9/ROptYxzkZqttsXiNQL64Ey Nt76rjuy8LEIGp36czfJIBhX02i9kAlpmbiQdp/Et6am+PuX2XI5VgUYOYg842n0lFSCJPTC BYxNhJfBse9kxSS0tgSFxMFuo4rd/hYQWGU7iCumdbPZ4OlboPHN8fX08v34Cj0dTk5MtvFY 0p2x35Dh8kQLKkT6bEHv4GhmG/02U3DsgQWkl6RQCPeqYgs2dY3avERSYSH7CsPWWrJhDZ8Q XcvjOgiW9OWONiVuQjxz05FhVPfWCZmpiDRZduda0zoDkxNryqE16GRlwZPa3jbA0G5TS+o1 bYz7j02Zh5kNil1QuQNRUzuEsUPImzV3Cas8SrgNtJfDpm32tk4k/rQJO6hqu7nJdjjohG+X 1kjI9vYEXbM9NcSf1kANW4/cwBS13F8+jpBf4RuodolXHxmI5ND6ilCnJOTOpPTwl9cjOqSe MWLsw/n5z9O3j9d74uD6S1xZ5+fAds6ZZr2Tg+tpOOId5tpS8y2X5MZX0KbJwzqB384wDxis 7dPPaV7Q8Ep39ssOapAtCv9MbtvbeB0ya0Rgz9B3MT0F36eTpu3kd2Xs2wLQTGr5bVKHmreG 8TK7vK14fAPqNwF0fGazsFOZpKGfhf/h0X8weO+Fw3/t9jb0niYhjkc7/RS3B7X4VC0MQTMv jKBSPb5M601GIcBKZhXjuv5tIuuriQcV4186vxhYsAYzvvPEFdMJecmqAxkWrqdSMfKpdqhD Zgol2mfaggMyKvZkeUJfozvFp2QwrWGMD2w/pT9FFOk1ORSuLhiIj6m82A7RBv+1gr72yCxJ 1zFrSE/egYUwqbb9fRfj3TuNkiA7iEL8VCIu/Se8QB7oIVrkI9iRfJ3xzG6yuuvwV/bJNJpJ kcWCzjApuBkJUIGdNrmrMxHejVHGqLUCw56r60ak8DTMzYMsOnpr/+6XuTkgt+06beJNEpPp aRWJff6mwLtkurxahftg7PAWYK/JYKjYtR3+k2zsb/bNekp7VWM3+S40G9DgEC1ARDuVVzGm rL/2mHmiCZg8wiwuvHHE547fmAAVEd8EGnegA6sc4tzMFqgJNssMJkhYtpiToQ6R5W+NDC0Z r5Pw2oVYwdJFhmn+fnr4SzOvNV9u9VGTc7aJ0XWsydyTXb2UT++r+zK1+dDs9PgW/TE0rsJf 0hlIH7YB2opI8sSgaCQZmOAyUYRV7rrCg5Ucz6d2t3hOkW+FM4joFjr6OGcO4jOWT8fB/IpZ pbEqiVMbhrkFp3a1YbaY6o8zBujchtZNVSUcuCNP7PqEo9PYGRYBpg22AU9boR1+QXrs99gr M+m3gIub6wNlvcqJKNYw3e1Ns47deZS4itHpwmXxDfxNhkAVaDsbnmwqBtGdXego4MkQago7 H+tqQgecHw6O/0yPM8MJDmBK6vXYRUB8tLLiJ1vY1cKdeJEz3ZM/ridYkA8+BFrLd218dUtn NBbIPpqMn2QdBVa8OKMv9XR+ZS8QJzWCgOY8sCFxfVgnW6fJTiR3Y0WFDIMN2essDedXE2fC tbiAZhWXshL2y2z+t68RRR2M7f6R8cgFJuHTySadTq4uTK6iCYh4F4MkEzftfzyenv/6x0TG zqi265FyafzA3OQj/nJ8ON0/jtDwUuJv9A/40da7JN9m/7Rk4RqPfjOrJ3bAa8lHGNd+5Q5k eqjICweBxaiq9kyJQNeeNYjSyZ5aBAbLmV2ME8dKDmNJiNQ+xq2Xi7dZt21sHu/fvgsX3fr8 +vDd2kb6+ahfT9++WfutbBfsSVs6goY02JJ1kib1XVcfzNf9Xx8vaMu+4a3h28vx+PDdePtJ U3SlYnqrNNE2YQRk4WS2WE1WLsbZixG4C+sCJp1oM2I5HsvrmpoG7Fx8f3l9fxj/YpbqM2wR J3IYd2MAgNGpC81uDCmSgsK8cTMe2gS2MdMj6Jwlon3V3rDdMXQxNoVQpTpyGdeXDIyrKNh6 Pf8S86k5WhITF1+uKPhhpadi6eCE81z/yYUYvook4p43ITrBckaVLjF2ZieKbOE5We5IdnfZ ar4gIy4qCsykdmXE7hsQKt+EU6ry8r5Y8yX5rtGIKKoXmmelZOjBfB5OjYi6CpHwdBJQX0hE 4P0kWLiYA8DnLrgMN6t5QDCYQIzNW1oDN704FYLkwtd05MxuLGeT2gqeamA8ecJ6Xr+ZBtfU 10SyZbttVpKE/ksnlYCBMdIJ9PNqp+XQEYsJsXg5KONXund+h9hk08mUqgIW+4QcKMDMV2So S+3TgGCIOAN7ZklUtZ/KN+RuVYDx2BgDyWo19gQi7fo+pzXLHh+BBFo56gzGMjDFrC6ygxDs M/S/TfodEuhxP3bFsyOQpsGUWGHAvcHEO0BXYUDPxmIycT0/ejeoiy0Js4LgSBCYwYpY5wA3 Iovo8DnBjCh4V/N2w7IkvaPaLgk+Fd4rOuSPRrIMVmQEWY1iZubk0lGrzz8mhz7iwWxMG349 iTdwvEZAyzIMbLesGZ3vYRBaq9qTaUMnmV5qAhLMCZGR8WwRzAg+Xd/MVmMCXpXz0AoqrDDI v544wIrCH0e0Gw4r43YPt8+nO8SXu/wmo4+6eva/FPxf0YgcTM7yOj//GpbNZ1qYSt17cfot //ce0eUcJSQ2T9tNnbUsZbpfej9tePDtAbd7+Oni8EyQZEHyRLoX5yJfLznd1WxCntH0A9Nn LibUTJW4mCqYuOmzq65Xc6pUJ0OnhljQZ/IaxYHyZB0WKqvw0IgYc7JGmfd5uro0QM7FUj/5 Nfw1pnQCzBxFVYeOOrNLPJiW4kjNLXHwXrE3qi6jmKsGed0U+zE5XF5vgG/JK6m+n/me2LPk RQ4Br4PlhJBVMmMbBV8uKO33gKxH7r/LKXmCpk0LtderrLwE+wsXlk6nwNMRfgR7+vXyRq69 6+9bGGFeS/olHKAwiLgekVZ9hOFthVsVdSstPxvaLH/D2O/jIYCIXj9i/e5cioDH6QbNW8ps ViS7mOkxOLoP0dbG5Vdzol7xjTD/Y0v9U+cV1iD0px/NYfDvVDD050z1xxy7aDZbrrSYtH3t CkP0Jckwz3OYJK1RFPwItL6VrBIxrEt8rK2D8e22Qv42tsBVgVP229wEy4sGlPzcSDgkseui qHvcL9qRiOpsu07bYkNFmtcJjL5rCOe6pCcStVPcZR6tw8+2VDI/qegje6SJMBqsS6OXUjXm SdJ+kxRkeRj24kJkWUTrN6vyN56nNg5wjQFwzWjFkjgzu6mBu7g81PvVgT4qqfv2vfAEVS0Z iAUUtRyuHu2iazUL79y7NcxD+Hb+8320+/FyfP11P/r2cXx7117aa+HhLpMO1W+r+M4X+wUW ThzR+y4s6G2SUwdybvSSDtKWSRnr67WC8vvHe9ryUiqfAzAjj3fAqsz41gXDeqv1G/Y4TVle HMj3rAVmuD8UdKzHHT4XD1PtArWDQB0xrHa9T0LUKGopsh/P/YWqOHHHUFDV8c/j6/EZk5Yd 307fTOmehJ7XUlgjL1d2xIwuQtbPVWQWt+PRNSU8+m4Q2YFM5JVls2lYcd51uXSZi5csm4d6 NhcDYQaY11HJfDqjn71aVPOfoZrQdqNJNKNu4U0SPRaDhllnk9WKRoVRGC/H9KAjzopLoWM5 KOyw51HPpTQyYZ+k8YGX9CAjnjPfOG/jLMlpwaBRSS3pk9HpU7mQJRwS/Bd2Du+CuCmqhN54 EJvyyThYYbKFFIy1zxos9MfL7e0PCKnvfbejGklxyMnUTxrJPvTNLS+X44DSY/UlmZWBm15U n1mZZ4EOfyUGXbhsmn3Elt8CR9DXXj16qVt1PfTKhspwOuuk5u1tBVMDwDxY7crQrnPNkmvM wkH2GfFhBpbDpI32pfOp9MTxf9guprrZpENFKnOiQJ8jnTa6jh9c92l4t81926wi2VX0KWqH zzm5qHts4PaGVyZMy3RFLvtdApJxEe6nY1ouCfyVFyX9YCiuA+yCPGSxaDyi0nQkI/eRwMww he+odgk3JBivm7VGTrRGo5guTLcKXXAX+LSIdtA64K3otXcexXtQj5To0H5hJ1+TXkYbwlBe RT5/Oz6fHkb8HBLvBUGLA8UcWr1179F1nDyi8OOC+dqPNIfSxi5JS8wiWnmLOHiiE5o0qynR +DpslLLW61HkaBEsp70s7ltVJ8rdweYBWhUUEUnr419Y1zAhujAfXn4TyDpY6g/GHBQIeWiN h4cVCdi79F29S7qP4lCW5yXZJZtPKOJ69wnFOio/oYDd7dN+bafRT/XLjODrIFVrfqYcNZSX i/u93MpR/KkSs8023Gy9Q4EU2aWxAoJh0i60CmMBWm2iqRdLMsGwRbO88laHSNnon6kMaH+O PyVpGV/qqaAJmV23l/Qyu0sSOW6f9FZM4k9V+QljL5ZXlA+GQWMejDqoy+tPUPRrmG6EoJEN /Ym20KqCRA1t8dZ0pVrzWU2rie5zbaH0QO4OahhzL0W/rr0U/TqlOyJpfobxBOVnS3Y1WdJX 6RYV6WJh0Vz5xR8iiYm+QPxzi0uQfiIrFU3ZJrBH31Zk7A/ig8sCQNBkPyXPJelns3oV/Oys IiU339O6FDwsWxbROr5DfFk6SZJPpNMKNP2fO0ky9BRNlelij4jTpqfH8zdQm16UT4MR/vxn yDUdGi/r4P/hdDJtM7APP+O/MoEvwh1p0YpAnduIaweHXabhMCSHz4zkIojZfArN0EdSgpdW 43SkMHTLkOMV/epKT19tonl00FOj90gn2zErb9ptGLar8WpmQrPMAScAZiXnrWy3DV2MzbwJ iSp7NjZTN1lo32er8YL2RkaC9DMCWcKSfM3CM4k2Eqv3UDmsGuN08CnViwFtF5a60EjSAnBJ QSdzE5q6UChXToxTnWyE7o0zQE0vSq0Q7/go/NWMKs4DXZDttMGKeOWMcdkozCdNWplLhise o3rCQ9w/AI1Z0IZmABgv+i7BAwu+pYi3PkrYJ3V/WYCmJb4Twhd7ZEGi7z6wXUMGJTm0Ilzs UMhwPKGhAvJ2kgOnyVFazTRW44otjUWCQDEPDlS21QDj3NRNBWYxTo89azcLDqZu6Zs5Vbts 0tCbvqbVjHYfQ4quwysyCSFSqGl2OiymyUUcRFt0icr7MTNSEPGhaBsuxnIymRPAgABOic9X EwpIVbRyPpeD5hQgwXYR/QDa9D3C/KLMkhaTi+MeE5nxb8TWs9vQe9o1bhsHY8vEi4CNmgio 0ayo1+HNs308g5TxTz65FwBdsskN7YtPw8Wsf3DlPWXj83KPcVtoMkUk36u2U2iyeWfXF6Mo Zj9Vztwpx8YvLuNnk0/aMZ8Fvi7ZpKzKFj/XbNTuuRju0PQRUHjAFOS7bxWaluySxAWe7ggs 5k681DzBAMkm0R/ZD7C2rMLEZip8CJ22vAg35Zb2bcKX2T9RrfnutwfBX0V4zSlMWYk4KfmC /K7Dri5ir8xzallj2FxeI3WCj+N0/Q6h7nNvhKbbDM9BB6AM0tPuQ8MZQSvddc1UNLtbXiY5 DsdQ2gBz/BQ1FAqYiwVaz+d1hPLQoop1vAM7Eh5nbaNcDTWzhZ8/Xh+I3Dx1kmECC813SkLK qlibnMir0HESURdO8huiNd39kSTQv1T+pd4vezdT4tNb0OfX3i83dZ1VY1io3Yed1n0oUZJa UOF9urCheIVngaqI2SB03UwI4DyBabDAMqqZ0xfpFertS16G2dJttHLCbOs6tFHKs9etSc1g tMaAq2INkitNZWVyhunAbVAOvFjFzijnoqcigUjpbQVpvNpEgv1hpyVpQODvl5nwoktCemsQ eZagKtqxRGL9XieiBXJD9t5xdw7PvukTF99g0HJ3ILL62vuZkNq+ofsdlXS7V92HO7V6w0zz OO2hWd3oDp8qJFsB40wQ15khJGPVS4zdfmnIygN1WbxbTZHLs0p72NXDTHtWgcuGrEW2AdPT icxqNf3sumcg9BT2zH4IQzihlp5z3fY5BbSFDq7cERgxpkERrQqMn4qzuJjJ94nGoZElrPsP WZKuC81ww3HIJGTwYuwyzGc7egill3c7RblS3QL3YglE26GN16KVmVEnBq0ASWZXK++OnbI0 PN4++/Gqb+I5KdGYskilLzuqO+5AyJMpPFlKTIcK3IDKKPTXK4UMfEVGkoFFGmbRjTUEYu8F M3drjYFYt96aRBvtigZWAiWk6cKeO7eo1fHp/H7ErO2Ep3WcFXXsvN7toW1IP+DteHNfNiCi 5Odav3lY6oxJtEC27OXp7RvRKNM/UfwUXrg2LOc2ZKjcAMszTXxsb3TTwiGA4mWbjMvH01Q5 PKPctyRB72g7jIvR/55DMH/NrXx6IZ/gnT+ev96eXo9a3kuJKMLRP/iPt/fj06h4HoXfTy// xAfqD6c/Tw9a9BiZaFMdIPMz4XEv39GELN+bvloKLm7WGW/IGCJd0CpcXUm+Kdzvsx5HHp9T LZNNlv5wZItVUEz0QwVJbhw1ayieFwWlkiuSMmDd1yZC70rXSrcx+pZwNRHShQy412P5ps8T vX493399OD/Rvet049KOF42liFg3B49IQrx8uk7ihUzL6IxlZJNkDotD+Z/N6/H49nD/eBzd nF+TG6vdg6RqkjBs43ybkJ6BqPVsm1p/9lAyJlLH8ULlVlTN+axSUevp39mBHkIxG+jfo5fp kEt/H9Du//6bLkZp/jfZ1pgHBc7LmBxKokRRUyzCzY/S0/tRtmP9cXrEwCH9qnWDJiW1HqBa /BSdA4CekU7V/PM1qPBTw00VIRbUHmZKd9gSWGlJfFgtFTN8LxAqzs3wOtLWXkFG+65JEU04 POg5ie32ip7cfNw/AvN6FpS8JYJ9Ep83R2tr98fNrNVjpUsoXycWKE1D+16sjCo3i5nA3GSJ hjH3ctgSqLhbHa6MrLLUnmOCrPuunlC8HrJ7w7MyKB0Yd753hbhM3RfmXCjN3vs7VhqMSM6H KaiIg81hU+00yG21uUyQFFEBSh3tBCikrrTEKJunCKVxDdrlvkhrjIsdFk2ZukJXkE0dMl+h erhxYTTLzaGT/ofT4+nZljj90FHYPh7NT+35veqb4WrdVPFNV7P6OdqegfD5rK8RhWq3xV5F Wm2LPIpxyWjiWiMCzkZrgRlvOg0C3L4423vQGJ+Il8z7NeNcHmkaLXei4oFR0h3AisjnfYd1 s0XYOxqa2qaBSh61DEU449jG+zg3grwbiK4heUE+KCBpyzJr3BGQJD2XRxuNpeJDHYoDaLmp /P3+cH7uclcRcW4lecvAlvmdhfT5tqAwcxUqYMYOk9l8uaQQ06l+cTTAMfqLA3efAnSIOp9P yHfyikBKJryJyRLdMUGhq3p1tZwyB86z+Vx/0q/AXVYCChG6b6l0JCYjmup+0yBti0p7UxlF +nmaPCaKKmaGJZPweE0fhij1DRSjDZ19fF1P2hRUppr288BT7jhLqDeOgEKMsRtjZkFkOm8+ c9DY8Ngoj+s2pApFgmRjdFC6H7d5nPksY25m+RTJunHwrE71Bqk8bqpKGeS0k7TC/t5kYYCD qcHV0VtmzCKutfksCNrInA21CnlFnrVLgWB+0W0ZVvdM/PQT/CSY2QRG8RPxfVtjlkzrKFjh tLMcnZkTfKgpEjtQsDZck+AoYz64VOZJLAb+BM29yezKrjfJRlCZYBWfDSwsqoXyTz06v/aN Qypq5SKHd0cS6CS8y5lqfglgssShaZ2Elzbow8Px8fh6fjq+m5tOdEin+k26AphPLgVQD1Wl ACbVOmOGywP8no2d3/Y3IYhMEdUupaGKXltjtI9ExKbmezKY8ioa0/FXJI5yFhIYPYTD5pDy 1dUiYBsKZvZGTEyt2j1lh4R7cBihyMJfH3h0Zf00S78+hL9fT2Qo2UHwhdOADG0FNsJyNjdC EguAPZ4Ipp/oAGY1mwdGCVfz+aS1o1oLqFUmgMgwz4cQeEBv1SFcBIbbRn29mupRIRCwZir8 ZneKYbKzZPHn+8fzN0yI9vX07fR+/4jBDkGHsBl+Ob6aVAbHLwM9XD38XuhPMOVv2BtAw8NH /gxsVfOgJlpeXVHHxyxKxFNGpidtUUczJkycrLCMzaNAYYbSD2UwPiCUqgGQq5VZGJ6NiOdo JjgM8bnMxARG7AoX2rY0oWnuNCPO93FalPgAvo5DOuVV515gfon3ZmmFWhvdB9x4s0Mwt7/b HZYT6gFgkrPgcDD70R3kWkWAsryMPNWmZThZ9eX0n6i4Kr6P6jCYLTVmEYDV3ALoWiPqndPF 1ABcLfRQXVlYTmeBccvdPQASoVAWY09rdCrQbDE6hj32cd5+mUgOoY8pxMkmZ5WnhjJAb3xj rHPWLI0QU3hDaw+jVJIlY/ks7T3yhP30TB5GiBg27aGwSsUs0e32riq8/alyjLHn9LfDdmaI 7PBQa/VlG6RmN2VMKwuG8awskOAvzNMrYyDbap3soy4ve7gNijbCz48glhhrMDCmUOzpqLyW t5a18BsJx6uJDeOwqRg+fAiVSdHo4lVARWBlo/zbdIHQrtrhhn+zmPh4WPmj9IzbCfhLwlwX 95vX8/P7KH7+qh92wlZbxTxk5kms+4W6UXh5PP15MnaJXRbO1FP7/nS/p5I26f3L/QM0DGMs fL7lTMzt6/OPZR3fj08iWZuMMmQYw6xOGSZSanmcc1IaS4r4S6FIdPUqXpiKGv62FYMw5Cta ALMbxaTDcs/wDTi14fMwmo5bm15CMRij7wseVwnTVELsQ1IlKKy2pf6k00DMDJdHboZxFgBf pQLXVzqw7peVHXC7m0N7cmRMqNPXLiYUcOEoPD89nZ/1QzGaQOfcjKsJ42pG5OE+EGNoDIMX umN6Gyev1XjZ1eQ2w0VaeqrZBBqnZlUeaykeBna+l+uMXgrz8UJ/xRDNpzonwu/ZzNC95vOr oGrXTD/WFtBpZQCMUCX4+2phtj0qixr0HdOW4LNZ4MkOoNSDiM6vsgimpl8a7OrzCR0qGFEr Mn4D7Pz4gNoR98zdG5i9jYCMBuB8vpy4otZq9BBV69Ik9Wz29ePp6Yc6sjUC1ODsywNVkaeP vjKyC5AB0TGF8fH54ceI/3h+/358O/03xpSPIv6fMk27u13p+bE9Ph9f79/Pr/+JTm/vr6c/ PjAGmPusyEMnI6x+v387/poC2fHrKD2fX0b/gHr+Ofqzb8eb1g697P/pl913n/TQWCPffrye 3x7OL0cYum4x96J4O1kYohl/26J5c2A8AHWeFGVZ2UzHuku2ApBLWShTtKUqUIShmtTbaZfY x+Irt2NSKB7vH9+/a5Krg76+j6r79+MoOz+f3o1xYJt4Zr1IwHPa8WRMhypVyIDkSbImDak3 Tjbt4+n09fT+w50flgVTU1eKdjW5S+4iNLhMB6EoDMYTytTe1TwINJNA/jZnbFc3OglPloYh jb8DY1acXqioELDeMbnD0/H+7eP1+HQEbegDRsVQL9ZZoviOPk09FHy1HPt20+vssNCamuT7 NgmzWbDQj4N0qM3giAO2XSi2pZQQyaQpzxYRPzjMq+AkzwPuKuJjH7xvSx8YwjtgMpPD6dv3 d41TtHOB36OWT0nuYFFzmHTz1cHSKc0dgIAVpx1ssjLiV1MzuZaAXS3o5cH4chpM6NhX691k Sd5aIMKIUwUb0WQ1MQHmLgiQKZnoBhCL8dwiXSzm1Nhsy4CV47FRsITBIIzH1OF9csMXsF5Y qsmpXoPhaXBlPWA0cQH1nk2gjHc/v3M2CYx4pWU1nhtrUhUr0yvphwLV3Ay+nO5hqmehx3eA HUD4+SUdIumw23nBJiDrSVxR1sAy1ICX0K9gjEhdnEwmehfw98w8pptOzRj0sISafcID6kVX HfLpbKJpfgKwDKhJqWHk6YQPArMyzj8F6IrqFWKWZg0Ams2nFHHD55NVYORR3od5as+CgZoa /LyPM2H+kmMvkWS8m326ME7Nv8A8wWxMdBFkihjp8XL/7fn4Lg9ASeFz7Xk6KhBGy9n1+OqK lFLqHD5jW+2ERgM6Z8lsO53YcQo7XBZO5wEZ7FjJX1EirYt0ldnojmXAXp+vZlMvwtwHOmSV AQePfXDzmzuWsR2Df3iXb61z5aEmQk7Rx+P76eXx+LfpiYUmXnMwitAJ1Sb98Hh6Jma335MI vCDocgqNfh29vd8/fwUt//lo1r6rlHs7dX8kMktWTVlraNMCUE8SjDK8k4q0F2qrMfdeWhSl tzYRRpiopR8KusNqZ34GzU/kfbh//vbxCH+/nN9OaCa4mp3YQmZtWXBz5X1ehKHbv5zfQT84 ERdt88nEtHQD/UYt4iAFzFPi+UwPTo22orWLIYgWZ3WZojZM6ehWA8nGw0C+6757WXmFlweX ipOfSOPr9fiGihIpltbleDHOKC+mdVYG5qkU/nau/9IdSFLKPTUq+VQf4V2pj2cSlpPxxMpH WqaTydyjYAJyOjFV/YzPF6ScRMR06a4UDBTLqRev9XymN25XBuOFJmy+lAwUq4UDsFVTZ6QH hfT59PyNkhwuUs3Z+e/TExoLyOpfT2/ycNJZJV2U3ex6XeIDuUOSyTxkQ89Rb/IkLkwiVgnX z3ZvHp6sJ8GUiitfJrnuQr+JlsuZfvXAq41pJPLD1dSzAQGKDmOJhWiPcnBzn45175h9Op+m 44M7+hfHTL1aeDs/YjwV3xmx9rrgIqUU7senFzzz8CwuIcLGDER3TL7gzNLD1Xiha2ESYurw dQbKN31tLlD0IRegJhMqTFUNItzUfQUkiGhpTvSwZ4ZbzcsTfsjNwTiGvs28CeMQJzyW7A+k G1Md0p7mSNHfqF6ksIOE2gR2WFITH1ep6fupI90kbgjuHip6vnI8wBDo5gVBqHrE5ylol6z3 tf1JQspwiTlMXOpDQDGHwsF+ZU2tfNctUyqaJUke9w6kN6MGIq/jOFvruQMQKFLETm2YPP3l odNxdUHsqQGmhNtFiWg8bvBxRIrrV09Rwkc94aVZnBsaUkAPVq0iM45dm0iJHmX+x3xIJBLE kkmXBPbA7FK12LKgPdG+foIuZP5aO9c469GjTqHubi0R0MeL0IFWDAEBS4NVWKaRBVUJigxQ ZRPVid1nodh6GtopyMQ3JfWWRODwvtb+wJ+vRWCTOCQDpCnkrnLkZX3rCD8AtWkceWsBex5+ ed67CgLxeltHSwOmuhk9fD+9GEkKOh0QhE1CqlwswneV8K3ezN/Fa19GftGxDYiLEL8DfUFT FzpkdRO60OoLm3SoQUdQXCIKJDez2QotQ7OFelRZOtVFV+luJduq6aXVTR+6AToZxdorWZSM gOd1bBlGCM9rsCL9TjhYblhk6yQ3kucWRb5Fj48yxLQM+usX0FZlt7qhaPIybbgKPt61erBA 7QnuG12y8Bpd1PUhx6jMyEf9Qx8Dw+qdGTtUgQ98Mqbfh0kC8YKMDCCk8GJTtWsbdlMKrBwH 3NbYKRMsNLoaeRsid7PtrV3ndWAkixKwlMFyu3HrV1uSt44s3JUwWaw6zO0i7axjA1BGjG9Z tXYrRLceb2199AK7WPmGpuDO9KtXRqENl643DV+XuzvrFaMkMBNCKJi4hnTbTASCMfFmmBcJ 7KM3E+XJReAtzw26YsLbbdoQzcQYK9QhuYzC0gUxnxqhuiykinsujbfd3Yh//PEmntMMtppK yNYCeihGA7ZZAlt2ZKAR3Ck/+MCgqI1YTIjupx4JqP0HaLqMXAqEJWEkGqeqkOVtXbGchzEm 9jGR0okJvrVboB6fd633tEGE11Gfm+D5WMCnJkIsiNUaMQGBabeH1I+bBOxT5BSkbhJTFOyw vYgTXUUClULhIp2aUGPE1FtbbAX1Xk9MhUhUQDRD5hMwB7IPaoN9dqdV5iXoBsRoSc4DwQ5R RZ3hiI9FbCRWM6tMBDuzqRqnWm3U1EeIKSrYfukgJzrdBVbqSDgs6YrZLKxwLN0XJko8KRGx +d2GZ8kBtgTPClSRIpyPVIQJEr4k4bijoRpAVMET2JfygmDbTp9xypN7U7uvDgEG0HFYReEr 0IPsuVeJFZdz8QIJZGqNEZZ2d95pkZu3YITPaPxySD4LgmqhuU2t7yM6diWCyxHLRhKE5WQi P/fUAkZRG6xysJS5rlIZKGotIPJC27NySvANQt160Fhs9CcnHXAXmU+UOrjkPO7rklQk0CEu iq1SQ7AQS6JhrCx3RR5jWNSFcdGP2CKM06IeyjPaI/S/CyOhwobcYJRaaj+QqgVwI2mSdQQ3 WWm2SUJd9hdwlFE77kHwvOTtJs7qQh5k0h8nIdlQiRRc4WXsoSZK99DHBCPsEpMhIjDimJjw ionQHw69dKyO8ymxLw5vN8Wvw9ju1fCEGcUJMpynzSYhtSJMipAnF4SySRtJWm+ByB6fFVTf lbG1rpQ1FZUyrqZdvEKLxSQIPFV0T2Qdidm98XPWbo8gRqkLdYk4Lwupl4JIZO21BlmvqdqF eWgs5uhRbtcGq3ZnsyH6kOLJy2QKvYDBszenAT/z4JPdbLwklDtx9iKNCWf1yfeKV7O2DMhD JiCRDzidYqNsNemXmSm6ssV8psQaUaY4cQul4WuqSWB0YFrEqdNIqGQSkG5AcmtGS1KdY7Zx ljm9NCksoUpQigiRoCHQcehMOqzPS6Y8+anU3t2FiWGn9N3C1/Uh04RzZBwHZ/pTT/iB9klv +RxfMYq8uHd5kq595IlTBX3MqINWxERZuABtq1TR3rrGXii6N/bEO3D1HOHr6/n0VbvwzaOq SAyPEgVq10keYQg3OzBb/05BFqVdejLK7s/3RjQN8bO/EzGA4sAocWgRXIRFbRxVqkfP8abh 9Fmu/LYzEmMMsEVvZCYhVOPrg3gi5jQEtZTPWpEjb+ZR4Sld7vAbbKFhhHcC3yneJpBNMqpE i6NrqznyQvZgblNtoHshKKqyP5He2lZpfbAp8hPMsA1jui2NE8EKM5PykpgNRaBeM1lFimht Hcwa2iqLqYLUIKAxlu8rlnX8v7sdvb/eP4iLZXcBcvJySQqW2kiM3cHabU1Zqj0adsehHz20 1COW9FBxJaivbaKxQws8B0gbM6cc/GzzWLzNbvMiopkUiTImTB2M1PAZza6hhbVG4ubWNKg4 HWm3jvt3GvAnFatFB/eM2KR1UqbxIe7DfWmeSkSYpQbfi22XV4FxR4Rgb+8RaeekpPyinMaV sApLbdXwxAhPCL9EmBQzQAZPk0yeTGsn/kmmwirRQYGEQxT8ncfmXaAOR0n6yaeyjgKTTkxN Fu0pnLssAyv1Of08sEG00yThuxXmZGxUzR0rNKO/mF5d1ufDzX3SxjcxHY0Wg8DeNCyKYs9L 0z7kZw17OOz3tRWHb6As7JC0nc+RGRpGviw5PR5HUqPQWHHP0NekjmFR4JNtrh8tIqjgCfBq qJ01xweMOmm6E3Swdi0jXJeUiofJ3VvEG64qG0zqHVZ3JeZUNcD7uJIeMzaoF1MOYt0ksBJz 4OptznDYuE6VF3Wy0WO32IBEAkScIkOEMYkgJ+GmKWpKDLKmLjZ81upKhoQZINxTDEBobDwq s7pOUEBnU3bngbVVHCUVrgP4R+8ERcLSW3YH7SnStLgle6d9hboYfcmkER1gDEU3KR1/IMvi moVFedeJy/D+4ftRY8s8Rm5SEU61nV2Ca1YbExuycGdszAokKcktSuKd/U61Q6rMb8ePr+fR n7BunGUjogYYligCrp3XngjFKxNP6B6BLzG8WFbkiRUrwKQCgZBGVUyp5tdxlettcbx96qzc 0A70u2Yb1+l6Qw0Sq8Jdu8O36ckWjytlS3W5iv907DyYA+6w6bKNh0IQYCzuOKOqhTm+Lapr nUpjgNT8ATO4YbD7/vbL6e28Ws2vfp38oqNDUDjE+M6mS/PDHrP0Y5ZzD2alvxqzMMYhhIWj bmAtEl9jVgtvlfoLIgsTeDFTfzMXlDe8ReIdmcXiQsFUHBmD5Grq//yKfHtjfe7r8NXsyt8u 0v0fSRJeIFO1K0+pk8DLCICypoXxMElMUFf+hAY7rNQhqCcfOn5Glzf3lUc7MeoUtC+jTuGb 276PDsf1mM+Gf2Jx23WRrNqKgDUmLGMhmpkst2tGRBiDqkBrYAMJ6IlNRe1lPUlVsDphuVtx eFclaapfP3SYLYtpeBXH11RTE2gry6lrwJ4ib5La03mydaAcXSd8ZyKaeqNxOljkyM0OAKy4 KgO18QurRTCBON1gIHZ9DzB0TfnG/vjw8YoewOcXfBKgbaWYJlrvNP4GDeWmgTJbsU1TJ3xx xRPYG/Ia6TEtl7Yv1BVemkVdyZ2OKrVMBw6/2mgHOmtciQ7ZjWmF7peEEknyC4/DRiqmWcyF 60JdJSFpWChKbbNWEGMD78pTeyGBKVmtTd4OTzV2rIriHPqH6isqV6DegbqtYq0MW75NRto/ rBbXmnGVwYzLoLZaKyi0bNIv/3n74/T8n4+34+vT+evx1+/Hx5fj6y9O++siK+4KomMSga86 RAQwMNFgkqu734LxbHWRuImSusUr/8k4mPkoiwyI+jCkQI6OdP5WJLmAxINhEdd1YmXd6r5h JRhQmUeH66nwedRlCs426LpCRhbvidCOiorbHN/ikq3RCdqYVSltvQh7TdChFhmnYAtUIS5x Mpq3h1rmcbGeN3hoBRYYEIRmCl9c7CPIPDOLEllRDxzMPs8Rg6QSnKKVaQRCzFgX+b4tw6pN ogPwk+5QyMSbgRRMZnI8kSDfkjQaBU8GErNypc8O2F9OT/e/vp2+/WLW0dGBfr5r+Y7RL5Yp ymBO7/YU7XxC3XS5lNmU7gVif/vl7Wlqtf62wqctZQHbIMUBSFLFLFIUZtmwzCqWmAexOrxd F0WN0efIg1Rtfhm/y8AORR8vc0dAIth+mliuG1Ggs02JbiYco3W2OxG0kxzVeE81oxsfVxwO Nxk2CR1fBZf/L4/3z18x0se/8H9fz//1/K8f90/38Ov+68vp+V9v938e4ZPT13+dnt+P33Ab /tf7+en84/yvP17+/EVu0NfH1+fj4+j7/evXo3j1NGzUKnD80/n1x+j0fMIn/qf/vlexRvrl BYIV3d2uheww1yeg0O8Kd6O+X6Q3f0e6AU1Io9RszlBYpF/iqgDdIEU/M9jJqljf/mmkFlGe 7EiH9o9DHxHIVmX6xqF+UfRHGq8/Xt7Po4fz63F0fh3JjVA/6pfkYOeSp2UKy9ItK/XMeDo4 cOGwakigS8qvw6TcGZnCTIT7iVjOFNAlrfQzvgFGEvZGvNNwb0uYr/HXZelSX+vn310J6Mjk koK+zLZEuQrufmCfFZr0vYhADfnCNHfk8aHGzG9I7NS03UyCVdakDiJvUhoYEA0rxb/+loh/ IuJD1tQ70KH9XyojQJ6dffzxeHr49a/jj9GDWAffXu9fvv/Qn0fK2efMaXnk8lhspFXtYNGO aCaAOa1g9QSVRWGxf+ZOMojgfRzM55OrroPs4/07Pud9uH8/fh3Fz6KX+Nb5v07v30fs7e38 cBKo6P793ul2GGbu9IYZ0Z1wBxYQC8awE97ZASvsVb5NOHCIu57jG5HG1h6GHQNxu+86tBah olBnf3Obuw6ppm0oN7QOWVOrIry0BuJwTXySVrf+T4rN2ulYKVtrAg/EeoIdXaUqsVbOrhts V2hEYEzXDTVRMeYu0FsqL3vv3777BjVjbjt3FPBA9WgvKbtX6ce3d7eGKpwG5MwJhHBdDMnD Pp2O+P5wQKHv/26dsus4cKdGwt2ZgHrqyTjSQ713i4LccrT5ceRudKE/WeROKcC6gXBxCSwS 4Xzsjn+VRUb8sW6xgSpOAr2VSJXcA7701XxCbOoCrH1ljw8QkFGHOtk3pb6pQR1bF9tLUvW2 tGwFqemcXr4fX13eZ7HLBAAz0qhoYN8grNPidpMQDNIhnKC5HcOxLE7TxN17OoS3ypDhqZSv VF67DIbQBTGqtB/cgPQ2YSP+detROwUh/6tSBri3J9stu74tyAFV8KHncnrPTy8YWMGwBPoe bJR160j0L9SBqkKuZpTASb/QsScH9O6CYvKF130ingpMpfPTKP94+uP42kVGpNrPcp60YUnp sVG1FgGjGxqjZLgz3wJnyU2SyMpU6lI49f6e1HWMbzcqeadqF4tKKhjIyaf194SdEfBTxFV+ UTb0dGiIXBA+5rWuZl60KkOVblc9nv54vQc77vX88X56JvbXNFmTcgbhaiPq3nhdoiFxcq1d /FyS0Khem9RKcHjaIPSPGtJFnm52+ySo2cmX+LfJJZJLffHqQ0NHBw2VJPJscgKVUfvUjtL6 zBMb4YU+FKkhy2adKhrerL1kdZnRNIf5+KoN40qd+8eOZ0x5HfJVW1bJHrFYRk8xXLqr0iWG usOAQpboxMjxvtAtQOLRqsJy6DPjZIsn+WUsnWvQC6a7qXD3YgzO+KcwWN5Gf6KP7unbs4wf 8vD9+PDX6fmblkxRXLzrNymV4bXj4vlvv/xiYaUxq42j871D0QpGnY2vFsaRdpFHrLqzm0MP iSwZVm94nSa8pok7d4+fGJOuyeskxzbApOf1phNGqVcKpUmOIfArlm+tdzxMeEARDLFOQNGC OdT9f7pXqKCD5WF5124q8d5FZ0adJI1zDxbTOTV1ovtPhEUVWU9vqiSL27zJ1tAKyt1XsJYe bbx/JRsmmJZYN6cwjIOTkQdUfbB+YbsyQJOFSeFaA2Gb1E1rfmXbJgDobyM9+5EgAdkQr+9W n5P4lA5Bwqpb+oBf4tdJbbXOY2mFlvgLqdfxICh7a26g1Gx9aZINv4HvoiLTBmRAgYolXvFh xC0TGsUu/AvKaNh/zauKL3LbsaGgaBFFCzBV9uELgvXuS0h7WNF3FAotHkJ4krUrkoQt6NlT eFZR5/IDst7BIiBaJpRy/5fr8He7e605+sM4tNsvepAWDbEGREBi0i/6bVW3+PR7XoUSHq57 lrZou2lNYlXF7qTDsr4nYhZQWNv7uBUEAwpP2xPTU1+C0DGvNdY7wo2sYvADXUEHQC5SLUsE SKqtfoUtcIjAh0BWPlPhiYY4FkVVW7eL2Vq/wYvEjVOYsgqvF3dCEdanTnyJjzg9Aa+6Wtdx HoLybty3b1M5utrIiuTr9pV4iInRjeGIbnQxmRZr8xexMHNYTbVeZvoF08HpXcFgJaBoUe7X WZnAohy+hh+bSCsd39NUeEZY6/kL8cpa9w8p1r+zreES6WxxA9fkE/SqKKLB7b2/Pen0CQF9 eT09v/8lg789Hd++uU4goUwHjff3KWyBaX8lsPRS3DRJXP/W3/R3epRTwkzXDbI13ii2cVXl YOmTN3PAIy38B/vwuuBGWhRvN3pj+PR4/PX99KS0hzdB+iDhr26nNxW0ob1lVW56N4ByWsLo 4hMl3fcRb0TFXQLTk/juYnRhQO9cWDT6PYDsCShPwksnS3jG6lBbcDZGNKQt8vTOLkNe3G+a XH7AUtA6cQ0OdLInZZHYjvb7DPQgfLlABmDSi7+N2bXI7QcrSR/0nx5WMQnCoD89dHwYHf/4 +PYNb/GS57f31w+MSK6/w2DbRPjn6uF8NGB/BRnnIivj+O+J5sqq0cl0KP4eGgpgBxOC5Rb/ f+FDcYEk6DJ8xnChHI/LhnANEgL0ehsZsgR/Ex80a87cG1cBbdfQhkhjyUtQ5CQPiu+SjdEV CY6Svbi89TWqbXJYA2Bsrs2INl0rCmogJTLOG2MHgzEB6woQuLMmVkr1n2Iic6Kki4u9cFTy Wv3+vC9MvwkWDqNgC2GCHvJeXBaHZN1mZHFBj1Ks2nEu7U+O1RW3uS+2nTBHi4QXOW2nDFWC TNrYnYYdBCQL94BNd0GSAi//yYaZZOIBDmWkmGSm/5yJw/AbO+PG3MSDOMJ9fXhARFKZI/6b JiJ42qw7Yk+6cqTwvVIQbKr4CzSwFCSk3YbP4JivGOaySKVhPVmMx2MPZe9usXGmtKfBhx0t D02HWrXRCN+PBvdguqfhDpU/QRXnYCbsYjIztSxtn9lt2Gfiyku99LBR1ZoAlluwT7YOJ8oU zsLXhFhIcgfCDYs8npfS8Jqh7HCPzCQWWQ5WDkhkoEpqGHihuErTx/ZDGQSCM6I7K0SevOtD +lFxfnn71wiT73y8yP1wd//8TX+gwjAuDezWhaGBG2B8/NVox4ISieuqaOrfej5BF7ym7NNZ ahpEsaldZN+L3g9LJxR1UGcfXmLVyrE+PFhZu8OoDzXjFBfd3oA6A0pNVBi67OXBk47KoGN8 /UDFghTVko19loTEqtNqHdadbQ9OREQ1JpviPFzHsQrsLE+b8Ip+2I7+8fZyesZre+jN08f7 8e8j/HF8f/j3v//9T+0gSvhhYpFbZErnTVVZFXv9XZ7+7AgQFbuVReQgtOn9QKCxh/ZKQwux qeND7KxBDt0yXTvV2qTJb28lBuRlcWu6PquabrnxTkhCRcMs8034xcalA2hBC9bV5w4cJrBL gGmpPALB8OS/TQJ7rSrqC9sWmOcZ6otpfJFMzYO8g1FbJSWIRNdgwaG3q3XCMAyWY2HycGN/ NJw88UiWesuS2uXwwR78HzBhV29dobc1iLNOIFu97jC0K3p4Lb7XPxO2inDxy3kcR+jmJw68 vBvKtdytLR2QsPY0IfuX1P++3r/fj1Dxe8CDYU3GqhlLuMP4JQXkW7fj8m0BrcdItaGNWM3w qBafKycq9KIhzjzNNCsPq1g5XfeBOICvDRlnLvuwsUUEaktmv2j+QzqRUtRhMcTo31AnzEAC SqVZgIbDvVkYuv0+FUysCpBXyBWG2PiGX+Btc0jsyYJNRdqqldAR6MNhaN8OtrJUqkR13AW1 ob3vgSAP7+qCjPghkn1AZyqLa3tL/DJ2W7FyR9NEdzlDabTpFpZRgFytmVB7YTLwmsAiwSet Yg6QUpj93KII1YeyFI1lRHPEiw2rbllraO4KeHMDC2Gz0bsQ7/HdD9Ibd1I40GBEtfw2weMM u+NaUcpi5rf6eV0JZkcGSwzMebJbTn3d6atdkSJ0d1p7tFHnQS52i3ZnuGcZcnrpDcWYZJKk LwxWON4get6uCKXdrUmhMfAyGA1EW6U6dKGJu9uU1f6SC54X6NHvjDCafsOX9gh37MkdtuM5 qPWwPL2IXv83eUMWu4Y9BqNBi7GylCUD530/06FZDoKf4VWm/M66IeyoYIV1eKKwjs+0IszG 2KPTQMHrWC4fXVdSfGLDLWrtFDWvdwpOzypeu6pMRDSFHE+5jpMcN2ZP/+QqpE7m9eWso506 WCoO93FE6TUgCaW4wn+ayj6F6Wm3YbHvp+fSulJ8WDPY7Epnrxt2JK0T/yPiPmyHkB9RnIId RK9dhvGfDZzY/N9e7l8faBNHbnZRuEkb0smzF+n9tmkXpl8F1Me3d9QT0cwKz//v+Hr/7ajX dt2AiUzuflIzwrP6olJcYoQIKTZi0vzU2s1KXMvwQxep5PkHUdOGJSlPzZsYhMkzMGFg0Gxg FnjpxaVNSh0ciyqzLOxex/5UnYP+jEugJuWsOrfgIDWAvyVxqccfh/kW+5Q0ATvft0GNuY7I sFXCdyVLcjxk06UpgqNkr/s2rvtWotXiao5rvMe8sDbEzWKRFhj526NaGleiTg3SPlvMLnsQ iKbv4kPU2JH7+r1SLFOqEL0IRSZvEbk1NIDkYXlnQa8BXOuhmwS0d0cxCghZbsPAcJXXk2Zf miahg2QJrLwu9nUC47lsQJGyaqrQE6G2D+nlyNFu4wIH8syhl7eTNJ8nOcYH1PYGfzc2SZWB xUjLajkMIEIZ7WMlmTjOQtA36DmXgyEuTv14sQ4TWtZ1VagjSaPpyKsoZozTo0uy1TKSs4Rz ZLWoCJvM3rINa3qdSLlI1dRd6P5/mXKF+APHAQA= --fUYQa+Pmc3FrFX/N Content-Type: text/plain; charset="us-ascii" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit Content-Disposition: inline _______________________________________________ linux-arm-kernel mailing list linux-arm-kernel@lists.infradead.org http://lists.infradead.org/mailman/listinfo/linux-arm-kernel --fUYQa+Pmc3FrFX/N-- From mboxrd@z Thu Jan 1 00:00:00 1970 Content-Type: multipart/mixed; boundary="===============5882993772400580385==" MIME-Version: 1.0 From: kernel test robot To: kbuild-all@lists.01.org Subject: Re: [PATCH v3 8/8] irqchip: Add Sunplus SP7021 interrupt controller driver Date: Mon, 01 Nov 2021 16:27:59 +0800 Message-ID: <202111011619.BZmnWfc7-lkp@intel.com> In-Reply-To: List-Id: --===============5882993772400580385== Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable Hi Qin, I love your patch! Perhaps something to improve: [auto build test WARNING on pza/reset/next] [also build test WARNING on robh/for-next clk/clk-next linus/master v5.15] [cannot apply to tip/irq/core next-20211029] [If your patch is applied to the wrong git tree, kindly drop us a note. And when submitting patch, we suggest to use '--base' as documented in https://git-scm.com/docs/git-format-patch] url: https://github.com/0day-ci/linux/commits/Qin-Jian/dt-bindings-vendo= r-prefixes-Add-Sunplus/20211101-140155 base: https://git.pengutronix.de/git/pza/linux reset/next config: sparc-randconfig-r011-20211101 (attached as .config) compiler: sparc64-linux-gcc (GCC) 11.2.0 reproduce (this is a W=3D1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # https://github.com/0day-ci/linux/commit/ca53c40693bc90c44e3ec4c95= c7758d7342229e2 git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Qin-Jian/dt-bindings-vendor-prefix= es-Add-Sunplus/20211101-140155 git checkout ca53c40693bc90c44e3ec4c95c7758d7342229e2 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dgcc-11.2.0 make.cross= ARCH=3Dsparc = If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): drivers/irqchip/irq-sp7021-intc.c: In function 'sp_intc_handle_ext_casca= ded': >> drivers/irqchip/irq-sp7021-intc.c:154:23: warning: cast from pointer to = integer of different size [-Wpointer-to-int-cast] 154 | int ext_num =3D (int)irq_desc_get_handler_data(desc); | ^ drivers/irqchip/irq-sp7021-intc.c: In function 'sp_intc_irq_map': >> drivers/irqchip/irq-sp7021-intc.c:212:76: warning: cast to pointer from = integer of different size [-Wint-to-pointer-cast] 212 | irq_set_chained_handler_and_data(irq, sp_intc_handle_ext= _cascaded, (void *)i); | = ^ drivers/irqchip/irq-sp7021-intc.c: At top level: drivers/irqchip/irq-sp7021-intc.c:217:6: warning: no previous prototype = for 'sp_intc_set_ext' [-Wmissing-prototypes] 217 | void sp_intc_set_ext(u32 hwirq, int ext_num) | ^~~~~~~~~~~~~~~ drivers/irqchip/irq-sp7021-intc.c:223:12: warning: no previous prototype= for 'sp_intc_init_dt' [-Wmissing-prototypes] 223 | int __init sp_intc_init_dt(struct device_node *node, struct devi= ce_node *parent) | ^~~~~~~~~~~~~~~ vim +154 drivers/irqchip/irq-sp7021-intc.c 150 = 151 static void sp_intc_handle_ext_cascaded(struct irq_desc *desc) 152 { 153 struct irq_chip *chip =3D irq_desc_get_chip(desc); > 154 int ext_num =3D (int)irq_desc_get_handler_data(desc); 155 int hwirq; 156 = 157 chained_irq_enter(chip, desc); 158 = 159 while ((hwirq =3D sp_intc_get_ext_irq(ext_num)) >=3D 0) { 160 if (unlikely(IS_GPIO_INT(hwirq) && TEST_STATE(hwirq, _IS_ACTIVE)))= { // WORKAROUND 161 ASSIGN_STATE(hwirq, _IS_ACTIVE, false); 162 sp_intc_assign_bit(hwirq, REG_INTR_POLARITY, TEST_STATE(hwirq, _I= S_LOW)); 163 } else { 164 generic_handle_domain_irq(sp_intc.domain, hwirq); 165 } 166 } 167 = 168 chained_irq_exit(chip, desc); 169 } 170 = 171 #ifdef CONFIG_SMP 172 static int sp_intc_set_affinity(struct irq_data *d, const struct cpu= mask *mask, bool force) 173 { 174 return -EINVAL; 175 } 176 #endif 177 = 178 static struct irq_chip sp_intc_chip =3D { 179 .name =3D "sp_intc", 180 .irq_ack =3D sp_intc_ack_irq, 181 .irq_mask =3D sp_intc_mask_irq, 182 .irq_unmask =3D sp_intc_unmask_irq, 183 .irq_set_type =3D sp_intc_set_type, 184 #ifdef CONFIG_SMP 185 .irq_set_affinity =3D sp_intc_set_affinity, 186 #endif 187 }; 188 = 189 static int sp_intc_irq_domain_map(struct irq_domain *domain, 190 unsigned int irq, irq_hw_number_t hwirq) 191 { 192 irq_set_chip_and_handler(irq, &sp_intc_chip, handle_level_irq); 193 irq_set_chip_data(irq, &sp_intc_chip); 194 irq_set_noprobe(irq); 195 = 196 return 0; 197 } 198 = 199 static const struct irq_domain_ops sp_intc_dm_ops =3D { 200 .xlate =3D irq_domain_xlate_twocell, 201 .map =3D sp_intc_irq_domain_map, 202 }; 203 = 204 static int sp_intc_irq_map(struct device_node *node, int i) 205 { 206 unsigned int irq; 207 = 208 irq =3D irq_of_parse_and_map(node, i); 209 if (!irq) 210 return -ENOENT; 211 = > 212 irq_set_chained_handler_and_data(irq, sp_intc_handle_ext_cascaded, = (void *)i); 213 = 214 return 0; 215 } 216 = --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all(a)lists.01.org --===============5882993772400580385== Content-Type: application/gzip MIME-Version: 1.0 Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="config.gz" H4sICLmaf2EAAy5jb25maWcAnFtLc9u4st6fX8GabGYWyUiyndh1ywsQBEWMSIIGQFnOhqXISqIa 28qV5DmTf38b4AsgW56pu8hD3Y1Xo9H9dQN89593AXk97Z/Xp91m/fT0M/i2fdke1qftY/B197T9 nyASQS50wCKuP4Bwunt5/fv344/1YRNcfZhefZi8P2ymwWJ7eNk+BXT/8nX37RXa7/Yv/3n3Hyry mM8rSqslk4qLvNJspW9/se3fP5m+3n/bbIJf55T+FkynH2YfJr84rbiqgHP7syXN+55up9PJbDLp hFOSzzteRybK9pGXfR9AasVmF5/6HtLIiIZx1IsCCRd1GBNnugn0TVRWzYUWfS8DRiVKXZQa5fM8 5TkbsXJRFVLEPGVVnFdEa+mIiFxpWVItpOqpXN5V90IugALb8C6Y2119Co7b0+uPfmN4znXF8mVF JKyKZ1zfXsz6nrPCDKmZMrN9FzT0eyalkMHuGLzsT6bHTi2CkrTVyy/dPoYlB30pkmqHGLGYlKm2 M0DIiVA6Jxm7/eXXl/3L9rdOQD2oJS+oO59CKL6qsruSlQyZ1T3RNKks19GaFEpVGcuEfDAKJTRx uywVS3mIdEZKOAd9NwlZMtAd9G8ZMDnQQOrYmk+1WwFbExxfvxx/Hk/b534r5ixnklO7cyoR947R Oxya8MLf5UhkhOc+TfEME6oSzqSZ7YPPjYnSTPCeDevKo5S5BqUKIhUz4q6e3KlFLCznsXKV9i7Y vjwG+6+DNXfaMYqjYDULJUpJWRURTcbr1jxj1XKk25ZtO2BLlmvVqljvnreHI6ZlzemiEjkDDTvb COcr+WzsPRO5uzwgFjCGiDj1l+W146AqxFRqZly6c4Z/jAOstCR0wfP5eU4VC9CIOxnbHzJOwudJ JZmyerJb1ql9pIfuSBbxwIgZkKo/rG1bFcJPT3/dNIxcsxfoVvsN2zEKyVhWaFhE7i2qpS9FWuaa yAdUz40Usvi2PRXQ3O1Y0YRFQJbe1tQLKcrf9fr4Z3AC9QRrmPbxtD4dg/Vms399Oe1evvX2suQS Oi/KilA7hLdnCNNYZS8Qqsg4bsrA14CMN8Ehr1peIOvTRC2UJlq5TQ0RjltKHmzLM82qVTOkS+MC XUmhuLcrineeOOKKhCmL0M3+F6rsDBz0xJVIieb2kNmtkLQMFHJKYVcr4PUThB8VW8FhdBakPAnb ZkAyyrNNG1+BsEakMmIY3ZxLZE6wN2naew6HkzOwP8XmNEy50j4vJjmAgNuPl2NilTIS304/9ptR 85Q+6wDsaIKGRsVnpw0egkRVFrruwde+H65Dns8cffFF/Z8xxRqxS05goNoP2S1Wm+/bx9en7SH4 ul2fXg/boyU3U0C4A+zDcz2dXbvWSedSlIXCPTJgBghVcKpQNjgFuigE9Gk8ph54h4HzIKUWdihE 5+ABYwVnBPwPJZo5mHHIqZYzd/LSHFukwzA1R3pp8ZB0urO/SQZd1jHSwUoyquafXTgAhBAIM4+S fs6IN4GoWn1GV22FxXnW5TnWZ6UjbElCGMfsmw3sqiggUvHPzIQ4E1/hn4zkfrAbiin4Dxb7TOgq eTT96G0A1Sl4C8oKbVMOcwZ6/tCNDJpn4PQ44D/pRZM505nxn2jk83YekWj4cY2qxtC1DtxnIh/Y 6gJTbjl3O2JpPAp2rSQB3NbAkE4+LgFtIMKsEL6g4vOcpHGEzs5O/AzPIrIYswuVAO52xyActzoI ViWsf47h8GjJYVmNsh2cCl2HRErOnBRpYUQeMjWmVB6i7KhWZeakar709svYhQ2LZxa9oBnmLWBO LIpcL2EN19h+1SHXdscNEcaplhlMx3WtBZ1OLlu32iTcxfbwdX94Xr9stgH7a/sCsZeAZ6Um+gL0 60MqOpaF7NiInX/+l8N0iCirx6jxmJ9ApGVYD+idc8gxia5CucCPVEqwLMz05RlpKnAxEoJByDlr 0Yw7HeDFgBlMdK4knEyRneMmREYAICJvyKSMY0iOCwK9W7URjSbFdt0G/EACpTlJPUelWWaTHlNN 4DGnLTjqQysk/R5Ss87MRjAP6fv5fSv88TJ0s1WTw9HBz4+XTrZq8jETzsGOwZfUtZrec8MaQmPM ecRJPmhFtAM9ABTRRY08VFkUwvW2JlmD4Dhm2G4SHjKZWy0Y36h4mDqe22bTVnBwkBTTZWHsuEbj AHWc3M7gsJZlD2IVcwm7SpMyX5yRs7uKimWZs9Birg02Bsy2ZOCELr21NCtUVQkqDVkHiIrDfrM9 HveH4PTzRw2aHWTUqjBz4npuJwX9T24cXAjJ5XQywYLi52p2NRnksRe+6KAXvJtb6KabkIVEiTQJ 9DgHT+4ZZKF6zADvzEMJOKjOVwbbnZGHxhPSKo7GptmooY8pRKYPMXbUIZ0v0nLeQOA2zQviw/Z/ X7cvm5/BcbN+qjO73vfARsMZvzuX2SCtO8ygLqrMmW9eZq7JiQjScZXwWN9e+TZhqygQo+AUEd+2 ffbIX9lyAChsVX2GFFqAQ5K30wsHKGSY77GbpjLXB1hS5hXQaBaZsqMBbHhO/5bJ1tWC12Ow/2GK vsfg14LyYHvafPjNMeewdEMB/KLgVB1KmVcpeGAvzTVEUbAcjk92pq50Zty+qGKii+dxTfGwp9vJ Z7vjpqlrW7sLHg+7v+rgic7FRyuNgAiLKk6JclyTJhGgKXCjajqZVSXV0kNWAhxkampeK3RpZ2fl 1XPXh8333Wm7MXvx/nH7AxpDdG514lTgJUzNIkRHG0xXsafxP8qsqCD0MQy+9m7Mdb02xEAABJho UiZq6hkDkQXacCGZRhl5xgfhzpQXQbdzNY4afQHWSiZCIN4JvIgt0lU6MVnwsLXKqkxETd16OBvJ YFhAB3VQaxZYkYKPZ11XmiMxx6bXq9aLJdWc6ASCTh1HDOJA2SaBx0TqoNmOr0jM4DAXK5rMBzL3 BECZMf26jNsW6RGhBpH9K1mRRo48tmzFqBFwjW5ASLVoq4Jua3q2UmrZmsfQCkKUT/7HipxnL7k5 WAatJuWcmZDv6F5EZQpO3MBik1uZZGAIOkSsTd0boIq4z2v7GIiwFZyNodGJKKpglpBYEerDPaNO IKtSgadxWjQqbtjDVg33YmYOosnIfMiWi4rFAC25WWjsn3cDxlzArrBMy8MyTcYAumpThdodUbF8 /2V93D4Gf9YZw4/D/uvuyaulGqFqAfiOpR50favtEN/+g7/r6h4a8niwH9e52KROmRTndtKroNlo ZOGtCdjCYgqOpfSwSGiUh+lL5VOnuJDXV3oQ2nkOv0yjYdBvdQsJRAbeSmbO3Y9dRd24tjM3r5X3 ABbOMe3enuHZcY1p21uxyIrZa5he5Dxn2Fje4017ujUR9vd283paf3na2ovlwOaTJy/IhjyPM23O GwpVG7aikqN3AQ0/44q6p0OyqGxgZGNM56ZSo4Ht8/7wM8jWL+tv22c0mHYwzQesDbBbgcG4h7Bn LeGvjBQ99uvhxVAGs8f6stKtoXetUzj6hbZbXmcKg0ahOa1uk4ZQOw/MoQxoNiGSzBiq54wzPpdk 2Bz87rwaFi+SB7DwKIJQ16WlfdlEYei1vYGwOsvAkE3zOgnq0cEbPhzjwrTuyYN/m4KJZXWBCS8i p4zklACQRiZN3UQAfiAlj5Y4xLQO35YS8d5teqtupzd9i8/FALi3dDUsIbUUE628HQBdMynNgbdv Cer9NrVn7M7N8gyeMqCs79ySLNMJ2n2CwqQJR/YyC+l0Dgl889Cg9W7mwkLk6QNE0MIWgeOhFzL3 A4U2zpXRurRij3C0Pq0DsjEpS5DtX3an/WGQ+kUkEzkKu8+17WD5WffQFxK6zCLfnv67P/wJHYyd CByxBdPuCTO/q4gTT20QQFaomUBQwTYHqObdh8FZGZFefcO4iMI8lFGKxw8exzaBI2rxA2xRVgx2 D2Rinmom0bkQjWaf2oF5oeSRezjr31UmRzIVjb3q8DIleXU9mU3vUB9Bc1eL9e9KCoibbjBMvYQX fs6w0K1JuvBPxRKgfpEyw0BXvppdYQ9hSBG6rk/Uc+z3jjFmVnR1ie9gnaW3VnT3un3dgg393lyW DWy5ka9oiCmo5SY6HOxnTY7VmacNjUAhzxTnWwF7X/LWyNIvnLZk5ddxEP7dm3zN7jCf17HD2Lf9 WkVqTATLHhM1MQsf0+fSreK31Eg1Z200S/iXYWejaynluLvsrhl8rJRFONyQ4RITsWBY07v4rU2i ImIp1iy+q3lvtSX4iP+wgUkSv9FpwdlYMTCZmj4207TEron6PVZYqwZhjF+IPK2Px93X3WbwjtG0 o+nAhoBgcgv3dUFL1pTnEVuNGfH9mFZeOBe3DcHezYypmLUZnlTL4qzOW4GPZ/Rk55UKZGb1a6FR Z0aYYVcerUBm6iEkHdkVs4w3J0rQZy2dHfDYOx4RxX1JlCtzzy/Mo0Q8pYDIRQywXKLspTJPy1C8 AlOBvG7RxusWDhfpyNAMrZqrc4c2V97Lw0RhGr2T7pNP8wsyWc+tWpou8zONqyzxX/gYLGbCm2Qx zTFdy8KxcxnbR0pu8cqoppKrOtuDrS4KLzFYFYNjAqJhqR6q5oK53YC71BczRtW8RPVBVHDaHk9t 6GvA2Ig1YLjAqy/MZJJE1r3W1zHrzZ/bUyDXj7u9qTyc9pv9k5eWEjzIU/cSDH5Uktz7hJBmPmE+ EPhjenNx45MgvbOwqZ4AyYNo+9dusw2iribtCC9Hc1iualKfTQAR9hmff1POAT6nzLtPREbutsx/ xmYub1mEGW1oHjMNZFMWYacJOJmKm/tGV54IwPYrjR9e/WYeBWzF0tgkFfiIMSO6lKzL0OqbjKfX 7Wm/P30PHuvlPw4VH5pHy9o9CkYH7lbDb6l9/h0l3u+E8lCXKkSJ9uXRqAroCgyH6xiZXuCM4YQs Q0UuxmmoNJtNLlYjckGmkzE1rtfgKh3IkU6n5/bEDnxB8S0xzLRklMjRwpfwZzBSJpcYLjFmo5ML XxGgmNFqgVYS9zbBbpTIBq4VqKauPMTB7RXUOYPpYgJ186CYw16YuqI7wj2XDEjYyZDxgrsOs/5d zYshOr0phr+bWY/Irb13foDHvsfgcS2DOg1TeGcaXIbfQzUwhDzGtrhQkFqmIwTHY6yUkt5DPMt9 WBoTnoolijqYTrQQaRuZu2LAGf/Z3DsPoiLHJk19eyxoRjnx2xlKlQoSVZSrEZ4s6PvN+vAYfDns Hr/Za/7+Em+3aeYWiGGJoKxL8wlLCzeZ9chVAbZuHgW6SavOijNOEXL7PCIpJKT4Y2rbc8xldk/A M9qnoK0i493h+b/rwzZ42q8ft4d+mvG9Xbk7xY5k60ERdOSgWFMhJd0gzoPGvpV5ZzVaN8oGi0jT 0Lt66eVMJVPWF5LdeR0uo21lL7QMIvIqxi0ESA0scbk43qzDqeTn8GYXb+WZB6q1gIlZTTcA0DIw eKy8ImjlXatLNgcYNvxdcfcdb0NTgLCQttX9dETKMteFtH3az0DaOZuCZUJkvc+x/3LSMGOW07oo yFAXeuYcdC8LasfqYzKZ2Shsqs9CVml2JtxMK1LguYHlrTjKS7jiKYcfVVpgbszG/4qvisvVqmJO EDfRAwjcyeQAePvb1BCcwrDzmKFdaudaBXhA822X527ME636LR9WSc3dG3jzC3CQ9F6eWSJEP5yh uIx7Tjeq5ZXhqmGhisvQh8CRdixQeMFGxOZsgUSILQW4cWo+d3PvvIFo3wHhLPNAY0RciPAPjxA9 5CTj3qxMosjk0jyO8V+nAstEHPzZdkGk/yChIZgHI2Hh+ZCWQ1bX159uPqL6a2Wms2usNNiyc6Gr ort8zZcZC9Trjx/7w8nLjlx6/9KlP03tWYquZlerKircT6IcYuNB+iNdZtmDcQHYyaDq5mKmLieO I4EcG06Lcm/lwCGkgHClebon2+TDPUNUcPAZLvCxZPMdhPS//iNFpG6uJzOS4h6Vq3R2M5lcvMGc Yc/fFMuVkKrSIHLlP6drWWEy/fQJf1bXitjZ3Uywp9ZJRj9eXHkfB0Rq+vEaq0wrSRzAvzJvQuEc RjFzdGruPQHmq5VXTgBPBn8t2IMBaBigmzX2W1/SMnN+gmNnTO1+WTrs5OzS7b0hp2xOKP7lViOR kdXH609Xb4ncXNAVVppq2JBTVNc3ScGUk4Q0PMamk8ml60oH66g/Ctz+vT4G/OV4Orw+2yfMx++A Ax6D02H9cjRywdPuBfA7HJLdD/Nf9zD9P1p39m/uTYiBXoXnUBlNsKJQt4+Vlx8Wy4LkrstqCG2A 9h+OdXHEfoJDFW+zk9HWGqZ56+B2gTWov11ljAXTi5vL4FfAUdt7+POb02UP0yGhMUkNGu7f7MRL DJrCxAhP85cfr6fxipy7saIcV3UTgOAW+fHfRWCauFf6zCv3169TzZPW7n3qQML+rPj15HI2JIKX XoT+Y3FLTyGJVujptmyvfFSTGsuBVuPegJgNatcDCVhE9daAgIzqnj2qAMgDLFWMh4SYdsnf7NKk pZXXaTnQ25xkzI+YLaXK1dXVNUJPvaON7WJnWZhd1IYBh3W9OQG6RMCk1lhor2GOBf0efrOf5HiX i4X9CF+4z3mLos6Qe5MsMt5+Vo3foarCvEBfUFXLhtmZizlINj8B7Dwn6HdnilWNUD85oIRN0c9C WRl7H0gl96PvITpS/ckPFwOM1PNDcnmB1356mXwm0Y96eokaWmPjD2saTptsVUG/FOMpnbm3Kz0j Y2rwyXfPQr+76vls9ZALhXVqtgijQxRW2n/q2PEo1dK/cu95K14k4AeQsoIpOwQbxLbb6E5kZC44 LieTiVuvaamXPq6hcnaJv0Y+O5RXd8jQu05N4U9xxl40+nDdNuFq8GC5obodtYIAUSsqrzAg54pY KDrq0LI4UHLmG4PLz8ul0MNHI46c7frM8EtYpclMVg9Y70pfXHwuZpdmGKQDQHvpg0kY7csNt4OW c66Rhx9I5DxZbpUvS6Xtd5pdAae/AUC22oRemOMIQ3glBqOsUICJgUa9Wzq7R/axKo7FDdt+aoXd VhhuVq5aoJq9Pp0AZm3/hvmZKdHvux/ovCAKhnVYsleRLHcfoTSdDqqpPbUe0JuhYaSaXl5MMLDa ShSU3FxdTrHGNevvsyqwMpD8aImn2K2MZJgLNdyIOX2Ml5WlK1qkHt57U5v+0E3VzdxQnBleNZWl zlzI07f9YXf6/nwc7Ew6F973aS2xoDFGJO6UBx13g3XwwFRTUINI+OoqiWbuDOsv4IMvpgBT14mD X5/3x9PTz2D7/GX7+Ag4//dG6v3+5f0G9PObBzjtJPXASbtMG46H9kD0zfRcg9WKk8Ghotns+uJq 2AmQIaJLgRaqGv5C5P/H2LU1N64b6b/iqjxkU5XdQ4I38JEiKZkxKXJISqL9ovLamnNc8dhTHk9y sr9+0QAvuDSoebLcX+PKBtBoNBp6Zm1adf1GJaYwzZiDIUuOTJgKjZjD1WBuJh5naaVWEtyVCWrA 1NiaMunhKriRU7Er0rpEL1cCnlf5kah1E0tzoBLHdil584lhCkT0D25os5QCUVZKNmvKip+gd1rH FNVOJ7ApozHmyKJuPFnHAdo/HvyIOnot7/KKDVhLxZi6Tu60Ua4rNZzYh8GA2SEEGIXEnK6OIdPC rGmGTi121ExVYg3CoTHWipmaU06lXjgb77NIWCfCZm+rXTNoAs8IQhJVsrA2qcdAM32X73EDMXC0 RWEbcu2dp3VD56XEdx2NyPaYbAYstSp1RdXnmrB0jRIUAii9/j9Tcbc+Roz0xrGNXMg2cuSEHXdx hvv9lwPbFGjCzu3temaceN40ljMRYDnsmQZboKd2Mnze6nnD3aOkL0rcERs4ThV2ug+IsJKpDRjK Vic0sS6ybcqvJo63FpgG9Pb4CsvEb2xlYyvE4/Pjd64W6SeKYrKqwV31oA/2rNxrc1TakNA1JvPR wmuTq3pT99vDw8O57gptjeyL/f1oMeI1rz//EKv5WG1pcdNXrlEjsBQKodLOeXqeD2MlbNsZA2fl qNy6PiuiN45RVWBLfp1KWPVsoiCY4OzsgDuuiwUHDtjUfcVCB0UDowuNRmkIoh55+I69a/BJpGMb c3yn3OH0pkGOlvvm5un1/emfprID3t5uQKmIkMbjqTA1YPaSz9/4DZjm9h5C3cGdXpsj+M3nOyvw csMkikn/8wscz7EhwYv98T+yldSszVwZXR2dLmeOwFkE9ZEsKMVeqN8mP2ix28OeX1FRU8AvvAgB aOesa3r2VK+k8yKCWbxmhqEhTqxWg9OZcsfUC8VgPmMVNtomdFO5lDpmjllCA+fcHJoMy5MpAi5F V+uJo2Jzjtc5VN2v6aiJMN3yTg08OCEdkw7F7DXRBzdwBqyWTQEXJW8tTuVz+r7arrWkScoq6cxy WXVy1Ug+NeGOOgFWnzrNyxq95TJ1S8EmQGj9udM1yDmPE7bxXwRIV/1V5LxDj/o0HrTyE4htRWdh gl2DK69xCuIFKBB6lhSh5yISwgFiAwKKVZ5DIVmVA8FD15vHSg6RovmeSNvNTFh6v9uzrY4ywUyY PqUIWmPJad+Rs2YmkBMxaK3yeVvKsTvlOQcZ/4L9vNn5aijBuUChgK+NmyFBB+WQkOBKOhKhjazQ q3sTyrXortswZbPChmXZJF0Hm6NpUWovb5cfjz9uvr+8PX1+vJpK1jyg2dzeYVMAU6qbLVKUoFu+ IgNhQTH2iHP7t+M+c1VagaulSRTFMX7UaTKuDX0pO8dWKY5H8S+WhplHTa4AET0JddfrQn+xLli0 S5PLXatKiE6KEo6fzSOMmBXGZCPrxdFf695o/WPGyS/W2v81Pi9Zk7H2IUG/J6Ovy3r7sCPritNS UYuPhMmIudmbXGRFJnxvDUR1sQVOf+kD+vmaUPrJKrpB0PZhbx1T3W1ELL4rOlt4bS7hTIiiOmKs oBXMKvuAer9QdBREa1nQ6zMmZ1tTdUYmL7GOMN6UazMPZ7KKSnc7aN9jiqZqWbqMtSapuoMSfHcE 9ANFlQ4uj2tYiNaY250G/AaxxBP6q2qDan2SqecujWmICM504oqp9mCnIvFaeYKHC6slg8hfk4SR B5N2Dt2KiQLPu2pcb10aJ7YgWqlDX5yLeop6ZmSBmcTG+BPPL4/95Z92FSiH8LXKRY9ZGbMQz0dk zgR6VSuGEBlqklY9al1AEjlrCyY3JiPzMKcjX6TqqeuhSzkgZK2PoS4uKmZVH0bh+lcEliuqE7DE 6xVgbbJUgLphdCV36kZrsxEwULQnqYtrIxy51mzqhWsrLWMI5Ii4Umd4cSTbwayyaiSF0+XEzDLt /KjEtqAcoKhQ9FVzjCI0ZOE8K345FBB3sDhIUybo9oxoELhTKdyiGK8dBu78Aka91fYLU5Ki/TIa RDSLEjAgFePnTDyoh5rXOdXchGbi+YgNMQ4bwcw5Vff+58QqGSLPWU7NRfCKb4/fv1+eb3hdEZMw TxmBa3uFx7rjDOK406i7MElYEwmDRWf2KlzZimK9TYyfbXrb+6aAsM1GYdPRJirvM8ew68wDUo1N nIbaGVImwPsUO8UUcNl0kesORg2zk3b/QAbzQj/YEWRNaM/bHv448vGRLAjoyalgaNfkUT26FKTy pNemqM1+L+tdkR5X+tNui5xgj8gGJiGsGxp2kdmHVb5/wJcBATcpKwpJxs807XWshpUGVAN6tYK7 N0KQLsunU04dhQiLwyQ19zZbEdnJ2mqdRpIqCTLCJrt6czCy7optccSPy0a8xrVBge6b7pziriWC wWwzmzzPw0mO7TrNdql6Cs3J/LTQXgEOuxS/HSE4Op+ikz9HJ7VKq8yxgMr0hVGdU5rFnm8V1AGG 2Fm92SgAfrhoTVbqE3FSZeet+saQGF1Z7xHfs4QAtU/Xs+sKp17+/P749oxN40nWBAHFDKgjvNdr ujudhXeQuY7o8w+nEmTkCbp+RURl4n5QnrULORzpJTbplgaRPnH0TZES6jqmsHV+rMc9lo7wtL4T S+Q2M/vU6FFilpUlsRNgapVAxfmvmsTq1zFOkl4smzPGrs2wVRd6nKm7uJ1F9Bw/MbGV1aZBH1C9 sK4kdHYVU4d81VjXwj71Ahqb36hj9aMhRiYuNT8dADS0ygfHY+ybCwA7sRP4l2owq3EqfcfTpe1U UW+8+TONSFM6uNTA3RpkJI6pEJTDx5ePz5+Pr7oipkjbbsdm40RcAFS+OFslDubKvHL6jpY25XlS LFAnFzzaja2p+9//fhlP7qvHH+r7AixJBc+IteesI75qsFYx9ILTwsKWZVta94SdNSwcuvV+Qbpd gfYJ0iK5pd3r478uaiNHJ4PbXFW3ZqTTfKBNDugDB5soVA6KZi8gHhsPLj1fy8WVhrSaR2jNHrWP yRzaSaqS2MNWZpXDtVTJs9XV85hKoguFBOMnDjJP4OA6j8wToeZ7lUMfJEuX5A5mAlVZXGXvrIrY vIOFkEr8Kqp6wreQVxwSJCbY0IxOn2gmyIYH4drlVbEvBKneSp4VCpN+ZqZh/KWlBPVpklnFQfzc dISj7FMSBwQH2Sx5KNV5UoV5HXDQXJtk1Ly1IaOmmmuicwfidhm5msIfEOmqNufPKVV1JoeWFdmj mFKNlCiOmXAzuFpLBjGJy3ucqscPabJE4NIKNW5MkyyFEFtsBlZsoExboTEJRCps2PB1d850TsfD ItgSwdWBHXimM/3MCaVJZqwAhBSjsR8kJpKeiOMGJh3GfKisZDKCzhcKA1IJTidYlmW+Y1t/9BHA icXwbpmATo5rOHWEQqySfWIQp+SbLyAeA1arEbJcTdG5bjPlddCpJkw1dtGrOXOvcIalYtylatCl auJm4uNG4uoSjhALQtzBREbVDzRrpGfbQT3vnppUdA2UtCKHXMgdD0s8FonOBhNP2dAItXlMDPq0 u5TLv/R65r0XBph9cWFIfTckpSlV0JF+EEUmkuU9d6AXLGEQoon5NsWGxGh38Z6McVP6zNOQED1S mhn60AuRgoWHSrXZmBCTat8NBqxKHELdKWQOEiDdBECkHndIUOAGuKoi89BrJQcxRZoKQDig7WEd 4PnrPTzu1DCRnAbLLjnscrFM+8jct6vLbFvIL6TMo6wPHFn9m8psezZhB8jUxtYzWY/cHvJyLF1f 6qYkh7RzHYcgvZLFcRz4ZqXA/f2cBI76ktLJCBw9LU6wJUgwR0DzWZCJovkhz+R9fUrua/mN8BkS V4jF24oijnyGcMFTNdyFFzKRXjuYGYwA4yYLvPVUQQSnNh9zMjaEp8fPpz+e33+/aT4u8ALs+8/P m90721i+vSt7wynLJSsmDEek3ioDxO9TNG4L276uMWupjb1R4yhgbFPoeZldbbEtrBi8CoJ8cYUs lbRwcPsRQZIKw5IFCD0ZWA6jAFoys9lCzDwVsgiDAFHg1Ze3q3y/Je6mSpEMwFjvhDEm9EKrw6o7 BjTBqjvzPBRFC1uplTZxvGuwWpUs90x5/k+YttDqJGwgM6XWg2v2K8UlXRWT0EGKA9fvloGODeyS Kh7QsoV1318rdzyLQpNve9ZMx3XW0o93kTCJOqGZ5k3srXdFzYOHGfk1+8F3HGoRUX6pcC3XO4+t ANizSu0+6EOXYuPssB+wFFPUBBOZ9D8kr76CG3oDq0SKNkEcRay1oO8igpYKAXG8ARcBoQsRPOOZ q6gGAjKNlMqg6FA2qsSzKeeAVaQe2L54ZF2ksIczuvUKiHtfK43nqpVSB34x8bwbNht05gAQo2dF 0ud3mLxOV0MRbDyCxKRHuA7r3SOI7UOi0McTa0w64CDQRb/gfKFttQPbPnPdeHVccRcrs+zpOAvr rS71XA+fHLo0AKlCZUacRah9skkrnw8ajQi3BgwiP4i3U813UBgaOR61yvCuyVJNfBpogKPL1P6c EFclLnrOYe8rDhaHqkQ7fDLjjy9Rzet8+vjxrByrMZ4mXftk3QZ5urSTQzFwFh5Q47bmFo2Ze/lU CoulGAhoq+eAwHq+Y2BJi2vABt6YMTPcKA/bcCZedFen2kdNpgKqokFjPfMHbrS3EuV0uypJz2m1 t6CK68hGfmJniQzx9efbE9xSswZxrraZcW0daJORCKk3wCIC0q4RtgI1ZedFLrannkAiWy4rbh2b ThJlzqQnNHK0nQFHmN7ApE+xYgo6hNfblvmQ1pVRKw7elmmG2W+Ag/VgEDvqtpDTsziI3OqEBePg OXMbjVYVYbfR4gXyvh4vkeLBPYBDdxFbaGh+4B/mYqcoMyq7lc1E1aVsJse4MWbBMXu7+LBFqnqS wpcF9Vs/05cSjao+blObGYyaCqV+JUnoqS3WzWqcplxEBgp4ONxtvNhz9AJH52J+ScdS7I6tzHBn FF44MD5Slbqe3XjIObjZRvvoAyuyVaxxgkwCpk8hI++2CH22AEDPW7uc8QTBYOe57eHuNXxMK8xa oZ3WKyUUX7qQYMfVAIrVVW0QpU1FHaPTBRl34ZzxEI0oKYbMbJ9Tv8WoVNqTaZa5hSofjCxU1VY3 06mPWbBHmMZOZOQFRwEIMcaawMiYLwtHJwOfmob7rNqSTPtYPdWxaPKWxzCypNz3Q67JJ+jXej5N ug3YqLT1CPuQw6BNoW3vU9m0JWiqeYzTdI8NTryj8v1dThJbJZXY5SmywHSFH4UDCkDQdiHlRGs2 5iPC6VXg4M53HL27p0xM8StGyWYIHLEC2pZh8D+ZFnz2z8vTx/vl9fL0+fH+9vL040b4pxRvn5eP r4+KlUba4TAWfWZaAmD+ep6aegBxNVo5/BunT4eEEo1p70nleWxS6rvUmO1m5x+l2+BQAHXmGjMs 5cfpuYRp96TBiOs6waBSAsW1VFAiTS6lM1KlSoKOGqRnmLjGWIbKssasLJIjR4C6qktZU6SeNMRq H7sOSiU4FVM7GMambA9T8yY7gjl8JiQ5ZOqRDQNCxzclXSnyVLok8tZGQ1l5gT49LE5Yav2585O1 rLJOb/fJLsFPj7i+0xYPsKMzBo5c44r6utedCnvumlIwu10ZNOyTMCRGr9GKieLkU9fohra+rZiC G+k+yigTU9VwDxM1p+tMTJ8eqgP26Nk4p3mEiTw3umvTB4c40OkIN0IYk68lfALvL+Hxam4UUhI6 a5/l7jbJko4pddocM5+TnPUlkRuAuJIjDdLJSIvtvtquOljn5NWN3WLGMdxAZpLuuLAA22LI2apf l73ygOzCAG51h6TkcV4PyvdZeCDSbdfAc/US12LsmfmYArfTHBtxropaRtHCBdtVis6QEk8WeDHF apzs2Z8GRcQuFG+A6YCEMfGt4GrFsJ3ngo47xivFWL1uVRZ5Z6Qgrhr1TMGIe637ORO2GEiilewD L1A3cxpKUU+ShUm1eCz0oivZpi2wQCGJ3ATD2HIRyuHJJIQpHZFrRQiO0IhYcmOrN1q7UqxONiiM Qry3pr3Nam8BUyBvWRSI74HsWGDDaOij9eVQaE1F1V2SCrLN0LWWjHsjWwYBZg3QeOLI3ty1blqp OdvlOdfGv2Aj2CVdiSltXNbnqGBVTeC7eP0aSgP8azAkRIWxar5EMbFMaLBJdPHNisoUrg9UYKGW Mqz+9xLLppB1dQlIk9gPbBlv6YDeh5FZDg/wRAKa95FNP6EtbwCvzE6cJ7ZlgHpsLzjXENqmusWq NrrmZMBgx5kCZAXh4buj/s7RyCDfLezrQ3rbpW0OZwk9RNLDmzNuulebNO3BseRiL76enO3/Hcua JGwDV+RUGAuuMoWuJTqKwkTQkBwyyxfiej7av311xKdaliiMAnTQd6RqEgddggDqXBwKKhqFEd5p wldotRVduQtcBx8fQvfe1HXX2xQ6wXJs8+0G1e51zuaE6o+LLo9AfBtzPlZyGH8Jv6euE6KrPYMo 8dE5kUPRHoPYPjdwQw/9RqYNQcWIh6+HwlJAUE3MtDnoGL5UccxVA01oKO6yZjChCrJkTLBlH7vr 06NpY5Cw+dYRkvtKzFRpXwLX3fH0Ysd8ffYtk02heD8utsFlbwZH8BwBF3A8PqngGXFpHyiT2Uar VJ7OmNBN1h7Fq6d5mafzc0Q8vsC01fv8z3f12Y6xVknFX9e5UjG21ynr3bk/SlXUcgJvgp5t8hYe a278BWFrY7PWBk03tW0493CXKygHWlA7Ykp4LLK8PivvcY4dU+/7ti7LfA5me3x5vrz75cvbzz/n Z5H/ouZz9EtJVBeaaviV6PDtcvbtGuVurWBIsqP1IVHBITbeVbHnC/J+J0eKFhz9YS8LDC9ze9or dxY4Menu96ncZ1hrJal6en/7/Hh/fb18SH2hdTjCI8vlbFUWD42OkeO/vrx+XuBlqMcfrMFgQYbf nzd/3XLg5puc+K/SkTT/aqCyLALAM07eHl/ff7/pj/wiofHGh+in5tgy1Ph2I3mOPaF9oQnmX6lA n2wVjLcZY9Uz7/o7l6kR8KhDJZu3FVRtxW/PL7+/fD6+XmlNOhBPxKwUXpeX/316/PZ3SPRfj0o+ f9Ny0dqXV0Qz8Ykjg/evnzwI8fPl68sb+zwf/PVvtEL8Peai7RrpOgzQbpP0rlViyopJIcmShs1x mKq/tM2XbyKMgn4U4Y01OlMpiGZTXujIaOX0Kq/qRh9KIkUFT5nqQ5lD/BlPxUOHZb/MWML9AvcZ BkZWJoFbRQjfyAXzop6dKZIQMAFQ/LIUlCS9jqpVR50t5avygvT49vTy+vr48R997CY/4fs/X57e 4Xbu3+EdeCYEP97ZcIbAyN9e/tSOj6Zvxm361k/dZ0nke8agZOSYqk/vjECehL4b2IchZ5C1akGu usbzHYOcdp6n7kUmeuChiv0Clx5JkPqVR484SZESD4+VItgOWcI2BZhtQuBMNYmiwMwe6B4ekGlc cRoSdVWDmRXHOafe3583/Zbt9wd5Lfi17ysikGbdzGh+8S5JQi2ewhIATk65LLgrubEFMnIpvg+T ObAd54L71JhMgBw6voUMmhwGUZ+YH2UEIM1KPTcQd2odD/BzpxkP1/C7zsFDwIwDoKQha1oYGYtQ kkSua4wMQTbnYLBLaqHhVORKN/THJnD1J7RMDlQvn/FI3FPRE54IRS+cTXAsbpuZ1BDLLMY3LtM4 GzyCTDPJEBO+EZOkG8bPozK80FETuZF92LIlMZgmRFl7Q4fT5W0uxiyE4BJAA0ze3QiZgwVgnx0B 9zAR4QAa1nbBA9mAoZDxMRl7NN4Y5DtKEdm97ShxkD6c+0vqw5dvbAb81wUeMr2Bx4yMzjw0Wcj2 pvIJggxQzyzHzHNZWn8TLE/vjIfNu3CAhxYL02sUkNvOmLytOQiFLmtvPn++Me16ynZx9Mi4MZq4 +vOzk6uHlnR+KPnCNIW3y/vPHzd/XF6/Y1nPHR95jv27VwGJYmMsaSfZk8oMTrNFplvXpZddLbUS vdAUZl2nZuqYtvEct1mieT9/fL5/e/m/CyjcvG9+6NsUzj86BhmbWI4xJcelRDnHV1FK4jVQcUAx 8pWPqTQ0pjSygHkSRKEtJQctKaue6C6yGooeDRhMnjV7EoZWzPUsdf7Su4rrjowNKXHkiPwqFijm ThXzrVg1lCxh0Fk7guOR3W4ysqW+31HH1hkwWMNg7eur8YJkfJs6Du6FrTMRvACOWWo2Fk5shefQ c1fLZkudrXspbbuQ5YEYp8YaHJLYsfnVKQOTaMFpUbaij100BJfM1LJlxTRUTd/bc1x1F6zIZ+Vm /1/ZtzU3jusM/hXXPGydU3XmHFu+ZrfmgZZkWxPdIkqO0y+qTNrT7Zokzuay3/T36xcgKYkX0Onv YaZjAOIVBAESBCYwoKQt4BCux1YWb0oOyVTe5/PjG2alAWv9+Hh+GT0f/2v05+v5+R2+JM5xXLtP 0Gxf71++o2+fmycwO7RJ2eynzlFoZGYjk1scwPQjiG7f0sACvnm9fzqO/vj480+QwpF90LBZt2EW pYkeaQRgeVEnmzsdpP2dVJnInQdDGRlfhfDfJknTSp6mmoiwKO/gK+Ygkoxt43WauJ9U8b4tk0Oc 8hYzUNzVZiP5HaerQwRZHSL06voBxobDmCfbvI1z4JCcYJ2uRuOQY4M5jTdxVcVRqztMADxjIUY5 MYkxflKKKb5NUqBTSS5NcsxChm2tk7x/a2JM6Pcus5Xz2AS+3lb7rTXeQ8jQAQh8Z40Fq6jTADGJ 4njX+LzZx5xZBWzXtG8hjqGVO1bHHfbVhJZmgCz3VWBUjO/NrUR/WD4s6qkVWAS7ZGfK1ZG32WpO RsfCag9somd6QXLjWhvr7HLqtfjmxaq5puPZ4mdTmxYgKqZvFW9vq6Sm3C+RLuNhszGnsYlSq7Rk nbXbQz2b+4bUDY6A/MxWB7Nk5YhmsmwMjJAXmbkk11XBIr6LY2tBdiqb0VcOE0V6o+DcZqy0Zhsh anCci4wenzcZ/OC/Td0vORevyqlCzQzHxifOVcIFMk9wA5OwpNIfGiT7OLf5ApEzgdxFnvxtimpO UlHVcD03s9lG7sNkIIc34XWL2XjL8FqP8mCWncZx2bINhsHD7siQUM4ehh9s1qMSjItHYdPH0myk fOf78nHRR1BuUTJfJieHtt6UM49jnUtbRpOAjyf0a5ieHH7nMvRMtL841gMhzgrJaANJf2N4ufKS 5XH6GS8pIp60+tMAC813rCrblI+ny/0yulVeIEqZ+HR+erUqK9so4ZpbZwcxbwQHhQ3QG+s4V1VK KiyCE9b3D389nr59fx/9rxEI2u5q0lGkACfzluMNZRJqIgoxWuBqBe03Zc9XA/66joL5lML0/vB9 Fwcc7ZA04AmfuwEpH7SnZHjzgcp+wTNgiNCxBnK1Iq1Ii0YPiquheo9dajwW0zHzoq5ITLmam7GP tEHE7PFkquuBRnv44eCsJ7xDnXsYnaUeO3nAraPFZLz0DF0VHsKcUhS1WZFu52S1sZEM/RPWNo5x aG3RFi4gRuiQrI4B0pXAiyY3nlTxnGI6vIcsdmHSol4KKo9UmIeGmJfJGtC+sUVYk5ZJazjLSco8 tyZM3IhiZJgdg+0tjAyM3mhBmOfQlzBu8/hWrWY3ial5sIXXsI57ApbVReXB0U7Md+2I3kANqFbg U7wqiWkNQJRzlzN8piv8DqibSjGuNcbpKaImrFOiMkSDUMUATG18gM0Vdot211ARNcUwoG9Lw0FN jlqZEyYwy8uIeLs4ELvz2/soHPwQnIBDYpIWy8N47MxFe0DeoKEl/Ofk0BuwREaBocCEjhzaExhp aAboPjbj1fcYvPL1lDekqTc+i1XHfHN3aILJeFe6fce4fZPFgUaADuMiNjDTUJiLKMjB7aAga2JW +XB14sPYecIN7JA3weTFDo8hArxc31OhKkEdVxtEKjyPr5nuQhc4vrtcvXxR7qUJcy4ejCPtZw10 dQchxCZTYg55uppMFNiosEfA/FOW4UATcvvbasUWi/nV0uZDgwiLxhgVnrKHsbSAwsdBRWY1a1Vx auDvnStIUWKoyGTh4/3bm3sWgWWUVZIbbngIvI2soayzPupZXtTx/x6JwQDNmG1j2BRf8KhtdH4e 8ZAnoCO+j9bpNUp4sGZGT/c/OteK+8e38+iP4+j5ePx6/Pp/RphIWi9pd3x8Gf15fh09nV+Po9Pz n+fuS+xM8nT/7fT8jfLwEVIzCulkEIBMSstvRsL21LId4C1ulvy3FYHMwWwANpiYKDvCivqgieh7 Yon2ecWJRRDl3LNrI0bVZ4GnNp8IYLtl0TamjuMHEk95rS2iJFQeUOkzUDdO3Qhr7bg1LsXFxgmK CN/cgcUSu3Xaj/kEXKyeyIwVPiDoQDo9XjaH/LRvh7Pgysf7d2Dep9H28eM4Su9/HF/NtSa+r+F/ i7Et1gWqOczHFLyLetUtwUwsbRAkT2D1abdxYj0nRVvkqeWgFt2GzswgTCh6noEQeIKjJcKdMJfm Z4dK6jEj3huMblG4T11qp50vsUe4Ebt7lIpANgkYgSw2zo1mj7MXiQDehEVGgGGRrLLSQQQupBtr eR1x//Xb8f0/0cf946+g7R3FVI9ej//34/R6lGqxJOnMBbwBAcl6fL7/4/H4lRjDAFXlpNzFFaMP XHs6ctocInfJCfgeg2Vwd9SCtq7AygI9m3PM+lVs3NnqzhGxoUWkx+UUQmeH1+Exc6SbgrcXxOxA ROeoNkgcudZjhmxrdOH+hEadWrk0rfp+bxNTSG7QDecyG2tPa1pI5EdxliwsFgNQsLBbzqKmbmgX JbEvx3tO5n9CJAYpr+2w7ALh1cXDu7KKOYd/l+HC3abuRNxcz7dJlBWNzVibOgJFMrXtXFbCwsVj LivhpoC32SYRef5k1F+fppeAibY2LmpE3xy1EdgaTNp9sq7wHYWv8cUtq4CpLT0LNTtrlnYiCQFq fJvkUDeVo/QlHA8qNreemu7gE4t94y9iqA4WQ4CBiv8G88nBVjo5GMTwx3Q+diapw80WpAOaGKMk v25h5IUjhaNQ7FjBQSgbpzdg2EllNMktOd8zffn9x9vp4f5R7qseXXanbXud4HcxeVFKezOMEyMe oIrBAsSI9/ROptYxzkZqttsXiNQL64EyNt76rjuy8LEIGp36czfJIBhX02i9kAlpmbiQdp/Et6am +PuX2XI5VgUYOYg842n0lFSCJPTCBYxNhJfBse9kxSS0tgSFxMFuo4rd/hYQWGU7iCumdbPZ4Olb oPHN8fX08v34Cj0dTk5MtvFY0p2x35Dh8kQLKkT6bEHv4GhmG/02U3DsgQWkl6RQCPeqYgs2dY3a vERSYSH7CsPWWrJhDZ8QXcvjOgiW9OWONiVuQjxz05FhVPfWCZmpiDRZduda0zoDkxNryqE16GRl wZPa3jbA0G5TS+o1bYz7j02Zh5kNil1QuQNRUzuEsUPImzV3Cas8SrgNtJfDpm32tk4k/rQJO6hq u7nJdjjohG+X1kjI9vYEXbM9NcSf1kANW4/cwBS13F8+jpBf4RuodolXHxmI5ND6ilCnJOTOpPTw l9cjOqSeMWLsw/n5z9O3j9d74uD6S1xZ5+fAds6ZZr2Tg+tpOOId5tpS8y2X5MZX0KbJwzqB384w Dxis7dPPaV7Q8Ep39ssOapAtCv9MbtvbeB0ya0Rgz9B3MT0F36eTpu3kd2Xs2wLQTGr5bVKHmreG 8TK7vK14fAPqNwF0fGazsFOZpKGfhf/h0X8weO+Fw3/t9jb0niYhjkc7/RS3B7X4VC0MQTMvjKBS Pb5M601GIcBKZhXjuv5tIuuriQcV4186vxhYsAYzvvPEFdMJecmqAxkWrqdSMfKpdqhDZgol2mfa ggMyKvZkeUJfozvFp2QwrWGMD2w/pT9FFOk1ORSuLhiIj6m82A7RBv+1gr72yCxJ1zFrSE/egYUw qbb9fRfj3TuNkiA7iEL8VCIu/Se8QB7oIVrkI9iRfJ3xzG6yuuvwV/bJNJpJkcWCzjApuBkJUIGd NrmrMxHejVHGqLUCw56r60ak8DTMzYMsOnpr/+6XuTkgt+06beJNEpPpaRWJff6mwLtkurxahftg 7PAWYK/JYKjYtR3+k2zsb/bNekp7VWM3+S40G9DgEC1ARDuVVzGmrL/2mHmiCZg8wiwuvHHE547f mAAVEd8EGnegA6sc4tzMFqgJNssMJkhYtpiToQ6R5W+NDC0Zr5Pw2oVYwdJFhmn+fnr4SzOvNV9u 9VGTc7aJ0XWsydyTXb2UT++r+zK1+dDs9PgW/TE0rsJf0hlIH7YB2opI8sSgaCQZmOAyUYRV7rrC g5Ucz6d2t3hOkW+FM4joFjr6OGcO4jOWT8fB/IpZpbEqiVMbhrkFp3a1YbaY6o8zBujchtZNVSUc uCNP7PqEo9PYGRYBpg22AU9boR1+QXrs99grM+m3gIub6wNlvcqJKNYw3e1Ns47deZS4itHpwmXx DfxNhkAVaDsbnmwqBtGdXego4MkQago7H+tqQgecHw6O/0yPM8MJDmBK6vXYRUB8tLLiJ1vY1cKd eJEz3ZM/ridYkA8+BFrLd218dUtnNBbIPpqMn2QdBVa8OKMv9XR+ZS8QJzWCgOY8sCFxfVgnW6fJ TiR3Y0WFDIMN2essDedXE2fCtbiAZhWXshL2y2z+t68RRR2M7f6R8cgFJuHTySadTq4uTK6iCYh4 F4MkEzftfzyenv/6x0TGzqi265FyafzA3OQj/nJ8ON0/jtDwUuJv9A/40da7JN9m/7Rk4RqPfjOr J3bAa8lHGNd+5Q5keqjICweBxaiq9kyJQNeeNYjSyZ5aBAbLmV2ME8dKDmNJiNQ+xq2Xi7dZt21s Hu/fvgsX3fr8+vDd2kb6+ahfT9++WfutbBfsSVs6goY02JJ1kib1XVcfzNf9Xx8vaMu+4a3h28vx +PDdePtJU3SlYnqrNNE2YQRk4WS2WE1WLsbZixG4C+sCJp1oM2I5HsvrmpoG7Fx8f3l9fxj/Ypbq M2wRJ3IYd2MAgNGpC81uDCmSgsK8cTMe2gS2MdMj6Jwlon3V3rDdMXQxNoVQpTpyGdeXDIyrKNh6 Pf8S86k5WhITF1+uKPhhpadi6eCE81z/yYUYvook4p43ITrBckaVLjF2ZieKbOE5We5IdnfZar4g Iy4qCsykdmXE7hsQKt+EU6ry8r5Y8yX5rtGIKKoXmmelZOjBfB5OjYi6CpHwdBJQX0hE4P0kWLiY A8DnLrgMN6t5QDCYQIzNW1oDN704FYLkwtd05MxuLGeT2gqeamA8ecJ6Xr+ZBtfU10SyZbttVpKE /ksnlYCBMdIJ9PNqp+XQEYsJsXg5KONXund+h9hk08mUqgIW+4QcKMDMV2SoS+3TgGCIOAN7ZklU tZ/KN+RuVYDx2BgDyWo19gQi7fo+pzXLHh+BBFo56gzGMjDFrC6ygxDsM/S/TfodEuhxP3bFsyOQ psGUWGHAvcHEO0BXYUDPxmIycT0/ejeoiy0Js4LgSBCYwYpY5wA3Iovo8DnBjCh4V/N2w7IkvaPa Lgk+Fd4rOuSPRrIMVmQEWY1iZubk0lGrzz8mhz7iwWxMG349iTdwvEZAyzIMbLesGZ3vYRBaq9qT aUMnmV5qAhLMCZGR8WwRzAg+Xd/MVmMCXpXz0AoqrDDIv544wIrCH0e0Gw4r43YPt8+nO8SXu/wm o4+6eva/FPxf0YgcTM7yOj//GpbNZ1qYSt17cfot//ce0eUcJSQ2T9tNnbUsZbpfej9tePDtAbd7 +Oni8EyQZEHyRLoX5yJfLznd1WxCntH0A9NnLibUTJW4mCqYuOmzq65Xc6pUJ0OnhljQZ/IaxYHy ZB0WKqvw0IgYc7JGmfd5uro0QM7FUj/5Nfw1pnQCzBxFVYeOOrNLPJiW4kjNLXHwXrE3qi6jmKsG ed0U+zE5XF5vgG/JK6m+n/me2LPkRQ4Br4PlhJBVMmMbBV8uKO33gKxH7r/LKXmCpk0LtderrLwE +wsXlk6nwNMRfgR7+vXyRq696+9bGGFeS/olHKAwiLgekVZ9hOFthVsVdSstPxvaLH/D2O/jIYCI Xj9i/e5cioDH6QbNW8psViS7mOkxOLoP0dbG5Vdzol7xjTD/Y0v9U+cV1iD0px/NYfDvVDD050z1 xxy7aDZbrrSYtH3tCkP0Jckwz3OYJK1RFPwItL6VrBIxrEt8rK2D8e22Qv42tsBVgVP229wEy4sG lPzcSDgkseuiqHvcL9qRiOpsu07bYkNFmtcJjL5rCOe6pCcStVPcZR6tw8+2VDI/qegje6SJMBqs S6OXUjXmSdJ+kxRkeRj24kJkWUTrN6vyN56nNg5wjQFwzWjFkjgzu6mBu7g81PvVgT4qqfv2vfAE VS0ZiAUUtRyuHu2iazUL79y7NcxD+Hb+8320+/FyfP11P/r2cXx7117aa+HhLpMO1W+r+M4X+wUW ThzR+y4s6G2SUwdybvSSDtKWSRnr67WC8vvHe9ryUiqfAzAjj3fAqsz41gXDeqv1G/Y4TVleHMj3 rAVmuD8UdKzHHT4XD1PtArWDQB0xrHa9T0LUKGopsh/P/YWqOHHHUFDV8c/j6/EZk5Yd307fTOme hJ7XUlgjL1d2xIwuQtbPVWQWt+PRNSU8+m4Q2YFM5JVls2lYcd51uXSZi5csm4d6NhcDYQaY11HJ fDqjn71aVPOfoZrQdqNJNKNu4U0SPRaDhllnk9WKRoVRGC/H9KAjzopLoWM5KOyw51HPpTQyYZ+k 8YGX9CAjnjPfOG/jLMlpwaBRSS3pk9HpU7mQJRwS/Bd2Du+CuCmqhN54EJvyyThYYbKFFIy1zxos 9MfL7e0PCKnvfbejGklxyMnUTxrJPvTNLS+X44DSY/UlmZWBm15Un1mZZ4EOfyUGXbhsmn3Elt8C R9DXXj16qVt1PfTKhspwOuuk5u1tBVMDwDxY7crQrnPNkmvMwkH2GfFhBpbDpI32pfOp9MTxf9gu prrZpENFKnOiQJ8jnTa6jh9c92l4t81926wi2VX0KWqHzzm5qHts4PaGVyZMy3RFLvtdApJxEe6n Y1ouCfyVFyX9YCiuA+yCPGSxaDyi0nQkI/eRwMwwhe+odgk3JBivm7VGTrRGo5guTLcKXXAX+LSI dtA64K3otXcexXtQj5To0H5hJ1+TXkYbwlBeRT5/Oz6fHkb8HBLvBUGLA8UcWr1179F1nDyi8OOC +dqPNIfSxi5JS8wiWnmLOHiiE5o0qynR+DpslLLW61HkaBEsp70s7ltVJ8rdweYBWhUUEUnr419Y 1zAhujAfXn4TyDpY6g/GHBQIeWiNh4cVCdi79F29S7qP4lCW5yXZJZtPKOJ69wnFOio/oYDd7dN+ bafRT/XLjODrIFVrfqYcNZSXi/u93MpR/KkSs8023Gy9Q4EU2aWxAoJh0i60CmMBWm2iqRdLMsGw RbO88laHSNnon6kMaH+OPyVpGV/qqaAJmV23l/Qyu0sSOW6f9FZM4k9V+QljL5ZXlA+GQWMejDqo y+tPUPRrmG6EoJEN/Ym20KqCRA1t8dZ0pVrzWU2rie5zbaH0QO4OahhzL0W/rr0U/TqlOyJpfobx BOVnS3Y1WdJX6RYV6WJh0Vz5xR8iiYm+QPxzi0uQfiIrFU3ZJrBH31Zk7A/ig8sCQNBkPyXPJeln s3oV/OysIiU339O6FDwsWxbROr5DfFk6SZJPpNMKNP2fO0ky9BRNlelij4jTpqfH8zdQm16UT4MR /vxnyDUdGi/r4P/hdDJtM7APP+O/MoEvwh1p0YpAnduIaweHXabhMCSHz4zkIojZfArN0EdSgpdW 43SkMHTLkOMV/epKT19tonl00FOj90gn2zErb9ptGLar8WpmQrPMAScAZiXnrWy3DV2MzbwJiSp7 NjZTN1lo32er8YL2RkaC9DMCWcKSfM3CM4k2Eqv3UDmsGuN08CnViwFtF5a60EjSAnBJQSdzE5q6 UChXToxTnWyE7o0zQE0vSq0Q7/go/NWMKs4DXZDttMGKeOWMcdkozCdNWplLhiseo3rCQ9w/AI1Z 0IZmABgv+i7BAwu+pYi3PkrYJ3V/WYCmJb4Twhd7ZEGi7z6wXUMGJTm0IlzsUMhwPKGhAvJ2kgOn yVFazTRW44otjUWCQDEPDlS21QDj3NRNBWYxTo89azcLDqZu6Zs5Vbts0tCbvqbVjHYfQ4quwysy CSFSqGl2OiymyUUcRFt0icr7MTNSEPGhaBsuxnIymRPAgABOic9XEwpIVbRyPpeD5hQgwXYR/QDa 9D3C/KLMkhaTi+MeE5nxb8TWs9vQe9o1bhsHY8vEi4CNmgio0ayo1+HNs308g5TxTz65FwBdsskN 7YtPw8Wsf3DlPWXj83KPcVtoMkUk36u2U2iyeWfXF6MoZj9Vztwpx8YvLuNnk0/aMZ8Fvi7ZpKzK Fj/XbNTuuRju0PQRUHjAFOS7bxWaluySxAWe7ggs5k681DzBAMkm0R/ZD7C2rMLEZip8CJ22vAg3 5Zb2bcKX2T9RrfnutwfBX0V4zSlMWYk4KfmC/K7Dri5ir8xzallj2FxeI3WCj+N0/Q6h7nNvhKbb DM9BB6AM0tPuQ8MZQSvddc1UNLtbXiY5DsdQ2gBz/BQ1FAqYiwVaz+d1hPLQoop1vAM7Eh5nbaNc DTWzhZ8/Xh+I3Dx1kmECC813SkLKqlibnMir0HESURdO8huiNd39kSTQv1T+pd4vezdT4tNb0OfX 3i83dZ1VY1io3Yed1n0oUZJaUOF9urCheIVngaqI2SB03UwI4DyBabDAMqqZ0xfpFertS16G2dJt tHLCbOs6tFHKs9etSc1gtMaAq2INkitNZWVyhunAbVAOvFjFzijnoqcigUjpbQVpvNpEgv1hpyVp QODvl5nwoktCemsQeZagKtqxRGL9XieiBXJD9t5xdw7PvukTF99g0HJ3ILL62vuZkNq+ofsdlXS7 V92HO7V6w0zzOO2hWd3oDp8qJFsB40wQ15khJGPVS4zdfmnIygN1WbxbTZHLs0p72NXDTHtWgcuG rEW2AdPTicxqNf3sumcg9BT2zH4IQzihlp5z3fY5BbSFDq7cERgxpkERrQqMn4qzuJjJ94nGoZEl rPsPWZKuC81ww3HIJGTwYuwyzGc7egill3c7RblS3QL3YglE26GN16KVmVEnBq0ASWZXK++OnbI0 PN4++/Gqb+I5KdGYskilLzuqO+5AyJMpPFlKTIcK3IDKKPTXK4UMfEVGkoFFGmbRjTUEYu8FM3dr jYFYt96aRBvtigZWAiWk6cKeO7eo1fHp/H7ErO2Ep3WcFXXsvN7toW1IP+DteHNfNiCi5Odav3lY 6oxJtEC27OXp7RvRKNM/UfwUXrg2LOc2ZKjcAMszTXxsb3TTwiGA4mWbjMvH01Q5PKPctyRB72g7 jIvR/55DMH/NrXx6IZ/gnT+ev96eXo9a3kuJKMLRP/iPt/fj06h4HoXfTy//xAfqD6c/Tw9a9BiZ aFMdIPMz4XEv39GELN+bvloKLm7WGW/IGCJd0CpcXUm+Kdzvsx5HHp9TLZNNlv5wZItVUEz0QwVJ bhw1ayieFwWlkiuSMmDd1yZC70rXSrcx+pZwNRHShQy412P5ps8TvX493399OD/Rvet049KOF42l iFg3B49IQrx8uk7ihUzL6IxlZJNkDotD+Z/N6/H49nD/eBzdnF+TG6vdg6RqkjBs43ybkJ6BqPVs m1p/9lAyJlLH8ULlVlTN+axSUevp39mBHkIxG+jfo5fpkEt/H9Du//6bLkZp/jfZ1pgHBc7LmBxK okRRUyzCzY/S0/tRtmP9cXrEwCH9qnWDJiW1HqBa/BSdA4CekU7V/PM1qPBTw00VIRbUHmZKd9gS WGlJfFgtFTN8LxAqzs3wOtLWXkFG+65JEU04POg5ie32ip7cfNw/AvN6FpS8JYJ9Ep83R2tr98fN rNVjpUsoXycWKE1D+16sjCo3i5nA3GSJhjH3ctgSqLhbHa6MrLLUnmOCrPuunlC8HrJ7w7MyKB0Y d753hbhM3RfmXCjN3vs7VhqMSM6HKaiIg81hU+00yG21uUyQFFEBSh3tBCikrrTEKJunCKVxDdrl vkhrjIsdFk2ZukJXkE0dMl+herhxYTTLzaGT/ofT4+nZljj90FHYPh7NT+35veqb4WrdVPFNV7P6 OdqegfD5rK8RhWq3xV5FWm2LPIpxyWjiWiMCzkZrgRlvOg0C3L4423vQGJ+Il8z7NeNcHmkaLXei 4oFR0h3AisjnfYd1s0XYOxqa2qaBSh61DEU449jG+zg3grwbiK4heUE+KCBpyzJr3BGQJD2XRxuN peJDHYoDaLmp/P3+cH7uclcRcW4lecvAlvmdhfT5tqAwcxUqYMYOk9l8uaQQ06l+cTTAMfqLA3ef AnSIOp9PyHfyikBKJryJyRLdMUGhq3p1tZwyB86z+Vx/0q/AXVYCChG6b6l0JCYjmup+0yBti0p7 UxlF+nmaPCaKKmaGJZPweE0fhij1DRSjDZ19fF1P2hRUppr288BT7jhLqDeOgEKMsRtjZkFkOm8+ c9DY8Ngoj+s2pApFgmRjdFC6H7d5nPksY25m+RTJunHwrE71Bqk8bqpKGeS0k7TC/t5kYYCDqcHV 0VtmzCKutfksCNrInA21CnlFnrVLgWB+0W0ZVvdM/PQT/CSY2QRG8RPxfVtjlkzrKFjhtLMcnZkT fKgpEjtQsDZck+AoYz64VOZJLAb+BM29yezKrjfJRlCZYBWfDSwsqoXyTz06v/aNQypq5SKHd0cS 6CS8y5lqfglgssShaZ2Elzbow8Px8fh6fjq+m5tOdEin+k26AphPLgVQD1WlACbVOmOGywP8no2d 3/Y3IYhMEdUupaGKXltjtI9ExKbmezKY8ioa0/FXJI5yFhIYPYTD5pDy1dUiYBsKZvZGTEyt2j1l h4R7cBihyMJfH3h0Zf00S78+hL9fT2Qo2UHwhdOADG0FNsJyNjdCEguAPZ4Ipp/oAGY1mwdGCVfz +aS1o1oLqFUmgMgwz4cQeEBv1SFcBIbbRn29mupRIRCwZir8ZneKYbKzZPHn+8fzN0yI9vX07fR+ /4jBDkGHsBl+Ob6aVAbHLwM9XD38XuhPMOVv2BtAw8NH/gxsVfOgJlpeXVHHxyxKxFNGpidtUUcz JkycrLCMzaNAYYbSD2UwPiCUqgGQq5VZGJ6NiOdoJjgM8bnMxARG7AoX2rY0oWnuNCPO93FalPgA vo5DOuVV515gfon3ZmmFWhvdB9x4s0Mwt7/bHZYT6gFgkrPgcDD70R3kWkWAsryMPNWmZThZ9eX0 n6i4Kr6P6jCYLTVmEYDV3ALoWiPqndPF1ABcLfRQXVlYTmeBccvdPQASoVAWY09rdCrQbDE6hj32 cd5+mUgOoY8pxMkmZ5WnhjJAb3xjrHPWLI0QU3hDaw+jVJIlY/ks7T3yhP30TB5GiBg27aGwSsUs 0e32riq8/alyjLHn9LfDdmaI7PBQa/VlG6RmN2VMKwuG8awskOAvzNMrYyDbap3soy4ve7gNijbC z48glhhrMDCmUOzpqLyWt5a18BsJx6uJDeOwqRg+fAiVSdHo4lVARWBlo/zbdIHQrtrhhn+zmPh4 WPmj9IzbCfhLwlwX95vX8/P7KH7+qh92wlZbxTxk5kms+4W6UXh5PP15MnaJXRbO1FP7/nS/p5I2 6f3L/QM0DGMsfL7lTMzt6/OPZR3fj08iWZuMMmQYw6xOGSZSanmcc1IaS4r4S6FIdPUqXpiKGv62 FYMw5CtaALMbxaTDcs/wDTi14fMwmo5bm15CMRij7wseVwnTVELsQ1IlKKy2pf6k00DMDJdHboZx FgBfpQLXVzqw7peVHXC7m0N7cmRMqNPXLiYUcOEoPD89nZ/1QzGaQOfcjKsJ42pG5OE+EGNoDIMX umN6Gyev1XjZ1eQ2w0VaeqrZBBqnZlUeaykeBna+l+uMXgrz8UJ/xRDNpzonwu/ZzNC95vOroGrX TD/WFtBpZQCMUCX4+2phtj0qixr0HdOW4LNZ4MkOoNSDiM6vsgimpl8a7OrzCR0qGFErMn4D7Pz4 gNoR98zdG5i9jYCMBuB8vpy4otZq9BBV69Ik9Wz29ePp6Yc6sjUC1ODsywNVkaePvjKyC5AB0TGF 8fH54ceI/3h+/358O/03xpSPIv6fMk27u13p+bE9Ph9f79/Pr/+JTm/vr6c/PjAGmPusyEMnI6x+ v387/poC2fHrKD2fX0b/gHr+Ofqzb8eb1g697P/pl913n/TQWCPffrye3x7OL0cYum4x96J4O1kY ohl/26J5c2A8AHWeFGVZ2UzHuku2ApBLWShTtKUqUIShmtTbaZfYx+Irt2NSKB7vH9+/a5Krg76+ j6r79+MoOz+f3o1xYJt4Zr1IwHPa8WRMhypVyIDkSbImDak3Tjbt4+n09fT+w50flgVTU1eKdjW5 S+4iNLhMB6EoDMYTytTe1TwINJNA/jZnbFc3OglPloYhjb8DY1acXqioELDeMbnD0/H+7eP1+HQE begDRsVQL9ZZoviOPk09FHy1HPt20+vssNCamuT7NgmzWbDQj4N0qM3giAO2XSi2pZQQyaQpzxYR PzjMq+AkzwPuKuJjH7xvSx8YwjtgMpPD6dv3d41TtHOB36OWT0nuYFFzmHTz1cHSKc0dgIAVpx1s sjLiV1MzuZaAXS3o5cH4chpM6NhX691kSd5aIMKIUwUb0WQ1MQHmLgiQKZnoBhCL8dwiXSzm1Nhs y4CV47FRsITBIIzH1OF9csMXsF5YqsmpXoPhaXBlPWA0cQH1nk2gjHc/v3M2CYx4pWU1nhtrUhUr 0yvphwLV3Ay+nO5hqmehx3eAHUD4+SUdIumw23nBJiDrSVxR1sAy1ICX0K9gjEhdnEwmehfw98w8 pptOzRj0sISafcID6kVXHfLpbKJpfgKwDKhJqWHk6YQPArMyzj8F6IrqFWKWZg0Ams2nFHHD55NV YORR3od5as+CgZoa/LyPM2H+kmMvkWS8m326ME7Nv8A8wWxMdBFkihjp8XL/7fn4Lg9ASeFz7Xk6 KhBGy9n1+OqKlFLqHD5jW+2ERgM6Z8lsO53YcQo7XBZO5wEZ7FjJX1EirYt0ldnojmXAXp+vZlMv wtwHOmSVAQePfXDzmzuWsR2Df3iXb61z5aEmQk7Rx+P76eXx+LfpiYUmXnMwitAJ1Sb98Hh6Jma3 35MIvCDocgqNfh29vd8/fwUt//lo1r6rlHs7dX8kMktWTVlraNMCUE8SjDK8k4q0F2qrMfdeWhSl tzYRRpiopR8KusNqZ34GzU/kfbh//vbxCH+/nN9OaCa4mp3YQmZtWXBz5X1ehKHbv5zfQT84ERdt 88nEtHQD/UYt4iAFzFPi+UwPTo22orWLIYgWZ3WZojZM6ehWA8nGw0C+6757WXmFlweXipOfSOPr 9fiGihIpltbleDHOKC+mdVYG5qkU/nau/9IdSFLKPTUq+VQf4V2pj2cSlpPxxMpHWqaTydyjYAJy OjFV/YzPF6ScRMR06a4UDBTLqRev9XymN25XBuOFJmy+lAwUq4UDsFVTZ6QHhfT59PyNkhwuUs3Z +e/TExoLyOpfT2/ycNJZJV2U3ex6XeIDuUOSyTxkQ89Rb/IkLkwiVgnXz3ZvHp6sJ8GUiitfJrnu Qr+JlsuZfvXAq41pJPLD1dSzAQGKDmOJhWiPcnBzn45175h9Op+m44M7+hfHTL1aeDs/YjwV3xmx 9rrgIqUU7senFzzz8CwuIcLGDER3TL7gzNLD1Xiha2ESYurwdQbKN31tLlD0IRegJhMqTFUNItzU fQUkiGhpTvSwZ4ZbzcsTfsjNwTiGvs28CeMQJzyW7A+kG1Md0p7mSNHfqF6ksIOE2gR2WFITH1ep 6fupI90kbgjuHip6vnI8wBDo5gVBqHrE5ylol6z3tf1JQspwiTlMXOpDQDGHwsF+ZU2tfNctUyqa JUke9w6kN6MGIq/jOFvruQMQKFLETm2YPP3lodNxdUHsqQGmhNtFiWg8bvBxRIrrV09Rwkc94aVZ nBsaUkAPVq0iM45dm0iJHmX+x3xIJBLEkkmXBPbA7FK12LKgPdG+foIuZP5aO9c469GjTqHubi0R 0MeL0IFWDAEBS4NVWKaRBVUJigxQZRPVid1nodh6GtopyMQ3JfWWRODwvtb+wJ+vRWCTOCQDpCnk rnLkZX3rCD8AtWkceWsBex5+ed67CgLxeltHSwOmuhk9fD+9GEkKOh0QhE1CqlwswneV8K3ezN/F a19GftGxDYiLEL8DfUFTFzpkdRO60OoLm3SoQUdQXCIKJDez2QotQ7OFelRZOtVFV+luJduq6aXV TR+6AToZxdorWZSMgOd1bBlGCM9rsCL9TjhYblhk6yQ3kucWRb5Fj48yxLQM+usX0FZlt7qhaPIy bbgKPt61erBA7QnuG12y8Bpd1PUhx6jMyEf9Qx8Dw+qdGTtUgQ98Mqbfh0kC8YKMDCCk8GJTtWsb dlMKrBwH3NbYKRMsNLoaeRsid7PtrV3ndWAkixKwlMFyu3HrV1uSt44s3JUwWaw6zO0i7axjA1BG jG9ZtXYrRLceb2199AK7WPmGpuDO9KtXRqENl643DV+XuzvrFaMkMBNCKJi4hnTbTASCMfFmmBcJ 7KM3E+XJReAtzw26YsLbbdoQzcQYK9QhuYzC0gUxnxqhuiykinsujbfd3Yh//PEmntMMtppKyNYC eihGA7ZZAlt2ZKAR3Ck/+MCgqI1YTIjupx4JqP0HaLqMXAqEJWEkGqeqkOVtXbGchzEm9jGR0okJ vrVboB6fd633tEGE11Gfm+D5WMCnJkIsiNUaMQGBabeH1I+bBOxT5BSkbhJTFOywvYgTXUUClULh Ip2aUGPE1FtbbAX1Xk9MhUhUQDRD5hMwB7IPaoN9dqdV5iXoBsRoSc4DwQ5RRZ3hiI9FbCRWM6tM BDuzqRqnWm3U1EeIKSrYfukgJzrdBVbqSDgs6YrZLKxwLN0XJko8KRGx+d2GZ8kBtgTPClSRIpyP VIQJEr4k4bijoRpAVMET2JfygmDbTp9xypN7U7uvDgEG0HFYReEr0IPsuVeJFZdz8QIJZGqNEZZ2 d95pkZu3YITPaPxySD4LgmqhuU2t7yM6diWCyxHLRhKE5WQiP/fUAkZRG6xysJS5rlIZKGotIPJC 27NySvANQt160Fhs9CcnHXAXmU+UOrjkPO7rklQk0CEuiq1SQ7AQS6JhrCx3RR5jWNSFcdGP2CKM 06IeyjPaI/S/CyOhwobcYJRaaj+QqgVwI2mSdQQ3WWm2SUJd9hdwlFE77kHwvOTtJs7qQh5k0h8n IdlQiRRc4WXsoSZK99DHBCPsEpMhIjDimJjwionQHw69dKyO8ymxLw5vN8Wvw9ju1fCEGcUJMpyn zSYhtSJMipAnF4SySRtJWm+ByB6fFVTflbG1rpQ1FZUyrqZdvEKLxSQIPFV0T2Qdidm98XPWbo8g RqkLdYk4Lwupl4JIZO21BlmvqdqFeWgs5uhRbtcGq3ZnsyH6kOLJy2QKvYDBszenAT/z4JPdbLwk lDtx9iKNCWf1yfeKV7O2DMhDJiCRDzidYqNsNemXmSm6ssV8psQaUaY4cQul4WuqSWB0YFrEqdNI qGQSkG5AcmtGS1KdY7Zxljm9NCksoUpQigiRoCHQcehMOqzPS6Y8+anU3t2FiWGn9N3C1/Uh04Rz ZBwHZ/pTT/iB9klv+RxfMYq8uHd5kq595IlTBX3MqINWxERZuABtq1TR3rrGXii6N/bEO3D1HOHr 6/n0VbvwzaOqSAyPEgVq10keYQg3OzBb/05BFqVdejLK7s/3RjQN8bO/EzGA4sAocWgRXIRFbRxV qkfP8abh9Fmu/LYzEmMMsEVvZCYhVOPrg3gi5jQEtZTPWpEjb+ZR4Sld7vAbbKFhhHcC3yneJpBN MqpEi6NrqznyQvZgblNtoHshKKqyP5He2lZpfbAp8hPMsA1jui2NE8EKM5PykpgNRaBeM1lFimht Hcwa2iqLqYLUIKAxlu8rlnX8v7sdvb/eP4iLZXcBcvJySQqW2kiM3cHabU1Zqj0adsehHz201COW 9FBxJaivbaKxQws8B0gbM6cc/GzzWLzNbvMiopkUiTImTB2M1PAZza6hhbVG4ubWNKg4HWm3jvt3 GvAnFatFB/eM2KR1UqbxIe7DfWmeSkSYpQbfi22XV4FxR4Rgb+8RaeekpPyinMaVsApLbdXwxAhP CL9EmBQzQAZPk0yeTGsn/kmmwirRQYGEQxT8ncfmXaAOR0n6yaeyjgKTTkxNFu0pnLssAyv1Of08 sEG00yThuxXmZGxUzR0rNKO/mF5d1ufDzX3SxjcxHY0Wg8DeNCyKYs9L0z7kZw17OOz3tRWHb6As 7JC0nc+RGRpGviw5PR5HUqPQWHHP0NekjmFR4JNtrh8tIqjgCfBqqJ01xweMOmm6E3Swdi0jXJeU iofJ3VvEG64qG0zqHVZ3JeZUNcD7uJIeMzaoF1MOYt0ksBJz4OptznDYuE6VF3Wy0WO32IBEAkSc IkOEMYkgJ+GmKWpKDLKmLjZ81upKhoQZINxTDEBobDwqs7pOUEBnU3bngbVVHCUVrgP4R+8ERcLS W3YH7SnStLgle6d9hboYfcmkER1gDEU3KR1/IMvimoVFedeJy/D+4ftRY8s8Rm5SEU61nV2Ca1Yb ExuycGdszAokKcktSuKd/U61Q6rMb8ePr+fRn7BunGUjogYYligCrp3XngjFKxNP6B6BLzG8WFbk iRUrwKQCgZBGVUyp5tdxlettcbx96qzc0A70u2Yb1+l6Qw0Sq8Jdu8O36ckWjytlS3W5iv907DyY A+6w6bKNh0IQYCzuOKOqhTm+LaprnUpjgNT8ATO4YbD7/vbL6e28Ws2vfp38oqNDUDjE+M6mS/PD HrP0Y5ZzD2alvxqzMMYhhIWjbmAtEl9jVgtvlfoLIgsTeDFTfzMXlDe8ReIdmcXiQsFUHBmD5Grq //yKfHtjfe7r8NXsyt8u0v0fSRJeIFO1K0+pk8DLCICypoXxMElMUFf+hAY7rNQhqCcfOn5Glzf3 lUc7MeoUtC+jTuGb276PDsf1mM+Gf2Jx23WRrNqKgDUmLGMhmpkst2tGRBiDqkBrYAMJ6IlNRe1l PUlVsDphuVtxeFclaapfP3SYLYtpeBXH11RTE2gry6lrwJ4ib5La03mydaAcXSd8ZyKaeqNxOljk yM0OAKy4KgO18QurRTCBON1gIHZ9DzB0TfnG/vjw8YoewOcXfBKgbaWYJlrvNP4GDeWmgTJbsU1T J3xxxRPYG/Ia6TEtl7Yv1BVemkVdyZ2OKrVMBw6/2mgHOmtciQ7ZjWmF7peEEknyC4/DRiqmWcyF 60JdJSFpWChKbbNWEGMD78pTeyGBKVmtTd4OTzV2rIriHPqH6isqV6DegbqtYq0MW75NRto/rBbX mnGVwYzLoLZaKyi0bNIv/3n74/T8n4+34+vT+evx1+/Hx5fj6y9O++siK+4KomMSga86RAQwMNFg kqu734LxbHWRuImSusUr/8k4mPkoiwyI+jCkQI6OdP5WJLmAxINhEdd1YmXd6r5hJRhQmUeH66nw edRlCs426LpCRhbvidCOiorbHN/ikq3RCdqYVSltvQh7TdChFhmnYAtUIS5xMpq3h1rmcbGeN3ho BRYYEIRmCl9c7CPIPDOLEllRDxzMPs8Rg6QSnKKVaQRCzFgX+b4tw6pNogPwk+5QyMSbgRRMZnI8 kSDfkjQaBU8GErNypc8O2F9OT/e/vp2+/WLW0dGBfr5r+Y7RL5YpymBO7/YU7XxC3XS5lNmU7gVi f/vl7Wlqtf62wqctZQHbIMUBSFLFLFIUZtmwzCqWmAexOrxdF0WN0efIg1Rtfhm/y8AORR8vc0dA Ith+mliuG1Ggs02JbiYco3W2OxG0kxzVeE81oxsfVxwONxk2CR1fBZf/L4/3z18x0se/8H9fz//1 /K8f90/38Ov+68vp+V9v938e4ZPT13+dnt+P33Ab/tf7+en84/yvP17+/EVu0NfH1+fj4+j7/evX o3j1NGzUKnD80/n1x+j0fMIn/qf/vlexRvrlBYIV3d2uheww1yeg0O8Kd6O+X6Q3f0e6AU1Io9Rs zlBYpF/iqgDdIEU/M9jJqljf/mmkFlGe7EiH9o9DHxHIVmX6xqF+UfRHGq8/Xt7Po4fz63F0fh3J jVA/6pfkYOeSp2UKy9ItK/XMeDo4cOGwakigS8qvw6TcGZnCTIT7iVjOFNAlrfQzvgFGEvZGvNNw b0uYr/HXZelSX+vn310J6MjkkoK+zLZEuQrufmCfFZr0vYhADfnCNHfk8aHGzG9I7NS03UyCVdak DiJvUhoYEA0rxb/+loh/IuJD1tQ70KH9XyojQJ6dffzxeHr49a/jj9GDWAffXu9fvv/Qn0fK2efM aXnk8lhspFXtYNGOaCaAOa1g9QSVRWGxf+ZOMojgfRzM55OrroPs4/07Pud9uH8/fh3Fz6KX+Nb5 v07v30fs7e38cBKo6P793ul2GGbu9IYZ0Z1wBxYQC8awE97ZASvsVb5NOHCIu57jG5HG1h6GHQNx u+86tBaholBnf3Obuw6ppm0oN7QOWVOrIry0BuJwTXySVrf+T4rN2ulYKVtrAg/EeoIdXaUqsVbO rhtsV2hEYEzXDTVRMeYu0FsqL3vv3777BjVjbjt3FPBA9WgvKbtX6ce3d7eGKpwG5MwJhHBdDMnD Pp2O+P5wQKHv/26dsus4cKdGwt2ZgHrqyTjSQ713i4LccrT5ceRudKE/WeROKcC6gXBxCSwS4Xzs jn+VRUb8sW6xgSpOAr2VSJXcA7701XxCbOoCrH1ljw8QkFGHOtk3pb6pQR1bF9tLUvW2tGwFqemc Xr4fX13eZ7HLBAAz0qhoYN8grNPidpMQDNIhnKC5HcOxLE7TxN17OoS3ypDhqZSvVF67DIbQBTGq tB/cgPQ2YSP+detROwUh/6tSBri3J9stu74tyAFV8KHncnrPTy8YWMGwBPoebJR160j0L9SBqkKu ZpTASb/QsScH9O6CYvKF130ingpMpfPTKP94+uP42kVGpNrPcp60YUnpsVG1FgGjGxqjZLgz3wJn yU2SyMpU6lI49f6e1HWMbzcqeadqF4tKKhjIyaf194SdEfBTxFV+UTb0dGiIXBA+5rWuZl60KkOV blc9nv54vQc77vX88X56JvbXNFmTcgbhaiPq3nhdoiFxcq1d/FyS0Khem9RKcHjaIPSPGtJFnm52 +ySo2cmX+LfJJZJLffHqQ0NHBw2VJPJscgKVUfvUjtL6zBMb4YU+FKkhy2adKhrerL1kdZnRNIf5 +KoN40qd+8eOZ0x5HfJVW1bJHrFYRk8xXLqr0iWGusOAQpboxMjxvtAtQOLRqsJy6DPjZIsn+WUs nWvQC6a7qXD3YgzO+KcwWN5Gf6KP7unbs4wf8vD9+PDX6fmblkxRXLzrNymV4bXj4vlvv/xiYaUx q42j871D0QpGnY2vFsaRdpFHrLqzm0MPiSwZVm94nSa8pok7d4+fGJOuyeskxzbApOf1phNGqVcK pUmOIfArlm+tdzxMeEARDLFOQNGCOdT9f7pXqKCD5WF5124q8d5FZ0adJI1zDxbTOTV1ovtPhEUV WU9vqiSL27zJ1tAKyt1XsJYebbx/JRsmmJZYN6cwjIOTkQdUfbB+YbsyQJOFSeFaA2Gb1E1rfmXb JgDobyM9+5EgAdkQr+9Wn5P4lA5Bwqpb+oBf4tdJbbXOY2mFlvgLqdfxICh7a26g1Gx9aZINv4Hv oiLTBmRAgYolXvFhxC0TGsUu/AvKaNh/zauKL3LbsaGgaBFFCzBV9uELgvXuS0h7WNF3FAotHkJ4 krUrkoQt6NlTeFZR5/IDst7BIiBaJpRy/5fr8He7e605+sM4tNsvepAWDbEGREBi0i/6bVW3+PR7 XoUSHq57lrZou2lNYlXF7qTDsr4nYhZQWNv7uBUEAwpP2xPTU1+C0DGvNdY7wo2sYvADXUEHQC5S LUsESKqtfoUtcIjAh0BWPlPhiYY4FkVVW7eL2Vq/wYvEjVOYsgqvF3dCEdanTnyJjzg9Aa+6Wtdx HoLybty3b1M5utrIiuTr9pV4iInRjeGIbnQxmRZr8xexMHNYTbVeZvoF08HpXcFgJaBoUe7XWZnA ohy+hh+bSCsd39NUeEZY6/kL8cpa9w8p1r+zreES6WxxA9fkE/SqKKLB7b2/Pen0CQF9eT09v/8l g789Hd++uU4goUwHjff3KWyBaX8lsPRS3DRJXP/W3/R3epRTwkzXDbI13ii2cVXlYOmTN3PAIy38 B/vwuuBGWhRvN3pj+PR4/PX99KS0hzdB+iDhr26nNxW0ob1lVW56N4ByWsLo4hMl3fcRb0TFXQLT k/juYnRhQO9cWDT6PYDsCShPwksnS3jG6lBbcDZGNKQt8vTOLkNe3G+aXH7AUtA6cQ0OdLInZZHY jvb7DPQgfLlABmDSi7+N2bXI7QcrSR/0nx5WMQnCoD89dHwYHf/4+PYNb/GS57f31w+MSK6/w2Db RPjn6uF8NGB/BRnnIivj+O+J5sqq0cl0KP4eGgpgBxOC5Rb/f+FDcYEk6DJ8xnChHI/LhnANEgL0 ehsZsgR/Ex80a87cG1cBbdfQhkhjyUtQ5CQPiu+SjdEVCY6Svbi89TWqbXJYA2Bsrs2INl0rCmog JTLOG2MHgzEB6woQuLMmVkr1n2Iic6Kki4u9cFTyWv3+vC9MvwkWDqNgC2GCHvJeXBaHZN1mZHFB j1Ks2nEu7U+O1RW3uS+2nTBHi4QXOW2nDFWCTNrYnYYdBCQL94BNd0GSAi//yYaZZOIBDmWkmGSm /5yJw/AbO+PG3MSDOMJ9fXhARFKZI/6bJiJ42qw7Yk+6cqTwvVIQbKr4CzSwFCSk3YbP4JivGOay SKVhPVmMx2MPZe9usXGmtKfBhx0tD02HWrXRCN+PBvdguqfhDpU/QRXnYCbsYjIztSxtn9lt2Gfi yku99LBR1ZoAlluwT7YOJ8oUzsLXhFhIcgfCDYs8npfS8Jqh7HCPzCQWWQ5WDkhkoEpqGHihuErT x/ZDGQSCM6I7K0SevOtD+lFxfnn71wiT73y8yP1wd//8TX+gwjAuDezWhaGBG2B8/NVox4ISieuq aOrfej5BF7ym7NNZahpEsaldZN+L3g9LJxR1UGcfXmLVyrE+PFhZu8OoDzXjFBfd3oA6A0pNVBi6 7OXBk47KoGN8/UDFghTVko19loTEqtNqHdadbQ9OREQ1JpviPFzHsQrsLE+b8Ip+2I7+8fZyesZr e+jN08f78e8j/HF8f/j3v//9T+0gSvhhYpFbZErnTVVZFXv9XZ7+7AgQFbuVReQgtOn9QKCxh/ZK QwuxqeND7KxBDt0yXTvV2qTJb28lBuRlcWu6PquabrnxTkhCRcMs8034xcalA2hBC9bV5w4cJrBL gGmpPALB8OS/TQJ7rSrqC9sWmOcZ6otpfJFMzYO8g1FbJSWIRNdgwaG3q3XCMAyWY2HycGN/NJw8 8UiWesuS2uXwwR78HzBhV29dobc1iLNOIFu97jC0K3p4Lb7XPxO2inDxy3kcR+jmJw68vBvKtdyt LR2QsPY0IfuX1P++3r/fj1Dxe8CDYU3GqhlLuMP4JQXkW7fj8m0BrcdItaGNWM3wqBafKycq9KIh zjzNNCsPq1g5XfeBOICvDRlnLvuwsUUEaktmv2j+QzqRUtRhMcTo31AnzEACSqVZgIbDvVkYuv0+ FUysCpBXyBWG2PiGX+Btc0jsyYJNRdqqldAR6MNhaN8OtrJUqkR13AW1ob3vgSAP7+qCjPghkn1A ZyqLa3tL/DJ2W7FyR9NEdzlDabTpFpZRgFytmVB7YTLwmsAiwSetYg6QUpj93KII1YeyFI1lRHPE iw2rbllraO4KeHMDC2Gz0bsQ7/HdD9Ibd1I40GBEtfw2weMMu+NaUcpi5rf6eV0JZkcGSwzMebJb Tn3d6atdkSJ0d1p7tFHnQS52i3ZnuGcZcnrpDcWYZJKkLwxWON4get6uCKXdrUmhMfAyGA1EW6U6 dKGJu9uU1f6SC54X6NHvjDCafsOX9gh37MkdtuM5qPWwPL2IXv83eUMWu4Y9BqNBi7GylCUD530/ 06FZDoKf4VWm/M66IeyoYIV1eKKwjs+0IszG2KPTQMHrWC4fXVdSfGLDLWrtFDWvdwpOzypeu6pM RDSFHE+5jpMcN2ZP/+QqpE7m9eWso506WCoO93FE6TUgCaW4wn+ayj6F6Wm3YbHvp+fSulJ8WDPY 7Epnrxt2JK0T/yPiPmyHkB9RnIIdRK9dhvGfDZzY/N9e7l8faBNHbnZRuEkb0smzF+n9tmkXpl8F 1Me3d9QT0cwKz//v+Hr/7ajXdt2AiUzuflIzwrP6olJcYoQIKTZi0vzU2s1KXMvwQxep5PkHUdOG JSlPzZsYhMkzMGFg0GxgFnjpxaVNSh0ciyqzLOxex/5UnYP+jEugJuWsOrfgIDWAvyVxqccfh/kW +5Q0ATvft0GNuY7IsFXCdyVLcjxk06UpgqNkr/s2rvtWotXiao5rvMe8sDbEzWKRFhj526NaGlei Tg3SPlvMLnsQiKbv4kPU2JH7+r1SLFOqEL0IRSZvEbk1NIDkYXlnQa8BXOuhmwS0d0cxCghZbsPA cJXXk2Zfmiahg2QJrLwu9nUC47lsQJGyaqrQE6G2D+nlyNFu4wIH8syhl7eTNJ8nOcYH1PYGfzc2 SZWBxUjLajkMIEIZ7WMlmTjOQtA36DmXgyEuTv14sQ4TWtZ1VagjSaPpyKsoZozTo0uy1TKSs4Rz ZLWoCJvM3rINa3qdSLlI1dRd6P5/mXKF+APHAQA= --===============5882993772400580385==--