All of lore.kernel.org
 help / color / mirror / Atom feed
 messages from 2021-02-02 11:11:50 to 2021-02-02 12:05:28 UTC [more...]

[PATCHv2] arm64: entry: consolidate Cortex-A76 erratum 1463225 workaround
 2021-02-02 12:03 UTC 

[PATCH v5 0/2] System Generation ID driver and VMGENID backend
 2021-02-02 12:04 UTC  (3+ messages)
` [PATCH v5 1/2] drivers/misc: sysgenid: add system generation id driver

[PATCH] drm/amd/pm: Disable GFXOFF when GFX DPM or PG disabled
 2021-02-02 12:04 UTC 

[LTP] [RFC PATCH 1/1] tst_test.sh: Run cleanup also on timeout
 2021-02-02 12:04 UTC  (4+ messages)

[Intel-gfx] [PATCH 01/57] drm/i915/gt: Restrict the GT clock override to just Icelake
 2021-02-02 12:03 UTC  (5+ messages)
` [Intel-gfx] [PATCH 07/57] drm/i915/gt: Move engine setup out of set_default_submission
` [Intel-gfx] [PATCH 08/57] drm/i915/gt: Move submission_method into intel_gt

[PATCH 0/5] xfs: various log stuff
 2021-02-02 12:01 UTC  (3+ messages)
` [PATCH 5/5] xfs: reduce buffer log item shadow allocations

[PATCH v14 00/11] KVM: x86/pmu: Guest Last Branch Recording Enabling
 2021-02-02 12:00 UTC  (5+ messages)
` [PATCH v14 02/11] KVM: x86/pmu: Set up IA32_PERF_CAPABILITIES if PDCM bit is available
` [PATCH v14 03/11] KVM: vmx/pmu: Add PMU_CAP_LBR_FMT check when guest LBR is enabled

[igt-dev] [PATCH i-g-t v19 00/34] Introduce IGT allocator
 2021-02-02 12:00 UTC  (8+ messages)
` [igt-dev] [PATCH i-g-t v19 01/34] lib/igt_list: Add igt_list_del_init()
` [igt-dev] [PATCH i-g-t v19 02/34] lib/igt_list: igt_hlist implementation
` [igt-dev] [PATCH i-g-t v19 03/34] lib/igt_map: Introduce igt_map
` [igt-dev] ✗ Fi.CI.IGT: failure for Introduce IGT allocator (rev20)

[RFC PATCH 00/20] loop: cleanup and small improvement
 2021-02-02 11:59 UTC  (15+ messages)
` [RFC PATCH 09/20] loop: remove extra variable in lo_fallocate()
` [RFC PATCH 10/20] loop: remove extra variable in lo_req_flush
` [RFC PATCH 11/20] loop: remove local variable in lo_compat_ioctl
` [RFC PATCH 13/20] loop: remove memset in info64 to compat
` [RFC PATCH 14/20] loop: remove memset in info64 from compat
` [RFC PATCH 15/20] loop: remove memset in loop_info64_from_old()
` [RFC PATCH 16/20] loop: remove memset in loop_info64_to_old()

[PATCH V3 4/5] perf/x86/intel: Add perf core PMU support for Sapphire Rapids
 2021-02-02 11:57 UTC  (2+ messages)
` [tip: perf/core] "

[PATCH V3 5/5] perf/x86/intel: Support CPUID 10.ECX to disable fixed counters
 2021-02-02 11:57 UTC  (2+ messages)
` [tip: perf/core] "

Patches from the future - can checkpatch help?
 2021-02-02 11:57 UTC  (5+ messages)
` [Linux-kernel-mentees] "

[PATCH V3 1/5] perf/core: Add PERF_SAMPLE_WEIGHT_STRUCT
 2021-02-02 11:57 UTC  (2+ messages)
` [tip: perf/core] "

[PATCH V3 2/5] perf/x86/intel: Factor out intel_update_topdown_event()
 2021-02-02 11:57 UTC  (2+ messages)
` [tip: perf/core] "

[PATCH V3 3/5] perf/x86/intel: Filter unsupported Topdown metrics event
 2021-02-02 11:57 UTC  (2+ messages)
` [tip: perf/core] "

[PATCH v2 0/4] btrfs: send: correctly recreate changed inodes
 2021-02-02 11:56 UTC  (5+ messages)
` [PATCH v2 3/4] btrfs: send: fix invalid commands for inodes with changed rdev but same gen

[Buildroot] [PATCH 1/1] package/cog: add BR2_PACKAGE_COG_USE_SYSTEM_DBUS
 2021-02-02 11:57 UTC 

[RFC][PATCH 00/13] [v5] Migrate Pages in lieu of discard
 2021-02-02 11:55 UTC  (9+ messages)
` [RFC][PATCH 05/13] mm/numa: automatically generate node migration order
` [RFC][PATCH 06/13] mm/migrate: update migration order during on hotplug events
` [RFC][PATCH 08/13] mm/migrate: demote pages during reclaim

[PATCH v4 1/3] sysboot: add zboot support to boot x86 Linux kernel image
 2021-02-02 11:56 UTC  (3+ messages)
` [PATCH v4 2/3] command.h: Clean-up patch, remove extern from the header
` [PATCH v4 3/3] pxe_utils: clean-up, replace ifdef by IS_ENABLED

staging: unable to restore HVM with Viridian param set
 2021-02-02 11:55 UTC  (7+ messages)

[PATCH v2 0/9] Add Host control mode to HPB
 2021-02-02 11:54 UTC  (17+ messages)
` [PATCH v2 2/9] scsi: ufshpb: Add host control mode support to rsp_upiu
` [PATCH v2 3/9] scsi: ufshpb: Add region's reads counter
` [PATCH v2 9/9] scsi: ufshpb: Make host mode parameters configurable

[MPTCP] [PATCH mptcp-net] mptcp: fix spurious retransmissions
 2021-02-02 11:55 UTC 

[PATCH v5 00/18] btrfs: add read-only support for subpage sector size
 2021-02-02 11:28 UTC  (4+ messages)
` [bug report] Unable to handle kernel paging request

[PATCH] seccomp: Improve performance by optimizing memory barrier
 2021-02-02 11:53 UTC  (3+ messages)
` [PATCH v1 1/1] Firstly, as Andy mentioned, this should be smp_rmb() instead of rmb(). considering that TSYNC is a cross-thread situation, and rmb() is a mandatory barrier which should not be used to control SMP effects, since mandatory barriers impose unnecessary overhead on both SMP and UP systems, as kernel Documentation said

[PATCH V2 0/3] SWIOTLB: Preserve swiotlb map offset when needed
 2021-02-02 11:53 UTC  (12+ messages)
` [PATCH V2 3/3] Adding device_dma_parameters->offset_preserve_mask to NVMe driver

[kvm-unit-tests PATCH v1 1/5] s390x: css: Store CSS Characteristics
 2021-02-02 11:52 UTC  (4+ messages)
  ` [kvm-unit-tests PATCH v1 2/5] s390x: css: simplifications of the tests
  ` [kvm-unit-tests PATCH v1 3/5] s390x: css: implementing Set CHannel Monitor
  ` [kvm-unit-tests PATCH v1 5/5] s390x: css: testing measurement block format 1

[v2] ext4: Add a test for rename with RENAME_WHITEOUT
 2021-02-02 11:52 UTC  (3+ messages)

[Intel-gfx] Fixes that failed to apply to v5.11-rc4
 2021-02-02 11:52 UTC  (4+ messages)

[PATCH 0/4] sched/fair: Burstable CFS bandwidth controller
 2021-02-02 11:40 UTC  (5+ messages)
` [PATCH 1/4] sched/fair: Introduce primitives for CFS bandwidth burst
` [PATCH 2/4] sched/fair: Make CFS bandwidth controller burstable
` [PATCH 3/4] sched/fair: Add cfs bandwidth burst statistics
` [PATCH 4/4] sched/fair: Add document for burstable CFS bandwidth control

[RFC PATCH v3 00/31] CXL 2.0 Support
 2021-02-02 11:48 UTC  (4+ messages)
` [RFC PATCH v3 02/31] hw/cxl/component: Introduce CXL components (8.1.x, 8.2.5)

[PATCH] docs/system: document an example vexpress-a15 invocation
 2021-02-02 11:38 UTC  (5+ messages)

[PATCH] sched: pull tasks when CPU is about to run SCHED_IDLE tasks
 2021-02-02  7:54 UTC  (9+ messages)

[PATCH] ALSA: usb-audio: add mixer quirks for Pioneer DJM-900NXS2
 2021-02-02 11:49 UTC  (3+ messages)

[PATCH net-next v2 0/4] net: Avoid the memory waste in some Ethernet drivers
 2021-02-02 11:48 UTC  (4+ messages)
` [PATCH net-next v2 1/4] mm: page_frag: Introduce page_frag_alloc_align()

[PATCH v12 00/14] huge vmalloc mappings
 2021-02-02 11:48 UTC  (8+ messages)
` [PATCH v12 01/14] ARM: mm: add missing pud_page define to 2-level page tables

[dpdk-dev] [PATCH 0/2] Update doc for crypto perf script
 2021-02-02 11:48 UTC  (3+ messages)
` [dpdk-dev] [PATCH 1/2] doc: update release notes "
` [dpdk-dev] [PATCH 2/2] doc: fix crypto perf script guide

[PATCH net] net: ipa: pass correct dma_handle to dma_free_coherent()
 2021-02-02 11:48 UTC  (3+ messages)

[PATCH] scsi: qla4xxx: fix unnecessary NULL check warnings
 2021-02-02 11:47 UTC 

[PATCH iproute2-next] tc/htb: Hierarchical QoS hardware offload
 2021-02-02 11:46 UTC  (2+ messages)

vnc clipboard support
 2021-02-02 11:44 UTC  (15+ messages)

[PATCH] gpio: pca953x: add support for open drain pins on PCAL6524
 2021-02-02 11:42 UTC  (2+ messages)

[PULL 0/2] block: Fix iotests to respect configured Python binary
 2021-02-02 11:41 UTC  (4+ messages)

[PATCH printk-rework 00/12] printk: remove logbuf_lock
 2021-02-02 11:41 UTC  (7+ messages)
` [PATCH printk-rework 04/12] printk: define CONSOLE_LOG_MAX in printk.h
    ` LINE_MAX: was: "
` [PATCH printk-rework 11/12] printk: remove logbuf_lock

[PATCH 0/2] arm64: Further fixes to the linear address checking
 2021-02-02 11:40 UTC  (5+ messages)
` [PATCH 2/2] arm64: Use simpler arithmetics for the linear map macros

[PATCH 1/2] ALSA: usb-audio: Add DJM450 to Pioneer format quirk
 2021-02-02 11:41 UTC  (2+ messages)

[PATCH v9 00/10] hw/ssi: imx_spi: Fix various bugs in the imx_spi model
 2021-02-02 11:39 UTC  (2+ messages)

[dpdk-dev] [PATCH 0/7] NXP DPAAx ethernet PMD changes
 2021-02-02 11:41 UTC  (7+ messages)
` [dpdk-dev] [PATCH 1/7] bus/fslmc: fix to use ci value for qbman 5.0
` [dpdk-dev] [PATCH 6/7] net/dpaa2: add traffic management driver
` [dpdk-dev] [PATCH 7/7] net/dpaa2: add support to configure dpdmux max Rx frame len

[PATCH] net: mdiobus: Prevent spike on MDIO bus reset signal
 2021-02-02 11:40 UTC  (7+ messages)

[RESENT PATCH] arm64: cpuinfo: Add "model name" in /proc/cpuinfo for 64bit tasks also
 2021-02-02 11:39 UTC  (3+ messages)

[RFC] clk: Mark HW enabled clocks as enabled in core
 2021-02-02 11:37 UTC  (8+ messages)

[PATCH 0/2] staging: most: sound: implement improvements reported in code review
 2021-02-02 11:38 UTC  (3+ messages)
` [PATCH 1/2] staging: most: sound: add sanity check for function argument
` [PATCH 2/2] staging: most: sound: use non-safe list iteration

[dpdk-dev] [PATCH 00/20] ensure headers have correct includes
 2021-02-02 11:36 UTC  (4+ messages)
` [dpdk-dev] [PATCH v7 00/10] add checking of header includes
  ` [dpdk-dev] [PATCH v7 08/10] buildtools/chkincs: add app to verify "

[REPORT][next] pinctrl: pinctrl-microchip-sgpio: out-of-bounds bug in sgpio_clrsetbits()
 2021-02-02 11:34 UTC  (2+ messages)

[PATCH] x86/ptrace: Clean up PTRACE_GETREGS/PTRACE_PUTREGS regset selection
 2021-02-02 11:32 UTC  (2+ messages)

RFC -- making a plan for remainder of merge-ort
 2021-02-02 11:33 UTC  (2+ messages)

[PATCH v2] ocfs2: Fix a use after free on error
 2021-02-02 11:32 UTC  (3+ messages)
` [Ocfs2-devel] "

[PATCH 00/21] rtc: remove make W=1 warnings
 2021-02-02 11:32 UTC  (28+ messages)
` [PATCH 01/21] rtc: class: remove bogus documentation
` [PATCH 02/21] rtc: armada38x: depend on OF
` [PATCH 03/21] rtc: bq32k: quiet maybe-unused variable warning
` [PATCH 04/21] rtc: brcmstb-waketimer: "
` [PATCH 05/21] rtc: digicolor: "
` [PATCH 06/21] rtc: ds1672: "
` [PATCH 07/21] rtc: ds3232: "
` [PATCH 08/21] rtc: isl1208: "
` [PATCH 09/21] rtc: m41t80: "
` [PATCH 10/21] rtc: meson: "
` [PATCH 11/21] rtc: pcf85063: quiet maybe-unused variable warnings
` [PATCH 12/21] rtc: pcf85363: quiet maybe-unused variable warning
` [PATCH 13/21] rtc: rs5c372: "
` [PATCH 14/21] rtc: rv3028: "
` [PATCH 15/21] rtc: rv3029: "
` [PATCH 16/21] rtc: rv3032: "
` [PATCH 17/21] rtc: rv8803: "
` [PATCH 18/21] rtc: rx8010: "
` [PATCH 19/21] rtc: rx8581: "
` [PATCH 20/21] rtc: s35390a: "
` [PATCH 21/21] rtc: sd3078: "

[PATCH v2] ath11k: fix a locking bug in ath11k_mac_op_start()
 2021-02-02 11:31 UTC  (3+ messages)

[PATCH 1/2] rtc: s3c: stop setting bogus time
 2021-02-02 11:29 UTC  (2+ messages)
` [PATCH 2/2] rtc: s3c: quiet maybe-unused variable warning

[PATCH v3 0/4] io_uring iopoll in scsi layer
 2021-02-02 11:30 UTC  (4+ messages)
` [PATCH v3 2/4] megaraid_sas: iouring iopoll support

[PATCH] cifs: Rough, incomplete conversion to revised fscache I/O API
 2021-02-02 11:29 UTC 

[Intel-gfx] [PATCH 1/3] i915/perf: Store a mask of valid OA formats for a platform
 2021-02-02 11:32 UTC  (2+ messages)
` [Intel-gfx] ✓ Fi.CI.IGT: success for series starting with [1/3] "

[Bug 210263] New: brightness device returns ENXIO (?) on brightness restore at boot, with bootoption "quiet"
 2021-02-02 11:32 UTC  (2+ messages)
` [Bug 210263] "

[PATCH v3] f2fs: rename checkpoint=merge mount option to checkpoint_merge
 2021-02-02 11:29 UTC  (6+ messages)
` [f2fs-dev] "

[PATCH v7 0/3] gpio: mvebu: Armada 8K/7K PWM support
 2021-02-02 11:27 UTC  (6+ messages)
` [PATCH v7 2/3] arm64: dts: armada: add pwm offsets for ap/cp gpios

[PATCH v2] hw/arm/smmuv3: Fix addr_mask for range-based invalidation
 2021-02-02 11:30 UTC  (2+ messages)

[PATCH v2 2/7] acpi: utils: Add function to fetch dependent acpi_devices
 2021-02-02 11:27 UTC  (11+ messages)

[PATCH 0/6] btrfs: some performance improvements for dbench alike workloads
 2021-02-02 11:28 UTC  (4+ messages)
` [PATCH 6/6] btrfs: do not block inode logging for so long during transaction commit

[PATCH 1/2] rtc: rv3028: fix PORF handling
 2021-02-02 11:25 UTC  (2+ messages)
` [PATCH 2/2] rtc: rv3028: remove useless warning messages

[PATCH 0/1] target/arm: Fix SCR_EL3 migration issue
 2021-02-02 11:29 UTC  (3+ messages)
` [PATCH 1/1] target/arm: Add raw_writefn to SCR_EL3 register

[PATCH 0/2] Cleanup and fixups for vmemmap handling
 2021-02-02 11:24 UTC  (3+ messages)
` [PATCH 1/2] x86/vmemmap: Remove !PAGE_ALIGNED case in remove_pte_table
` [PATCH 2/2] x86/vmemmap: Handle unpopulated sub-pmd ranges

bug with fastpoll accept and sqpoll + IOSQE_FIXED_FILE
 2021-02-02 11:23 UTC  (3+ messages)

[PATCH v2 bpf 0/5] New netdev feature flags for XDP
 2021-02-02 11:26 UTC  (21+ messages)
` [PATCH v2 bpf 1/5] net: ethtool: add xdp properties flag set
                                      ` [Intel-wired-lan] "

[dpdk-dev] [PATCH] doc: clarify disclosure time slot when no response
 2021-02-02 11:28 UTC  (2+ messages)

[igt-dev] [PATCH i-g-t 1/2] tests/kms_rotation_crc: different display modes can have different crc
 2021-02-02 11:27 UTC  (2+ messages)
` [igt-dev] [PATCH i-g-t 2/2] HAX remove kms_rotatation_crc from premerge blacklist

[PATCH v3 0/5] serial: 8250: Add rs485 emulation to 8250_dw
 2021-02-02 11:22 UTC  (5+ messages)
` [PATCH v3 5/5] serial: 8250_dw: add em485 support

[meta-python][PATCH 1/5] python3-meh: Upgrade 0.49 -> 0.50
 2021-02-02 11:26 UTC  (5+ messages)
` [meta-python][PATCH 2/5] python3-pywbem: Upgrade 1.1.0 -> 1.1.3
` [meta-python][PATCH 3/5] python3-ipython: Upgrade 7.19.0 -> 7.20.0
` [meta-python][PATCH 4/5] python3-croniter: Upgrade 1.0.5 -> 1.0.6
` [meta-python][PATCH 5/5] python3-pywbemtools: Upgrade 0.8.0 -> 0.8.1

[PULL 00/11] Tracing patches
 2021-02-02 11:24 UTC  (2+ messages)

[igt-dev] [PATCH i-g-t v2] tests/i915/i915_pm_dc: Add DC9 igt test Function
 2021-02-02 11:25 UTC  (3+ messages)
` [igt-dev] [PATCH i-g-t v2 0/1] tests/i915/i915_pm_dc: Add DC9 igt test

[LTP] [PATCH v2 0/6] zram cleanup
 2021-02-02 11:25 UTC  (11+ messages)
` [LTP] [PATCH v2 2/6] zram01.sh: Generate test setup variables in setup
` [LTP] [PATCH v2 6/6] zram: Increase timeout according to used devices

[PATCH 1/4] drm/dp_mst: Don't report ports connected if nothing is attached to them
 2021-02-02 11:22 UTC  (4+ messages)
` [PATCH 2/4] drm/dp_mst: Don't cache EDIDs for physical ports

[PATCH] xenstore: Fix all builds
 2021-02-02 11:21 UTC  (2+ messages)

[Intel-gfx] [PULL] topic/adl-s-enabling into drm-intel-next
 2021-02-02 11:19 UTC  (2+ messages)

[PULL 0/1] brcmfmac434 queue
 2021-02-02 11:18 UTC  (8+ messages)
` [PULL 1/1] brcm: Add the brcmfmac43456-sdio.* files

[PATCH v1] cifs: make nested cifs mount point dentries always valid to deal with signaled 'df'
 2021-02-02 11:16 UTC  (2+ messages)
` [PATCH v2] cifs: report error instead of invalid when revalidating a dentry fails

[RFC PATCH 0/5] arm64: Prepare instruction decoder for objtool
 2021-02-02 11:15 UTC  (4+ messages)
` [RFC PATCH 3/5] arm64: aarch64-insn: Add barrier encodings

[Question] Sending CAN error frames
 2021-02-02 11:12 UTC  (14+ messages)

[PATCH v19 0/3] scsi: ufs: Add Host Performance Booster Support
 2021-02-02 11:12 UTC  (4+ messages)
  ` [PATCH v19 1/3] scsi: ufs: Introduce HPB feature

[PATCH] ath10k: Introduce a devicetree quirk to skip host cap QMI requests
 2021-02-02 11:11 UTC  (8+ messages)

[PATCH v4 0/4] perf vendor events: Support PMU events for A64FX
 2021-02-02 11:09 UTC  (5+ messages)
` [PATCH v4 4/4] perf vendor events: Add Fujitsu A64FX V1.2 pmu event


This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.