All of lore.kernel.org
 help / color / mirror / Atom feed
 messages from 2021-04-10 14:48:49 to 2021-04-10 17:35:39 UTC [more...]

[PATCH] staging: mt7621-pci: stop using of_pci_range_to_resource
 2021-04-10 17:33 UTC  (3+ messages)

[PATCH 0/4] Refine GPU recovery sequence to enhance its stability
 2021-04-10 17:34 UTC  (20+ messages)
` AW: "

[PATCH BlueZ v4 0/2] Framework for non-interactive mesh test
 2021-04-10 17:33 UTC  (2+ messages)

stable-rc/queue/4.9 build: 173 builds: 0 failed, 173 passed, 4 warnings (v4.9.266-17-g884aa70e2c4ff)
 2021-04-10 17:32 UTC 

stable-rc/linux-5.4.y build: 200 builds: 0 failed, 200 passed, 121 warnings (v5.4.111)
 2021-04-10 17:32 UTC 

[PATCH 00/16] lpfc: Update lpfc to revision 12.8.0.9
 2021-04-10 17:30 UTC  (17+ messages)
` [PATCH 01/16] lpfc: Fix rmmod crash due to bad ring pointers to abort_iotag
` [PATCH 02/16] lpfc: Fix crash when a REG_RPI mailbox fails triggering a LOGO response
` [PATCH 03/16] lpfc: Fix reference counting errors in lpfc_cmpl_els_rsp()
` [PATCH 04/16] lpfc: Fix NMI crash during rmmod due to circular hbalock dependency
` [PATCH 05/16] lpfc: Fix lack of device removal on port swaps with PRLIs
` [PATCH 06/16] lpfc: Fix error handling for mailboxes completed in MBX_POLL mode
` [PATCH 07/16] lpfc: Fix use-after-free on unused nodes after port swap
` [PATCH 08/16] lpfc: Fix silent memory allocation failure in lpfc_sli4_bsg_link_diag_test()
` [PATCH 09/16] lpfc: Fix missing FDMI registrations after Mgmt Svc login
` [PATCH 10/16] lpfc: Fix lpfc_hdw_queue attribute being ignored
` [PATCH 11/16] lpfc: Remove unsupported mbox PORT_CAPABILITIES logic
` [PATCH 12/16] lpfc: Fix various trivial errors in comments and log messages
` [PATCH 13/16] lpfc: Standardize discovery object logging format
` [PATCH 14/16] lpfc: Eliminate use of LPFC_DRIVER_NAME in lpfc_attr.c
` [PATCH 15/16] lpfc: Update lpfc version to 12.8.0.9
` [PATCH 16/16] lpfc: Copyright updates for 12.8.0.9 patches

[qemu-mainline bisection] complete test-amd64-amd64-xl-qemuu-debianhvm-i386-xsm
 2021-04-10 17:30 UTC 

drivers/tty/vt/vt.c:1210 vc_do_resize() warn: impossible condition '(new_screen_size > (1 << (17 + 16 - 1))) => (0-u32max > 4294967296)'
 2021-04-10 17:26 UTC 

[PATCH 0/1] Set AVR TARGET_PAGE_BITS to be 10 instead of 8
 2021-04-10 17:24 UTC  (6+ messages)
` [PATCH 1/1] Set TARGET_PAGE_BITS to be 10 instead of 8 bits

[PATCH 25/25] staging: rtl8723bs: remove more empty if blocks after DBG_8192C deletion
 2021-04-10 17:23 UTC  (3+ messages)

[PATCH bpf-next 0/5] support build selftests/bpf with clang
 2021-04-10 17:23 UTC  (7+ messages)
` [PATCH bpf-next 1/5] selftests: set CC to clang in lib.mk if LLVM is set
` [PATCH bpf-next 2/5] tools: allow proper CC/CXX/... override with LLVM=1 in Makefile.include
` [PATCH bpf-next 3/5] selftests/bpf: fix test_cpp compilation failure with clang
` [PATCH bpf-next 4/5] selftests/bpf: silence clang compilation warnings
` [PATCH bpf-next 5/5] bpftool: fix a clang compilation warning

[PATCH 1/1] arm64: dts: qcom: msm8994: Reserve gpio ranges
 2021-04-10 17:20 UTC  (10+ messages)

[PATCH 0/9] git log: configurable default format for merge diffs
 2021-04-10 17:16 UTC  (7+ messages)
` [PATCH v1 0/5] "
  ` [PATCH v1 1/5] diff-merges: introduce --diff-merges=default
  ` [PATCH v1 2/5] diff-merges: refactor set_diff_merges()
  ` [PATCH v1 3/5] diff-merges: adapt -m to enable default diff format
  ` [PATCH v1 4/5] diff-merges: introduce log.diffMerges config variable
  ` [PATCH v1 5/5] doc/diff-options: document new --diff-merges features

[PATCH 01/13] arm: nanopi2: Remove unused code
 2021-04-10 17:15 UTC  (3+ messages)

static_branch/jump_label vs branch merging
 2021-04-10 17:02 UTC  (6+ messages)

[dpdk-dev] [PATCH 1/6] baseband: introduce NXP LA12xx driver
 2021-04-10 17:02 UTC  (10+ messages)
` [dpdk-dev] [PATCH v2 0/8] baseband: add "
  ` [dpdk-dev] [PATCH v2 1/8] baseband: introduce "
  ` [dpdk-dev] [PATCH v2 2/8] baseband/la12xx: add devargs for max queues
  ` [dpdk-dev] [PATCH v2 3/8] baseband/la12xx: add support for multiple modems
  ` [dpdk-dev] [PATCH v2 4/8] baseband/la12xx: add queue and modem config support
  ` [dpdk-dev] [PATCH v2 5/8] baseband/la12xx: add enqueue and dequeue support
  ` [dpdk-dev] [PATCH v2 6/8] baseband/la12xx: add documentation support
  ` [dpdk-dev] [PATCH v2 7/8] app/bbdev: add parameter to take input in network order
  ` [dpdk-dev] [PATCH v2 8/8] app/bbdev: add test vectors for transport blocks

[GIT PULL] Please pull powerpc/linux.git powerpc-5.12-6 tag
 2021-04-10 17:03 UTC  (3+ messages)

[PULL REQUEST] i2c for 5.12
 2021-04-10 17:03 UTC  (2+ messages)

[PATCH v3] powerpc/traps: Enhance readability for trap types
 2021-04-10 16:46 UTC  (6+ messages)

[GIT PULL] USB/Thunderbolt driver fixes for 5.12-rc7
 2021-04-10 17:03 UTC  (2+ messages)

[GIT PULL] Driver core fix for 5.12-rc7
 2021-04-10 17:03 UTC  (2+ messages)

export.c:undefined reference to `atomic64_read_386'
 2021-04-10 17:02 UTC  (2+ messages)

[PATCH v2] efi_loader: esrt: Remove EFI_CALL invocation for efi_create_event
 2021-04-10 16:55 UTC  (2+ messages)

[xlnx:master 59/60] drivers/mtd/nand/raw/arasan_nand.c:393:26: sparse: sparse: shift too big (32) for type unsigned int
 2021-04-10 16:55 UTC 

stable-rc/queue/4.4 baseline: 91 runs, 9 regressions (v4.4.266-1-g0a017d02fe71)
 2021-04-10 16:50 UTC 

[PATCH] iio: adc: exynos: drop unneeded variable assignment
 2021-04-10 16:47 UTC  (2+ messages)

[PATCH] i2c: mv64xxx: Fix random system lock caused by runtime PM
 2021-04-10 16:47 UTC  (2+ messages)

stable-rc/linux-4.9.y build: 196 builds: 0 failed, 196 passed, 4 warnings (v4.9.266)
 2021-04-10 16:46 UTC 

[PATCH] send-email: clarify SMTP encryption settings
 2021-04-10 16:43 UTC  (4+ messages)

[syzbot] WARNING: refcount bug in sk_psock_get
 2021-04-10 16:41 UTC  (3+ messages)

[Buildroot] [PATCH-2021.02.x] package/tar: add upstream security fix for CVE-2021-20193
 2021-04-10 16:40 UTC  (2+ messages)

[Buildroot] [git commit branch/2021.02.x] package/tar: add upstream security fix for CVE-2021-20193
 2021-04-10 16:40 UTC 

[Buildroot] [PATCH] package/clamav: security bump to version 0.103.2
 2021-04-10 16:40 UTC  (2+ messages)

[Buildroot] [git commit] package/clamav: security bump to version 0.103.2
 2021-04-10 16:39 UTC 

stable/linux-4.4.y baseline: 93 runs, 9 regressions (v4.4.266)
 2021-04-10 16:38 UTC 

stable/linux-4.14.y baseline: 96 runs, 6 regressions (v4.14.230)
 2021-04-10 16:37 UTC 

stable-rc/linux-5.10.y build: 174 builds: 0 failed, 174 passed, 14 warnings (v5.10.29)
 2021-04-10 16:36 UTC 

stable-rc/linux-4.14.y build: 200 builds: 0 failed, 200 passed, 69 warnings (v4.14.230)
 2021-04-10 16:36 UTC 

PCI service interrupt handlers & access to PCI config space
 2021-04-10 16:26 UTC  (4+ messages)

[Buildroot] [PATCH 1/1] package/iproute2: Bump version to 5.11.0
 2021-04-10 16:25 UTC  (5+ messages)

[PATCH 0/3] staging: iio: cdc: ad7746: remove platform_data in favor of device tree bindings
 2021-04-10 16:20 UTC  (8+ messages)
` [PATCH 2/3] staging: iio: cdc: ad7746: use dt bindings to set the EXCx pins output

[xen-unstable test] 160878: regressions - FAIL
 2021-04-10 16:19 UTC 

[PATCH net-next v3 0/5] page_pool: recycle buffers
 2021-04-10 16:16 UTC  (4+ messages)
` [PATCH net-next v3 2/5] mm: add a signature in struct page

[RFC PATCH-for-6.1 0/9] hw/clock: Strengthen machine (non-qdev) clock propagation
 2021-04-10 16:14 UTC  (5+ messages)

T-Mobile 4G/5G CGNAT vs WireGuard tunnel jitter
 2021-04-10 16:12 UTC  (5+ messages)

unexpected -ENOMEM from percpu_counter_init()
 2021-04-10 16:08 UTC  (5+ messages)

[PATCH] ALSA: hda: Enable runtime PM when codec probe fails
 2021-04-10 15:51 UTC  (17+ messages)
` [PATCH v2] ALSA: hda: Continue to probe "
                    ` [Nouveau] "

[android-common:android-4.19 7/14] kernel/dma/mapping.c:298 dma_common_contiguous_remap() error: kvmalloc() only makes sense with GFP_KERNEL
 2021-04-10 16:02 UTC 

[PATCH] dm verity: fix unaligned block size
 2021-04-10 16:01 UTC 

Bogus struct page layout on 32-bit
 2021-04-10 15:54 UTC  (5+ messages)

[PULL 00/10] Block layer fixes for 6.0-rc3
 2021-04-10 15:58 UTC  (2+ messages)

[PATCH] libxl: User defined max_maptrack_frames in a stub domain
 2021-04-10 15:55 UTC  (4+ messages)

stable-rc/queue/4.9 baseline: 121 runs, 6 regressions (v4.9.265-17-g311f1ba6176ac)
 2021-04-10 15:52 UTC 

stable-rc/queue/4.19 baseline: 148 runs, 5 regressions (v4.19.185-18-gb9c63423ccf3)
 2021-04-10 15:52 UTC 

[PATCH 4.14 00/14] 4.14.230-rc1 review
 2021-04-10 15:46 UTC  (6+ messages)

[android-common:android-mainline-tracking 616/836] ld.lld: error: undefined symbol: usb_get_phy
 2021-04-10 15:41 UTC 

[PATCH 3/3] staging: rtl8712: Use existing arc4 implementation
 2021-04-10 15:39 UTC  (3+ messages)

[RFC 1/2] x86/tsc: add a timer to make sure tsc_adjust is always checked
 2021-04-10 15:38 UTC  (5+ messages)

[bug report] HID: ft260: add usb hid to i2c host bridge driver
 2021-04-10 15:37 UTC  (3+ messages)

[PATCH v3 0/2] staging: media: zoran: aligned the code
 2021-04-10 15:34 UTC  (3+ messages)
` [PATCH v3 1/2] media: zoran: add spaces around '<<'
` [PATCH v3 2/2] staging: media: zoran: align code appropriately

[PATCH] x86/msr: Block writes to certain MSRs unconditionally
 2021-04-10 15:33 UTC  (3+ messages)
  ` [PATCH -v1.1] "

[PATCH] md/bitmap: wait for bitmap writes to complete during the tear down sequence
 2021-04-10 15:27 UTC  (2+ messages)

QLE2432 initiator fails to see any LUN's on one of servers while using 5QLE2464 as a target
 2021-04-10 15:27 UTC 

[PATCH v3] staging: rtl8723bs: remove unnecessary goto jumps
 2021-04-10 15:27 UTC 

[PATCH 2/3] staging: rtl8723bs: Use existing arc4 implementation
 2021-04-10 15:25 UTC  (3+ messages)

[PATCH v2] platform/x86: add Gigabyte WMI temperature driver
 2021-04-10 15:23 UTC  (6+ messages)
` [PATCH v3] "

[PATCH 00/15] SHA-256 / SHA-1 interop, part 1
 2021-04-10 15:21 UTC  (16+ messages)
` [PATCH 01/15] sha1-file: allow hashing objects literally with any algorithm
` [PATCH 02/15] builtin/hash-object: allow literally hashing with a given algorithm
` [PATCH 03/15] cache: add an algo member to struct object_id
` [PATCH 04/15] Always use oidread to read into "
` [PATCH 05/15] hash: add a function to finalize object IDs
` [PATCH 06/15] Use the final_oid_fn to finalize hashing of "
` [PATCH 07/15] builtin/pack-redundant: avoid casting buffers to struct object_id
` [PATCH 08/15] cache: compare the entire buffer for "
` [PATCH 09/15] hash: set and copy algo field in "
` [PATCH 10/15] hash: provide per-algorithm null OIDs
` [PATCH 11/15] builtin/show-index: set the algorithm for object IDs
` [PATCH 12/15] commit-graph: don't store file hashes as struct object_id
` [PATCH 13/15] builtin/pack-objects: avoid using struct object_id for pack hash
` [PATCH 14/15] hex: default to the_hash_algo on zero algorithm value
` [PATCH 15/15] hex: print objects using the hash algorithm member

[PATCH] PCI/DPC: Disable ERR_COR explicitly for native dpc service
 2021-04-10 15:21 UTC  (2+ messages)

[PATCH] linear: only allocate call instructions when needed
 2021-04-10 15:16 UTC 

[RFT][PATCH 0/2] GCC 11 Pre-release
 2021-04-10 15:13 UTC  (2+ messages)
` [OE-core] "

[PATCH 5.10/5.11 0/9] Fix missing TLB flushes in TDP MMU
 2021-04-10 15:12 UTC  (10+ messages)
` [PATCH 5.10/5.11 1/9] KVM: x86/mmu: change TDP MMU yield function returns to match cond_resched
` [PATCH 5.10/5.11 2/9] KVM: x86/mmu: Merge flush and non-flush tdp_mmu_iter_cond_resched
` [PATCH 5.10/5.11 3/9] KVM: x86/mmu: Rename goal_gfn to next_last_level_gfn
` [PATCH 5.10/5.11 4/9] KVM: x86/mmu: Ensure forward progress when yielding in TDP MMU iter
` [PATCH 5.10/5.11 5/9] KVM: x86/mmu: Yield in TDU MMU iter even if no SPTES changed
` [PATCH 5.10/5.11 6/9] KVM: x86/mmu: Ensure TLBs are flushed when yielding during GFN range zap
` [PATCH 5.10/5.11 7/9] KVM: x86/mmu: Ensure TLBs are flushed for TDP MMU during NX zapping
` [PATCH 5.10/5.11 8/9] KVM: x86/mmu: Don't allow TDP MMU to yield when recovering NX pages
` [PATCH 5.10/5.11 9/9] KVM: x86/mmu: preserve pending TLB flush across calls to kvm_tdp_mmu_zap_sp

[PATCH v9 00/13] blkcg:Support to track FC storage blk io traffic
 2021-04-10 15:00 UTC  (5+ messages)
` [PATCH v9 13/13] lpfc: vmid: Introducing vmid in io path

stable-rc/queue/4.14 build: 197 builds: 0 failed, 197 passed, 68 warnings (v4.14.230-14-g6c412903bfb3c)
 2021-04-10 15:00 UTC 

stable/linux-4.19.y build: 202 builds: 0 failed, 202 passed, 86 warnings (v4.19.186)
 2021-04-10 15:00 UTC 

[Outreachy kernel] [PATCH v2 0/5] staging: rtl8723bs: Change
 2021-04-10 15:00 UTC  (6+ messages)
` [Outreachy kernel] [PATCH v2 1/5] staging: rtl8723bs: Remove camelcase in several files
` [Outreachy kernel] [PATCH v2 2/5] staging: rtl8723bs: include: Fix misspelled words in comments
` [Outreachy kernel] [PATCH v2 3/5] staging: rtl8723bs: core: Remove an unused variable
` [Outreachy kernel] [PATCH v2 4/5] staging: rtl8723bs: Change the type and use of a variable
` [Outreachy kernel] [PATCH v2 5/5] staging: rtl8723bs: core: Change a controlling expression

[PATCH] perf session: Dump PERF_RECORD_TIME_CONV event
 2021-04-10 14:57 UTC  (3+ messages)

[PATCH v4] staging: rtl8192e: fixed pointer error by adding '*'
 2021-04-10 14:56 UTC 

[PATCH v2] staging: rtl8723bs: remove unnecessary goto jumps
 2021-04-10 14:56 UTC  (3+ messages)

[PATCH v4 0/2] dw-xdata-pcie: Fix documentation build warns
 2021-04-10 14:52 UTC  (3+ messages)
` [PATCH v4 1/2] "
` [PATCH v4 2/2] dw-xdata-pcie: Update outdated info and improve text format

[libgpiod][RFC 0/6] first draft of libgpiod v2.0 API
 2021-04-10 14:51 UTC  (7+ messages)
` [libgpiod][RFC 1/6] treewide: rename chip property accessors
` [libgpiod][RFC 2/6] core: add refcounting helpers
` [libgpiod][RFC 3/6] core: implement line_info objects
` [libgpiod][RFC 4/6] core: rework line events
` [libgpiod][RFC 5/6] core: rework line requests
` [libgpiod][RFC 6/6] core: implement line watch events

[PATCH] efi_loader: esrt: Remove EFI_CALL invocation in efi_esrt_register
 2021-04-10 14:52 UTC  (3+ messages)

stable-rc/queue/5.10 build: 176 builds: 0 failed, 176 passed, 16 warnings (v5.10.28-41-g300d8849aaaa)
 2021-04-10 14:52 UTC 

[gatesgarth 0/6] patch review Arpil 10th
 2021-04-10 14:51 UTC  (2+ messages)
` [oe] "

[PATCH] staging: rtl8723bs: remove unnecessary goto jumps
 2021-04-10 14:49 UTC  (3+ messages)

[syzbot] WARNING in __nf_unregister_net_hook (4)
 2021-04-10 14:49 UTC 

Parent transid verify failed (and more): BTRFS for data storage in Xen VM setup
 2021-04-10 14:48 UTC  (2+ messages)

[PATCH V2 3/4] dt-bindings: mfd: Convert pm8xxx bindings to yaml
 2021-04-10 14:47 UTC  (2+ messages)


This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.