All of lore.kernel.org
 help / color / mirror / Atom feed
 messages from 2021-06-19 09:20:10 to 2021-06-19 11:37:11 UTC [more...]

[PATCH v2 0/5] riscv: improving uaccess with logs from network bench
 2021-06-19 11:36 UTC  (8+ messages)
` [PATCH 1/5] riscv: __asm_to/copy_from_user: delete existing code
` [PATCH 2/5] riscv: __asm_to/copy_from_user: Adding byte copy first
` [PATCH 3/5] riscv: __asm_to/copy_from_user: Copy until dst is aligned

Linux Plumbers IoT MC: Call For Proposals
 2021-06-19 11:35 UTC 

[PATCH 0/2] no_profile fn attr and Kconfig for GCOV+PGO
 2021-06-19 11:32 UTC  (4+ messages)
` [PATCH 1/2] compiler_attributes.h: define __no_profile, add to noinstr

[kees:kspp/memcpy/next-20210618/v0 81/82] drivers/video/fbdev/i740fb.c:743:9: sparse: sparse: incorrect type in argument 1 (different address spaces)
 2021-06-19 11:31 UTC 

[PATCH] misc: vmw_vmci: return the correct errno code
 2021-06-19 11:28 UTC 

[PATCH] blame: fix typo in documentation
 2021-06-19 11:29 UTC  (5+ messages)
` [PATCH v2] blame: correct name of config option in docs

[Intel-wired-lan] [tnguy-net-queue:dev-queue] BUILD SUCCESS c69215a1c8f608d4fc969d412bc0ea09d68e782a
 2021-06-19 11:25 UTC 

[Intel-wired-lan] [tnguy-next-queue:dev-queue] BUILD SUCCESS ae17dde5233e63e67b943fb3e9ab38834375a4c9
 2021-06-19 11:25 UTC 

vxcan RX/TX/echo semantics
 2021-06-19 11:23 UTC  (3+ messages)

[PATCH] [RFC] vxcan: enable local echo for sent CAN frames
 2021-06-19 11:23 UTC 

[linux-next:master 5160/11253] regulators-tegra30.c:undefined reference to `regulator_sync_voltage_rdev'
 2021-06-19 11:20 UTC  (2+ messages)

[PATCH v1 1/1] spi: Remove unneeded parentheses in spi_map_buf()
 2021-06-19 11:15 UTC 

[PATCH 0/6] block: block-status cache for data regions
 2021-06-19 11:13 UTC  (15+ messages)
` [PATCH 1/6] block: Drop BDS comment regarding bdrv_append()
` [PATCH 2/6] block: block-status cache for data regions
` [PATCH 3/6] block/file-posix: Do not force-cap *pnum
` [PATCH 4/6] block/gluster: "
` [PATCH 5/6] block/nbd: "
` [PATCH 6/6] block/iscsi: "

[rcu:rcu/next] BUILD SUCCESS 8bd5745dc8faaee60839f9a70d63128e428ba422
 2021-06-19 11:13 UTC 

[PATCH v3 0/4] HWMON LM90 interrupt fixes and improvements
 2021-06-19 11:10 UTC  (2+ messages)

Functional Coverage via RV? (was: "Learning-based Controlled Concurrency Testing")
 2021-06-19 11:08 UTC  (4+ messages)

[PATCH] mt76: mt7921: allow chip reset during device restart
 2021-06-19 11:06 UTC  (2+ messages)

[dpdk-dev] [PATCH v2 1/4] event/cnxk: add Rx adapter support
 2021-06-19 11:01 UTC  (14+ messages)
` [dpdk-dev] [PATCH v2 01/13] net/cnxk: add multi seg Rx vector routine
  ` [dpdk-dev] [PATCH v2 02/13] net/cnxk: enable ptp processing in vector Rx
  ` [dpdk-dev] [PATCH v2 03/13] net/cnxk: enable VLAN processing in vector Tx
  ` [dpdk-dev] [PATCH v2 04/13] net/cnxk: enable ptp "
  ` [dpdk-dev] [PATCH v2 05/13] net/cnxk: enable TSO "
  ` [dpdk-dev] [PATCH v2 06/13] net/cnxk: add multi seg Tx vector routine
  ` [dpdk-dev] [PATCH v2 07/13] event/cnxk: add Rx adapter support
  ` [dpdk-dev] [PATCH v2 08/13] event/cnxk: add Rx adapter fastpath ops
  ` [dpdk-dev] [PATCH v2 09/13] event/cnxk: add Tx adapter support
  ` [dpdk-dev] [PATCH v2 10/13] event/cnxk: add Tx adapter fastpath ops
  ` [dpdk-dev] [PATCH v2 11/13] event/cnxk: add Rx adapter vector support
  ` [dpdk-dev] [PATCH v2 12/13] event/cnxk: add Rx event vector fastpath
  ` [dpdk-dev] [PATCH v2 13/13] event/cnxk: add Tx "

[PATCH v2 1/2] cfg80211: Add wiphy_info_once()
 2021-06-19 11:02 UTC  (4+ messages)

[PATCH] fs: Allow open with O_CREAT to succeed if existing dir is specified
 2021-06-19 11:01 UTC 

[PATCH 0/3] for_each_*_bit: move to find.h and reconsider
 2021-06-19 10:55 UTC  (12+ messages)
` [PATCH 1/3] include/linux: move for_each_bit() macros from bitops.h to find.h
` [PATCH 2/3] find: micro-optimize for_each_{set,clear}_bit()
` [PATCH 3/3] Replace for_each_*_bit_from() with for_each_*_bit() where appropriate

[PATCH] net: ceph: Use CLOCK_MONOTONIC ktime_get_ts64()
 2021-06-19 10:51 UTC  (2+ messages)

[kees:kspp/memcpy/next-20210618/v0 24/82] include/linux/skbuff.h:811:1: sparse: sparse: directive in macro's argument list
 2021-06-19 10:48 UTC 

[PATCH 00/18] staging: rtl8723bs: remove 5Ghz code
 2021-06-19 10:47 UTC  (19+ messages)
` [PATCH 01/18] staging: rtl8723bs: remove all 5Ghz network types
` [PATCH 02/18] staging: rtl8723bs: remove code related to unsupported channel bandwidth
` [PATCH 03/18] staging: rtl8723bs: remove unused enum items related to channel bonding
` [PATCH 04/18] staging: rtl8723bs: rename "
` [PATCH 05/18] staging: rtl8723bs: remove 5Ghz field in struct registry_priv
` [PATCH 06/18] staging: rtl8723bs: remove struct rt_channel_plan_5g
` [PATCH 07/18] staging: rtl8723bs: remove all branchings between 2.4Ghz and 5Ghz band types
` [PATCH 08/18] staging: rtl8723bs: beautify prototypes in include/hal_com_phycfg.h
` [PATCH 09/18] staging: rtl8723bs: remove 5Ghz code related to channel plan definition
` [PATCH 10/18] staging: rtl8723bs: remove some unused 5Ghz macro definitions
` [PATCH 11/18] staging: rtl8723bs: remove 5Ghz code related to RF power calibration
` [PATCH 12/18] staging: rtl8723bs: remove VHT dead code
` [PATCH 13/18] staging: rtl8723bs: remove unused ODM_CMNINFO_BOARD_TYPE enum item
` [PATCH 14/18] staging: rtl8723bs: fix macro value for 2.4Ghz only device
` [PATCH 15/18] staging: rtl8723bs: remove register initializations tied to 802.11ac standard
` [PATCH 16/18] staging: rtl8723bs: remove obsolete 5Ghz comments
` [PATCH 17/18] staging: rtl8723bs: fix check allowing 5Ghz settings
` [PATCH 18/18] staging: rtl8723bs: remove item from TODO list

[kees:kspp/memcpy/next-20210618/v0 58/82] include/linux/fortify-string.h:239:4: warning: call to '__write_overflow_field' declared with attribute warning: detected write beyond size of field (1st parameter); maybe use struct_group()?
 2021-06-19 10:47 UTC 

[kees:for-next/lkdtm 4/6] ERROR: modpost: "saved_command_line" [drivers/misc/lkdtm/lkdtm.ko] undefined!
 2021-06-19 10:45 UTC 

[v7 0/5] drm: Support basic DPCD backlight in panel-simple and add a new panel ATNA33XC20
 2021-06-19 10:40 UTC  (12+ messages)
` [v7 1/5] drm/panel: add basic DP AUX backlight support
` [v7 2/5] drm/panel-simple: Support DP AUX backlight
` [v7 3/5] drm/panel-simple: Support for delays between GPIO & regulator
` [v7 4/5] dt-bindings: display: simple: Add Samsung ATNA33XC20
` [v7 5/5] drm/panel-simple: "

Shortcoming (oversight) in Windows installer
 2021-06-19 10:34 UTC  (3+ messages)

[PATCH v2] docs: add traditional Chinese translation for kernel Documentation
 2021-06-19 10:35 UTC  (3+ messages)

[PATCH net v2] net: sched: add barrier to ensure correct ordering for lockless qdisc
 2021-06-19 10:30 UTC  (4+ messages)

[PATCH] docs/system: arm: Add nRF boards description
 2021-06-19 10:27 UTC  (2+ messages)

[intel-linux-intel-lts:5.4/yocto 943/1142] ERROR: "pm_suspend_target_state" [drivers/gpu/drm/i915/i915.ko] undefined!
 2021-06-19 10:26 UTC 

drivers/staging/rtl8723bs/core/rtw_security.c:95:9: warning: variable 'crc' set but not used
 2021-06-19 10:26 UTC 

[PATCH] power: supply: cw2015: Add CHARGE_NOW support
 2021-06-19 10:21 UTC  (4+ messages)

[PATCH] local.conf.sample: disable prelink
 2021-06-19 10:19 UTC 

[PATCH 0/8] Nuvoton WPCM450 pinctrl and GPIO driver
 2021-06-19 10:17 UTC  (9+ messages)
` [PATCH 1/8] dt-bindings: arm/npcm: Add binding for global control registers (GCR)
` [PATCH 4/8] dt-bindings: pinctrl: Add Nuvoton WPCM450

[PATCH] mac80211: remove iwlwifi specific workaround that broke sta NDP tx
 2021-06-19 10:15 UTC 

[Bug 201953] System freeze/hang during shutdown/restart (at " sd 0:0:0:0: [sda] Stopping disk")
 2021-06-19 10:10 UTC 

[GIT PULL] s390 fixes for 5.13-rc7
 2021-06-19 10:09 UTC 

[PATCH v3] target/ppc: fix address translation bug for radix mmus
 2021-06-19  9:47 UTC  (2+ messages)

[PATCH net-next 0/8] net: at91_can: clean up some code style issues
 2021-06-19  9:56 UTC  (9+ messages)
` [PATCH net-next 1/8] net: at91_can: remove redundant blank lines
` [PATCH net-next 2/8] net: at91_can: add blank line after declarations
` [PATCH net-next 3/8] net: at91_can: fix the code style issue about macro
` [PATCH net-next 4/8] net: at91_can: use BIT macro
` [PATCH net-next 5/8] net: at91_can: fix the alignment issue
` [PATCH net-next 6/8] net: at91_can: add braces {} to all arms of the statement
` [PATCH net-next 7/8] net: at91_can: remove redundant space
` [PATCH net-next 8/8] net: at91_can: fix the comments style issue

[PATCH 1/3] selftest: do not hardcode /tmp/sdk
 2021-06-19  9:58 UTC  (3+ messages)
` [PATCH 2/3] glibc: do not enable memory tagging on aarch64 just yet
` [PATCH 3/3] mesa: enable gallium intel drivers when building for x86

[PATCH RESEND bpf v3 0/8] sock_map: some bug fixes and improvements
 2021-06-19  9:57 UTC  (2+ messages)

[PATCH 00/12] linux-user: Load a vdso for x86_64 and hppa
 2021-06-19  9:54 UTC  (7+ messages)
` [PATCH 01/12] linux-user: Fix style problems in linuxload.c
` [PATCH 04/12] linux-user: Do not clobber bprm_buf swapping ehdr
` [PATCH 07/12] linux-user: Replace bprm->fd with bprm->src.fd

[PATCH 0/2] tcg: Fixes for temp_allocate_frame
 2021-06-19  9:41 UTC  (3+ messages)
` [PATCH 2/2] tcg: Allocate sufficient storage in temp_allocate_frame

[GIT PULL FOR v5.14] Various fixes
 2021-06-19  9:58 UTC  (2+ messages)
` [GIT PULL FOR v5.14] Various fixes (#75283)

[Intel-gfx] [PATCH i-g-t] [RFC] tests/kms_plane_alpha_blend: Fix coverage-vs-premult-vs-constant tests
 2021-06-19  9:52 UTC  (2+ messages)
` [igt-dev] ✓ Fi.CI.IGT: success for tests/kms_plane_alpha_blend: Fix coverage-vs-premult-vs-constant tests (rev4)

[PATCH v5 00/14] target/hexagon: introduce idef-parser
 2021-06-19  9:37 UTC  (15+ messages)
` [PATCH v5 01/14] tcg: expose TCGCond manipulation routines
` [PATCH v5 02/14] target/hexagon: update MAINTAINERS for idef-parser
` [PATCH v5 03/14] target/hexagon: import README "
` [PATCH v5 04/14] target/hexagon: make slot number an unsigned
` [PATCH v5 05/14] target/hexagon: make helper functions non-static
` [PATCH v5 06/14] target/hexagon: introduce new helper functions
` [PATCH v5 07/14] target/hexagon: expose next PC in DisasContext
` [PATCH v5 08/14] target/hexagon: prepare input for the idef-parser
` [PATCH v5 09/14] target/hexagon: import lexer for idef-parser
` [PATCH v5 10/14] target/hexagon: import parser "
` [PATCH v5 11/14] target/hexagon: call idef-parser functions
` [PATCH v5 12/14] target/hexagon: remove unused macros and functions
` [PATCH v5 13/14] target/hexagon: import additional tests
` [PATCH v5 14/14] gitlab-ci: do not use qemu-project Docker registry

[PATCH] media: mtk-jpeg: fix setting plane paylod
 2021-06-19  9:46 UTC  (2+ messages)

[sparc64] kernel panic from running a program in userspace
 2021-06-19  9:45 UTC  (4+ messages)

[patch V3 00/66] x86/fpu: Spring cleaning and PKRU sanitizing
 2021-06-19  9:41 UTC  (3+ messages)
` [patch V3 03/66] x86/fpu: Fix copy_xstate_to_kernel() gap handling

[PATCH v2 00/23] linux-user: Move signal trampolines to new page
 2021-06-19  9:35 UTC  (11+ messages)
` [PATCH v2 01/23] linux-user: Add infrastructure for a signal trampoline page
` [PATCH v2 07/23] linux-user/cris: Implement setup_sigtramp
` [PATCH v2 08/23] linux-user/hexagon: "
` [PATCH v2 13/23] linux-user/mips: Tidy install_sigtramp
` [PATCH v2 15/23] linux-user/nios2: Document non-use of setup_sigtramp

Pull request: u-boot-rockchip-20210618 for next
 2021-06-19  9:39 UTC 

[PATCH] target/ppc/spapr: Update H_GET_CPU_CHARACTERISTICS L1D cache flush bits
 2021-06-19  9:26 UTC  (2+ messages)

[PATCH qemu v21] spapr: Implement Open Firmware client interface
 2021-06-19  9:28 UTC  (7+ messages)

[PATCH for 4.16 00/11] membarrier updates for 4.16
 2021-06-19  9:35 UTC  (6+ messages)
` [PATCH for 4.16 v7 02/11] powerpc: membarrier: Skip memory barrier in switch_mm()

[PATCH 0/6] Cleanup and fixup for z3fold
 2021-06-19  9:31 UTC  (7+ messages)
` [PATCH 1/6] mm/z3fold: define macro NCHUNKS as TOTAL_CHUNKS - ZHDR_CHUNKS
` [PATCH 2/6] mm/z3fold: avoid possible underflow in z3fold_alloc()
` [PATCH 3/6] mm/z3fold: remove magic number in z3fold_create_pool()
` [PATCH 4/6] mm/z3fold: remove unused function handle_to_z3fold_header()
` [PATCH 5/6] mm/z3fold: fix potential memory leak in z3fold_destroy_pool()
` [PATCH 6/6] mm/z3fold: use release_z3fold_page_locked() to release locked z3fold page

[PATCH v3 0/4] Overlayfs fileattr related fixes
 2021-06-19  9:31 UTC  (6+ messages)
` [PATCH v3 1/4] fs: add generic helper for filling statx attribute flags
` [PATCH v3 2/4] ovl: pass ovl_fs to ovl_check_setxattr()
` [PATCH v3 3/4] ovl: copy up sync/noatime fileattr flags
` [PATCH v3 4/4] ovl: consistent behavior for immutable/append-only inodes

[PATCH 0/5] Follow-up on meson
 2021-06-19  9:31 UTC  (5+ messages)
` [PATCH 5/5] build-sys: replace makefiles to wrap meson/ninja

[PATCH 0/2] blk-wbt: fix two wbt enable problems
 2021-06-19  9:37 UTC  (3+ messages)
` [PATCH 1/2] blk-wbt: introduce a new disable state to prevent false positive by rwb_enabled()
` [PATCH 2/2] blk-wbt: make sure throttle is enabled properly

[PATCH 0/4] target/mips: Extract microMIPS ISA and Code Compaction ASE apart
 2021-06-19  9:26 UTC  (4+ messages)
` [PATCH 1/4] target/mips: Add declarations for generic TCG helpers

[PATCH] i2c: mediatek: Isolate speed setting via dts for special devices
 2021-06-19  9:23 UTC  (3+ messages)

pull request: mt76 2021-06-18 v3
 2021-06-19  9:23 UTC  (2+ messages)

[PATCH v3] mm: introduce reference pages
 2021-06-19  9:21 UTC  (13+ messages)

[PATCH v2 0/3] Improve output type formatting
 2021-06-19  9:22 UTC  (3+ messages)
` [PATCH v2 1/3] checks: Add markers on known properties

[PATCH v4] mm: introduce reference pages
 2021-06-19  9:20 UTC  (3+ messages)

[PATCH v2 0/4] mkimage: sunxi_egon: add riscv support
 2021-06-19  9:21 UTC  (4+ messages)
` [PATCH v2 2/4] mkimage: sunxi_egon: refactor for multi-architecture support
` [PATCH v2 3/4] mkimage: sunxi_egon: add support for riscv
` [PATCH v2 4/4] sunxi: specify architecture when generating SPL boot image

[igt-dev] [PATCH i-g-t] tests/kms_plane_alpha_blend: Fix alpha in coverage-vs-premult-vs-constant
 2021-06-19  9:21 UTC  (3+ messages)
` [igt-dev] [PATCH i-g-t] tests/kms_plane_alpha_blend: Limit pipe output to 8bpc for coverage-vs-premult-vs-constant

[PATCH v2] rtw_security: fix cast to restricted __le32
 2021-06-19  9:20 UTC  (4+ messages)
` [PATCH v3] "


This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.