All of lore.kernel.org
 help / color / mirror / Atom feed
 messages from 2021-10-27 18:24:49 to 2021-10-27 19:12:40 UTC [more...]

Help maintain the First Patch Tutorial
 2021-10-27 19:20 UTC  (5+ messages)
` [Outreachy kernel] "

[PATCH v5 00/26] fdt: Make OF_BOARD a boolean option
 2021-10-27 19:12 UTC  (18+ messages)
` [PATCH v5 02/26] doc: Add documentation about devicetree usage
` [PATCH v5 26/26] fdt: Don't call board_fdt_blob_setup() without OF_BOARD

[PATCH v5 00/38] arm64/sme: Initial support for the Scalable Matrix Extension
 2021-10-27 18:44 UTC  (68+ messages)
` [PATCH v5 01/38] arm64/sve: Make sysctl interface for SVE reusable by SME
` [PATCH v5 02/38] arm64/sve: Generalise vector length configuration prctl() for SME
` [PATCH v5 03/38] arm64/sve: Minor clarification of ABI documentation
` [PATCH v5 04/38] kselftest/arm64: Parameterise ptrace vector length information
` [PATCH v5 05/38] kselftest/arm64: Allow signal tests to trigger from a function
` [PATCH v5 06/38] kselftest/arm64: Add a test program to exercise the syscall ABI
` [PATCH v5 07/38] tools/nolibc: Implement gettid()
` [PATCH v5 08/38] arm64: Document boot requirements for FEAT_SME_FA64
` [PATCH v5 09/38] arm64: cpufeature: Add has_feature_flag() match function
` [PATCH v5 10/38] arm64/sme: Provide ABI documentation for SME
` [PATCH v5 11/38] arm64/sme: System register and exception syndrome definitions
` [PATCH v5 12/38] arm64/sme: Define macros for manually encoding SME instructions
` [PATCH v5 13/38] arm64/sme: Early CPU setup for SME
` [PATCH v5 14/38] arm64/sme: Basic enumeration support
` [PATCH v5 15/38] arm64/sme: Identify supported SME vector lengths at boot
` [PATCH v5 16/38] arm64/sme: Implement sysctl to set the default vector length
` [PATCH v5 17/38] arm64/sme: Implement vector length configuration prctl()s
` [PATCH v5 18/38] arm64/sme: Implement support for TPIDR2
` [PATCH v5 19/38] arm64/sme: Implement SVCR context switching
` [PATCH v5 20/38] arm64/sme: Implement streaming SVE "
` [PATCH v5 21/38] arm64/sme: Implement ZA "
` [PATCH v5 22/38] arm64/sme: Implement traps and syscall handling for SME
` [PATCH v5 23/38] arm64/sme: Implement streaming SVE signal handling
` [PATCH v5 24/38] arm64/sme: Implement ZA "
` [PATCH v5 25/38] arm64/sme: Implement ptrace support for streaming mode SVE registers
` [PATCH v5 26/38] arm64/sme: Add ptrace support for ZA
` [PATCH v5 27/38] arm64/sme: Disable streaming mode and ZA when flushing CPU state
` [PATCH v5 28/38] arm64/sme: Save and restore streaming mode over EFI runtime calls
` [PATCH v5 29/38] arm64/sme: Provide Kconfig for SME
` [PATCH v5 30/38] kselftest/arm64: sme: Add streaming SME support to vlset
` [PATCH v5 31/38] kselftest/arm64: Add tests for TPIDR2
` [PATCH v5 32/38] kselftest/arm64: Extend vector configuration API tests to cover SME
` [PATCH v5 33/38] kselftest/arm64: sme: Provide streaming mode SVE stress test
` [PATCH v5 34/38] kselftest/arm64: Add stress test for SME ZA context switching
` [PATCH v5 35/38] kselftest/arm64: signal: Add SME signal handling tests
` [PATCH v5 36/38] kselftest/arm64: Add streaming SVE to SVE ptrace tests
` [PATCH v5 37/38] kselftest/arm64: Add coverage for the ZA ptrace interface
` [PATCH v5 38/38] kselftest/arm64: Add SME support to syscall ABI test

ixgbe: How to do this without a module parameter?
 2021-10-27 19:12 UTC  (6+ messages)
    ` Aw: "
      `  "

Security Working Group - Wednesday October 27
 2021-10-27 19:11 UTC  (2+ messages)
` Security Working Group - Wednesday October 27 - results

[PATCH 0/3] bundle-uri: "dumb" static CDN offloading, spec & server implementation
 2021-10-27 18:01 UTC  (6+ messages)
` [PATCH 2/3] protocol v2: specify static seeding of clone/fetch via "bundle-uri"

[PATCH v2 00/32] target/mips: Fully convert MSA opcodes to decodetree
 2021-10-27 18:12 UTC  (27+ messages)
` [PATCH v2 04/32] target/mips: Use dup_const() to simplify
` [PATCH v2 05/32] target/mips: Have check_msa_access() return a boolean
` [PATCH v2 07/32] target/mips: Rename sa16 -> sa, bz_df -> bz -> bz_v
` [PATCH v2 08/32] target/mips: Convert MSA LDI opcode to decodetree
` [PATCH v2 09/32] target/mips: Convert MSA I5 instruction format "
` [PATCH v2 10/32] target/mips: Convert MSA BIT "
` [PATCH v2 14/32] target/mips: Convert MSA 2RF "
` [PATCH v2 15/32] target/mips: Convert MSA FILL opcode "
` [PATCH v2 16/32] target/mips: Convert MSA 2R instruction format "
` [PATCH v2 17/32] target/mips: Convert MSA VEC "
` [PATCH v2 18/32] target/mips: Convert MSA 3RF instruction format to decodetree (DF_HALF)
` [PATCH v2 19/32] target/mips: Convert MSA 3RF instruction format to decodetree (DF_WORD)
` [PATCH v2 20/32] target/mips: Convert MSA 3R instruction format to decodetree (part 1/4)
` [PATCH v2 21/32] target/mips: Convert MSA 3R instruction format to decodetree (part 2/4)
` [PATCH v2 22/32] target/mips: Convert MSA 3R instruction format to decodetree (part 3/4)
` [PATCH v2 23/32] target/mips: Convert MSA 3R instruction format to decodetree (part 4/4)
` [PATCH v2 24/32] target/mips: Convert MSA ELM instruction format to decodetree
` [PATCH v2 25/32] target/mips: Convert MSA COPY_U opcode "
` [PATCH v2 26/32] target/mips: Convert MSA COPY_S and INSERT opcodes "
` [PATCH v2 27/32] target/mips: Convert MSA MOVE.V opcode "
` [PATCH v2 28/32] target/mips: Convert CFCMSA "
` [PATCH v2 29/32] target/mips: Convert CTCMSA "
` [PATCH v2 30/32] target/mips: Remove generic MSA opcode
` [PATCH v2 31/32] target/mips: Remove one MSA unnecessary decodetree overlap group
` [PATCH v2 32/32] target/mips: Adjust style in msa_translate_init()

[Buildroot] [PATCH v2,1/1] package/c-ares: bump to version 1.18.1
 2021-10-27 19:09 UTC 

[PATCH v3 00/16] x86: Rewrite the retpoline rewrite logic
 2021-10-27 19:10 UTC  (4+ messages)
` [PATCH v3 10/16] x86/alternative: Implement .retpoline_sites support

[PATCH net-next 0/2] Two reverts to calm down devlink discussion
 2021-10-27 19:10 UTC  (2+ messages)

[PATCH] ASoC: qdsp6: audioreach: Fix clang -Wimplicit-fallthrough
 2021-10-27 19:08 UTC  (2+ messages)

fixmap problem on PA11 hardware
 2021-10-27 19:09 UTC 

[PATCH v3 00/12] vfio-user server in QEMU
 2021-10-27 18:30 UTC  (3+ messages)
` [PATCH v3 11/12] vfio-user: register handlers to facilitate migration

[PATCH nft 1/3] tests: shell: README: copy edit
 2021-10-27 19:07 UTC  (10+ messages)

[Intel-gfx] [PATCH] drm/i915/psr2: Do full fetches when doing async flips
 2021-10-27 18:48 UTC 

[PATCH 00/16] fdt: Make OF_BOARD a boolean option
 2021-10-27 19:06 UTC  (15+ messages)

[PULL 0/8] 9p queue 2021-10-27
 2021-10-27 18:44 UTC  (6+ messages)

[PATCH] HID: input: fix the incorrectly reported BTN_TOOL_RUBBER/PEN tools
 2021-10-27 19:06 UTC  (2+ messages)

[PATCH mptcp-net v2] mptcp: fix corrupt receiver key in MPC + data + checksum
 2021-10-27 19:06 UTC  (2+ messages)

[PATCH bpf-next v3 0/6] core_reloc fixes for s390
 2021-10-27 19:05 UTC  (3+ messages)
` [PATCH bpf-next v3 2/6] libbpf: Use __BYTE_ORDER__

[PATCH V2 mlx5-next 12/14] vfio/mlx5: Implement vfio_pci driver for mlx5 devices
 2021-10-27 19:05 UTC  (12+ messages)

Git not commiting anything if file created and "git add"ed in pre-commit hook is the only file in the staging area
 2021-10-27 19:03 UTC 

[PATCH v3 0/5] Builtin FSMonitor Part 2
 2021-10-27 19:03 UTC  (8+ messages)
` [PATCH v4 00/29] "
  ` [PATCH v4 01/29] fsmonitor: enhance existing comments
  ` [PATCH v4 03/29] fsmonitor: config settings are repository-specific

[PATCH 1/2] drm/i915/pmu: Add a name to the execlists stats
 2021-10-27 18:56 UTC  (3+ messages)
` [Intel-gfx] "

[PATCH V4 net-next 0/6] ethtool: add support to set/get tx copybreak buf size and rx buf len
 2021-10-27 18:59 UTC  (8+ messages)
` [PATCH V4 net-next 4/6] ethtool: extend ringparam setting uAPI with rx_buf_len

[PATCH v4 1/3] PM / wakeirq: support enabling wake-up irq after runtime_suspend called
 2021-10-27 19:00 UTC  (4+ messages)

[PATCH v2 1/4] kunit: tool: Do not error on tests without test plans
 2021-10-27 18:59 UTC  (3+ messages)
` [PATCH v2 3/4] kunit: Don't crash if no parameters are generated

[PATCH V2 0/6] perf dlfilter: Add dlfilter-show-cycles
 2021-10-27 18:59 UTC  (3+ messages)
` [PATCH V2 6/6] perf intel-pt: Support itrace d+o option to direct debug log to stdout

[PATCH v2 00/23] x86/resctrl: Make resctrl_arch_rmid_read() return values in bytes
 2021-10-27 18:59 UTC  (8+ messages)
` [PATCH v2 17/23] x86/resctrl: Abstract __rmid_read()

[PATCH u-boot-marvell 0/5] kwboot fix for AXP + some others
 2021-10-27 18:57 UTC  (6+ messages)
` [PATCH u-boot-marvell 1/5] tools: kwboot: Fix sending retry of last header packet
` [PATCH u-boot-marvell 2/5] tools: kwboot: Do not call tcdrain() after each sent packet
` [PATCH u-boot-marvell 3/5] tools: kwboot: Increase delay after changing baudrate in ARM code
` [PATCH u-boot-marvell 4/5] tools: kwboot: Replace ARM mov + movt instruction pair by mov + orr
` [PATCH u-boot-marvell 5/5] tools: kwboot: Do not use stack when setting baudrate back to default value

[PATCH] doc: fix grammar rules in commands'syntax
 2021-10-27 18:56 UTC  (2+ messages)

[Intel-gfx] [PATCH v2 0/3] Prepare error capture for asynchronous migration
 2021-10-27 18:54 UTC  (2+ messages)
` [Intel-gfx] ✗ Fi.CI.IGT: failure for Prepare error capture for asynchronous migration (rev2)

[PATCH 0/6] Add touch-keys support to the Zinitix touch driver
 2021-10-27 18:54 UTC  (10+ messages)
` [PATCH 1/6] input: touchscreen: zinitix: Make sure the IRQ is allocated before it gets enabled
` [PATCH 2/6] dt-bindings: input/ts/zinitix: Convert to YAML, fix and extend
` [PATCH 3/6] Input: zinitix - Handle proper supply names
` [PATCH 4/6] input: touchscreen: zinitix: Add compatible for bt532
` [PATCH 5/6] dt-bindings: input: zinitix: Document touch-keys support
` [PATCH 6/6] input: touchscreen: zinitix: Add touchkey support

Notes from the Git Contributors' Summit 2021, virtual, Oct 19/20
 2021-10-27 18:54 UTC  (6+ messages)
` [Summit topic] Improving Git UX
  ` changing the experimental 'git switch' (was: [Summit topic] Improving Git UX)
    ` changing the experimental 'git switch'

[PATCH v4 00/11] Add support for X86/ACPI camera sensor/PMIC setup with clk and regulator platform data
 2021-10-27 18:53 UTC  (5+ messages)
` [PATCH v4 01/11] ACPI: delay enumeration of devices with a _DEP pointing to an INT3472 device
` [PATCH v4 02/11] i2c: acpi: Use acpi_dev_ready_for_enumeration() helper

[PATCH] musl: Update to tip of trunk
 2021-10-27 18:54 UTC 

[PATCH v2] clk: meson: gxbb: Fix the SDM_EN bit for MPLL0 on GXBB
 2021-10-27 18:53 UTC 

[PATCH RESEND] ASoC: fix unmet dependencies on GPIOLIB for SND_SOC_DMIC
 2021-10-27 18:48 UTC  (2+ messages)

[PATCH 0/3] Documentation: change "folder" to "directory"
 2021-10-27 18:48 UTC  (4+ messages)

[PATCH 00/16] fdt: Make OF_BOARD a boolean option
 2021-10-27 18:39 UTC  (8+ messages)
` [PATCH 05/16] arm: qemu: Add a devicetree file for qemu_arm64

[v2,for-rc] RDMA/qedr: qedr crash while running rdma-tool
 2021-10-27 18:45 UTC  (4+ messages)
    ` [EXT] "

[PATCH v2] backlight: lp855x: Switch to atomic PWM API
 2021-10-27 18:45 UTC 

[PATCH] f2fs: remove circular locking between sb_internal and fs_reclaim
 2021-10-27 18:36 UTC  (9+ messages)
` [f2fs-dev] "

vDPA bus driver selection
 2021-10-27 18:45 UTC  (2+ messages)

[PATCH] PCI: Use unsigned int type explicitly when declaring variables
 2021-10-27 18:44 UTC  (2+ messages)

[PATCH 0/6] crypto: DRBG - improve 'nopr' reseeding
 2021-10-27 18:44 UTC  (7+ messages)
` [PATCH 4/6] crypto: DRBG - make reseeding from get_random_bytes() synchronous

[GIT PULL] devfreq next for 5.16
 2021-10-27 18:44 UTC  (2+ messages)

[v3,for-rc] RDMA/qedr: qedr crash while running rdma-tool
 2021-10-27 18:43 UTC 

next/master baseline: 244 runs, 2 regressions (next-20211027)
 2021-10-27 18:43 UTC 

[PATCH v7 3/3] drm/bridge: ti-sn65dsi86: Implement the pwm_chip
 2021-10-27 18:43 UTC  (2+ messages)

[PATCH v2 0/5] Refactor thermal pressure update to avoid code duplication
 2021-10-27 18:43 UTC  (4+ messages)
` [PATCH v2 1/5] arch_topology: Introduce thermal pressure update function

[PATCH RESEND v2 2/2] drm/panel/tianma-tl057fvxp01: add panel for Motorola Moto G6
 2021-10-27 18:42 UTC  (3+ messages)

[PATCH v2 1/4] drm/i915/clflush: fixup handling of cache_dirty
 2021-10-27 18:41 UTC  (2+ messages)
` [Intel-gfx] ✓ Fi.CI.BAT: success for series starting with [v2,1/4] "

[PATCH v5 bpf-next 0/5] Implement bloom filter map
 2021-10-27 18:41 UTC  (5+ messages)
` [PATCH v5 bpf-next 2/5] libbpf: Add "map_extra" as a per-map-type extra flag

[PATCH] drm/i915: Add NO_VLV_DISP_PW_DPIO_CMN_BC_INIT quirk
 2021-10-27 18:39 UTC  (4+ messages)
    ` [Intel-gfx] "

[syzbot] KASAN: slab-out-of-bounds Read in copy_data
 2021-10-27 18:38 UTC  (8+ messages)

[PATCH] ACPI: APEI: mark apei_hest_parse
 2021-10-27 18:37 UTC  (3+ messages)
  ` [Devel] "

[PATCH v2 00/41] Initial implementation of standard boot
 2021-10-27 18:34 UTC  (8+ messages)
` [PATCH v2 31/41] bootstd: Add an implementation of EFI boot

[PATCH v11 1/3] mm: rearrange madvise code to allow for reuse
 2021-10-27 18:35 UTC  (3+ messages)
` [PATCH v11 2/3] mm: add a field to store names for private anonymous memory

[PATCH v1 1/2] x86: tangier: pinmux: Move is_protected assignment closer to its user
 2021-10-27 18:34 UTC  (4+ messages)
` [PATCH v1 2/2] x86: tangier: pinmux: Move error message to the caller

[PATCH] ACPI: glue: Use acpi_device_adr() in acpi_find_child_device()
 2021-10-27 17:35 UTC  (4+ messages)

[PATCH] staging: rtl8192e: remove condition with no effect
 2021-10-27 18:34 UTC 

[PATCH v6 00/21] drm/bridge: Make panel and bridge probe order consistent
 2021-10-27 18:34 UTC  (3+ messages)
` [PATCH v6 20/21] drm/kirin: dsi: Adjust probe order

[PATCH v4 1/2] arm: mvebu: Implement the mac command (Marvell hw_info)
 2021-10-27 18:32 UTC  (3+ messages)
` [PATCH v4 2/2] arm: mvebu: add Globalscale MOCHAbin support

[PATCH v1] drm: import DMA_BUF module namespace
 2021-10-27 18:30 UTC  (3+ messages)

Start using github security advisories
 2021-10-27 18:29 UTC  (5+ messages)

[PATCH V6 00/20] RTLA: An interface for osnoise/timerlat tracers
 2021-10-27 18:29 UTC  (4+ messages)
` [PATCH V6 11/20] rtla/osnoise: Add the hist mode

[PATCH 1/1] include/linux/byteorder/generic.h: fix index variables
 2021-10-27 18:27 UTC  (2+ messages)

[RFC 0/8] Hardening page _refcount
 2021-10-27 18:27 UTC  (6+ messages)
` [RFC 3/8] mm: Avoid using set_page_count() in set_page_recounted()

[Buildroot] [PATCH] package/python-esptool: bump version to 3.2
 2021-10-27 18:26 UTC 

[meta-freescale] linux-fsl 5.10 kernels don't appear to have multi-lane in sound/soc/fsl/fsl_sai.c
 2021-10-27 18:24 UTC  (7+ messages)


This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.