intel-xe.lists.freedesktop.org archive mirror
 help / color / mirror / Atom feed
* [Intel-xe] [RFC 0/5] Start killing xe_macros.
@ 2023-03-28 16:10 Rodrigo Vivi
  2023-03-28 16:10 ` [Intel-xe] [RFC 1/5] !fixup: drm/i915/display: Remaining changes to make xe compile Rodrigo Vivi
                   ` (8 more replies)
  0 siblings, 9 replies; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 16:10 UTC (permalink / raw)
  To: intel-xe; +Cc: Rodrigo Vivi

We don't want another i915_utils.h. At least not without any good reason.

Even for the remaining case of the XE_IOCTL_ERR I believe it would be
much cleaner to have that opened up in the code with better messages
in case of failure, rather than just the line and condition.

But let's at least start some conversation around this...

Thanks,
Rodrigo.

Rodrigo Vivi (5):
  !fixup: drm/i915/display: Remaining changes to make xe compile
  !fixup: drm/xe: Allow fbdev to allocate stolen memory
  drm/xe: Remove useless XE_WARN_ON.
  drm/xe: Remove useless XE_BUG_ON.
  drm/xe/xe_macro: Remove unused stuff.

 drivers/gpu/drm/i915/display/intel_display.c |  2 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c   |  2 +-
 drivers/gpu/drm/xe/display/xe_de.h           |  2 +-
 drivers/gpu/drm/xe/display/xe_fb_pin.c       |  2 +-
 drivers/gpu/drm/xe/xe_bb.c                   |  4 +-
 drivers/gpu/drm/xe/xe_bo.c                   | 64 +++++++--------
 drivers/gpu/drm/xe/xe_bo.h                   |  8 +-
 drivers/gpu/drm/xe/xe_bo_evict.c             |  4 +-
 drivers/gpu/drm/xe/xe_debugfs.c              |  4 +-
 drivers/gpu/drm/xe/xe_device.c               |  4 +-
 drivers/gpu/drm/xe/xe_device.h               |  8 +-
 drivers/gpu/drm/xe/xe_dma_buf.c              |  2 +-
 drivers/gpu/drm/xe/xe_engine.c               |  2 +-
 drivers/gpu/drm/xe/xe_execlist.c             | 16 ++--
 drivers/gpu/drm/xe/xe_force_wake.c           |  4 +-
 drivers/gpu/drm/xe/xe_force_wake.h           |  4 +-
 drivers/gpu/drm/xe/xe_ggtt.c                 | 16 ++--
 drivers/gpu/drm/xe/xe_gt.c                   | 30 +++----
 drivers/gpu/drm/xe/xe_gt_clock.c             |  4 +-
 drivers/gpu/drm/xe/xe_gt_debugfs.c           |  6 +-
 drivers/gpu/drm/xe/xe_gt_pagefault.c         |  2 +-
 drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c  | 14 ++--
 drivers/gpu/drm/xe/xe_guc.c                  | 26 +++---
 drivers/gpu/drm/xe/xe_guc.h                  |  2 +-
 drivers/gpu/drm/xe/xe_guc_ads.c              | 16 ++--
 drivers/gpu/drm/xe/xe_guc_ct.c               | 28 +++----
 drivers/gpu/drm/xe/xe_guc_debugfs.c          |  2 +-
 drivers/gpu/drm/xe/xe_guc_hwconfig.c         |  2 +-
 drivers/gpu/drm/xe/xe_guc_log.c              |  4 +-
 drivers/gpu/drm/xe/xe_guc_pc.c               | 18 ++--
 drivers/gpu/drm/xe/xe_guc_submit.c           | 54 ++++++------
 drivers/gpu/drm/xe/xe_huc.c                  |  2 +-
 drivers/gpu/drm/xe/xe_huc_debugfs.c          |  2 +-
 drivers/gpu/drm/xe/xe_hw_engine.c            | 10 +--
 drivers/gpu/drm/xe/xe_hw_fence.c             |  8 +-
 drivers/gpu/drm/xe/xe_lrc.c                  |  8 +-
 drivers/gpu/drm/xe/xe_macros.h               |  4 -
 drivers/gpu/drm/xe/xe_migrate.c              | 34 ++++----
 drivers/gpu/drm/xe/xe_mmio.c                 |  2 +-
 drivers/gpu/drm/xe/xe_mocs.c                 |  4 +-
 drivers/gpu/drm/xe/xe_pt.c                   | 42 +++++-----
 drivers/gpu/drm/xe/xe_reg_sr.c               |  4 +-
 drivers/gpu/drm/xe/xe_res_cursor.h           | 12 +--
 drivers/gpu/drm/xe/xe_ring_ops.c             |  8 +-
 drivers/gpu/drm/xe/xe_rtp.c                  |  2 +-
 drivers/gpu/drm/xe/xe_sched_job.c            |  2 +-
 drivers/gpu/drm/xe/xe_sync.c                 |  4 +-
 drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c          |  2 +-
 drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c       |  4 +-
 drivers/gpu/drm/xe/xe_ttm_vram_mgr.c         |  2 +-
 drivers/gpu/drm/xe/xe_uc.c                   |  2 +-
 drivers/gpu/drm/xe/xe_uc_debugfs.c           |  2 +-
 drivers/gpu/drm/xe/xe_uc_fw.c                | 18 ++--
 drivers/gpu/drm/xe/xe_uc_fw.h                |  2 +-
 drivers/gpu/drm/xe/xe_vm.c                   | 86 ++++++++++----------
 drivers/gpu/drm/xe/xe_vm_madvise.c           |  2 +-
 drivers/gpu/drm/xe/xe_wait_user_fence.c      |  2 +-
 drivers/gpu/drm/xe/xe_wopcm.c                | 18 ++--
 58 files changed, 320 insertions(+), 324 deletions(-)

-- 
2.39.2


^ permalink raw reply	[flat|nested] 17+ messages in thread

* [Intel-xe] [RFC 1/5] !fixup: drm/i915/display: Remaining changes to make xe compile
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
@ 2023-03-28 16:10 ` Rodrigo Vivi
  2023-03-28 16:10 ` [Intel-xe] [RFC 2/5] !fixup: drm/xe: Allow fbdev to allocate stolen memory Rodrigo Vivi
                   ` (7 subsequent siblings)
  8 siblings, 0 replies; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 16:10 UTC (permalink / raw)
  To: intel-xe; +Cc: Rodrigo Vivi

Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
---
 drivers/gpu/drm/i915/display/intel_display.c | 2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

diff --git a/drivers/gpu/drm/i915/display/intel_display.c b/drivers/gpu/drm/i915/display/intel_display.c
index 012a7b90ea4b..7074297d931c 100644
--- a/drivers/gpu/drm/i915/display/intel_display.c
+++ b/drivers/gpu/drm/i915/display/intel_display.c
@@ -7414,7 +7414,7 @@ static int i915_gem_object_read_from_page(struct xe_bo *bo,
 	int ret;
 	struct ww_acquire_ctx ww;
 
-	XE_BUG_ON(size != 8);
+	BUG_ON(size != 8);
 
 	ret = xe_bo_lock(bo, &ww, 0, true);
 	if (ret)
-- 
2.39.2


^ permalink raw reply related	[flat|nested] 17+ messages in thread

* [Intel-xe] [RFC 2/5] !fixup: drm/xe: Allow fbdev to allocate stolen memory
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
  2023-03-28 16:10 ` [Intel-xe] [RFC 1/5] !fixup: drm/i915/display: Remaining changes to make xe compile Rodrigo Vivi
@ 2023-03-28 16:10 ` Rodrigo Vivi
  2023-03-28 16:10 ` [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON Rodrigo Vivi
                   ` (6 subsequent siblings)
  8 siblings, 0 replies; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 16:10 UTC (permalink / raw)
  To: intel-xe; +Cc: Rodrigo Vivi

Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
---
 drivers/gpu/drm/i915/display/intel_fbdev.c | 2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

diff --git a/drivers/gpu/drm/i915/display/intel_fbdev.c b/drivers/gpu/drm/i915/display/intel_fbdev.c
index ce7abffaf456..b435f69decd2 100644
--- a/drivers/gpu/drm/i915/display/intel_fbdev.c
+++ b/drivers/gpu/drm/i915/display/intel_fbdev.c
@@ -340,7 +340,7 @@ static int intelfb_create(struct drm_fb_helper *helper,
 		info->fix.smem_len = obj->ttm.base.size;
 	}
 
-	XE_WARN_ON(iosys_map_is_null(&obj->vmap));
+	WARN_ON(iosys_map_is_null(&obj->vmap));
 	vaddr = obj->vmap.vaddr_iomem;
 #endif
 
-- 
2.39.2


^ permalink raw reply related	[flat|nested] 17+ messages in thread

* [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
  2023-03-28 16:10 ` [Intel-xe] [RFC 1/5] !fixup: drm/i915/display: Remaining changes to make xe compile Rodrigo Vivi
  2023-03-28 16:10 ` [Intel-xe] [RFC 2/5] !fixup: drm/xe: Allow fbdev to allocate stolen memory Rodrigo Vivi
@ 2023-03-28 16:10 ` Rodrigo Vivi
  2023-03-28 18:26   ` Matthew Brost
  2023-03-28 16:10 ` [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON Rodrigo Vivi
                   ` (5 subsequent siblings)
  8 siblings, 1 reply; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 16:10 UTC (permalink / raw)
  To: intel-xe; +Cc: Rodrigo Vivi

If that ever becomes useful for something we bring it
back with some written reasoning.

Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
---
 drivers/gpu/drm/xe/display/xe_fb_pin.c      |  2 +-
 drivers/gpu/drm/xe/xe_bo.c                  | 12 +++++-----
 drivers/gpu/drm/xe/xe_debugfs.c             |  4 ++--
 drivers/gpu/drm/xe/xe_device.c              |  4 ++--
 drivers/gpu/drm/xe/xe_device.h              |  2 +-
 drivers/gpu/drm/xe/xe_dma_buf.c             |  2 +-
 drivers/gpu/drm/xe/xe_engine.c              |  2 +-
 drivers/gpu/drm/xe/xe_execlist.c            |  2 +-
 drivers/gpu/drm/xe/xe_ggtt.c                |  6 ++---
 drivers/gpu/drm/xe/xe_gt.c                  | 24 +++++++++----------
 drivers/gpu/drm/xe/xe_gt_debugfs.c          |  4 ++--
 drivers/gpu/drm/xe/xe_gt_pagefault.c        |  2 +-
 drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c |  2 +-
 drivers/gpu/drm/xe/xe_guc.c                 |  4 ++--
 drivers/gpu/drm/xe/xe_guc.h                 |  2 +-
 drivers/gpu/drm/xe/xe_guc_ads.c             |  2 +-
 drivers/gpu/drm/xe/xe_guc_ct.c              |  6 ++---
 drivers/gpu/drm/xe/xe_guc_debugfs.c         |  2 +-
 drivers/gpu/drm/xe/xe_guc_pc.c              | 18 +++++++-------
 drivers/gpu/drm/xe/xe_guc_submit.c          | 10 ++++----
 drivers/gpu/drm/xe/xe_huc_debugfs.c         |  2 +-
 drivers/gpu/drm/xe/xe_hw_fence.c            |  6 ++---
 drivers/gpu/drm/xe/xe_macros.h              |  1 -
 drivers/gpu/drm/xe/xe_migrate.c             |  2 +-
 drivers/gpu/drm/xe/xe_mocs.c                |  4 ++--
 drivers/gpu/drm/xe/xe_pt.c                  | 10 ++++----
 drivers/gpu/drm/xe/xe_reg_sr.c              |  4 ++--
 drivers/gpu/drm/xe/xe_res_cursor.h          |  2 +-
 drivers/gpu/drm/xe/xe_rtp.c                 |  2 +-
 drivers/gpu/drm/xe/xe_sync.c                |  4 ++--
 drivers/gpu/drm/xe/xe_uc.c                  |  2 +-
 drivers/gpu/drm/xe/xe_uc_debugfs.c          |  2 +-
 drivers/gpu/drm/xe/xe_uc_fw.c               |  2 +-
 drivers/gpu/drm/xe/xe_vm.c                  | 26 ++++++++++-----------
 drivers/gpu/drm/xe/xe_vm_madvise.c          |  2 +-
 35 files changed, 91 insertions(+), 92 deletions(-)

diff --git a/drivers/gpu/drm/xe/display/xe_fb_pin.c b/drivers/gpu/drm/xe/display/xe_fb_pin.c
index 65c0bc28a3d1..ef37dc1e9a5c 100644
--- a/drivers/gpu/drm/xe/display/xe_fb_pin.c
+++ b/drivers/gpu/drm/xe/display/xe_fb_pin.c
@@ -190,7 +190,7 @@ static struct i915_vma *__xe_pin_fb_vma(struct intel_framebuffer *fb,
 		return ERR_PTR(-ENODEV);
 
 	/* Remapped view is only required on ADL-P, which xe doesn't support. */
-	if (XE_WARN_ON(view->type == I915_GTT_VIEW_REMAPPED)) {
+	if (WARN_ON(view->type == I915_GTT_VIEW_REMAPPED)) {
 		ret = -ENODEV;
 		goto err;
 	}
diff --git a/drivers/gpu/drm/xe/xe_bo.c b/drivers/gpu/drm/xe/xe_bo.c
index e4d079b61d52..eb00b0a67abe 100644
--- a/drivers/gpu/drm/xe/xe_bo.c
+++ b/drivers/gpu/drm/xe/xe_bo.c
@@ -424,7 +424,7 @@ static int xe_bo_trigger_rebind(struct xe_device *xe, struct xe_bo *bo,
 							MAX_SCHEDULE_TIMEOUT);
 			if (timeout > 0) {
 				ret = xe_vm_invalidate_vma(vma);
-				XE_WARN_ON(ret);
+				WARN_ON(ret);
 			} else if (!timeout) {
 				ret = -ETIME;
 			} else {
@@ -661,7 +661,7 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
 				void *new_addr = gt->mem.vram.mapping +
 					(new_mem->start << PAGE_SHIFT);
 
-				if (XE_WARN_ON(new_mem->start == XE_BO_INVALID_OFFSET)) {
+				if (WARN_ON(new_mem->start == XE_BO_INVALID_OFFSET)) {
 					ret = -EINVAL;
 					xe_device_mem_access_put(xe);
 					goto out;
@@ -721,7 +721,7 @@ static bool xe_ttm_bo_lock_in_destructor(struct ttm_buffer_object *ttm_bo)
 {
 	bool locked;
 
-	XE_WARN_ON(kref_read(&ttm_bo->kref));
+	WARN_ON(kref_read(&ttm_bo->kref));
 
 	/*
 	 * We can typically only race with TTM trylocking under the
@@ -732,7 +732,7 @@ static bool xe_ttm_bo_lock_in_destructor(struct ttm_buffer_object *ttm_bo)
 	spin_lock(&ttm_bo->bdev->lru_lock);
 	locked = dma_resv_trylock(ttm_bo->base.resv);
 	spin_unlock(&ttm_bo->bdev->lru_lock);
-	XE_WARN_ON(!locked);
+	WARN_ON(!locked);
 
 	return locked;
 }
@@ -748,7 +748,7 @@ static void xe_ttm_bo_release_notify(struct ttm_buffer_object *ttm_bo)
 		return;
 
 	bo = ttm_to_xe_bo(ttm_bo);
-	XE_WARN_ON(bo->created && kref_read(&ttm_bo->base.refcount));
+	WARN_ON(bo->created && kref_read(&ttm_bo->base.refcount));
 
 	/*
 	 * Corner case where TTM fails to allocate memory and this BOs resv
@@ -966,7 +966,7 @@ struct xe_bo *__xe_bo_create_locked(struct xe_device *xe, struct xe_bo *bo,
 	/* Only kernel objects should set GT */
 	XE_BUG_ON(gt && type != ttm_bo_type_kernel);
 
-	if (XE_WARN_ON(!size))
+	if (WARN_ON(!size))
 		return ERR_PTR(-EINVAL);
 
 	if (!bo) {
diff --git a/drivers/gpu/drm/xe/xe_debugfs.c b/drivers/gpu/drm/xe/xe_debugfs.c
index 7827a785b020..fc88ee32a006 100644
--- a/drivers/gpu/drm/xe/xe_debugfs.c
+++ b/drivers/gpu/drm/xe/xe_debugfs.c
@@ -71,7 +71,7 @@ static int forcewake_open(struct inode *inode, struct file *file)
 	u8 id;
 
 	for_each_gt(gt, xe, id)
-		XE_WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+		WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 
 	return 0;
 }
@@ -83,7 +83,7 @@ static int forcewake_release(struct inode *inode, struct file *file)
 	u8 id;
 
 	for_each_gt(gt, xe, id)
-		XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+		WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 
 	return 0;
 }
diff --git a/drivers/gpu/drm/xe/xe_device.c b/drivers/gpu/drm/xe/xe_device.c
index a79f934e3d2d..49dec0b6516f 100644
--- a/drivers/gpu/drm/xe/xe_device.c
+++ b/drivers/gpu/drm/xe/xe_device.c
@@ -411,7 +411,7 @@ void xe_device_mem_access_get(struct xe_device *xe)
 	if (resumed)
 		xe_pm_runtime_put(xe);
 
-	XE_WARN_ON(ref == S32_MAX);
+	WARN_ON(ref == S32_MAX);
 }
 
 void xe_device_mem_access_put(struct xe_device *xe)
@@ -422,5 +422,5 @@ void xe_device_mem_access_put(struct xe_device *xe)
 	if (!ref && hold)
 		xe_pm_runtime_put(xe);
 
-	XE_WARN_ON(ref < 0);
+	WARN_ON(ref < 0);
 }
diff --git a/drivers/gpu/drm/xe/xe_device.h b/drivers/gpu/drm/xe/xe_device.h
index d277f8985f7b..5f725ed2ca6b 100644
--- a/drivers/gpu/drm/xe/xe_device.h
+++ b/drivers/gpu/drm/xe/xe_device.h
@@ -97,7 +97,7 @@ static inline bool xe_device_mem_access_ongoing(struct xe_device *xe)
 
 static inline void xe_device_assert_mem_access(struct xe_device *xe)
 {
-	XE_WARN_ON(!xe_device_mem_access_ongoing(xe));
+	WARN_ON(!xe_device_mem_access_ongoing(xe));
 }
 
 static inline bool xe_device_in_fault_mode(struct xe_device *xe)
diff --git a/drivers/gpu/drm/xe/xe_dma_buf.c b/drivers/gpu/drm/xe/xe_dma_buf.c
index 9b252cc782b7..485aa5ccbeca 100644
--- a/drivers/gpu/drm/xe/xe_dma_buf.c
+++ b/drivers/gpu/drm/xe/xe_dma_buf.c
@@ -219,7 +219,7 @@ static void xe_dma_buf_move_notify(struct dma_buf_attachment *attach)
 	struct drm_gem_object *obj = attach->importer_priv;
 	struct xe_bo *bo = gem_to_xe_bo(obj);
 
-	XE_WARN_ON(xe_bo_evict(bo, false));
+	WARN_ON(xe_bo_evict(bo, false));
 }
 
 static const struct dma_buf_attach_ops xe_dma_buf_attach_ops = {
diff --git a/drivers/gpu/drm/xe/xe_engine.c b/drivers/gpu/drm/xe/xe_engine.c
index 37209b13bcd6..cdd2349524d6 100644
--- a/drivers/gpu/drm/xe/xe_engine.c
+++ b/drivers/gpu/drm/xe/xe_engine.c
@@ -705,7 +705,7 @@ static void engine_kill_compute(struct xe_engine *e)
  */
 bool xe_engine_is_idle(struct xe_engine *engine)
 {
-	if (XE_WARN_ON(xe_engine_is_parallel(engine)))
+	if (WARN_ON(xe_engine_is_parallel(engine)))
 		return false;
 
 	return xe_lrc_seqno(&engine->lrc[0]) ==
diff --git a/drivers/gpu/drm/xe/xe_execlist.c b/drivers/gpu/drm/xe/xe_execlist.c
index 02021457b1f0..127ca8c6e279 100644
--- a/drivers/gpu/drm/xe/xe_execlist.c
+++ b/drivers/gpu/drm/xe/xe_execlist.c
@@ -373,7 +373,7 @@ static int execlist_engine_init(struct xe_engine *e)
 		sprintf(e->name, "ccs%d", ffs(e->logical_mask) - 1);
 		break;
 	default:
-		XE_WARN_ON(e->class);
+		WARN_ON(e->class);
 	}
 
 	return 0;
diff --git a/drivers/gpu/drm/xe/xe_ggtt.c b/drivers/gpu/drm/xe/xe_ggtt.c
index a430d1568890..bd079e823661 100644
--- a/drivers/gpu/drm/xe/xe_ggtt.c
+++ b/drivers/gpu/drm/xe/xe_ggtt.c
@@ -205,7 +205,7 @@ void xe_ggtt_invalidate(struct xe_gt *gt)
 		int seqno;
 
 		seqno = xe_gt_tlb_invalidation_guc(gt);
-		XE_WARN_ON(seqno <= 0);
+		WARN_ON(seqno <= 0);
 		if (seqno > 0)
 			xe_gt_tlb_invalidation_wait(gt, seqno);
 	} else if (xe_device_guc_submission_enabled(gt_to_xe(gt))) {
@@ -294,7 +294,7 @@ static int __xe_ggtt_insert_bo_at(struct xe_ggtt *ggtt, struct xe_bo *bo,
 	if (xe_bo_is_vram(bo) && ggtt->flags & XE_GGTT_FLAGS_64K)
 		alignment = SZ_64K;
 
-	if (XE_WARN_ON(bo->ggtt_node.size)) {
+	if (WARN_ON(bo->ggtt_node.size)) {
 		return 0;
 	}
 
@@ -351,7 +351,7 @@ void xe_ggtt_remove_node(struct xe_ggtt *ggtt, struct drm_mm_node *node)
 
 void xe_ggtt_remove_bo(struct xe_ggtt *ggtt, struct xe_bo *bo)
 {
-	if (XE_WARN_ON(!bo->ggtt_node.size))
+	if (WARN_ON(!bo->ggtt_node.size))
 		return;
 
 	xe_ggtt_remove_node(ggtt, &bo->ggtt_node);
diff --git a/drivers/gpu/drm/xe/xe_gt.c b/drivers/gpu/drm/xe/xe_gt.c
index 6322e0689a9e..7d8b4cffcc2d 100644
--- a/drivers/gpu/drm/xe/xe_gt.c
+++ b/drivers/gpu/drm/xe/xe_gt.c
@@ -356,7 +356,7 @@ int xe_gt_init_noalloc(struct xe_gt *gt)
 
 err_force_wake:
 	err2 = xe_force_wake_put(gt_to_fw(gt), XE_FW_GT);
-	XE_WARN_ON(err2);
+	WARN_ON(err2);
 	xe_device_mem_access_put(gt_to_xe(gt));
 err:
 	return err;
@@ -401,7 +401,7 @@ static int gt_fw_domain_init(struct xe_gt *gt)
 		goto err_force_wake;
 
 	err = xe_force_wake_put(gt_to_fw(gt), XE_FW_GT);
-	XE_WARN_ON(err);
+	WARN_ON(err);
 	xe_device_mem_access_put(gt_to_xe(gt));
 
 	return 0;
@@ -482,7 +482,7 @@ static int all_fw_domain_init(struct xe_gt *gt)
 		goto err_force_wake;
 
 	err = xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL);
-	XE_WARN_ON(err);
+	WARN_ON(err);
 	xe_device_mem_access_put(gt_to_xe(gt));
 
 	return 0;
@@ -623,16 +623,16 @@ static int gt_reset(struct xe_gt *gt)
 
 	xe_device_mem_access_put(gt_to_xe(gt));
 	err = xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL);
-	XE_WARN_ON(err);
+	WARN_ON(err);
 
 	drm_info(&xe->drm, "GT reset done\n");
 
 	return 0;
 
 err_out:
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 err_msg:
-	XE_WARN_ON(xe_uc_start(&gt->uc));
+	WARN_ON(xe_uc_start(&gt->uc));
 	xe_device_mem_access_put(gt_to_xe(gt));
 	drm_err(&xe->drm, "GT reset failed, err=%d\n", err);
 
@@ -663,12 +663,12 @@ void xe_gt_reset_async(struct xe_gt *gt)
 void xe_gt_suspend_prepare(struct xe_gt *gt)
 {
 	xe_device_mem_access_get(gt_to_xe(gt));
-	XE_WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 
 	xe_uc_stop_prepare(&gt->uc);
 
 	xe_device_mem_access_put(gt_to_xe(gt));
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 }
 
 int xe_gt_suspend(struct xe_gt *gt)
@@ -692,13 +692,13 @@ int xe_gt_suspend(struct xe_gt *gt)
 		goto err_force_wake;
 
 	xe_device_mem_access_put(gt_to_xe(gt));
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	drm_info(&xe->drm, "GT suspended\n");
 
 	return 0;
 
 err_force_wake:
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 err_msg:
 	xe_device_mem_access_put(gt_to_xe(gt));
 	drm_err(&xe->drm, "GT suspend failed: %d\n", err);
@@ -721,13 +721,13 @@ int xe_gt_resume(struct xe_gt *gt)
 		goto err_force_wake;
 
 	xe_device_mem_access_put(gt_to_xe(gt));
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	drm_info(&xe->drm, "GT resumed\n");
 
 	return 0;
 
 err_force_wake:
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 err_msg:
 	xe_device_mem_access_put(gt_to_xe(gt));
 	drm_err(&xe->drm, "GT resume failed: %d\n", err);
diff --git a/drivers/gpu/drm/xe/xe_gt_debugfs.c b/drivers/gpu/drm/xe/xe_gt_debugfs.c
index 9fab8017490f..639b2486803b 100644
--- a/drivers/gpu/drm/xe/xe_gt_debugfs.c
+++ b/drivers/gpu/drm/xe/xe_gt_debugfs.c
@@ -150,7 +150,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
 	sprintf(name, "gt%d", gt->info.id);
 	root = debugfs_create_dir(name, minor->debugfs_root);
 	if (IS_ERR(root)) {
-		XE_WARN_ON("Create GT directory failed");
+		WARN_ON("Create GT directory failed");
 		return;
 	}
 
@@ -162,7 +162,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
 #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
 	local = drmm_kmalloc(&gt_to_xe(gt)->drm, DEBUGFS_SIZE, GFP_KERNEL);
 	if (!local) {
-		XE_WARN_ON("Couldn't allocate memory");
+		WARN_ON("Couldn't allocate memory");
 		return;
 	}
 
diff --git a/drivers/gpu/drm/xe/xe_gt_pagefault.c b/drivers/gpu/drm/xe/xe_gt_pagefault.c
index 1677640e1075..de0abd322fce 100644
--- a/drivers/gpu/drm/xe/xe_gt_pagefault.c
+++ b/drivers/gpu/drm/xe/xe_gt_pagefault.c
@@ -346,7 +346,7 @@ int xe_guc_pagefault_handler(struct xe_guc *guc, u32 *msg, u32 len)
 		pf_queue->tail = (pf_queue->tail + len) % PF_QUEUE_NUM_DW;
 		queue_work(gt->usm.pf_wq, &pf_queue->worker);
 	} else {
-		XE_WARN_ON("PF Queue full, shouldn't be possible");
+		WARN_ON("PF Queue full, shouldn't be possible");
 	}
 	spin_unlock_irqrestore(&pf_queue->lock, flags);
 
diff --git a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
index f279e21300aa..6c9a96cf3d5f 100644
--- a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
+++ b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
@@ -319,7 +319,7 @@ int xe_guc_tlb_invalidation_done_handler(struct xe_guc *guc, u32 *msg, u32 len)
 	/* Sanity check on seqno */
 	expected_seqno = (gt->tlb_invalidation.seqno_recv + 1) %
 		TLB_INVALIDATION_SEQNO_MAX;
-	XE_WARN_ON(expected_seqno != msg[0]);
+	WARN_ON(expected_seqno != msg[0]);
 
 	gt->tlb_invalidation.seqno_recv = msg[0];
 	smp_wmb();
diff --git a/drivers/gpu/drm/xe/xe_guc.c b/drivers/gpu/drm/xe/xe_guc.c
index 58b9841616e4..bccdfb914f08 100644
--- a/drivers/gpu/drm/xe/xe_guc.c
+++ b/drivers/gpu/drm/xe/xe_guc.c
@@ -805,7 +805,7 @@ void xe_guc_reset_wait(struct xe_guc *guc)
 
 void xe_guc_stop_prepare(struct xe_guc *guc)
 {
-	XE_WARN_ON(xe_guc_pc_stop(&guc->pc));
+	WARN_ON(xe_guc_pc_stop(&guc->pc));
 }
 
 int xe_guc_stop(struct xe_guc *guc)
@@ -830,7 +830,7 @@ int xe_guc_start(struct xe_guc *guc)
 		return ret;
 
 	ret = xe_guc_pc_start(&guc->pc);
-	XE_WARN_ON(ret);
+	WARN_ON(ret);
 
 	return 0;
 }
diff --git a/drivers/gpu/drm/xe/xe_guc.h b/drivers/gpu/drm/xe/xe_guc.h
index 74a74051f354..903069a07ca4 100644
--- a/drivers/gpu/drm/xe/xe_guc.h
+++ b/drivers/gpu/drm/xe/xe_guc.h
@@ -51,7 +51,7 @@ static inline u16 xe_engine_class_to_guc_class(enum xe_engine_class class)
 		return GUC_COMPUTE_CLASS;
 	case XE_ENGINE_CLASS_OTHER:
 	default:
-		XE_WARN_ON(class);
+		WARN_ON(class);
 		return -1;
 	}
 }
diff --git a/drivers/gpu/drm/xe/xe_guc_ads.c b/drivers/gpu/drm/xe/xe_guc_ads.c
index 304a9501b447..d5a089694f80 100644
--- a/drivers/gpu/drm/xe/xe_guc_ads.c
+++ b/drivers/gpu/drm/xe/xe_guc_ads.c
@@ -313,7 +313,7 @@ int xe_guc_ads_init_post_hwconfig(struct xe_guc_ads *ads)
 	ads->golden_lrc_size = calculate_golden_lrc_size(ads);
 	ads->regset_size = calculate_regset_size(gt);
 
-	XE_WARN_ON(ads->golden_lrc_size +
+	WARN_ON(ads->golden_lrc_size +
 		   (ads->regset_size - prev_regset_size) >
 		   MAX_GOLDEN_LRC_SIZE);
 
diff --git a/drivers/gpu/drm/xe/xe_guc_ct.c b/drivers/gpu/drm/xe/xe_guc_ct.c
index 5e00b75d3ca2..fec09ba412a8 100644
--- a/drivers/gpu/drm/xe/xe_guc_ct.c
+++ b/drivers/gpu/drm/xe/xe_guc_ct.c
@@ -378,7 +378,7 @@ static void g2h_reserve_space(struct xe_guc_ct *ct, u32 g2h_len, u32 num_g2h)
 static void __g2h_release_space(struct xe_guc_ct *ct, u32 g2h_len)
 {
 	lockdep_assert_held(&ct->fast_lock);
-	XE_WARN_ON(ct->ctbs.g2h.space + g2h_len >
+	WARN_ON(ct->ctbs.g2h.space + g2h_len >
 		   ct->ctbs.g2h.size - ct->ctbs.g2h.resv_space);
 
 	ct->ctbs.g2h.space += g2h_len;
@@ -778,7 +778,7 @@ static int parse_g2h_response(struct xe_guc_ct *ct, u32 *msg, u32 len)
 		return 0;
 	}
 
-	XE_WARN_ON(fence != g2h_fence->seqno);
+	WARN_ON(fence != g2h_fence->seqno);
 
 	if (type == GUC_HXG_TYPE_RESPONSE_FAILURE) {
 		g2h_fence->fail = true;
@@ -1009,7 +1009,7 @@ static void g2h_fast_path(struct xe_guc_ct *ct, u32 *msg, u32 len)
 							   adj_len);
 		break;
 	default:
-		XE_WARN_ON("NOT_POSSIBLE");
+		WARN_ON("NOT_POSSIBLE");
 	}
 
 	if (ret)
diff --git a/drivers/gpu/drm/xe/xe_guc_debugfs.c b/drivers/gpu/drm/xe/xe_guc_debugfs.c
index 6b72db4d5bb2..5ee500b8c3f1 100644
--- a/drivers/gpu/drm/xe/xe_guc_debugfs.c
+++ b/drivers/gpu/drm/xe/xe_guc_debugfs.c
@@ -90,7 +90,7 @@ void xe_guc_debugfs_register(struct xe_guc *guc, struct dentry *parent)
 #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
 	local = drmm_kmalloc(&guc_to_xe(guc)->drm, DEBUGFS_SIZE, GFP_KERNEL);
 	if (!local) {
-		XE_WARN_ON("Couldn't allocate memory");
+		WARN_ON("Couldn't allocate memory");
 		return;
 	}
 
diff --git a/drivers/gpu/drm/xe/xe_guc_pc.c b/drivers/gpu/drm/xe/xe_guc_pc.c
index 5a8d827ba770..9160bcd83206 100644
--- a/drivers/gpu/drm/xe/xe_guc_pc.c
+++ b/drivers/gpu/drm/xe/xe_guc_pc.c
@@ -392,7 +392,7 @@ static ssize_t freq_act_show(struct device *dev,
 
 	ret = sysfs_emit(buf, "%d\n", decode_freq(freq));
 
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	return ret;
 }
 static DEVICE_ATTR_RO(freq_act);
@@ -420,7 +420,7 @@ static ssize_t freq_cur_show(struct device *dev,
 	freq = REG_FIELD_GET(REQ_RATIO_MASK, freq);
 	ret = sysfs_emit(buf, "%d\n", decode_freq(freq));
 
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	return ret;
 }
 static DEVICE_ATTR_RO(freq_cur);
@@ -483,7 +483,7 @@ static ssize_t freq_min_show(struct device *dev,
 	ret = sysfs_emit(buf, "%d\n", pc_get_min_freq(pc));
 
 fw:
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 out:
 	mutex_unlock(&pc->freq_lock);
 	xe_device_mem_access_put(pc_to_xe(pc));
@@ -620,7 +620,7 @@ static ssize_t rc6_residency_show(struct device *dev,
 
 	ret = sysfs_emit(buff, "%u\n", reg);
 
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	return ret;
 }
 static DEVICE_ATTR_RO(rc6_residency);
@@ -749,7 +749,7 @@ static int pc_gucrc_disable(struct xe_guc_pc *pc)
 	xe_mmio_write32(gt, GEN6_RC_CONTROL.reg, 0);
 	xe_mmio_write32(gt, GEN6_RC_STATE.reg, 0);
 
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	return 0;
 }
 
@@ -758,7 +758,7 @@ static void pc_init_pcode_freq(struct xe_guc_pc *pc)
 	u32 min = DIV_ROUND_CLOSEST(pc->rpn_freq, GT_FREQUENCY_MULTIPLIER);
 	u32 max = DIV_ROUND_CLOSEST(pc->rp0_freq, GT_FREQUENCY_MULTIPLIER);
 
-	XE_WARN_ON(xe_pcode_init_min_freq_table(pc_to_gt(pc), min, max));
+	WARN_ON(xe_pcode_init_min_freq_table(pc_to_gt(pc), min, max));
 }
 
 static int pc_init_freqs(struct xe_guc_pc *pc)
@@ -801,7 +801,7 @@ int xe_guc_pc_start(struct xe_guc_pc *pc)
 	u32 size = PAGE_ALIGN(sizeof(struct slpc_shared_data));
 	int ret;
 
-	XE_WARN_ON(!xe_device_guc_submission_enabled(xe));
+	WARN_ON(!xe_device_guc_submission_enabled(xe));
 
 	xe_device_mem_access_get(pc_to_xe(pc));
 
@@ -836,7 +836,7 @@ int xe_guc_pc_start(struct xe_guc_pc *pc)
 
 out:
 	xe_device_mem_access_put(pc_to_xe(pc));
-	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
+	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
 	return ret;
 }
 
@@ -876,7 +876,7 @@ static void pc_fini(struct drm_device *drm, void *arg)
 {
 	struct xe_guc_pc *pc = arg;
 
-	XE_WARN_ON(xe_guc_pc_stop(pc));
+	WARN_ON(xe_guc_pc_stop(pc));
 	sysfs_remove_files(pc_to_gt(pc)->sysfs, pc_attrs);
 	xe_bo_unpin_map_no_vm(pc->bo);
 }
diff --git a/drivers/gpu/drm/xe/xe_guc_submit.c b/drivers/gpu/drm/xe/xe_guc_submit.c
index e857013070b9..8df5513796f1 100644
--- a/drivers/gpu/drm/xe/xe_guc_submit.c
+++ b/drivers/gpu/drm/xe/xe_guc_submit.c
@@ -715,7 +715,7 @@ static void disable_scheduling_deregister(struct xe_guc *guc,
 	if (!ret) {
 		struct drm_gpu_scheduler *sched = &e->guc->sched;
 
-		XE_WARN_ON("Pending enable failed to respond");
+		WARN_ON("Pending enable failed to respond");
 		sched->timeout = MIN_SCHED_TIMEOUT;
 		drm_sched_run_wq_start(sched);
 		xe_gt_reset_async(e->gt);
@@ -794,8 +794,8 @@ guc_engine_timedout_job(struct drm_sched_job *drm_job)
 	int i = 0;
 
 	if (!test_bit(DMA_FENCE_FLAG_SIGNALED_BIT, &job->fence->flags)) {
-		XE_WARN_ON(e->flags & ENGINE_FLAG_KERNEL);
-		XE_WARN_ON(e->flags & ENGINE_FLAG_VM && !engine_killed(e));
+		WARN_ON(e->flags & ENGINE_FLAG_KERNEL);
+		WARN_ON(e->flags & ENGINE_FLAG_VM && !engine_killed(e));
 
 		drm_warn(&xe->drm, "Timedout job: seqno=%u, guc_id=%d, flags=0x%lx",
 			 xe_sched_job_seqno(job), e->guc->id, e->flags);
@@ -847,7 +847,7 @@ guc_engine_timedout_job(struct drm_sched_job *drm_job)
 					 !engine_pending_disable(e) ||
 					 guc_read_stopped(guc), HZ * 5);
 		if (!ret) {
-			XE_WARN_ON("Schedule disable failed to respond");
+			WARN_ON("Schedule disable failed to respond");
 			sched->timeout = MIN_SCHED_TIMEOUT;
 			list_add(&drm_job->list, &sched->pending_list);
 			drm_sched_run_wq_start(sched);
@@ -1124,7 +1124,7 @@ static int guc_engine_init(struct xe_engine *e)
 		sprintf(e->name, "ccs%d", e->guc->id);
 		break;
 	default:
-		XE_WARN_ON(e->class);
+		WARN_ON(e->class);
 	}
 
 	trace_xe_engine_create(e);
diff --git a/drivers/gpu/drm/xe/xe_huc_debugfs.c b/drivers/gpu/drm/xe/xe_huc_debugfs.c
index ee3d8315036a..b88076242fcf 100644
--- a/drivers/gpu/drm/xe/xe_huc_debugfs.c
+++ b/drivers/gpu/drm/xe/xe_huc_debugfs.c
@@ -56,7 +56,7 @@ void xe_huc_debugfs_register(struct xe_huc *huc, struct dentry *parent)
 #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
 	local = drmm_kmalloc(&huc_to_xe(huc)->drm, DEBUGFS_SIZE, GFP_KERNEL);
 	if (!local) {
-		XE_WARN_ON("Couldn't allocate memory");
+		WARN_ON("Couldn't allocate memory");
 		return;
 	}
 
diff --git a/drivers/gpu/drm/xe/xe_hw_fence.c b/drivers/gpu/drm/xe/xe_hw_fence.c
index ffe1a3992ef5..bbfce9f58cd6 100644
--- a/drivers/gpu/drm/xe/xe_hw_fence.c
+++ b/drivers/gpu/drm/xe/xe_hw_fence.c
@@ -88,14 +88,14 @@ void xe_hw_fence_irq_finish(struct xe_hw_fence_irq *irq)
 	int err;
 	bool tmp;
 
-	if (XE_WARN_ON(!list_empty(&irq->pending))) {
+	if (WARN_ON(!list_empty(&irq->pending))) {
 		tmp = dma_fence_begin_signalling();
 		spin_lock_irqsave(&irq->lock, flags);
 		list_for_each_entry_safe(fence, next, &irq->pending, irq_link) {
 			list_del_init(&fence->irq_link);
 			err = dma_fence_signal_locked(&fence->dma);
 			dma_fence_put(&fence->dma);
-			XE_WARN_ON(err);
+			WARN_ON(err);
 		}
 		spin_unlock_irqrestore(&irq->lock, flags);
 		dma_fence_end_signalling(tmp);
@@ -202,7 +202,7 @@ static const struct dma_fence_ops xe_hw_fence_ops = {
 
 static struct xe_hw_fence *to_xe_hw_fence(struct dma_fence *fence)
 {
-	if (XE_WARN_ON(fence->ops != &xe_hw_fence_ops))
+	if (WARN_ON(fence->ops != &xe_hw_fence_ops))
 		return NULL;
 
 	return container_of(fence, struct xe_hw_fence, dma);
diff --git a/drivers/gpu/drm/xe/xe_macros.h b/drivers/gpu/drm/xe/xe_macros.h
index 0d24c124d202..0a42112eb247 100644
--- a/drivers/gpu/drm/xe/xe_macros.h
+++ b/drivers/gpu/drm/xe/xe_macros.h
@@ -9,7 +9,6 @@
 #include <linux/bug.h>
 
 #define XE_EXTRA_DEBUG 1
-#define XE_WARN_ON WARN_ON
 #define XE_BUG_ON BUG_ON
 
 #define XE_IOCTL_ERR(xe, cond) \
diff --git a/drivers/gpu/drm/xe/xe_migrate.c b/drivers/gpu/drm/xe/xe_migrate.c
index 11c8af9c6c92..a98e4bad39bf 100644
--- a/drivers/gpu/drm/xe/xe_migrate.c
+++ b/drivers/gpu/drm/xe/xe_migrate.c
@@ -463,7 +463,7 @@ static void emit_pte(struct xe_migrate *m,
 				/* Is this a 64K PTE entry? */
 				if ((m->eng->vm->flags & XE_VM_FLAGS_64K) &&
 				    !(cur_ofs & (16 * 8 - 1))) {
-					XE_WARN_ON(!IS_ALIGNED(addr, SZ_64K));
+					WARN_ON(!IS_ALIGNED(addr, SZ_64K));
 					addr |= GEN12_PTE_PS64;
 				}
 
diff --git a/drivers/gpu/drm/xe/xe_mocs.c b/drivers/gpu/drm/xe/xe_mocs.c
index e09c6242aafc..1f0d9772e6d9 100644
--- a/drivers/gpu/drm/xe/xe_mocs.c
+++ b/drivers/gpu/drm/xe/xe_mocs.c
@@ -437,9 +437,9 @@ static unsigned int get_mocs_settings(struct xe_device *xe,
 	 * is still 0 at this point, we'll assume that it was omitted by
 	 * mistake in the switch statement above.
 	 */
-	XE_WARN_ON(info->unused_entries_index == 0);
+	WARN_ON(info->unused_entries_index == 0);
 
-	if (XE_WARN_ON(info->size > info->n_entries)) {
+	if (WARN_ON(info->size > info->n_entries)) {
 		info->table = NULL;
 		return 0;
 	}
diff --git a/drivers/gpu/drm/xe/xe_pt.c b/drivers/gpu/drm/xe/xe_pt.c
index 6b2943efcdbc..ffb0e6d8f9f7 100644
--- a/drivers/gpu/drm/xe/xe_pt.c
+++ b/drivers/gpu/drm/xe/xe_pt.c
@@ -67,7 +67,7 @@ u64 gen8_pde_encode(struct xe_bo *bo, u64 bo_offset,
 	pde = xe_bo_addr(bo, bo_offset, GEN8_PAGE_SIZE, &is_vram);
 	pde |= GEN8_PAGE_PRESENT | GEN8_PAGE_RW;
 
-	XE_WARN_ON(IS_DGFX(xe_bo_device(bo)) && !is_vram);
+	WARN_ON(IS_DGFX(xe_bo_device(bo)) && !is_vram);
 
 	/* FIXME: I don't think the PPAT handling is correct for MTL */
 
@@ -636,7 +636,7 @@ xe_pt_stage_bind_entry(struct drm_pt *parent, pgoff_t offset,
 	if (level == 0 || xe_pt_hugepte_possible(addr, next, level, xe_walk)) {
 		struct xe_res_cursor *curs = xe_walk->curs;
 
-		XE_WARN_ON(xe_walk->va_curs_start != addr);
+		WARN_ON(xe_walk->va_curs_start != addr);
 
 		pte = __gen8_pte_encode(xe_res_dma(curs) + xe_walk->dma_offset,
 					xe_walk->cache, xe_walk->pte_flags,
@@ -650,7 +650,7 @@ xe_pt_stage_bind_entry(struct drm_pt *parent, pgoff_t offset,
 		if (level == 0 && !xe_parent->is_compact) {
 			if (xe_pt_is_pte_ps64K(addr, next, xe_walk))
 				pte |= GEN12_PTE_PS64;
-			else if (XE_WARN_ON(xe_walk->needs_64K))
+			else if (WARN_ON(xe_walk->needs_64K))
 				return -EINVAL;
 		}
 
@@ -1248,7 +1248,7 @@ static int invalidation_fence_init(struct xe_gt *gt,
 		dma_fence_put(&ifence->base.base);	/* Creation ref */
 	}
 
-	XE_WARN_ON(ret && ret != -ENOENT);
+	WARN_ON(ret && ret != -ENOENT);
 
 	return ret && ret != -ENOENT ? ret : 0;
 }
@@ -1677,7 +1677,7 @@ __xe_pt_unbind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
 		list_del_init(&vma->rebind_link);
 
 	if (unbind_pt_update.locked) {
-		XE_WARN_ON(!xe_vma_is_userptr(vma));
+		WARN_ON(!xe_vma_is_userptr(vma));
 
 		if (!vma->gt_present) {
 			spin_lock(&vm->userptr.invalidated_lock);
diff --git a/drivers/gpu/drm/xe/xe_reg_sr.c b/drivers/gpu/drm/xe/xe_reg_sr.c
index 9eaf1be27886..c1b240c4dba6 100644
--- a/drivers/gpu/drm/xe/xe_reg_sr.c
+++ b/drivers/gpu/drm/xe/xe_reg_sr.c
@@ -183,7 +183,7 @@ void xe_reg_sr_apply_mmio(struct xe_reg_sr *sr, struct xe_gt *gt)
 		apply_one_mmio(gt, reg, entry);
 
 	err = xe_force_wake_put(&gt->mmio.fw, XE_FORCEWAKE_ALL);
-	XE_WARN_ON(err);
+	WARN_ON(err);
 
 	return;
 
@@ -224,7 +224,7 @@ void xe_reg_sr_apply_whitelist(struct xe_reg_sr *sr, u32 mmio_base,
 				RING_NOPID(mmio_base).reg);
 
 	err = xe_force_wake_put(&gt->mmio.fw, XE_FORCEWAKE_ALL);
-	XE_WARN_ON(err);
+	WARN_ON(err);
 
 	return;
 
diff --git a/drivers/gpu/drm/xe/xe_res_cursor.h b/drivers/gpu/drm/xe/xe_res_cursor.h
index 4e99fae26b4c..b34eb906dbb0 100644
--- a/drivers/gpu/drm/xe/xe_res_cursor.h
+++ b/drivers/gpu/drm/xe/xe_res_cursor.h
@@ -129,7 +129,7 @@ static inline void xe_res_first(struct ttm_resource *res,
 	cur->remaining = size;
 	cur->node = NULL;
 	cur->mem_type = XE_PL_TT;
-	XE_WARN_ON(res && start + size > res->size);
+	WARN_ON(res && start + size > res->size);
 	return;
 }
 
diff --git a/drivers/gpu/drm/xe/xe_rtp.c b/drivers/gpu/drm/xe/xe_rtp.c
index cb9dd894547d..bcaa111fd63f 100644
--- a/drivers/gpu/drm/xe/xe_rtp.c
+++ b/drivers/gpu/drm/xe/xe_rtp.c
@@ -77,7 +77,7 @@ static bool rule_matches(struct xe_gt *gt,
 			break;
 
 		default:
-			XE_WARN_ON(r->match_type);
+			WARN_ON(r->match_type);
 		}
 
 		if (!match)
diff --git a/drivers/gpu/drm/xe/xe_sync.c b/drivers/gpu/drm/xe/xe_sync.c
index 99f1ed87196d..30a582c82689 100644
--- a/drivers/gpu/drm/xe/xe_sync.c
+++ b/drivers/gpu/drm/xe/xe_sync.c
@@ -75,7 +75,7 @@ static void user_fence_worker(struct work_struct *w)
 	if (mmget_not_zero(ufence->mm)) {
 		kthread_use_mm(ufence->mm);
 		if (copy_to_user(ufence->addr, &ufence->value, sizeof(ufence->value)))
-			XE_WARN_ON("Copy to user failed");
+			WARN_ON("Copy to user failed");
 		kthread_unuse_mm(ufence->mm);
 		mmput(ufence->mm);
 	}
@@ -246,7 +246,7 @@ bool xe_sync_entry_signal(struct xe_sync_entry *sync, struct xe_sched_job *job,
 		if (err == -ENOENT) {
 			kick_ufence(sync->ufence, fence);
 		} else if (err) {
-			XE_WARN_ON("failed to add user fence");
+			WARN_ON("failed to add user fence");
 			user_fence_put(sync->ufence);
 			dma_fence_put(fence);
 		}
diff --git a/drivers/gpu/drm/xe/xe_uc.c b/drivers/gpu/drm/xe/xe_uc.c
index 70eabf567156..cbc55ccc5918 100644
--- a/drivers/gpu/drm/xe/xe_uc.c
+++ b/drivers/gpu/drm/xe/xe_uc.c
@@ -162,7 +162,7 @@ int xe_uc_init_hw(struct xe_uc *uc)
 
 	/* We don't fail the driver load if HuC fails to auth, but let's warn */
 	ret = xe_huc_auth(&uc->huc);
-	XE_WARN_ON(ret);
+	WARN_ON(ret);
 
 	return 0;
 }
diff --git a/drivers/gpu/drm/xe/xe_uc_debugfs.c b/drivers/gpu/drm/xe/xe_uc_debugfs.c
index 0a39ec5a6e99..2248fc07ab3d 100644
--- a/drivers/gpu/drm/xe/xe_uc_debugfs.c
+++ b/drivers/gpu/drm/xe/xe_uc_debugfs.c
@@ -17,7 +17,7 @@ void xe_uc_debugfs_register(struct xe_uc *uc, struct dentry *parent)
 
 	root = debugfs_create_dir("uc", parent);
 	if (IS_ERR(root)) {
-		XE_WARN_ON("Create UC directory failed");
+		WARN_ON("Create UC directory failed");
 		return;
 	}
 
diff --git a/drivers/gpu/drm/xe/xe_uc_fw.c b/drivers/gpu/drm/xe/xe_uc_fw.c
index e9b30e620fd9..3ebe651b9a1b 100644
--- a/drivers/gpu/drm/xe/xe_uc_fw.c
+++ b/drivers/gpu/drm/xe/xe_uc_fw.c
@@ -202,7 +202,7 @@ static void guc_read_css_info(struct xe_uc_fw *uc_fw, struct uc_css_header *css)
 	struct xe_guc *guc = &gt->uc.guc;
 
 	XE_BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
-	XE_WARN_ON(uc_fw->major_ver_found < 70);
+	WARN_ON(uc_fw->major_ver_found < 70);
 
 	if (uc_fw->minor_ver_found >= 6) {
 		/* v70.6.0 adds CSS header support */
diff --git a/drivers/gpu/drm/xe/xe_vm.c b/drivers/gpu/drm/xe/xe_vm.c
index bdf82d34eb66..fb6b563378ea 100644
--- a/drivers/gpu/drm/xe/xe_vm.c
+++ b/drivers/gpu/drm/xe/xe_vm.c
@@ -501,7 +501,7 @@ void xe_vm_unlock_dma_resv(struct xe_vm *vm,
 	 * and holding the dma_resv of an object is required for list
 	 * addition, and we shouldn't add ourselves.
 	 */
-	XE_WARN_ON(!list_empty(&vm->notifier.rebind_list));
+	WARN_ON(!list_empty(&vm->notifier.rebind_list));
 
 	ttm_eu_backoff_reservation(ww, objs);
 	if (tv && tv != tv_onstack)
@@ -641,7 +641,7 @@ static void preempt_rebind_work_func(struct work_struct *w)
 
 	free_preempt_fences(&preempt_fences);
 
-	XE_WARN_ON(err < 0);	/* TODO: Kill VM or put in error state */
+	WARN_ON(err < 0);	/* TODO: Kill VM or put in error state */
 	trace_xe_vm_rebind_worker_exit(vm);
 }
 
@@ -703,11 +703,11 @@ static bool vma_userptr_invalidate(struct mmu_interval_notifier *mni,
 	err = dma_resv_wait_timeout(&vm->resv,
 				    DMA_RESV_USAGE_BOOKKEEP,
 				    false, MAX_SCHEDULE_TIMEOUT);
-	XE_WARN_ON(err <= 0);
+	WARN_ON(err <= 0);
 
 	if (xe_vm_in_fault_mode(vm)) {
 		err = xe_vm_invalidate_vma(vma);
-		XE_WARN_ON(err);
+		WARN_ON(err);
 	}
 
 	trace_xe_vma_userptr_invalidate_complete(vma);
@@ -797,7 +797,7 @@ struct dma_fence *xe_vm_rebind(struct xe_vm *vm, bool rebind_worker)
 
 	xe_vm_assert_held(vm);
 	list_for_each_entry_safe(vma, next, &vm->rebind_list, rebind_link) {
-		XE_WARN_ON(!vma->gt_present);
+		WARN_ON(!vma->gt_present);
 
 		list_del_init(&vma->rebind_link);
 		dma_fence_put(fence);
@@ -948,7 +948,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
 	XE_BUG_ON(!list_empty(&vma->unbind_link));
 
 	if (xe_vma_is_userptr(vma)) {
-		XE_WARN_ON(!vma->destroyed);
+		WARN_ON(!vma->destroyed);
 		spin_lock(&vm->userptr.invalidated_lock);
 		list_del_init(&vma->userptr.invalidate_link);
 		spin_unlock(&vm->userptr.invalidated_lock);
@@ -969,7 +969,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
 						 vma_destroy_cb);
 
 		if (ret) {
-			XE_WARN_ON(ret != -ENOENT);
+			WARN_ON(ret != -ENOENT);
 			xe_vma_destroy_late(vma);
 		}
 	} else {
@@ -995,7 +995,7 @@ static void xe_vma_destroy_unlocked(struct xe_vma *vma)
 		list_add(&tv[1].head, &objs);
 	}
 	err = ttm_eu_reserve_buffers(&ww, &objs, false, &dups);
-	XE_WARN_ON(err);
+	WARN_ON(err);
 
 	xe_vma_destroy(vma, NULL);
 
@@ -1271,7 +1271,7 @@ static void vm_error_capture(struct xe_vm *vm, int err,
 	}
 
 	if (copy_to_user(address, &capture, sizeof(capture)))
-		XE_WARN_ON("Copy to user failed");
+		WARN_ON("Copy to user failed");
 
 	if (in_kthread) {
 		kthread_unuse_mm(vm->async_ops.error_capture.mm);
@@ -1366,7 +1366,7 @@ void xe_vm_close_and_put(struct xe_vm *vm)
 	if (vm->async_ops.error_capture.addr)
 		wake_up_all(&vm->async_ops.error_capture.wq);
 
-	XE_WARN_ON(!list_empty(&vm->extobj.list));
+	WARN_ON(!list_empty(&vm->extobj.list));
 	up_write(&vm->lock);
 
 	mutex_lock(&xe->usm.lock);
@@ -1390,7 +1390,7 @@ static void vm_destroy_work_func(struct work_struct *w)
 	void *lookup;
 
 	/* xe_vm_close_and_put was not called? */
-	XE_WARN_ON(vm->size);
+	WARN_ON(vm->size);
 
 	if (!(vm->flags & XE_VM_FLAG_MIGRATION)) {
 		xe_device_mem_access_put(xe);
@@ -1399,7 +1399,7 @@ static void vm_destroy_work_func(struct work_struct *w)
 		if (xe->info.has_asid) {
 			mutex_lock(&xe->usm.lock);
 			lookup = xa_erase(&xe->usm.asid_to_vm, vm->usm.asid);
-			XE_WARN_ON(lookup != vm);
+			WARN_ON(lookup != vm);
 			mutex_unlock(&xe->usm.lock);
 		}
 	}
@@ -1660,7 +1660,7 @@ static void add_async_op_fence_cb(struct xe_vm *vm,
 		dma_fence_put(afence->wait_fence);
 		dma_fence_put(&afence->fence);
 	}
-	XE_WARN_ON(ret && ret != -ENOENT);
+	WARN_ON(ret && ret != -ENOENT);
 }
 
 int xe_vm_async_fence_wait_start(struct dma_fence *fence)
diff --git a/drivers/gpu/drm/xe/xe_vm_madvise.c b/drivers/gpu/drm/xe/xe_vm_madvise.c
index 29815852985a..267f16dd969c 100644
--- a/drivers/gpu/drm/xe/xe_vm_madvise.c
+++ b/drivers/gpu/drm/xe/xe_vm_madvise.c
@@ -192,7 +192,7 @@ static int madvise_priority(struct xe_device *xe, struct xe_vm *vm,
 static int madvise_pin(struct xe_device *xe, struct xe_vm *vm,
 		       struct xe_vma **vmas, int num_vmas, u64 value)
 {
-	XE_WARN_ON("NIY");
+	WARN_ON("NIY");
 	return 0;
 }
 
-- 
2.39.2


^ permalink raw reply related	[flat|nested] 17+ messages in thread

* [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
                   ` (2 preceding siblings ...)
  2023-03-28 16:10 ` [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON Rodrigo Vivi
@ 2023-03-28 16:10 ` Rodrigo Vivi
  2023-03-28 20:24   ` Matt Roper
  2023-03-28 16:10 ` [Intel-xe] [RFC 5/5] drm/xe/xe_macro: Remove unused stuff Rodrigo Vivi
                   ` (4 subsequent siblings)
  8 siblings, 1 reply; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 16:10 UTC (permalink / raw)
  To: intel-xe; +Cc: Rodrigo Vivi

If that becomes needed for some reason we bring it
back with some written reasoning.

Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
---
 drivers/gpu/drm/xe/display/xe_de.h          |  2 +-
 drivers/gpu/drm/xe/xe_bb.c                  |  4 +-
 drivers/gpu/drm/xe/xe_bo.c                  | 52 +++++++++---------
 drivers/gpu/drm/xe/xe_bo.h                  |  8 +--
 drivers/gpu/drm/xe/xe_bo_evict.c            |  4 +-
 drivers/gpu/drm/xe/xe_device.h              |  6 +--
 drivers/gpu/drm/xe/xe_execlist.c            | 14 ++---
 drivers/gpu/drm/xe/xe_force_wake.c          |  4 +-
 drivers/gpu/drm/xe/xe_force_wake.h          |  4 +-
 drivers/gpu/drm/xe/xe_ggtt.c                | 10 ++--
 drivers/gpu/drm/xe/xe_gt.c                  |  6 +--
 drivers/gpu/drm/xe/xe_gt_clock.c            |  4 +-
 drivers/gpu/drm/xe/xe_gt_debugfs.c          |  2 +-
 drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c | 12 ++---
 drivers/gpu/drm/xe/xe_guc.c                 | 22 ++++----
 drivers/gpu/drm/xe/xe_guc_ads.c             | 14 ++---
 drivers/gpu/drm/xe/xe_guc_ct.c              | 22 ++++----
 drivers/gpu/drm/xe/xe_guc_hwconfig.c        |  2 +-
 drivers/gpu/drm/xe/xe_guc_log.c             |  4 +-
 drivers/gpu/drm/xe/xe_guc_submit.c          | 44 +++++++--------
 drivers/gpu/drm/xe/xe_huc.c                 |  2 +-
 drivers/gpu/drm/xe/xe_hw_engine.c           | 10 ++--
 drivers/gpu/drm/xe/xe_hw_fence.c            |  2 +-
 drivers/gpu/drm/xe/xe_lrc.c                 |  8 +--
 drivers/gpu/drm/xe/xe_macros.h              |  1 -
 drivers/gpu/drm/xe/xe_migrate.c             | 32 +++++------
 drivers/gpu/drm/xe/xe_mmio.c                |  2 +-
 drivers/gpu/drm/xe/xe_pt.c                  | 32 +++++------
 drivers/gpu/drm/xe/xe_res_cursor.h          | 10 ++--
 drivers/gpu/drm/xe/xe_ring_ops.c            |  8 +--
 drivers/gpu/drm/xe/xe_sched_job.c           |  2 +-
 drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c         |  2 +-
 drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c      |  4 +-
 drivers/gpu/drm/xe/xe_ttm_vram_mgr.c        |  2 +-
 drivers/gpu/drm/xe/xe_uc_fw.c               | 16 +++---
 drivers/gpu/drm/xe/xe_uc_fw.h               |  2 +-
 drivers/gpu/drm/xe/xe_vm.c                  | 60 ++++++++++-----------
 drivers/gpu/drm/xe/xe_wait_user_fence.c     |  2 +-
 drivers/gpu/drm/xe/xe_wopcm.c               | 18 +++----
 39 files changed, 227 insertions(+), 228 deletions(-)

diff --git a/drivers/gpu/drm/xe/display/xe_de.h b/drivers/gpu/drm/xe/display/xe_de.h
index 9f92fdb4159a..c4ed0e7d9997 100644
--- a/drivers/gpu/drm/xe/display/xe_de.h
+++ b/drivers/gpu/drm/xe/display/xe_de.h
@@ -115,7 +115,7 @@ intel_de_write_samevalue(struct drm_i915_private *i915, i915_reg_t reg)
 	 * Not implemented, requires lock on all reads/writes.
 	 * only required for really old FBC. Not ever going to be needed.
 	 */
-	XE_BUG_ON(1);
+	BUG_ON(1);
 }
 
 static inline u32
diff --git a/drivers/gpu/drm/xe/xe_bb.c b/drivers/gpu/drm/xe/xe_bb.c
index 5b24018e2a80..5caefc03a431 100644
--- a/drivers/gpu/drm/xe/xe_bb.c
+++ b/drivers/gpu/drm/xe/xe_bb.c
@@ -42,7 +42,7 @@ __xe_bb_create_job(struct xe_engine *kernel_eng, struct xe_bb *bb, u64 *addr)
 {
 	u32 size = drm_suballoc_size(bb->bo);
 
-	XE_BUG_ON((bb->len * 4 + 1) > size);
+	BUG_ON((bb->len * 4 + 1) > size);
 
 	bb->cs[bb->len++] = MI_BATCH_BUFFER_END;
 
@@ -56,7 +56,7 @@ struct xe_sched_job *xe_bb_create_wa_job(struct xe_engine *wa_eng,
 {
 	u64 addr = batch_base_ofs + drm_suballoc_soffset(bb->bo);
 
-	XE_BUG_ON(!(wa_eng->vm->flags & XE_VM_FLAG_MIGRATION));
+	BUG_ON(!(wa_eng->vm->flags & XE_VM_FLAG_MIGRATION));
 
 	return __xe_bb_create_job(wa_eng, bb, &addr);
 }
diff --git a/drivers/gpu/drm/xe/xe_bo.c b/drivers/gpu/drm/xe/xe_bo.c
index eb00b0a67abe..db360c4e0382 100644
--- a/drivers/gpu/drm/xe/xe_bo.c
+++ b/drivers/gpu/drm/xe/xe_bo.c
@@ -74,7 +74,7 @@ static bool xe_bo_is_user(struct xe_bo *bo)
 static struct xe_gt *
 mem_type_to_gt(struct xe_device *xe, u32 mem_type)
 {
-	XE_BUG_ON(mem_type != XE_PL_STOLEN && !mem_type_is_vram(mem_type));
+	BUG_ON(mem_type != XE_PL_STOLEN && !mem_type_is_vram(mem_type));
 
 	return xe_device_get_gt(xe, mem_type == XE_PL_STOLEN ? 0 : (mem_type - XE_PL_VRAM0));
 }
@@ -111,7 +111,7 @@ static void add_vram(struct xe_device *xe, struct xe_bo *bo,
 {
 	struct xe_gt *gt = mem_type_to_gt(xe, mem_type);
 
-	XE_BUG_ON(!gt->mem.vram.size);
+	BUG_ON(!gt->mem.vram.size);
 
 	places[*c] = (struct ttm_place) {
 		.mem_type = mem_type,
@@ -246,7 +246,7 @@ static int xe_tt_map_sg(struct ttm_tt *tt)
 	unsigned long num_pages = tt->num_pages;
 	int ret;
 
-	XE_BUG_ON(tt->page_flags & TTM_TT_FLAG_EXTERNAL);
+	BUG_ON(tt->page_flags & TTM_TT_FLAG_EXTERNAL);
 
 	if (xe_tt->sg)
 		return 0;
@@ -481,8 +481,8 @@ static int xe_bo_move_dmabuf(struct ttm_buffer_object *ttm_bo,
 					       ttm);
 	struct sg_table *sg;
 
-	XE_BUG_ON(!attach);
-	XE_BUG_ON(!ttm_bo->ttm);
+	BUG_ON(!attach);
+	BUG_ON(!ttm_bo->ttm);
 
 	if (new_res->mem_type == XE_PL_SYSTEM)
 		goto out;
@@ -636,8 +636,8 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
 	else if (resource_is_vram(old_mem))
 		gt = mem_type_to_gt(xe, old_mem->mem_type);
 
-	XE_BUG_ON(!gt);
-	XE_BUG_ON(!gt->migrate);
+	BUG_ON(!gt);
+	BUG_ON(!gt->migrate);
 
 	trace_xe_bo_move(bo);
 	xe_device_mem_access_get(xe);
@@ -667,7 +667,7 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
 					goto out;
 				}
 
-				XE_BUG_ON(new_mem->start !=
+				BUG_ON(new_mem->start !=
 					  bo->placements->fpfn);
 
 				iosys_map_set_vaddr_iomem(&bo->vmap, new_addr);
@@ -964,7 +964,7 @@ struct xe_bo *__xe_bo_create_locked(struct xe_device *xe, struct xe_bo *bo,
 	int err;
 
 	/* Only kernel objects should set GT */
-	XE_BUG_ON(gt && type != ttm_bo_type_kernel);
+	BUG_ON(gt && type != ttm_bo_type_kernel);
 
 	if (WARN_ON(!size))
 		return ERR_PTR(-EINVAL);
@@ -1104,7 +1104,7 @@ xe_bo_create_locked_range(struct xe_device *xe,
 		if (!gt && flags & XE_BO_CREATE_STOLEN_BIT)
 			gt = xe_device_get_gt(xe, 0);
 
-		XE_BUG_ON(!gt);
+		BUG_ON(!gt);
 
 		if (flags & XE_BO_FIXED_PLACEMENT_BIT) {
 			err = xe_ggtt_insert_bo_at(gt->mem.ggtt, bo,
@@ -1233,8 +1233,8 @@ int xe_bo_pin_external(struct xe_bo *bo)
 	struct xe_device *xe = xe_bo_device(bo);
 	int err;
 
-	XE_BUG_ON(bo->vm);
-	XE_BUG_ON(!xe_bo_is_user(bo));
+	BUG_ON(bo->vm);
+	BUG_ON(!xe_bo_is_user(bo));
 
 	if (!xe_bo_is_pinned(bo)) {
 		err = xe_bo_validate(bo, NULL, false);
@@ -1266,20 +1266,20 @@ int xe_bo_pin(struct xe_bo *bo)
 	int err;
 
 	/* We currently don't expect user BO to be pinned */
-	XE_BUG_ON(xe_bo_is_user(bo));
+	BUG_ON(xe_bo_is_user(bo));
 
 	/* Pinned object must be in GGTT or have pinned flag */
-	XE_BUG_ON(!(bo->flags & (XE_BO_CREATE_PINNED_BIT |
+	BUG_ON(!(bo->flags & (XE_BO_CREATE_PINNED_BIT |
 				 XE_BO_CREATE_GGTT_BIT)));
 
 	/*
 	 * No reason we can't support pinning imported dma-bufs we just don't
 	 * expect to pin an imported dma-buf.
 	 */
-	XE_BUG_ON(bo->ttm.base.import_attach);
+	BUG_ON(bo->ttm.base.import_attach);
 
 	/* We only expect at most 1 pin */
-	XE_BUG_ON(xe_bo_is_pinned(bo));
+	BUG_ON(xe_bo_is_pinned(bo));
 
 	err = xe_bo_validate(bo, NULL, false);
 	if (err)
@@ -1296,7 +1296,7 @@ int xe_bo_pin(struct xe_bo *bo)
 		bool vram;
 
 		if (mem_type_is_vram(place->mem_type)) {
-			XE_BUG_ON(!(place->flags & TTM_PL_FLAG_CONTIGUOUS));
+			BUG_ON(!(place->flags & TTM_PL_FLAG_CONTIGUOUS));
 
 			place->fpfn = (xe_bo_addr(bo, 0, PAGE_SIZE, &vram) -
 				       vram_region_io_offset(bo)) >> PAGE_SHIFT;
@@ -1333,9 +1333,9 @@ void xe_bo_unpin_external(struct xe_bo *bo)
 {
 	struct xe_device *xe = xe_bo_device(bo);
 
-	XE_BUG_ON(bo->vm);
-	XE_BUG_ON(!xe_bo_is_pinned(bo));
-	XE_BUG_ON(!xe_bo_is_user(bo));
+	BUG_ON(bo->vm);
+	BUG_ON(!xe_bo_is_pinned(bo));
+	BUG_ON(!xe_bo_is_user(bo));
 
 	if (bo->ttm.pin_count == 1 && !list_empty(&bo->pinned_link)) {
 		spin_lock(&xe->pinned.lock);
@@ -1356,15 +1356,15 @@ void xe_bo_unpin(struct xe_bo *bo)
 {
 	struct xe_device *xe = xe_bo_device(bo);
 
-	XE_BUG_ON(bo->ttm.base.import_attach);
-	XE_BUG_ON(!xe_bo_is_pinned(bo));
+	BUG_ON(bo->ttm.base.import_attach);
+	BUG_ON(!xe_bo_is_pinned(bo));
 
 	if (IS_DGFX(xe) && !(IS_ENABLED(CONFIG_DRM_XE_DEBUG) &&
 	    bo->flags & XE_BO_INTERNAL_TEST)) {
 		struct ttm_place *place = &(bo->placements[0]);
 
 		if (mem_type_is_vram(place->mem_type)) {
-			XE_BUG_ON(list_empty(&bo->pinned_link));
+			BUG_ON(list_empty(&bo->pinned_link));
 
 			spin_lock(&xe->pinned.lock);
 			list_del_init(&bo->pinned_link);
@@ -1426,14 +1426,14 @@ dma_addr_t xe_bo_addr(struct xe_bo *bo, u64 offset,
 	if (!READ_ONCE(bo->ttm.pin_count))
 		xe_bo_assert_held(bo);
 
-	XE_BUG_ON(page_size > PAGE_SIZE);
+	BUG_ON(page_size > PAGE_SIZE);
 	page = offset >> PAGE_SHIFT;
 	offset &= (PAGE_SIZE - 1);
 
 	*is_vram = xe_bo_is_vram(bo);
 
 	if (!*is_vram && !xe_bo_is_stolen(bo)) {
-		XE_BUG_ON(!bo->ttm.ttm);
+		BUG_ON(!bo->ttm.ttm);
 
 		xe_res_first_sg(xe_bo_get_sg(bo), page << PAGE_SHIFT,
 				page_size, &cur);
@@ -1599,7 +1599,7 @@ int xe_bo_lock(struct xe_bo *bo, struct ww_acquire_ctx *ww,
 	LIST_HEAD(objs);
 	LIST_HEAD(dups);
 
-	XE_BUG_ON(!ww);
+	BUG_ON(!ww);
 
 	tv_bo.num_shared = num_resv;
 	tv_bo.bo = &bo->ttm;;
diff --git a/drivers/gpu/drm/xe/xe_bo.h b/drivers/gpu/drm/xe/xe_bo.h
index 9b26049521de..169c80996b26 100644
--- a/drivers/gpu/drm/xe/xe_bo.h
+++ b/drivers/gpu/drm/xe/xe_bo.h
@@ -149,7 +149,7 @@ void xe_bo_unlock(struct xe_bo *bo, struct ww_acquire_ctx *ww);
 static inline void xe_bo_unlock_vm_held(struct xe_bo *bo)
 {
 	if (bo) {
-		XE_BUG_ON(bo->vm && bo->ttm.base.resv != &bo->vm->resv);
+		BUG_ON(bo->vm && bo->ttm.base.resv != &bo->vm->resv);
 		if (bo->vm)
 			xe_vm_assert_held(bo->vm);
 		else
@@ -161,7 +161,7 @@ static inline void xe_bo_lock_no_vm(struct xe_bo *bo,
 				    struct ww_acquire_ctx *ctx)
 {
 	if (bo) {
-		XE_BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
+		BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
 				     bo->ttm.base.resv != &bo->ttm.base._resv));
 		dma_resv_lock(bo->ttm.base.resv, ctx);
 	}
@@ -170,7 +170,7 @@ static inline void xe_bo_lock_no_vm(struct xe_bo *bo,
 static inline void xe_bo_unlock_no_vm(struct xe_bo *bo)
 {
 	if (bo) {
-		XE_BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
+		BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
 				     bo->ttm.base.resv != &bo->ttm.base._resv));
 		dma_resv_unlock(bo->ttm.base.resv);
 	}
@@ -213,7 +213,7 @@ xe_bo_main_addr(struct xe_bo *bo, size_t page_size)
 static inline u32
 xe_bo_ggtt_addr(struct xe_bo *bo)
 {
-	XE_BUG_ON(bo->ggtt_node.start + bo->ggtt_node.size > (1ull << 32));
+	BUG_ON(bo->ggtt_node.start + bo->ggtt_node.size > (1ull << 32));
 	return bo->ggtt_node.start;
 }
 
diff --git a/drivers/gpu/drm/xe/xe_bo_evict.c b/drivers/gpu/drm/xe/xe_bo_evict.c
index bbf89a58cdf5..b305d16a67ac 100644
--- a/drivers/gpu/drm/xe/xe_bo_evict.c
+++ b/drivers/gpu/drm/xe/xe_bo_evict.c
@@ -158,8 +158,8 @@ int xe_bo_restore_kernel(struct xe_device *xe)
 		 * We expect validate to trigger a move VRAM and our move code
 		 * should setup the iosys map.
 		 */
-		XE_BUG_ON(iosys_map_is_null(&bo->vmap));
-		XE_BUG_ON(!xe_bo_is_vram(bo));
+		BUG_ON(iosys_map_is_null(&bo->vmap));
+		BUG_ON(!xe_bo_is_vram(bo));
 
 		xe_bo_put(bo);
 
diff --git a/drivers/gpu/drm/xe/xe_device.h b/drivers/gpu/drm/xe/xe_device.h
index 5f725ed2ca6b..518ce3f0bc36 100644
--- a/drivers/gpu/drm/xe/xe_device.h
+++ b/drivers/gpu/drm/xe/xe_device.h
@@ -52,10 +52,10 @@ static inline struct xe_gt *xe_device_get_gt(struct xe_device *xe, u8 gt_id)
 {
 	struct xe_gt *gt;
 
-	XE_BUG_ON(gt_id > XE_MAX_GT);
+	BUG_ON(gt_id > XE_MAX_GT);
 	gt = xe->gt + gt_id;
-	XE_BUG_ON(gt->info.id != gt_id);
-	XE_BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
+	BUG_ON(gt->info.id != gt_id);
+	BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
 
 	return gt;
 }
diff --git a/drivers/gpu/drm/xe/xe_execlist.c b/drivers/gpu/drm/xe/xe_execlist.c
index 127ca8c6e279..a1e49e858531 100644
--- a/drivers/gpu/drm/xe/xe_execlist.c
+++ b/drivers/gpu/drm/xe/xe_execlist.c
@@ -52,10 +52,10 @@ static void __start_lrc(struct xe_hw_engine *hwe, struct xe_lrc *lrc,
 	lrc_desc = xe_lrc_descriptor(lrc);
 
 	if (GRAPHICS_VERx100(xe) >= 1250) {
-		XE_BUG_ON(!FIELD_FIT(XEHP_SW_CTX_ID, ctx_id));
+		BUG_ON(!FIELD_FIT(XEHP_SW_CTX_ID, ctx_id));
 		lrc_desc |= FIELD_PREP(XEHP_SW_CTX_ID, ctx_id);
 	} else {
-		XE_BUG_ON(!FIELD_FIT(GEN11_SW_CTX_ID, ctx_id));
+		BUG_ON(!FIELD_FIT(GEN11_SW_CTX_ID, ctx_id));
 		lrc_desc |= FIELD_PREP(GEN11_SW_CTX_ID, ctx_id);
 	}
 
@@ -221,9 +221,9 @@ static void xe_execlist_make_active(struct xe_execlist_engine *exl)
 	struct xe_execlist_port *port = exl->port;
 	enum drm_sched_priority priority = exl->entity.priority;
 
-	XE_BUG_ON(priority == DRM_SCHED_PRIORITY_UNSET);
-	XE_BUG_ON(priority < 0);
-	XE_BUG_ON(priority >= ARRAY_SIZE(exl->port->active));
+	BUG_ON(priority == DRM_SCHED_PRIORITY_UNSET);
+	BUG_ON(priority < 0);
+	BUG_ON(priority >= ARRAY_SIZE(exl->port->active));
 
 	spin_lock_irq(&port->lock);
 
@@ -328,7 +328,7 @@ static int execlist_engine_init(struct xe_engine *e)
 	struct xe_execlist_engine *exl;
 	int err;
 
-	XE_BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
+	BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
 
 	exl = kzalloc(sizeof(*exl), GFP_KERNEL);
 	if (!exl)
@@ -393,7 +393,7 @@ static void execlist_engine_fini_async(struct work_struct *w)
 	struct xe_execlist_engine *exl = e->execlist;
 	unsigned long flags;
 
-	XE_BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
+	BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
 
 	spin_lock_irqsave(&exl->port->lock, flags);
 	if (WARN_ON(exl->active_priority != DRM_SCHED_PRIORITY_UNSET))
diff --git a/drivers/gpu/drm/xe/xe_force_wake.c b/drivers/gpu/drm/xe/xe_force_wake.c
index 77a210acfac3..7a3f477bd9a0 100644
--- a/drivers/gpu/drm/xe/xe_force_wake.c
+++ b/drivers/gpu/drm/xe/xe_force_wake.c
@@ -44,7 +44,7 @@ void xe_force_wake_init_gt(struct xe_gt *gt, struct xe_force_wake *fw)
 	mutex_init(&fw->lock);
 
 	/* Assuming gen11+ so assert this assumption is correct */
-	XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
+	BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
 
 	if (xe->info.graphics_verx100 >= 1270) {
 		domain_init(&fw->domains[XE_FW_DOMAIN_ID_GT],
@@ -66,7 +66,7 @@ void xe_force_wake_init_engines(struct xe_gt *gt, struct xe_force_wake *fw)
 	int i, j;
 
 	/* Assuming gen11+ so assert this assumption is correct */
-	XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
+	BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
 
 	if (!xe_gt_is_media_type(gt))
 		domain_init(&fw->domains[XE_FW_DOMAIN_ID_RENDER],
diff --git a/drivers/gpu/drm/xe/xe_force_wake.h b/drivers/gpu/drm/xe/xe_force_wake.h
index 7c534cdd5fe9..2f176e491daf 100644
--- a/drivers/gpu/drm/xe/xe_force_wake.h
+++ b/drivers/gpu/drm/xe/xe_force_wake.h
@@ -24,7 +24,7 @@ static inline int
 xe_force_wake_ref(struct xe_force_wake *fw,
 		  enum xe_force_wake_domains domain)
 {
-	XE_BUG_ON(!domain);
+	BUG_ON(!domain);
 	return fw->domains[ffs(domain) - 1].ref;
 }
 
@@ -32,7 +32,7 @@ static inline void
 xe_force_wake_assert_held(struct xe_force_wake *fw,
 			  enum xe_force_wake_domains domain)
 {
-	XE_BUG_ON(!(fw->awake_domains & domain));
+	BUG_ON(!(fw->awake_domains & domain));
 }
 
 #endif
diff --git a/drivers/gpu/drm/xe/xe_ggtt.c b/drivers/gpu/drm/xe/xe_ggtt.c
index bd079e823661..b52ad009c74e 100644
--- a/drivers/gpu/drm/xe/xe_ggtt.c
+++ b/drivers/gpu/drm/xe/xe_ggtt.c
@@ -56,8 +56,8 @@ static unsigned int probe_gsm_size(struct pci_dev *pdev)
 
 void xe_ggtt_set_pte(struct xe_ggtt *ggtt, u64 addr, u64 pte)
 {
-	XE_BUG_ON(addr & GEN8_PTE_MASK);
-	XE_BUG_ON(addr >= ggtt->size);
+	BUG_ON(addr & GEN8_PTE_MASK);
+	BUG_ON(addr >= ggtt->size);
 
 	writeq(pte, &ggtt->gsm[addr >> GEN8_PTE_SHIFT]);
 }
@@ -67,7 +67,7 @@ static void xe_ggtt_clear(struct xe_ggtt *ggtt, u64 start, u64 size)
 	u64 end = start + size - 1;
 	u64 scratch_pte;
 
-	XE_BUG_ON(start >= end);
+	BUG_ON(start >= end);
 
 	if (ggtt->scratch)
 		scratch_pte = xe_ggtt_pte_encode(ggtt->scratch, 0);
@@ -96,7 +96,7 @@ int xe_ggtt_init_noalloc(struct xe_gt *gt, struct xe_ggtt *ggtt)
 	struct pci_dev *pdev = to_pci_dev(xe->drm.dev);
 	unsigned int gsm_size;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	ggtt->gt = gt;
 
@@ -232,7 +232,7 @@ void xe_ggtt_printk(struct xe_ggtt *ggtt, const char *prefix)
 	for (addr = 0; addr < ggtt->size; addr += GEN8_PAGE_SIZE) {
 		unsigned int i = addr / GEN8_PAGE_SIZE;
 
-		XE_BUG_ON(addr > U32_MAX);
+		BUG_ON(addr > U32_MAX);
 		if (ggtt->gsm[i] == scratch_pte)
 			continue;
 
diff --git a/drivers/gpu/drm/xe/xe_gt.c b/drivers/gpu/drm/xe/xe_gt.c
index 7d8b4cffcc2d..7771008b4fee 100644
--- a/drivers/gpu/drm/xe/xe_gt.c
+++ b/drivers/gpu/drm/xe/xe_gt.c
@@ -48,14 +48,14 @@ struct xe_gt *xe_find_full_gt(struct xe_gt *gt)
 	struct xe_gt *search;
 	u8 id;
 
-	XE_BUG_ON(!xe_gt_is_media_type(gt));
+	BUG_ON(!xe_gt_is_media_type(gt));
 
 	for_each_gt(search, gt_to_xe(gt), id) {
 		if (search->info.vram_id == gt->info.vram_id)
 			return search;
 	}
 
-	XE_BUG_ON("NOT POSSIBLE");
+	BUG_ON("NOT POSSIBLE");
 	return NULL;
 }
 
@@ -63,7 +63,7 @@ int xe_gt_alloc(struct xe_device *xe, struct xe_gt *gt)
 {
 	struct drm_device *drm = &xe->drm;
 
-	XE_BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
+	BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
 
 	if (!xe_gt_is_media_type(gt)) {
 		gt->mem.ggtt = drmm_kzalloc(drm, sizeof(*gt->mem.ggtt),
diff --git a/drivers/gpu/drm/xe/xe_gt_clock.c b/drivers/gpu/drm/xe/xe_gt_clock.c
index 60a2966bc1fd..92686359b315 100644
--- a/drivers/gpu/drm/xe/xe_gt_clock.c
+++ b/drivers/gpu/drm/xe/xe_gt_clock.c
@@ -49,7 +49,7 @@ static u32 get_crystal_clock_freq(u32 rpm_config_reg)
 	case GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ:
 		return f25_mhz;
 	default:
-		XE_BUG_ON("NOT_POSSIBLE");
+		BUG_ON("NOT_POSSIBLE");
 		return 0;
 	}
 }
@@ -60,7 +60,7 @@ int xe_gt_clock_init(struct xe_gt *gt)
 	u32 freq = 0;
 
 	/* Assuming gen11+ so assert this assumption is correct */
-	XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
+	BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
 
 	if ((ctc_reg & CTC_SOURCE_PARAMETER_MASK) == CTC_SOURCE_DIVIDE_LOGIC) {
 		freq = read_reference_ts_freq(gt);
diff --git a/drivers/gpu/drm/xe/xe_gt_debugfs.c b/drivers/gpu/drm/xe/xe_gt_debugfs.c
index 639b2486803b..aed49547622c 100644
--- a/drivers/gpu/drm/xe/xe_gt_debugfs.c
+++ b/drivers/gpu/drm/xe/xe_gt_debugfs.c
@@ -145,7 +145,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
 	char name[8];
 	int i;
 
-	XE_BUG_ON(!minor->debugfs_root);
+	BUG_ON(!minor->debugfs_root);
 
 	sprintf(name, "gt%d", gt->info.id);
 	root = debugfs_create_dir(name, minor->debugfs_root);
diff --git a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
index 6c9a96cf3d5f..ef4a5fc66793 100644
--- a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
+++ b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
@@ -194,7 +194,7 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt *gt,
 	u32 action[MAX_TLB_INVALIDATION_LEN];
 	int len = 0;
 
-	XE_BUG_ON(!vma);
+	BUG_ON(!vma);
 
 	action[len++] = XE_GUC_ACTION_TLB_INVALIDATION;
 	action[len++] = 0; /* seqno, replaced in send_tlb_invalidation */
@@ -232,10 +232,10 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt *gt,
 			start = ALIGN_DOWN(vma->start, length);
 		}
 
-		XE_BUG_ON(length < SZ_4K);
-		XE_BUG_ON(!is_power_of_2(length));
-		XE_BUG_ON(length & GENMASK(ilog2(SZ_16M) - 1, ilog2(SZ_2M) + 1));
-		XE_BUG_ON(!IS_ALIGNED(start, length));
+		BUG_ON(length < SZ_4K);
+		BUG_ON(!is_power_of_2(length));
+		BUG_ON(length & GENMASK(ilog2(SZ_16M) - 1, ilog2(SZ_2M) + 1));
+		BUG_ON(!IS_ALIGNED(start, length));
 
 		action[len++] = MAKE_INVAL_OP(XE_GUC_TLB_INVAL_PAGE_SELECTIVE);
 		action[len++] = vma->vm->usm.asid;
@@ -244,7 +244,7 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt *gt,
 		action[len++] = ilog2(length) - ilog2(SZ_4K);
 	}
 
-	XE_BUG_ON(len > MAX_TLB_INVALIDATION_LEN);
+	BUG_ON(len > MAX_TLB_INVALIDATION_LEN);
 
 	return send_tlb_invalidation(&gt->uc.guc, fence, action, len);
 }
diff --git a/drivers/gpu/drm/xe/xe_guc.c b/drivers/gpu/drm/xe/xe_guc.c
index bccdfb914f08..5c29e1c2de91 100644
--- a/drivers/gpu/drm/xe/xe_guc.c
+++ b/drivers/gpu/drm/xe/xe_guc.c
@@ -41,9 +41,9 @@ static u32 guc_bo_ggtt_addr(struct xe_guc *guc,
 {
 	u32 addr = xe_bo_ggtt_addr(bo);
 
-	XE_BUG_ON(addr < xe_wopcm_size(guc_to_xe(guc)));
-	XE_BUG_ON(addr >= GUC_GGTT_TOP);
-	XE_BUG_ON(bo->size > GUC_GGTT_TOP - addr);
+	BUG_ON(addr < xe_wopcm_size(guc_to_xe(guc)));
+	BUG_ON(addr >= GUC_GGTT_TOP);
+	BUG_ON(bo->size > GUC_GGTT_TOP - addr);
 
 	return addr;
 }
@@ -637,13 +637,13 @@ int xe_guc_mmio_send_recv(struct xe_guc *guc, const u32 *request,
 	int i;
 
 	BUILD_BUG_ON(GEN11_SOFT_SCRATCH_COUNT != MEDIA_SOFT_SCRATCH_COUNT);
-	XE_BUG_ON(guc->ct.enabled);
-	XE_BUG_ON(!len);
-	XE_BUG_ON(len > GEN11_SOFT_SCRATCH_COUNT);
-	XE_BUG_ON(len > MEDIA_SOFT_SCRATCH_COUNT);
-	XE_BUG_ON(FIELD_GET(GUC_HXG_MSG_0_ORIGIN, request[0]) !=
+	BUG_ON(guc->ct.enabled);
+	BUG_ON(!len);
+	BUG_ON(len > GEN11_SOFT_SCRATCH_COUNT);
+	BUG_ON(len > MEDIA_SOFT_SCRATCH_COUNT);
+	BUG_ON(FIELD_GET(GUC_HXG_MSG_0_ORIGIN, request[0]) !=
 		  GUC_HXG_ORIGIN_HOST);
-	XE_BUG_ON(FIELD_GET(GUC_HXG_MSG_0_TYPE, request[0]) !=
+	BUG_ON(FIELD_GET(GUC_HXG_MSG_0_TYPE, request[0]) !=
 		  GUC_HXG_TYPE_REQUEST);
 
 retry:
@@ -754,8 +754,8 @@ static int guc_self_cfg(struct xe_guc *guc, u16 key, u16 len, u64 val)
 	};
 	int ret;
 
-	XE_BUG_ON(len > 2);
-	XE_BUG_ON(len == 1 && upper_32_bits(val));
+	BUG_ON(len > 2);
+	BUG_ON(len == 1 && upper_32_bits(val));
 
 	/* Self config must go over MMIO */
 	ret = xe_guc_mmio_send(guc, request, ARRAY_SIZE(request));
diff --git a/drivers/gpu/drm/xe/xe_guc_ads.c b/drivers/gpu/drm/xe/xe_guc_ads.c
index d5a089694f80..cd8de752e660 100644
--- a/drivers/gpu/drm/xe/xe_guc_ads.c
+++ b/drivers/gpu/drm/xe/xe_guc_ads.c
@@ -118,7 +118,7 @@ struct __guc_ads_blob {
 
 static size_t guc_ads_regset_size(struct xe_guc_ads *ads)
 {
-	XE_BUG_ON(!ads->regset_size);
+	BUG_ON(!ads->regset_size);
 
 	return ads->regset_size;
 }
@@ -308,7 +308,7 @@ int xe_guc_ads_init_post_hwconfig(struct xe_guc_ads *ads)
 	struct xe_gt *gt = ads_to_gt(ads);
 	u32 prev_regset_size = ads->regset_size;
 
-	XE_BUG_ON(!ads->bo);
+	BUG_ON(!ads->bo);
 
 	ads->golden_lrc_size = calculate_golden_lrc_size(ads);
 	ads->regset_size = calculate_regset_size(gt);
@@ -521,7 +521,7 @@ static void guc_mmio_reg_state_init(struct xe_guc_ads *ads)
 		regset_used += count * sizeof(struct guc_mmio_reg);
 	}
 
-	XE_BUG_ON(regset_used > ads->regset_size);
+	BUG_ON(regset_used > ads->regset_size);
 }
 
 static void guc_um_init_params(struct xe_guc_ads *ads)
@@ -577,7 +577,7 @@ void xe_guc_ads_populate_minimal(struct xe_guc_ads *ads)
 			offsetof(struct __guc_ads_blob, system_info));
 	u32 base = xe_bo_ggtt_addr(ads->bo);
 
-	XE_BUG_ON(!ads->bo);
+	BUG_ON(!ads->bo);
 
 	xe_map_memset(ads_to_xe(ads), ads_to_map(ads), 0, 0, ads->bo->size);
 	guc_policies_init(ads);
@@ -601,7 +601,7 @@ void xe_guc_ads_populate(struct xe_guc_ads *ads)
 			offsetof(struct __guc_ads_blob, system_info));
 	u32 base = xe_bo_ggtt_addr(ads->bo);
 
-	XE_BUG_ON(!ads->bo);
+	BUG_ON(!ads->bo);
 
 	xe_map_memset(ads_to_xe(ads), ads_to_map(ads), 0, 0, ads->bo->size);
 	guc_policies_init(ads);
@@ -651,7 +651,7 @@ static void guc_populate_golden_lrc(struct xe_guc_ads *ads)
 				   engine_enabled_masks[guc_class]))
 			continue;
 
-		XE_BUG_ON(!gt->default_lrc[class]);
+		BUG_ON(!gt->default_lrc[class]);
 
 		real_size = xe_lrc_size(xe, class);
 		alloc_size = PAGE_ALIGN(real_size);
@@ -680,7 +680,7 @@ static void guc_populate_golden_lrc(struct xe_guc_ads *ads)
 		offset += alloc_size;
 	}
 
-	XE_BUG_ON(total_size != ads->golden_lrc_size);
+	BUG_ON(total_size != ads->golden_lrc_size);
 }
 
 void xe_guc_ads_populate_post_load(struct xe_guc_ads *ads)
diff --git a/drivers/gpu/drm/xe/xe_guc_ct.c b/drivers/gpu/drm/xe/xe_guc_ct.c
index fec09ba412a8..70edffe1e377 100644
--- a/drivers/gpu/drm/xe/xe_guc_ct.c
+++ b/drivers/gpu/drm/xe/xe_guc_ct.c
@@ -134,7 +134,7 @@ int xe_guc_ct_init(struct xe_guc_ct *ct)
 	struct xe_bo *bo;
 	int err;
 
-	XE_BUG_ON(guc_ct_size() % PAGE_SIZE);
+	BUG_ON(guc_ct_size() % PAGE_SIZE);
 
 	mutex_init(&ct->lock);
 	spin_lock_init(&ct->fast_lock);
@@ -280,7 +280,7 @@ int xe_guc_ct_enable(struct xe_guc_ct *ct)
 	struct xe_device *xe = ct_to_xe(ct);
 	int err;
 
-	XE_BUG_ON(ct->enabled);
+	BUG_ON(ct->enabled);
 
 	guc_ct_ctb_h2g_init(xe, &ct->ctbs.h2g, &ct->bo->vmap);
 	guc_ct_ctb_g2h_init(xe, &ct->ctbs.g2h, &ct->bo->vmap);
@@ -365,7 +365,7 @@ static void h2g_reserve_space(struct xe_guc_ct *ct, u32 cmd_len)
 
 static void g2h_reserve_space(struct xe_guc_ct *ct, u32 g2h_len, u32 num_g2h)
 {
-	XE_BUG_ON(g2h_len > ct->ctbs.g2h.space);
+	BUG_ON(g2h_len > ct->ctbs.g2h.space);
 
 	if (g2h_len) {
 		spin_lock_irq(&ct->fast_lock);
@@ -405,8 +405,8 @@ static int h2g_write(struct xe_guc_ct *ct, const u32 *action, u32 len,
 							 tail * sizeof(u32));
 
 	lockdep_assert_held(&ct->lock);
-	XE_BUG_ON(len * sizeof(u32) > GUC_CTB_MSG_MAX_LEN);
-	XE_BUG_ON(tail > h2g->size);
+	BUG_ON(len * sizeof(u32) > GUC_CTB_MSG_MAX_LEN);
+	BUG_ON(tail > h2g->size);
 
 	/* Command will wrap, zero fill (NOPs), return and check credits again */
 	if (tail + cmd_len > h2g->size) {
@@ -460,10 +460,10 @@ static int __guc_ct_send_locked(struct xe_guc_ct *ct, const u32 *action,
 {
 	int ret;
 
-	XE_BUG_ON(g2h_len && g2h_fence);
-	XE_BUG_ON(num_g2h && g2h_fence);
-	XE_BUG_ON(g2h_len && !num_g2h);
-	XE_BUG_ON(!g2h_len && num_g2h);
+	BUG_ON(g2h_len && g2h_fence);
+	BUG_ON(num_g2h && g2h_fence);
+	BUG_ON(g2h_len && !num_g2h);
+	BUG_ON(!g2h_len && num_g2h);
 	lockdep_assert_held(&ct->lock);
 
 	if (unlikely(ct->ctbs.h2g.broken)) {
@@ -534,7 +534,7 @@ static int guc_ct_send_locked(struct xe_guc_ct *ct, const u32 *action, u32 len,
 	unsigned int sleep_period_ms = 1;
 	int ret;
 
-	XE_BUG_ON(g2h_len && g2h_fence);
+	BUG_ON(g2h_len && g2h_fence);
 	lockdep_assert_held(&ct->lock);
 
 try_again:
@@ -601,7 +601,7 @@ static int guc_ct_send(struct xe_guc_ct *ct, const u32 *action, u32 len,
 {
 	int ret;
 
-	XE_BUG_ON(g2h_len && g2h_fence);
+	BUG_ON(g2h_len && g2h_fence);
 
 	mutex_lock(&ct->lock);
 	ret = guc_ct_send_locked(ct, action, len, g2h_len, num_g2h, g2h_fence);
diff --git a/drivers/gpu/drm/xe/xe_guc_hwconfig.c b/drivers/gpu/drm/xe/xe_guc_hwconfig.c
index a6982f323ed1..bcd8f4e9e393 100644
--- a/drivers/gpu/drm/xe/xe_guc_hwconfig.c
+++ b/drivers/gpu/drm/xe/xe_guc_hwconfig.c
@@ -119,7 +119,7 @@ void xe_guc_hwconfig_copy(struct xe_guc *guc, void *dst)
 {
 	struct xe_device *xe = guc_to_xe(guc);
 
-	XE_BUG_ON(!guc->hwconfig.bo);
+	BUG_ON(!guc->hwconfig.bo);
 
 	xe_map_memcpy_from(xe, dst, &guc->hwconfig.bo->vmap, 0,
 			   guc->hwconfig.size);
diff --git a/drivers/gpu/drm/xe/xe_guc_log.c b/drivers/gpu/drm/xe/xe_guc_log.c
index 9a7b5d5906c1..fbd74c27f352 100644
--- a/drivers/gpu/drm/xe/xe_guc_log.c
+++ b/drivers/gpu/drm/xe/xe_guc_log.c
@@ -55,12 +55,12 @@ void xe_guc_log_print(struct xe_guc_log *log, struct drm_printer *p)
 	size_t size;
 	int i, j;
 
-	XE_BUG_ON(!log->bo);
+	BUG_ON(!log->bo);
 
 	size = log->bo->size;
 
 #define DW_PER_READ		128
-	XE_BUG_ON(size % (DW_PER_READ * sizeof(u32)));
+	BUG_ON(size % (DW_PER_READ * sizeof(u32)));
 	for (i = 0; i < size / sizeof(u32); i += DW_PER_READ) {
 		u32 read[DW_PER_READ];
 
diff --git a/drivers/gpu/drm/xe/xe_guc_submit.c b/drivers/gpu/drm/xe/xe_guc_submit.c
index 8df5513796f1..5a8659e99c8e 100644
--- a/drivers/gpu/drm/xe/xe_guc_submit.c
+++ b/drivers/gpu/drm/xe/xe_guc_submit.c
@@ -326,7 +326,7 @@ static void __guc_engine_policy_start_klv(struct engine_policy *policy,
 static void __guc_engine_policy_add_##func(struct engine_policy *policy, \
 					   u32 data) \
 { \
-	XE_BUG_ON(policy->count >= GUC_CONTEXT_POLICIES_KLV_NUM_IDS); \
+	BUG_ON(policy->count >= GUC_CONTEXT_POLICIES_KLV_NUM_IDS); \
  \
 	policy->h2g.klv[policy->count].kl = \
 		FIELD_PREP(GUC_KLV_0_KEY, \
@@ -355,7 +355,7 @@ static void init_policies(struct xe_guc *guc, struct xe_engine *e)
 	u32 timeslice_us = e->sched_props.timeslice_us;
 	u32 preempt_timeout_us = e->sched_props.preempt_timeout_us;
 
-	XE_BUG_ON(!engine_registered(e));
+	BUG_ON(!engine_registered(e));
 
         __guc_engine_policy_start_klv(&policy, e->guc->id);
         __guc_engine_policy_add_priority(&policy, drm_sched_prio_to_guc[prio]);
@@ -413,7 +413,7 @@ static void __register_mlrc_engine(struct xe_guc *guc,
 	int len = 0;
 	int i;
 
-	XE_BUG_ON(!xe_engine_is_parallel(e));
+	BUG_ON(!xe_engine_is_parallel(e));
 
 	action[len++] = XE_GUC_ACTION_REGISTER_CONTEXT_MULTI_LRC;
 	action[len++] = info->flags;
@@ -436,7 +436,7 @@ static void __register_mlrc_engine(struct xe_guc *guc,
 		action[len++] = upper_32_bits(xe_lrc_descriptor(lrc));
 	}
 
-	XE_BUG_ON(len > MAX_MLRC_REG_SIZE);
+	BUG_ON(len > MAX_MLRC_REG_SIZE);
 #undef MAX_MLRC_REG_SIZE
 
 	xe_guc_ct_send(&guc->ct, action, len, 0, 0);
@@ -470,7 +470,7 @@ static void register_engine(struct xe_engine *e)
 	struct xe_lrc *lrc = e->lrc;
 	struct guc_ctxt_registration_info info;
 
-	XE_BUG_ON(engine_registered(e));
+	BUG_ON(engine_registered(e));
 
 	memset(&info, 0, sizeof(info));
 	info.context_idx = e->guc->id;
@@ -552,7 +552,7 @@ static int wq_noop_append(struct xe_engine *e)
 	if (wq_wait_for_space(e, wq_space_until_wrap(e)))
 		return -ENODEV;
 
-	XE_BUG_ON(!FIELD_FIT(WQ_LEN_MASK, len_dw));
+	BUG_ON(!FIELD_FIT(WQ_LEN_MASK, len_dw));
 
 	parallel_write(xe, map, wq[e->guc->wqi_tail / sizeof(u32)],
 		       FIELD_PREP(WQ_TYPE_MASK, WQ_TYPE_NOOP) |
@@ -591,13 +591,13 @@ static void wq_item_append(struct xe_engine *e)
 		wqi[i++] = lrc->ring.tail / sizeof(u64);
 	}
 
-	XE_BUG_ON(i != wqi_size / sizeof(u32));
+	BUG_ON(i != wqi_size / sizeof(u32));
 
 	iosys_map_incr(&map, offsetof(struct parallel_scratch,
 					wq[e->guc->wqi_tail / sizeof(u32)]));
 	xe_map_memcpy_to(xe, &map, 0, wqi, wqi_size);
 	e->guc->wqi_tail += wqi_size;
-	XE_BUG_ON(e->guc->wqi_tail > WQ_SIZE);
+	BUG_ON(e->guc->wqi_tail > WQ_SIZE);
 
 	xe_device_wmb(xe);
 
@@ -616,7 +616,7 @@ static void submit_engine(struct xe_engine *e)
 	int len = 0;
 	bool extra_submit = false;
 
-	XE_BUG_ON(!engine_registered(e));
+	BUG_ON(!engine_registered(e));
 
 	if (xe_engine_is_parallel(e))
 		wq_item_append(e);
@@ -663,7 +663,7 @@ guc_engine_run_job(struct drm_sched_job *drm_job)
 	struct xe_sched_job *job = to_xe_sched_job(drm_job);
 	struct xe_engine *e = job->engine;
 
-	XE_BUG_ON((engine_destroyed(e) || engine_pending_disable(e)) &&
+	BUG_ON((engine_destroyed(e) || engine_pending_disable(e)) &&
 		  !engine_banned(e) && !engine_suspended(e));
 
 	trace_xe_sched_job_run(job);
@@ -935,7 +935,7 @@ static void __guc_engine_process_msg_cleanup(struct drm_sched_msg *msg)
 	struct xe_engine *e = msg->private_data;
 	struct xe_guc *guc = engine_to_guc(e);
 
-	XE_BUG_ON(e->flags & ENGINE_FLAG_KERNEL);
+	BUG_ON(e->flags & ENGINE_FLAG_KERNEL);
 	trace_xe_engine_cleanup_entity(e);
 
 	if (engine_registered(e))
@@ -963,9 +963,9 @@ static void suspend_fence_signal(struct xe_engine *e)
 {
 	struct xe_guc *guc = engine_to_guc(e);
 
-	XE_BUG_ON(!engine_suspended(e) && !engine_killed(e) &&
+	BUG_ON(!engine_suspended(e) && !engine_killed(e) &&
 		  !guc_read_stopped(guc));
-	XE_BUG_ON(!e->guc->suspend_pending);
+	BUG_ON(!e->guc->suspend_pending);
 
 	e->guc->suspend_pending = false;
 	smp_wmb();
@@ -1051,7 +1051,7 @@ static void guc_engine_process_msg(struct drm_sched_msg *msg)
 		__guc_engine_process_msg_resume(msg);
 		break;
 	default:
-		XE_BUG_ON("Unknown message type");
+		BUG_ON("Unknown message type");
 	}
 }
 
@@ -1070,7 +1070,7 @@ static int guc_engine_init(struct xe_engine *e)
 	long timeout;
 	int err;
 
-	XE_BUG_ON(!xe_device_guc_submission_enabled(guc_to_xe(guc)));
+	BUG_ON(!xe_device_guc_submission_enabled(guc_to_xe(guc)));
 
 	ge = kzalloc(sizeof(*ge), GFP_KERNEL);
 	if (!ge)
@@ -1231,9 +1231,9 @@ static int guc_engine_set_job_timeout(struct xe_engine *e, u32 job_timeout_ms)
 {
 	struct drm_gpu_scheduler *sched = &e->guc->sched;
 
-	XE_BUG_ON(engine_registered(e));
-	XE_BUG_ON(engine_banned(e));
-	XE_BUG_ON(engine_killed(e));
+	BUG_ON(engine_registered(e));
+	BUG_ON(engine_banned(e));
+	BUG_ON(engine_killed(e));
 
 	sched->timeout = job_timeout_ms;
 
@@ -1265,7 +1265,7 @@ static void guc_engine_resume(struct xe_engine *e)
 {
 	struct drm_sched_msg *msg = e->guc->static_msgs + STATIC_MSG_RESUME;
 
-	XE_BUG_ON(e->guc->suspend_pending);
+	BUG_ON(e->guc->suspend_pending);
 
 	guc_engine_add_msg(e, msg, RESUME);
 }
@@ -1364,7 +1364,7 @@ int xe_guc_submit_stop(struct xe_guc *guc)
 	struct xe_engine *e;
 	unsigned long index;
 
-	XE_BUG_ON(guc_read_stopped(guc) != 1);
+	BUG_ON(guc_read_stopped(guc) != 1);
 
 	mutex_lock(&guc->submission_state.lock);
 
@@ -1403,7 +1403,7 @@ int xe_guc_submit_start(struct xe_guc *guc)
 	struct xe_engine *e;
 	unsigned long index;
 
-	XE_BUG_ON(guc_read_stopped(guc) != 1);
+	BUG_ON(guc_read_stopped(guc) != 1);
 
 	mutex_lock(&guc->submission_state.lock);
 	atomic_dec(&guc->submission_state.stopped);
@@ -1433,7 +1433,7 @@ g2h_engine_lookup(struct xe_guc *guc, u32 guc_id)
 		return NULL;
 	}
 
-	XE_BUG_ON(e->guc->id != guc_id);
+	BUG_ON(e->guc->id != guc_id);
 
 	return e;
 }
diff --git a/drivers/gpu/drm/xe/xe_huc.c b/drivers/gpu/drm/xe/xe_huc.c
index a9448c6f6418..6b742fe01e1b 100644
--- a/drivers/gpu/drm/xe/xe_huc.c
+++ b/drivers/gpu/drm/xe/xe_huc.c
@@ -71,7 +71,7 @@ int xe_huc_auth(struct xe_huc *huc)
 	if (xe_uc_fw_is_disabled(&huc->fw))
 		return 0;
 
-	XE_BUG_ON(xe_uc_fw_is_running(&huc->fw));
+	BUG_ON(xe_uc_fw_is_running(&huc->fw));
 
 	if (!xe_uc_fw_is_loaded(&huc->fw))
 		return -ENOEXEC;
diff --git a/drivers/gpu/drm/xe/xe_hw_engine.c b/drivers/gpu/drm/xe/xe_hw_engine.c
index 63a4efd5edcc..e3f967b8579f 100644
--- a/drivers/gpu/drm/xe/xe_hw_engine.c
+++ b/drivers/gpu/drm/xe/xe_hw_engine.c
@@ -234,7 +234,7 @@ static void hw_engine_fini(struct drm_device *drm, void *arg)
 
 static void hw_engine_mmio_write32(struct xe_hw_engine *hwe, u32 reg, u32 val)
 {
-	XE_BUG_ON(reg & hwe->mmio_base);
+	BUG_ON(reg & hwe->mmio_base);
 	xe_force_wake_assert_held(gt_to_fw(hwe->gt), hwe->domain);
 
 	xe_mmio_write32(hwe->gt, reg + hwe->mmio_base, val);
@@ -242,7 +242,7 @@ static void hw_engine_mmio_write32(struct xe_hw_engine *hwe, u32 reg, u32 val)
 
 static u32 hw_engine_mmio_read32(struct xe_hw_engine *hwe, u32 reg)
 {
-	XE_BUG_ON(reg & hwe->mmio_base);
+	BUG_ON(reg & hwe->mmio_base);
 	xe_force_wake_assert_held(gt_to_fw(hwe->gt), hwe->domain);
 
 	return xe_mmio_read32(hwe->gt, reg + hwe->mmio_base);
@@ -280,7 +280,7 @@ static void hw_engine_init_early(struct xe_gt *gt, struct xe_hw_engine *hwe,
 
 	info = &engine_infos[id];
 
-	XE_BUG_ON(hwe->gt);
+	BUG_ON(hwe->gt);
 
 	hwe->gt = gt;
 	hwe->class = info->class;
@@ -304,8 +304,8 @@ static int hw_engine_init(struct xe_gt *gt, struct xe_hw_engine *hwe,
 	struct xe_device *xe = gt_to_xe(gt);
 	int err;
 
-	XE_BUG_ON(id >= ARRAY_SIZE(engine_infos) || !engine_infos[id].name);
-	XE_BUG_ON(!(gt->info.engine_mask & BIT(id)));
+	BUG_ON(id >= ARRAY_SIZE(engine_infos) || !engine_infos[id].name);
+	BUG_ON(!(gt->info.engine_mask & BIT(id)));
 
 	xe_reg_sr_apply_mmio(&hwe->reg_sr, gt);
 	xe_reg_sr_apply_whitelist(&hwe->reg_whitelist, hwe->mmio_base, gt);
diff --git a/drivers/gpu/drm/xe/xe_hw_fence.c b/drivers/gpu/drm/xe/xe_hw_fence.c
index bbfce9f58cd6..2a082104137d 100644
--- a/drivers/gpu/drm/xe/xe_hw_fence.c
+++ b/drivers/gpu/drm/xe/xe_hw_fence.c
@@ -188,7 +188,7 @@ static void xe_hw_fence_release(struct dma_fence *dma_fence)
 	struct xe_hw_fence *fence = to_xe_hw_fence(dma_fence);
 
 	trace_xe_hw_fence_free(fence);
-	XE_BUG_ON(!list_empty(&fence->irq_link));
+	BUG_ON(!list_empty(&fence->irq_link));
 	call_rcu(&dma_fence->rcu, fence_free);
 }
 
diff --git a/drivers/gpu/drm/xe/xe_lrc.c b/drivers/gpu/drm/xe/xe_lrc.c
index fb8c6f7d6528..7ae4a0b93249 100644
--- a/drivers/gpu/drm/xe/xe_lrc.c
+++ b/drivers/gpu/drm/xe/xe_lrc.c
@@ -108,7 +108,7 @@ static void set_offsets(u32 *regs,
 		*regs |= MI_LRI_LRM_CS_MMIO;
 		regs++;
 
-		XE_BUG_ON(!count);
+		BUG_ON(!count);
 		do {
 			u32 offset = 0;
 			u8 v;
@@ -528,7 +528,7 @@ static inline struct iosys_map __xe_lrc_##elem##_map(struct xe_lrc *lrc) \
 { \
 	struct iosys_map map = lrc->bo->vmap; \
 \
-	XE_BUG_ON(iosys_map_is_null(&map)); \
+	BUG_ON(iosys_map_is_null(&map)); \
 	iosys_map_incr(&map, __xe_lrc_##elem##_offset(lrc)); \
 	return map; \
 } \
@@ -759,12 +759,12 @@ void xe_lrc_write_ring(struct xe_lrc *lrc, const void *data, size_t size)
 	u32 rhs;
 	size_t aligned_size;
 
-	XE_BUG_ON(!IS_ALIGNED(size, 4));
+	BUG_ON(!IS_ALIGNED(size, 4));
 	aligned_size = ALIGN(size, 8);
 
 	ring = __xe_lrc_ring_map(lrc);
 
-	XE_BUG_ON(lrc->ring.tail >= lrc->ring.size);
+	BUG_ON(lrc->ring.tail >= lrc->ring.size);
 	rhs = lrc->ring.size - lrc->ring.tail;
 	if (size > rhs) {
 		__xe_lrc_write_ring(lrc, ring, data, rhs);
diff --git a/drivers/gpu/drm/xe/xe_macros.h b/drivers/gpu/drm/xe/xe_macros.h
index 0a42112eb247..826759d0fcab 100644
--- a/drivers/gpu/drm/xe/xe_macros.h
+++ b/drivers/gpu/drm/xe/xe_macros.h
@@ -9,7 +9,6 @@
 #include <linux/bug.h>
 
 #define XE_EXTRA_DEBUG 1
-#define XE_BUG_ON BUG_ON
 
 #define XE_IOCTL_ERR(xe, cond) \
 	((cond) && (drm_info(&(xe)->drm, \
diff --git a/drivers/gpu/drm/xe/xe_migrate.c b/drivers/gpu/drm/xe/xe_migrate.c
index a98e4bad39bf..83014991fdde 100644
--- a/drivers/gpu/drm/xe/xe_migrate.c
+++ b/drivers/gpu/drm/xe/xe_migrate.c
@@ -106,7 +106,7 @@ static void xe_migrate_fini(struct drm_device *dev, void *arg)
 
 static u64 xe_migrate_vm_addr(u64 slot, u32 level)
 {
-	XE_BUG_ON(slot >= NUM_PT_SLOTS);
+	BUG_ON(slot >= NUM_PT_SLOTS);
 
 	/* First slot is reserved for mapping of PT bo and bb, start from 1 */
 	return (slot + 1ULL) << xe_pt_shift(level + 1);
@@ -148,7 +148,7 @@ static int xe_migrate_create_cleared_bo(struct xe_migrate *m, struct xe_vm *vm)
 
 	xe_map_memset(xe, &m->cleared_bo->vmap, 0, 0x00, cleared_size);
 	vram_addr = xe_bo_addr(m->cleared_bo, 0, GEN8_PAGE_SIZE, &is_vram);
-	XE_BUG_ON(!is_vram);
+	BUG_ON(!is_vram);
 	m->cleared_vram_ofs = xe_migrate_vram_ofs(vram_addr);
 
 	return 0;
@@ -173,7 +173,7 @@ static int xe_migrate_prepare_vm(struct xe_gt *gt, struct xe_migrate *m,
 	BUILD_BUG_ON(!(NUM_KERNEL_PDE & 1));
 
 	/* Need to be sure everything fits in the first PT, or create more */
-	XE_BUG_ON(m->batch_base_ofs + batch->size >= SZ_2M);
+	BUG_ON(m->batch_base_ofs + batch->size >= SZ_2M);
 
 	bo = xe_bo_create_pin_map(vm->xe, m->gt, vm,
 				  num_entries * GEN8_PAGE_SIZE,
@@ -208,7 +208,7 @@ static int xe_migrate_prepare_vm(struct xe_gt *gt, struct xe_migrate *m,
 	}
 
 	if (!IS_DGFX(xe)) {
-		XE_BUG_ON(xe->info.supports_usm);
+		BUG_ON(xe->info.supports_usm);
 
 		/* Write out batch too */
 		m->batch_base_ofs = NUM_PT_SLOTS * GEN8_PAGE_SIZE;
@@ -318,7 +318,7 @@ struct xe_migrate *xe_migrate_init(struct xe_gt *gt)
 	struct ww_acquire_ctx ww;
 	int err;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	m = drmm_kzalloc(&xe->drm, sizeof(*m), GFP_KERNEL);
 	if (!m)
@@ -491,7 +491,7 @@ static void emit_copy_ccs(struct xe_gt *gt, struct xe_bb *bb,
 
 	num_ccs_blks = DIV_ROUND_UP(xe_device_ccs_bytes(gt_to_xe(gt), size),
 				    NUM_CCS_BYTES_PER_BLOCK);
-	XE_BUG_ON(num_ccs_blks > NUM_CCS_BLKS_PER_XFER);
+	BUG_ON(num_ccs_blks > NUM_CCS_BLKS_PER_XFER);
 	*cs++ = XY_CTRL_SURF_COPY_BLT |
 		(src_is_indirect ? 0x0 : 0x1) << SRC_ACCESS_TYPE_SHIFT |
 		(dst_is_indirect ? 0x0 : 0x1) << DST_ACCESS_TYPE_SHIFT |
@@ -511,9 +511,9 @@ static void emit_copy(struct xe_gt *gt, struct xe_bb *bb,
 		      u64 src_ofs, u64 dst_ofs, unsigned int size,
 		      unsigned pitch)
 {
-	XE_BUG_ON(size / pitch > S16_MAX);
-	XE_BUG_ON(pitch / 4 > S16_MAX);
-	XE_BUG_ON(pitch > U16_MAX);
+	BUG_ON(size / pitch > S16_MAX);
+	BUG_ON(pitch / 4 > S16_MAX);
+	BUG_ON(pitch > U16_MAX);
 
 	bb->cs[bb->len++] = GEN9_XY_FAST_COPY_BLT_CMD | (10 - 2);
 	bb->cs[bb->len++] = BLT_DEPTH_32 | pitch;
@@ -565,7 +565,7 @@ static u32 xe_migrate_ccs_copy(struct xe_migrate *m,
 		 * At the moment, we don't support copying CCS metadata from
 		 * system to system.
 		 */
-		XE_BUG_ON(!src_is_vram && !dst_is_vram);
+		BUG_ON(!src_is_vram && !dst_is_vram);
 
 		emit_copy_ccs(gt, bb, dst_ofs, dst_is_vram, src_ofs,
 			      src_is_vram, dst_size);
@@ -764,7 +764,7 @@ static void emit_clear_link_copy(struct xe_gt *gt, struct xe_bb *bb, u64 src_ofs
 	*cs++ = upper_32_bits(src_ofs);
 	*cs++ = FIELD_PREP(PVC_MS_MOCS_INDEX_MASK, mocs);
 
-	XE_BUG_ON(cs - bb->cs != len + bb->len);
+	BUG_ON(cs - bb->cs != len + bb->len);
 
 	bb->len += len;
 }
@@ -802,7 +802,7 @@ static void emit_clear_main_copy(struct xe_gt *gt, struct xe_bb *bb,
 		*cs++ = 0;
 	}
 
-	XE_BUG_ON(cs - bb->cs != len + bb->len);
+	BUG_ON(cs - bb->cs != len + bb->len);
 
 	bb->len += len;
 }
@@ -977,14 +977,14 @@ static void write_pgtable(struct xe_gt *gt, struct xe_bb *bb, u64 ppgtt_ofs,
 	 * This shouldn't be possible in practice.. might change when 16K
 	 * pages are used. Hence the BUG_ON.
 	 */
-	XE_BUG_ON(update->qwords > 0x1ff);
+	BUG_ON(update->qwords > 0x1ff);
 	if (!ppgtt_ofs) {
 		bool is_vram;
 
 		ppgtt_ofs = xe_migrate_vram_ofs(xe_bo_addr(update->pt_bo, 0,
 							   GEN8_PAGE_SIZE,
 							   &is_vram));
-		XE_BUG_ON(!is_vram);
+		BUG_ON(!is_vram);
 	}
 
 	do {
@@ -1166,7 +1166,7 @@ xe_migrate_update_pgtables(struct xe_migrate *m,
 	 * Worst case: Sum(2 * (each lower level page size) + (top level page size))
 	 * Should be reasonably bound..
 	 */
-	XE_BUG_ON(batch_size >= SZ_128K);
+	BUG_ON(batch_size >= SZ_128K);
 
 	bb = xe_bb_new(gt, batch_size, !eng && xe->info.supports_usm);
 	if (IS_ERR(bb))
@@ -1176,7 +1176,7 @@ xe_migrate_update_pgtables(struct xe_migrate *m,
 	if (!IS_DGFX(xe)) {
 		ppgtt_ofs = NUM_KERNEL_PDE - 1;
 		if (eng) {
-			XE_BUG_ON(num_updates > NUM_VMUSA_WRITES_PER_UNIT);
+			BUG_ON(num_updates > NUM_VMUSA_WRITES_PER_UNIT);
 
 			sa_bo = drm_suballoc_new(&m->vm_update_sa, 1,
 						 GFP_KERNEL, true);
diff --git a/drivers/gpu/drm/xe/xe_mmio.c b/drivers/gpu/drm/xe/xe_mmio.c
index 5cacaa05759a..ef3dd0ffb001 100644
--- a/drivers/gpu/drm/xe/xe_mmio.c
+++ b/drivers/gpu/drm/xe/xe_mmio.c
@@ -246,7 +246,7 @@ int xe_mmio_probe_vram(struct xe_device *xe)
 			if (xe_gt_is_media_type(gt))
 				--adj_tile_count;
 
-		XE_BUG_ON(!adj_tile_count);
+		BUG_ON(!adj_tile_count);
 
 		size = xe->mem.vram.size / adj_tile_count;
 		io_start = xe->mem.vram.io_start;
diff --git a/drivers/gpu/drm/xe/xe_pt.c b/drivers/gpu/drm/xe/xe_pt.c
index ffb0e6d8f9f7..4bde3684fe82 100644
--- a/drivers/gpu/drm/xe/xe_pt.c
+++ b/drivers/gpu/drm/xe/xe_pt.c
@@ -126,7 +126,7 @@ static u64 __gen8_pte_encode(u64 pte, enum xe_cache_level cache, u32 flags,
 		pte |= GEN8_PDPE_PS_1G;
 
 	/* XXX: Does hw support 1 GiB pages? */
-	XE_BUG_ON(pt_level > 2);
+	BUG_ON(pt_level > 2);
 
 	return pte;
 }
@@ -171,7 +171,7 @@ static u64 __xe_pt_empty_pte(struct xe_gt *gt, struct xe_vm *vm,
 {
 	u8 id = gt->info.id;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	if (!vm->scratch_bo[id])
 		return 0;
@@ -229,7 +229,7 @@ struct xe_pt *xe_pt_create(struct xe_vm *vm, struct xe_gt *gt,
 	pt->level = level;
 	pt->drm.dir = level ? &as_xe_pt_dir(pt)->dir : NULL;
 
-	XE_BUG_ON(level > XE_VM_MAX_LEVEL);
+	BUG_ON(level > XE_VM_MAX_LEVEL);
 
 	return pt;
 
@@ -255,7 +255,7 @@ void xe_pt_populate_empty(struct xe_gt *gt, struct xe_vm *vm,
 	u64 empty;
 	int i;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	if (!vm->scratch_bo[gt->info.id]) {
 		/*
@@ -300,7 +300,7 @@ void xe_pt_destroy(struct xe_pt *pt, u32 flags, struct llist_head *deferred)
 	if (!pt)
 		return;
 
-	XE_BUG_ON(!list_empty(&pt->bo->vmas));
+	BUG_ON(!list_empty(&pt->bo->vmas));
 	xe_bo_unpin(pt->bo);
 	xe_bo_put_deferred(pt->bo, deferred);
 
@@ -874,8 +874,8 @@ static int xe_pt_zap_ptes_entry(struct drm_pt *parent, pgoff_t offset,
 	struct xe_pt *xe_child = container_of(*child, typeof(*xe_child), drm);
 	pgoff_t end_offset;
 
-	XE_BUG_ON(!*child);
-	XE_BUG_ON(!level && xe_child->is_compact);
+	BUG_ON(!*child);
+	BUG_ON(!level && xe_child->is_compact);
 
 	/*
 	 * Note that we're called from an entry callback, and we're dealing
@@ -944,7 +944,7 @@ xe_vm_populate_pgtable(struct xe_migrate_pt_update *pt_update, struct xe_gt *gt,
 	u64 *ptr = data;
 	u32 i;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	for (i = 0; i < num_qwords; i++) {
 		if (map)
@@ -1053,7 +1053,7 @@ static void xe_vm_dbg_print_entries(struct xe_device *xe,
 		u64 end;
 		u64 start;
 
-		XE_BUG_ON(entry->pt->is_compact);
+		BUG_ON(entry->pt->is_compact);
 		start = entry->ofs * page_size;
 		end = start + page_size * entry->qwords;
 		vm_dbg(&xe->drm,
@@ -1297,7 +1297,7 @@ __xe_pt_bind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
 	bind_pt_update.locked = false;
 	xe_bo_assert_held(vma->bo);
 	xe_vm_assert_held(vm);
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	vm_dbg(&vma->vm->xe->drm,
 	       "Preparing bind, with range [%llx...%llx) engine %p.\n",
@@ -1306,7 +1306,7 @@ __xe_pt_bind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
 	err = xe_pt_prepare_bind(gt, vma, entries, &num_entries, rebind);
 	if (err)
 		goto err;
-	XE_BUG_ON(num_entries > ARRAY_SIZE(entries));
+	BUG_ON(num_entries > ARRAY_SIZE(entries));
 
 	xe_vm_dbg_print_entries(gt_to_xe(gt), entries, num_entries);
 
@@ -1436,8 +1436,8 @@ static int xe_pt_stage_unbind_entry(struct drm_pt *parent, pgoff_t offset,
 {
 	struct xe_pt *xe_child = container_of(*child, typeof(*xe_child), drm);
 
-	XE_BUG_ON(!*child);
-	XE_BUG_ON(!level && xe_child->is_compact);
+	BUG_ON(!*child);
+	BUG_ON(!level && xe_child->is_compact);
 
 	xe_pt_check_kill(addr, next, level - 1, xe_child, action, walk);
 
@@ -1528,7 +1528,7 @@ xe_migrate_clear_pgtable_callback(struct xe_migrate_pt_update *pt_update,
 	u64 empty = __xe_pt_empty_pte(gt, vma->vm, update->pt->level);
 	int i;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	if (map && map->is_iomem)
 		for (i = 0; i < num_qwords; ++i)
@@ -1620,14 +1620,14 @@ __xe_pt_unbind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
 
 	xe_bo_assert_held(vma->bo);
 	xe_vm_assert_held(vm);
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	vm_dbg(&vma->vm->xe->drm,
 	       "Preparing unbind, with range [%llx...%llx) engine %p.\n",
 	       vma->start, vma->end, e);
 
 	num_entries = xe_pt_stage_unbind(gt, vma, entries);
-	XE_BUG_ON(num_entries > ARRAY_SIZE(entries));
+	BUG_ON(num_entries > ARRAY_SIZE(entries));
 
 	xe_vm_dbg_print_entries(gt_to_xe(gt), entries, num_entries);
 
diff --git a/drivers/gpu/drm/xe/xe_res_cursor.h b/drivers/gpu/drm/xe/xe_res_cursor.h
index b34eb906dbb0..3b5bf90ff655 100644
--- a/drivers/gpu/drm/xe/xe_res_cursor.h
+++ b/drivers/gpu/drm/xe/xe_res_cursor.h
@@ -80,7 +80,7 @@ static inline void xe_res_first(struct ttm_resource *res,
 	if (!res)
 		goto fallback;
 
-	XE_BUG_ON(start + size > res->size);
+	BUG_ON(start + size > res->size);
 
 	cur->mem_type = res->mem_type;
 
@@ -141,7 +141,7 @@ static inline void __xe_res_sg_next(struct xe_res_cursor *cur)
 	while (start >= sg_dma_len(sgl)) {
 		start -= sg_dma_len(sgl);
 		sgl = sg_next(sgl);
-		XE_BUG_ON(!sgl);
+		BUG_ON(!sgl);
 	}
 
 	cur->start = start;
@@ -163,8 +163,8 @@ static inline void xe_res_first_sg(const struct sg_table *sg,
 				   u64 start, u64 size,
 				   struct xe_res_cursor *cur)
 {
-	XE_BUG_ON(!sg);
-	XE_BUG_ON(!IS_ALIGNED(start, PAGE_SIZE) ||
+	BUG_ON(!sg);
+	BUG_ON(!IS_ALIGNED(start, PAGE_SIZE) ||
 		  !IS_ALIGNED(size, PAGE_SIZE));
 	cur->node = NULL;
 	cur->start = start;
@@ -189,7 +189,7 @@ static inline void xe_res_next(struct xe_res_cursor *cur, u64 size)
 	struct list_head *next;
 	u64 start;
 
-	XE_BUG_ON(size > cur->remaining);
+	BUG_ON(size > cur->remaining);
 
 	cur->remaining -= size;
 	if (!cur->remaining)
diff --git a/drivers/gpu/drm/xe/xe_ring_ops.c b/drivers/gpu/drm/xe/xe_ring_ops.c
index 918e819e7c91..b610a4f0dfa4 100644
--- a/drivers/gpu/drm/xe/xe_ring_ops.c
+++ b/drivers/gpu/drm/xe/xe_ring_ops.c
@@ -184,7 +184,7 @@ static void __emit_job_gen12_copy(struct xe_sched_job *job, struct xe_lrc *lrc,
 
 	i = emit_user_interrupt(dw, i);
 
-	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
+	BUG_ON(i > MAX_JOB_SIZE_DW);
 
 	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
 }
@@ -222,7 +222,7 @@ static void __emit_job_gen12_video(struct xe_sched_job *job, struct xe_lrc *lrc,
 
 	i = emit_user_interrupt(dw, i);
 
-	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
+	BUG_ON(i > MAX_JOB_SIZE_DW);
 
 	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
 }
@@ -263,7 +263,7 @@ static void __emit_job_gen12_render_compute(struct xe_sched_job *job,
 
 	i = emit_user_interrupt(dw, i);
 
-	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
+	BUG_ON(i > MAX_JOB_SIZE_DW);
 
 	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
 }
@@ -293,7 +293,7 @@ static void emit_migration_job_gen12(struct xe_sched_job *job,
 
 	i = emit_user_interrupt(dw, i);
 
-	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
+	BUG_ON(i > MAX_JOB_SIZE_DW);
 
 	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
 }
diff --git a/drivers/gpu/drm/xe/xe_sched_job.c b/drivers/gpu/drm/xe/xe_sched_job.c
index 2985caa6097b..87854f3473db 100644
--- a/drivers/gpu/drm/xe/xe_sched_job.c
+++ b/drivers/gpu/drm/xe/xe_sched_job.c
@@ -142,7 +142,7 @@ struct xe_sched_job *xe_sched_job_create(struct xe_engine *e,
 
 		/* Sanity check */
 		for (j = 0; j < e->width; ++j)
-			XE_BUG_ON(cf->base.seqno != fences[j]->seqno);
+			BUG_ON(cf->base.seqno != fences[j]->seqno);
 
 		job->fence = &cf->base;
 	}
diff --git a/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c b/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
index 8075781070f2..c61d2fa658b5 100644
--- a/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
+++ b/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
@@ -111,7 +111,7 @@ int xe_ttm_gtt_mgr_init(struct xe_gt *gt, struct xe_ttm_gtt_mgr *mgr,
 	struct ttm_resource_manager *man = &mgr->manager;
 	int err;
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	mgr->gt = gt;
 	man->use_tt = true;
diff --git a/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c b/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
index 31887fec1073..1343b3a862ce 100644
--- a/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
+++ b/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
@@ -184,7 +184,7 @@ u64 xe_ttm_stolen_io_offset(struct xe_bo *bo, u32 offset)
 	struct xe_ttm_stolen_mgr *mgr = to_stolen_mgr(ttm_mgr);
 	struct xe_res_cursor cur;
 
-	XE_BUG_ON(!mgr->io_base);
+	BUG_ON(!mgr->io_base);
 
 	if (xe_ttm_stolen_cpu_access_needs_ggtt(xe))
 		return mgr->io_base + xe_bo_ggtt_addr(bo) + offset;
@@ -224,7 +224,7 @@ static int __xe_ttm_stolen_io_mem_reserve_stolen(struct xe_device *xe,
 #ifdef CONFIG_X86
 	struct xe_bo *bo = ttm_to_xe_bo(mem->bo);
 
-	XE_BUG_ON(IS_DGFX(xe));
+	BUG_ON(IS_DGFX(xe));
 
 	/* XXX: Require BO to be mapped to GGTT? */
 	if (drm_WARN_ON(&xe->drm, !(bo->flags & XE_BO_CREATE_GGTT_BIT)))
diff --git a/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c b/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
index 73836b9b7fed..aa50964214f8 100644
--- a/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
+++ b/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
@@ -357,7 +357,7 @@ int xe_ttm_vram_mgr_init(struct xe_gt *gt, struct xe_ttm_vram_mgr *mgr)
 {
 	struct xe_device *xe = gt_to_xe(gt);
 
-	XE_BUG_ON(xe_gt_is_media_type(gt));
+	BUG_ON(xe_gt_is_media_type(gt));
 
 	mgr->gt = gt;
 
diff --git a/drivers/gpu/drm/xe/xe_uc_fw.c b/drivers/gpu/drm/xe/xe_uc_fw.c
index 3ebe651b9a1b..bbe9239e8145 100644
--- a/drivers/gpu/drm/xe/xe_uc_fw.c
+++ b/drivers/gpu/drm/xe/xe_uc_fw.c
@@ -23,7 +23,7 @@ __uc_fw_to_gt(struct xe_uc_fw *uc_fw, enum xe_uc_fw_type type)
 	if (type == XE_UC_FW_TYPE_GUC)
 		return container_of(uc_fw, struct xe_gt, uc.guc.fw);
 
-	XE_BUG_ON(type != XE_UC_FW_TYPE_HUC);
+	BUG_ON(type != XE_UC_FW_TYPE_HUC);
 	return container_of(uc_fw, struct xe_gt, uc.huc.fw);
 }
 
@@ -146,7 +146,7 @@ uc_fw_auto_select(struct xe_device *xe, struct xe_uc_fw *uc_fw)
 	u8 rev = xe->info.revid;
 	int i;
 
-	XE_BUG_ON(uc_fw->type >= ARRAY_SIZE(blobs_all));
+	BUG_ON(uc_fw->type >= ARRAY_SIZE(blobs_all));
 	fw_blobs = blobs_all[uc_fw->type].blobs;
 	fw_count = blobs_all[uc_fw->type].count;
 
@@ -176,8 +176,8 @@ size_t xe_uc_fw_copy_rsa(struct xe_uc_fw *uc_fw, void *dst, u32 max_len)
 	struct xe_device *xe = uc_fw_to_xe(uc_fw);
 	u32 size = min_t(u32, uc_fw->rsa_size, max_len);
 
-	XE_BUG_ON(size % 4);
-	XE_BUG_ON(!xe_uc_fw_is_available(uc_fw));
+	BUG_ON(size % 4);
+	BUG_ON(!xe_uc_fw_is_available(uc_fw));
 
 	xe_map_memcpy_from(xe, dst, &uc_fw->bo->vmap,
 			   xe_uc_fw_rsa_offset(uc_fw), size);
@@ -201,7 +201,7 @@ static void guc_read_css_info(struct xe_uc_fw *uc_fw, struct uc_css_header *css)
 	struct xe_gt *gt = uc_fw_to_gt(uc_fw);
 	struct xe_guc *guc = &gt->uc.guc;
 
-	XE_BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
+	BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
 	WARN_ON(uc_fw->major_ver_found < 70);
 
 	if (uc_fw->minor_ver_found >= 6) {
@@ -246,8 +246,8 @@ int xe_uc_fw_init(struct xe_uc_fw *uc_fw)
 	 * before we're looked at the HW caps to see if we have uc support
 	 */
 	BUILD_BUG_ON(XE_UC_FIRMWARE_UNINITIALIZED);
-	XE_BUG_ON(uc_fw->status);
-	XE_BUG_ON(uc_fw->path);
+	BUG_ON(uc_fw->status);
+	BUG_ON(uc_fw->path);
 
 	uc_fw_auto_select(xe, uc_fw);
 	xe_uc_fw_change_status(uc_fw, uc_fw->path ? *uc_fw->path ?
@@ -418,7 +418,7 @@ int xe_uc_fw_upload(struct xe_uc_fw *uc_fw, u32 offset, u32 dma_flags)
 	int err;
 
 	/* make sure the status was cleared the last time we reset the uc */
-	XE_BUG_ON(xe_uc_fw_is_loaded(uc_fw));
+	BUG_ON(xe_uc_fw_is_loaded(uc_fw));
 
 	if (!xe_uc_fw_is_loadable(uc_fw))
 		return -ENOEXEC;
diff --git a/drivers/gpu/drm/xe/xe_uc_fw.h b/drivers/gpu/drm/xe/xe_uc_fw.h
index bf31c3bb0e0f..2221f5fd3f54 100644
--- a/drivers/gpu/drm/xe/xe_uc_fw.h
+++ b/drivers/gpu/drm/xe/xe_uc_fw.h
@@ -104,7 +104,7 @@ static inline enum xe_uc_fw_status
 __xe_uc_fw_status(struct xe_uc_fw *uc_fw)
 {
 	/* shouldn't call this before checking hw/blob availability */
-	XE_BUG_ON(uc_fw->status == XE_UC_FIRMWARE_UNINITIALIZED);
+	BUG_ON(uc_fw->status == XE_UC_FIRMWARE_UNINITIALIZED);
 	return uc_fw->status;
 }
 
diff --git a/drivers/gpu/drm/xe/xe_vm.c b/drivers/gpu/drm/xe/xe_vm.c
index fb6b563378ea..c7247348b5d6 100644
--- a/drivers/gpu/drm/xe/xe_vm.c
+++ b/drivers/gpu/drm/xe/xe_vm.c
@@ -62,7 +62,7 @@ int xe_vma_userptr_pin_pages(struct xe_vma *vma)
 	bool read_only = vma->pte_flags & PTE_READ_ONLY;
 
 	lockdep_assert_held(&vm->lock);
-	XE_BUG_ON(!xe_vma_is_userptr(vma));
+	BUG_ON(!xe_vma_is_userptr(vma));
 retry:
 	if (vma->destroyed)
 		return 0;
@@ -248,7 +248,7 @@ static void arm_preempt_fences(struct xe_vm *vm, struct list_head *list)
 		struct dma_fence *fence;
 
 		link = list->next;
-		XE_BUG_ON(link == list);
+		BUG_ON(link == list);
 
 		fence = xe_preempt_fence_arm(to_preempt_fence_from_link(link),
 					     e, e->compute.context,
@@ -325,7 +325,7 @@ int xe_vm_add_compute_engine(struct xe_vm *vm, struct xe_engine *e)
 	int err;
 	bool wait;
 
-	XE_BUG_ON(!xe_vm_in_compute_mode(vm));
+	BUG_ON(!xe_vm_in_compute_mode(vm));
 
 	down_write(&vm->lock);
 
@@ -523,7 +523,7 @@ static void preempt_rebind_work_func(struct work_struct *w)
 	long wait;
 	int __maybe_unused tries = 0;
 
-	XE_BUG_ON(!xe_vm_in_compute_mode(vm));
+	BUG_ON(!xe_vm_in_compute_mode(vm));
 	trace_xe_vm_rebind_worker_enter(vm);
 
 	if (xe_vm_is_closed(vm)) {
@@ -660,7 +660,7 @@ static bool vma_userptr_invalidate(struct mmu_interval_notifier *mni,
 	struct dma_fence *fence;
 	long err;
 
-	XE_BUG_ON(!xe_vma_is_userptr(vma));
+	BUG_ON(!xe_vma_is_userptr(vma));
 	trace_xe_vma_userptr_invalidate(vma);
 
 	if (!mmu_notifier_range_blockable(range))
@@ -824,8 +824,8 @@ static struct xe_vma *xe_vma_create(struct xe_vm *vm,
 	struct xe_gt *gt;
 	u8 id;
 
-	XE_BUG_ON(start >= end);
-	XE_BUG_ON(end >= vm->size);
+	BUG_ON(start >= end);
+	BUG_ON(end >= vm->size);
 
 	vma = kzalloc(sizeof(*vma), GFP_KERNEL);
 	if (!vma) {
@@ -945,7 +945,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
 	struct xe_vm *vm = vma->vm;
 
 	lockdep_assert_held_write(&vm->lock);
-	XE_BUG_ON(!list_empty(&vma->unbind_link));
+	BUG_ON(!list_empty(&vma->unbind_link));
 
 	if (xe_vma_is_userptr(vma)) {
 		WARN_ON(!vma->destroyed);
@@ -1048,7 +1048,7 @@ xe_vm_find_overlapping_vma(struct xe_vm *vm, const struct xe_vma *vma)
 	if (xe_vm_is_closed(vm))
 		return NULL;
 
-	XE_BUG_ON(vma->end >= vm->size);
+	BUG_ON(vma->end >= vm->size);
 	lockdep_assert_held(&vm->lock);
 
 	node = rb_find(vma, &vm->vmas, xe_vma_cmp_vma_cb);
@@ -1058,7 +1058,7 @@ xe_vm_find_overlapping_vma(struct xe_vm *vm, const struct xe_vma *vma)
 
 static void xe_vm_insert_vma(struct xe_vm *vm, struct xe_vma *vma)
 {
-	XE_BUG_ON(vma->vm != vm);
+	BUG_ON(vma->vm != vm);
 	lockdep_assert_held(&vm->lock);
 
 	rb_add(&vma->vm_node, &vm->vmas, xe_vma_less_cb);
@@ -1066,7 +1066,7 @@ static void xe_vm_insert_vma(struct xe_vm *vm, struct xe_vma *vma)
 
 static void xe_vm_remove_vma(struct xe_vm *vm, struct xe_vma *vma)
 {
-	XE_BUG_ON(vma->vm != vm);
+	BUG_ON(vma->vm != vm);
 	lockdep_assert_held(&vm->lock);
 
 	rb_erase(&vma->vm_node, &vm->vmas);
@@ -1290,7 +1290,7 @@ void xe_vm_close_and_put(struct xe_vm *vm)
 	struct xe_gt *gt;
 	u8 id;
 
-	XE_BUG_ON(vm->preempt.num_engines);
+	BUG_ON(vm->preempt.num_engines);
 
 	vm->size = 0;
 	smp_mb();
@@ -1448,7 +1448,7 @@ struct xe_vm *xe_vm_lookup(struct xe_file *xef, u32 id)
 
 u64 xe_vm_pdp4_descriptor(struct xe_vm *vm, struct xe_gt *full_gt)
 {
-	XE_BUG_ON(xe_gt_is_media_type(full_gt));
+	BUG_ON(xe_gt_is_media_type(full_gt));
 
 	return gen8_pde_encode(vm->pt_root[full_gt->info.id]->bo, 0,
 			       XE_CACHE_WB);
@@ -1481,7 +1481,7 @@ xe_vm_unbind_vma(struct xe_vma *vma, struct xe_engine *e,
 		if (!(vma->gt_present & BIT(id)))
 			goto next;
 
-		XE_BUG_ON(xe_gt_is_media_type(gt));
+		BUG_ON(xe_gt_is_media_type(gt));
 
 		fence = __xe_pt_unbind_vma(gt, vma, e, syncs, num_syncs);
 		if (IS_ERR(fence)) {
@@ -1553,7 +1553,7 @@ xe_vm_bind_vma(struct xe_vma *vma, struct xe_engine *e,
 		if (!(vma->gt_mask & BIT(id)))
 			goto next;
 
-		XE_BUG_ON(xe_gt_is_media_type(gt));
+		BUG_ON(xe_gt_is_media_type(gt));
 		fence = __xe_pt_bind_vma(gt, vma, e, syncs, num_syncs,
 					 vma->gt_present & BIT(id));
 		if (IS_ERR(fence)) {
@@ -1669,7 +1669,7 @@ int xe_vm_async_fence_wait_start(struct dma_fence *fence)
 		struct async_op_fence *afence =
 			container_of(fence, struct async_op_fence, fence);
 
-		XE_BUG_ON(xe_vm_no_dma_fences(afence->vm));
+		BUG_ON(xe_vm_no_dma_fences(afence->vm));
 
 		smp_rmb();
 		return wait_event_interruptible(afence->wq, afence->started);
@@ -1950,7 +1950,7 @@ static int xe_vm_prefetch(struct xe_vm *vm, struct xe_vma *vma,
 {
 	int err;
 
-	XE_BUG_ON(region > ARRAY_SIZE(region_to_mem_type));
+	BUG_ON(region > ARRAY_SIZE(region_to_mem_type));
 
 	if (!xe_vma_is_userptr(vma)) {
 		err = xe_bo_migrate(vma->bo, region_to_mem_type[region]);
@@ -1994,7 +1994,7 @@ static int __vm_bind_ioctl(struct xe_vm *vm, struct xe_vma *vma,
 				      afence);
 		break;
 	default:
-		XE_BUG_ON("NOT POSSIBLE");
+		BUG_ON("NOT POSSIBLE");
 		return -EINVAL;
 	}
 }
@@ -2040,7 +2040,7 @@ static int vm_bind_ioctl(struct xe_vm *vm, struct xe_vma *vma,
 	int err, i;
 
 	lockdep_assert_held(&vm->lock);
-	XE_BUG_ON(!list_empty(&vma->unbind_link));
+	BUG_ON(!list_empty(&vma->unbind_link));
 
 	/* Binds deferred to faults, signal fences now */
 	if (xe_vm_in_fault_mode(vm) && is_map_op(bind_op->op) &&
@@ -2190,7 +2190,7 @@ static void async_op_work_func(struct work_struct *w)
 
 					spin_lock_irq(&vm->async_ops.lock);
 					op = next_async_op(vm);
-					XE_BUG_ON(!op);
+					BUG_ON(!op);
 					list_del_init(&op->link);
 					spin_unlock_irq(&vm->async_ops.lock);
 
@@ -2332,7 +2332,7 @@ static int vm_bind_ioctl_async(struct xe_vm *vm, struct xe_vma *vma,
 	 * ref count on each rebind.
 	 */
 
-	XE_BUG_ON(VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP &&
+	BUG_ON(VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP &&
 		  VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP_ALL &&
 		  VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_PREFETCH);
 
@@ -2511,7 +2511,7 @@ static int __vm_bind_ioctl_lookup_vma(struct xe_vm *vm, struct xe_bo *bo,
 	case XE_VM_BIND_OP_UNMAP_ALL:
 		break;
 	default:
-		XE_BUG_ON("NOT POSSIBLE");
+		BUG_ON("NOT POSSIBLE");
 		return -EINVAL;
 	}
 
@@ -2557,7 +2557,7 @@ static struct xe_vma *vm_unbind_lookup_vmas(struct xe_vm *vm,
 	bool first_munmap_rebind = false;
 
 	lockdep_assert_held(&vm->lock);
-	XE_BUG_ON(!vma);
+	BUG_ON(!vma);
 
 	node = &vma->vm_node;
 	while ((node = rb_next(node))) {
@@ -2774,7 +2774,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
 
 	switch (VM_BIND_OP(op)) {
 	case XE_VM_BIND_OP_MAP:
-		XE_BUG_ON(!bo);
+		BUG_ON(!bo);
 
 		err = xe_bo_lock(bo, &ww, 0, true);
 		if (err)
@@ -2806,7 +2806,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
 		vma = vm_prefetch_lookup_vmas(vm, &lookup, region);
 		break;
 	case XE_VM_BIND_OP_UNMAP_ALL:
-		XE_BUG_ON(!bo);
+		BUG_ON(!bo);
 
 		err = xe_bo_lock(bo, &ww, 0, true);
 		if (err)
@@ -2817,7 +2817,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
 		xe_bo_unlock(bo, &ww);
 		break;
 	case XE_VM_BIND_OP_MAP_USERPTR:
-		XE_BUG_ON(bo);
+		BUG_ON(bo);
 
 		vma = xe_vma_create(vm, NULL, bo_offset_or_userptr, addr,
 				    addr + range - 1,
@@ -2837,7 +2837,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
 		}
 		break;
 	default:
-		XE_BUG_ON("NOT POSSIBLE");
+		BUG_ON("NOT POSSIBLE");
 		vma = ERR_PTR(-EINVAL);
 	}
 
@@ -3213,7 +3213,7 @@ int xe_vm_bind_ioctl(struct drm_device *dev, void *data, struct drm_file *file)
 			if (err)
 				break;
 		} else {
-			XE_BUG_ON(j != 0);	/* Not supported */
+			BUG_ON(j != 0);	/* Not supported */
 			err = vm_bind_ioctl(vm, vmas[j], e, bos[j],
 					    bind_ops + j, __syncs,
 					    __num_syncs, NULL);
@@ -3295,7 +3295,7 @@ int xe_vm_lock(struct xe_vm *vm, struct ww_acquire_ctx *ww,
 	LIST_HEAD(objs);
 	LIST_HEAD(dups);
 
-	XE_BUG_ON(!ww);
+	BUG_ON(!ww);
 
 	tv_vm.num_shared = num_resv;
 	tv_vm.bo = xe_vm_ttm_bo(vm);;
@@ -3329,7 +3329,7 @@ int xe_vm_invalidate_vma(struct xe_vma *vma)
 	u8 id;
 	int ret;
 
-	XE_BUG_ON(!xe_vm_in_fault_mode(vma->vm));
+	BUG_ON(!xe_vm_in_fault_mode(vma->vm));
 	trace_xe_vma_usm_invalidate(vma);
 
 	/* Check that we don't race with page-table updates */
diff --git a/drivers/gpu/drm/xe/xe_wait_user_fence.c b/drivers/gpu/drm/xe/xe_wait_user_fence.c
index 15c2e5aa08d2..f85debe599df 100644
--- a/drivers/gpu/drm/xe/xe_wait_user_fence.c
+++ b/drivers/gpu/drm/xe/xe_wait_user_fence.c
@@ -44,7 +44,7 @@ static int do_compare(u64 addr, u64 value, u64 mask, u16 op)
 		passed = (rvalue & mask) <= (value & mask);
 		break;
 	default:
-		XE_BUG_ON("Not possible");
+		BUG_ON("Not possible");
 	}
 
 	return passed ? 0 : 1;
diff --git a/drivers/gpu/drm/xe/xe_wopcm.c b/drivers/gpu/drm/xe/xe_wopcm.c
index c8cc3f5e6154..53be0c9fc374 100644
--- a/drivers/gpu/drm/xe/xe_wopcm.c
+++ b/drivers/gpu/drm/xe/xe_wopcm.c
@@ -146,10 +146,10 @@ static int __wopcm_init_regs(struct xe_device *xe, struct xe_gt *gt,
 	u32 mask;
 	int err;
 
-	XE_BUG_ON(!(base & GUC_WOPCM_OFFSET_MASK));
-	XE_BUG_ON(base & ~GUC_WOPCM_OFFSET_MASK);
-	XE_BUG_ON(!(size & GUC_WOPCM_SIZE_MASK));
-	XE_BUG_ON(size & ~GUC_WOPCM_SIZE_MASK);
+	BUG_ON(!(base & GUC_WOPCM_OFFSET_MASK));
+	BUG_ON(base & ~GUC_WOPCM_OFFSET_MASK);
+	BUG_ON(!(size & GUC_WOPCM_SIZE_MASK));
+	BUG_ON(size & ~GUC_WOPCM_SIZE_MASK);
 
 	mask = GUC_WOPCM_SIZE_MASK | GUC_WOPCM_SIZE_LOCKED;
 	err = xe_mmio_write32_and_verify(gt, GUC_WOPCM_SIZE.reg, size, mask,
@@ -215,9 +215,9 @@ int xe_wopcm_init(struct xe_wopcm *wopcm)
 	drm_dbg(&xe->drm, "WOPCM: %uK\n", wopcm->size / SZ_1K);
 
 	xe_force_wake_assert_held(gt_to_fw(gt), XE_FW_GT);
-	XE_BUG_ON(guc_fw_size >= wopcm->size);
-	XE_BUG_ON(huc_fw_size >= wopcm->size);
-	XE_BUG_ON(ctx_rsvd + WOPCM_RESERVED_SIZE >= wopcm->size);
+	BUG_ON(guc_fw_size >= wopcm->size);
+	BUG_ON(huc_fw_size >= wopcm->size);
+	BUG_ON(ctx_rsvd + WOPCM_RESERVED_SIZE >= wopcm->size);
 
 	locked = __wopcm_regs_locked(gt, &guc_wopcm_base, &guc_wopcm_size);
 	if (locked) {
@@ -258,8 +258,8 @@ int xe_wopcm_init(struct xe_wopcm *wopcm)
 			   guc_fw_size, huc_fw_size)) {
 		wopcm->guc.base = guc_wopcm_base;
 		wopcm->guc.size = guc_wopcm_size;
-		XE_BUG_ON(!wopcm->guc.base);
-		XE_BUG_ON(!wopcm->guc.size);
+		BUG_ON(!wopcm->guc.base);
+		BUG_ON(!wopcm->guc.size);
 	} else {
 		drm_notice(&xe->drm, "Unsuccessful WOPCM partitioning\n");
 		return -E2BIG;
-- 
2.39.2


^ permalink raw reply related	[flat|nested] 17+ messages in thread

* [Intel-xe] [RFC 5/5] drm/xe/xe_macro: Remove unused stuff.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
                   ` (3 preceding siblings ...)
  2023-03-28 16:10 ` [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON Rodrigo Vivi
@ 2023-03-28 16:10 ` Rodrigo Vivi
  2023-03-28 16:16 ` [Intel-xe] ✓ CI.Patch_applied: success for Start killing xe_macros Patchwork
                   ` (3 subsequent siblings)
  8 siblings, 0 replies; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 16:10 UTC (permalink / raw)
  To: intel-xe; +Cc: Rodrigo Vivi

We can easily bring it back whenever/if it gets useful.

Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
---
 drivers/gpu/drm/xe/xe_macros.h | 2 --
 1 file changed, 2 deletions(-)

diff --git a/drivers/gpu/drm/xe/xe_macros.h b/drivers/gpu/drm/xe/xe_macros.h
index 826759d0fcab..9efbaafcc99a 100644
--- a/drivers/gpu/drm/xe/xe_macros.h
+++ b/drivers/gpu/drm/xe/xe_macros.h
@@ -8,8 +8,6 @@
 
 #include <linux/bug.h>
 
-#define XE_EXTRA_DEBUG 1
-
 #define XE_IOCTL_ERR(xe, cond) \
 	((cond) && (drm_info(&(xe)->drm, \
 			    "Ioctl argument check failed at %s:%d: %s", \
-- 
2.39.2


^ permalink raw reply related	[flat|nested] 17+ messages in thread

* [Intel-xe] ✓ CI.Patch_applied: success for Start killing xe_macros.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
                   ` (4 preceding siblings ...)
  2023-03-28 16:10 ` [Intel-xe] [RFC 5/5] drm/xe/xe_macro: Remove unused stuff Rodrigo Vivi
@ 2023-03-28 16:16 ` Patchwork
  2023-03-28 16:17 ` [Intel-xe] ✓ CI.KUnit: " Patchwork
                   ` (2 subsequent siblings)
  8 siblings, 0 replies; 17+ messages in thread
From: Patchwork @ 2023-03-28 16:16 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: intel-xe

== Series Details ==

Series: Start killing xe_macros.
URL   : https://patchwork.freedesktop.org/series/115740/
State : success

== Summary ==

=== Applying kernel patches on branch 'drm-xe-next' with base: ===
commit 2bf8f26026a35cf4a24d3609f70d1fb166c4183e
Author:     Chang, Bruce <yu.bruce.chang@intel.com>
AuthorDate: Thu Mar 23 19:38:58 2023 +0000
Commit:     Chang, Bruce <yu.bruce.chang@intel.com>
CommitDate: Mon Mar 27 20:20:53 2023 +0000

    drm/xe: don't auto fall back to execlist mode if guc failed to init
    
    In general, this is due to FW load failure, should just report
    error and fail the probe so that user can easily retry again.
    
    Reviewed-by: Matthew Brost <matthew.brost@intel.com>
    Signed-off-by: Bruce Chang <yu.bruce.chang@intel.com>
=== git am output follows ===
Applying: !fixup: drm/i915/display: Remaining changes to make xe compile
Applying: !fixup: drm/xe: Allow fbdev to allocate stolen memory
Applying: drm/xe: Remove useless XE_WARN_ON.
Applying: drm/xe: Remove useless XE_BUG_ON.
Applying: drm/xe/xe_macro: Remove unused stuff.



^ permalink raw reply	[flat|nested] 17+ messages in thread

* [Intel-xe] ✓ CI.KUnit: success for Start killing xe_macros.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
                   ` (5 preceding siblings ...)
  2023-03-28 16:16 ` [Intel-xe] ✓ CI.Patch_applied: success for Start killing xe_macros Patchwork
@ 2023-03-28 16:17 ` Patchwork
  2023-03-28 16:21 ` [Intel-xe] ✓ CI.Build: " Patchwork
  2023-03-28 16:43 ` [Intel-xe] ○ CI.BAT: info " Patchwork
  8 siblings, 0 replies; 17+ messages in thread
From: Patchwork @ 2023-03-28 16:17 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: intel-xe

== Series Details ==

Series: Start killing xe_macros.
URL   : https://patchwork.freedesktop.org/series/115740/
State : success

== Summary ==

+ trap cleanup EXIT
+ /kernel/tools/testing/kunit/kunit.py run --kunitconfig /kernel/drivers/gpu/drm/xe/.kunitconfig
stty: 'standard input': Inappropriate ioctl for device
[16:16:35] Configuring KUnit Kernel ...
Generating .config ...
Populating config with:
$ make ARCH=um O=.kunit olddefconfig
[16:16:39] Building KUnit Kernel ...
Populating config with:
$ make ARCH=um O=.kunit olddefconfig
Building with:
$ make ARCH=um O=.kunit --jobs=48
[16:17:00] Starting KUnit Kernel (1/1)...
[16:17:00] ============================================================
[16:17:00] ==================== xe_bo (2 subtests) ====================
[16:17:00] [SKIPPED] xe_ccs_migrate_kunit
[16:17:00] [SKIPPED] xe_bo_evict_kunit
[16:17:00] ===================== [SKIPPED] xe_bo ======================
[16:17:00] ================== xe_dma_buf (1 subtest) ==================
[16:17:00] [SKIPPED] xe_dma_buf_kunit
[16:17:00] =================== [SKIPPED] xe_dma_buf ===================
[16:17:00] ================== xe_migrate (1 subtest) ==================
[16:17:00] [SKIPPED] xe_migrate_sanity_kunit
[16:17:00] =================== [SKIPPED] xe_migrate ===================
[16:17:00] ============================================================
[16:17:00] Testing complete. Ran 4 tests: skipped: 4
[16:17:00] Elapsed time: 25.875s total, 4.187s configuring, 21.569s building, 0.091s running

+ /kernel/tools/testing/kunit/kunit.py run --kunitconfig /kernel/drivers/gpu/drm/tests/.kunitconfig
[16:17:01] Configuring KUnit Kernel ...
Regenerating .config ...
Populating config with:
$ make ARCH=um O=.kunit olddefconfig
[16:17:02] Building KUnit Kernel ...
Populating config with:
$ make ARCH=um O=.kunit olddefconfig
Building with:
$ make ARCH=um O=.kunit --jobs=48
[16:17:20] Starting KUnit Kernel (1/1)...
[16:17:20] ============================================================
[16:17:20] ============ drm_test_pick_cmdline (2 subtests) ============
[16:17:20] [PASSED] drm_test_pick_cmdline_res_1920_1080_60
[16:17:20] =============== drm_test_pick_cmdline_named  ===============
[16:17:20] [PASSED] NTSC
[16:17:20] [PASSED] NTSC-J
[16:17:20] [PASSED] PAL
[16:17:20] [PASSED] PAL-M
[16:17:20] =========== [PASSED] drm_test_pick_cmdline_named ===========
[16:17:20] ============== [PASSED] drm_test_pick_cmdline ==============
[16:17:20] ================== drm_buddy (6 subtests) ==================
[16:17:20] [PASSED] drm_test_buddy_alloc_limit
[16:17:20] [PASSED] drm_test_buddy_alloc_range
[16:17:20] [PASSED] drm_test_buddy_alloc_optimistic
[16:17:20] [PASSED] drm_test_buddy_alloc_pessimistic
[16:17:20] [PASSED] drm_test_buddy_alloc_smoke
[16:17:20] [PASSED] drm_test_buddy_alloc_pathological
[16:17:20] ==================== [PASSED] drm_buddy ====================
[16:17:20] ============= drm_cmdline_parser (40 subtests) =============
[16:17:20] [PASSED] drm_test_cmdline_force_d_only
[16:17:20] [PASSED] drm_test_cmdline_force_D_only_dvi
[16:17:20] [PASSED] drm_test_cmdline_force_D_only_hdmi
[16:17:20] [PASSED] drm_test_cmdline_force_D_only_not_digital
[16:17:20] [PASSED] drm_test_cmdline_force_e_only
[16:17:20] [PASSED] drm_test_cmdline_res
[16:17:20] [PASSED] drm_test_cmdline_res_vesa
[16:17:20] [PASSED] drm_test_cmdline_res_vesa_rblank
[16:17:20] [PASSED] drm_test_cmdline_res_rblank
[16:17:20] [PASSED] drm_test_cmdline_res_bpp
[16:17:20] [PASSED] drm_test_cmdline_res_refresh
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_interlaced
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_margins
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_force_off
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_force_on
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_force_on_analog
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_force_on_digital
[16:17:20] [PASSED] drm_test_cmdline_res_bpp_refresh_interlaced_margins_force_on
[16:17:20] [PASSED] drm_test_cmdline_res_margins_force_on
[16:17:20] [PASSED] drm_test_cmdline_res_vesa_margins
[16:17:20] [PASSED] drm_test_cmdline_name
[16:17:20] [PASSED] drm_test_cmdline_name_bpp
[16:17:20] [PASSED] drm_test_cmdline_name_option
[16:17:20] [PASSED] drm_test_cmdline_name_bpp_option
[16:17:20] [PASSED] drm_test_cmdline_rotate_0
[16:17:20] [PASSED] drm_test_cmdline_rotate_90
[16:17:20] [PASSED] drm_test_cmdline_rotate_180
[16:17:20] [PASSED] drm_test_cmdline_rotate_270
[16:17:20] [PASSED] drm_test_cmdline_hmirror
[16:17:20] [PASSED] drm_test_cmdline_vmirror
[16:17:20] [PASSED] drm_test_cmdline_margin_options
[16:17:20] [PASSED] drm_test_cmdline_multiple_options
[16:17:20] [PASSED] drm_test_cmdline_bpp_extra_and_option
[16:17:20] [PASSED] drm_test_cmdline_extra_and_option
[16:17:20] [PASSED] drm_test_cmdline_freestanding_options
[16:17:20] [PASSED] drm_test_cmdline_freestanding_force_e_and_options
[16:17:20] [PASSED] drm_test_cmdline_panel_orientation
[16:17:20] ================ drm_test_cmdline_invalid  =================
[16:17:20] [PASSED] margin_only
[16:17:20] [PASSED] interlace_only
[16:17:20] [PASSED] res_missing_x
[16:17:20] [PASSED] res_missing_y
[16:17:20] [PASSED] res_bad_y
[16:17:20] [PASSED] res_missing_y_bpp
[16:17:20] [PASSED] res_bad_bpp
[16:17:20] [PASSED] res_bad_refresh
[16:17:20] [PASSED] res_bpp_refresh_force_on_off
[16:17:20] [PASSED] res_invalid_mode
[16:17:20] [PASSED] res_bpp_wrong_place_mode
[16:17:20] [PASSED] name_bpp_refresh
[16:17:20] [PASSED] name_refresh
[16:17:20] [PASSED] name_refresh_wrong_mode
[16:17:20] [PASSED] name_refresh_invalid_mode
[16:17:20] [PASSED] rotate_multiple
[16:17:20] [PASSED] rotate_invalid_val
[16:17:20] [PASSED] rotate_truncated
[16:17:20] [PASSED] invalid_option
[16:17:20] [PASSED] invalid_tv_option
[16:17:20] [PASSED] truncated_tv_option
[16:17:20] ============ [PASSED] drm_test_cmdline_invalid =============
[16:17:20] =============== drm_test_cmdline_tv_options  ===============
[16:17:20] [PASSED] NTSC
[16:17:20] [PASSED] NTSC_443
[16:17:20] [PASSED] NTSC_J
[16:17:20] [PASSED] PAL
[16:17:20] [PASSED] PAL_M
[16:17:20] [PASSED] PAL_N
[16:17:20] [PASSED] SECAM
[16:17:20] =========== [PASSED] drm_test_cmdline_tv_options ===========
[16:17:20] =============== [PASSED] drm_cmdline_parser ================
[16:17:20] ========== drm_get_tv_mode_from_name (2 subtests) ==========
[16:17:20] ========== drm_test_get_tv_mode_from_name_valid  ===========
[16:17:20] [PASSED] NTSC
[16:17:20] [PASSED] NTSC-443
[16:17:20] [PASSED] NTSC-J
[16:17:20] [PASSED] PAL
[16:17:20] [PASSED] PAL-M
[16:17:20] [PASSED] PAL-N
[16:17:20] [PASSED] SECAM
[16:17:20] ====== [PASSED] drm_test_get_tv_mode_from_name_valid =======
[16:17:20] [PASSED] drm_test_get_tv_mode_from_name_truncated
[16:17:20] ============ [PASSED] drm_get_tv_mode_from_name ============
[16:17:20] ============= drm_damage_helper (21 subtests) ==============
[16:17:20] [PASSED] drm_test_damage_iter_no_damage
[16:17:20] [PASSED] drm_test_damage_iter_no_damage_fractional_src
[16:17:20] [PASSED] drm_test_damage_iter_no_damage_src_moved
[16:17:20] [PASSED] drm_test_damage_iter_no_damage_fractional_src_moved
[16:17:20] [PASSED] drm_test_damage_iter_no_damage_not_visible
[16:17:20] [PASSED] drm_test_damage_iter_no_damage_no_crtc
[16:17:20] [PASSED] drm_test_damage_iter_no_damage_no_fb
[16:17:20] [PASSED] drm_test_damage_iter_simple_damage
[16:17:20] [PASSED] drm_test_damage_iter_single_damage
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_intersect_src
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_outside_src
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_fractional_src
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_intersect_fractional_src
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_outside_fractional_src
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_src_moved
[16:17:20] [PASSED] drm_test_damage_iter_single_damage_fractional_src_moved
[16:17:20] [PASSED] drm_test_damage_iter_damage
[16:17:20] [PASSED] drm_test_damage_iter_damage_one_intersect
[16:17:20] [PASSED] drm_test_damage_iter_damage_one_outside
[16:17:20] [PASSED] drm_test_damage_iter_damage_src_moved
[16:17:20] [PASSED] drm_test_damage_iter_damage_not_visible
[16:17:20] ================ [PASSED] drm_damage_helper ================
[16:17:20] ============== drm_dp_mst_helper (2 subtests) ==============
[16:17:20] ============== drm_test_dp_mst_calc_pbn_mode  ==============
[16:17:20] [PASSED] Clock 154000 BPP 30 DSC disabled
[16:17:20] [PASSED] Clock 234000 BPP 30 DSC disabled
[16:17:20] [PASSED] Clock 297000 BPP 24 DSC disabled
[16:17:20] [PASSED] Clock 332880 BPP 24 DSC enabled
[16:17:20] [PASSED] Clock 324540 BPP 24 DSC enabled
[16:17:20] ========== [PASSED] drm_test_dp_mst_calc_pbn_mode ==========
[16:17:20] ========= drm_test_dp_mst_sideband_msg_req_decode  =========
[16:17:20] [PASSED] DP_ENUM_PATH_RESOURCES with port number
[16:17:20] [PASSED] DP_POWER_UP_PHY with port number
[16:17:20] [PASSED] DP_POWER_DOWN_PHY with port number
[16:17:20] [PASSED] DP_ALLOCATE_PAYLOAD with SDP stream sinks
[16:17:20] [PASSED] DP_ALLOCATE_PAYLOAD with port number
[16:17:20] [PASSED] DP_ALLOCATE_PAYLOAD with VCPI
[16:17:20] [PASSED] DP_ALLOCATE_PAYLOAD with PBN
[16:17:20] [PASSED] DP_QUERY_PAYLOAD with port number
[16:17:20] [PASSED] DP_QUERY_PAYLOAD with VCPI
[16:17:20] [PASSED] DP_REMOTE_DPCD_READ with port number
[16:17:20] [PASSED] DP_REMOTE_DPCD_READ with DPCD address
[16:17:20] [PASSED] DP_REMOTE_DPCD_READ with max number of bytes
[16:17:20] [PASSED] DP_REMOTE_DPCD_WRITE with port number
[16:17:20] [PASSED] DP_REMOTE_DPCD_WRITE with DPCD address
[16:17:20] [PASSED] DP_REMOTE_DPCD_WRITE with data array
[16:17:20] [PASSED] DP_REMOTE_I2C_READ with port number
[16:17:20] [PASSED] DP_REMOTE_I2C_READ with I2C device ID
[16:17:20] [PASSED] DP_REMOTE_I2C_READ with transactions array
[16:17:20] [PASSED] DP_REMOTE_I2C_WRITE with port number
[16:17:20] [PASSED] DP_REMOTE_I2C_WRITE with I2C device ID
[16:17:20] [PASSED] DP_REMOTE_I2C_WRITE with data array
[16:17:20] [PASSED] DP_QUERY_STREAM_ENC_STATUS with stream ID
[16:17:20] [PASSED] DP_QUERY_STREAM_ENC_STATUS with client ID
[16:17:20] [PASSED] DP_QUERY_STREAM_ENC_STATUS with stream event
[16:17:20] [PASSED] DP_QUERY_STREAM_ENC_STATUS with valid stream event
[16:17:20] [PASSED] DP_QUERY_STREAM_ENC_STATUS with stream behavior
[16:17:20] [PASSED] DP_QUERY_STREAM_ENC_STATUS with a valid stream behavior
[16:17:20] ===== [PASSED] drm_test_dp_mst_sideband_msg_req_decode =====
[16:17:20] ================ [PASSED] drm_dp_mst_helper ================
[16:17:20] =========== drm_format_helper_test (10 subtests) ===========
[16:17:20] ============== drm_test_fb_xrgb8888_to_gray8  ==============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ========== [PASSED] drm_test_fb_xrgb8888_to_gray8 ==========
[16:17:20] ============= drm_test_fb_xrgb8888_to_rgb332  ==============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ========= [PASSED] drm_test_fb_xrgb8888_to_rgb332 ==========
[16:17:20] ============= drm_test_fb_xrgb8888_to_rgb565  ==============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ========= [PASSED] drm_test_fb_xrgb8888_to_rgb565 ==========
[16:17:20] ============ drm_test_fb_xrgb8888_to_xrgb1555  =============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ======== [PASSED] drm_test_fb_xrgb8888_to_xrgb1555 =========
[16:17:20] ============ drm_test_fb_xrgb8888_to_argb1555  =============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ======== [PASSED] drm_test_fb_xrgb8888_to_argb1555 =========
[16:17:20] ============ drm_test_fb_xrgb8888_to_rgba5551  =============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ======== [PASSED] drm_test_fb_xrgb8888_to_rgba5551 =========
[16:17:20] ============= drm_test_fb_xrgb8888_to_rgb888  ==============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ========= [PASSED] drm_test_fb_xrgb8888_to_rgb888 ==========
[16:17:20] ============ drm_test_fb_xrgb8888_to_argb8888  =============
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ======== [PASSED] drm_test_fb_xrgb8888_to_argb8888 =========
[16:17:20] =========== drm_test_fb_xrgb8888_to_xrgb2101010  ===========
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ======= [PASSED] drm_test_fb_xrgb8888_to_xrgb2101010 =======
[16:17:20] =========== drm_test_fb_xrgb8888_to_argb2101010  ===========
[16:17:20] [PASSED] single_pixel_source_buffer
[16:17:20] [PASSED] single_pixel_clip_rectangle
[16:17:20] [PASSED] well_known_colors
[16:17:20] [PASSED] destination_pitch
[16:17:20] ======= [PASSED] drm_test_fb_xrgb8888_to_argb2101010 =======
[16:17:20] ============= [PASSED] drm_format_helper_test ==============
[16:17:20] ================= drm_format (18 subtests) =================
[16:17:20] [PASSED] drm_test_format_block_width_invalid
[16:17:20] [PASSED] drm_test_format_block_width_one_plane
[16:17:20] [PASSED] drm_test_format_block_width_two_plane
[16:17:20] [PASSED] drm_test_format_block_width_three_plane
[16:17:20] [PASSED] drm_test_format_block_width_tiled
[16:17:20] [PASSED] drm_test_format_block_height_invalid
[16:17:20] [PASSED] drm_test_format_block_height_one_plane
[16:17:20] [PASSED] drm_test_format_block_height_two_plane
[16:17:20] [PASSED] drm_test_format_block_height_three_plane
[16:17:20] [PASSED] drm_test_format_block_height_tiled
[16:17:20] [PASSED] drm_test_format_min_pitch_invalid
[16:17:20] [PASSED] drm_test_format_min_pitch_one_plane_8bpp
[16:17:20] [PASSED] drm_test_format_min_pitch_one_plane_16bpp
[16:17:20] [PASSED] drm_test_format_min_pitch_one_plane_24bpp
[16:17:20] [PASSED] drm_test_format_min_pitch_one_plane_32bpp
[16:17:20] [PASSED] drm_test_format_min_pitch_two_plane
[16:17:20] [PASSED] drm_test_format_min_pitch_three_plane_8bpp
[16:17:20] [PASSED] drm_test_format_min_pitch_tiled
[16:17:20] =================== [PASSED] drm_format ====================
[16:17:20] =============== drm_framebuffer (1 subtest) ================
[16:17:20] =============== drm_test_framebuffer_create  ===============
[16:17:20] [PASSED] ABGR8888 normal sizes
[16:17:20] [PASSED] ABGR8888 max sizes
[16:17:20] [PASSED] ABGR8888 pitch greater than min required
[16:17:20] [PASSED] ABGR8888 pitch less than min required
[16:17:20] [PASSED] ABGR8888 Invalid width
[16:17:20] [PASSED] ABGR8888 Invalid buffer handle
[16:17:20] [PASSED] No pixel format
[16:17:20] [PASSED] ABGR8888 Width 0
[16:17:20] [PASSED] ABGR8888 Height 0
[16:17:20] [PASSED] ABGR8888 Out of bound height * pitch combination
[16:17:20] [PASSED] ABGR8888 Large buffer offset
[16:17:20] [PASSED] ABGR8888 Set DRM_MODE_FB_MODIFIERS without modifiers
[16:17:20] [PASSED] ABGR8888 Valid buffer modifier
[16:17:20] [PASSED] ABGR8888 Invalid buffer modifier(DRM_FORMAT_MOD_SAMSUNG_64_32_TILE)
[16:17:20] [PASSED] ABGR8888 Extra pitches without DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] ABGR8888 Extra pitches with DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] NV12 Normal sizes
[16:17:20] [PASSED] NV12 Max sizes
[16:17:20] [PASSED] NV12 Invalid pitch
[16:17:20] [PASSED] NV12 Invalid modifier/missing DRM_MODE_FB_MODIFIERS flag
[16:17:20] [PASSED] NV12 different  modifier per-plane
[16:17:20] [PASSED] NV12 with DRM_FORMAT_MOD_SAMSUNG_64_32_TILE
[16:17:20] [PASSED] NV12 Valid modifiers without DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] NV12 Modifier for inexistent plane
[16:17:20] [PASSED] NV12 Handle for inexistent plane
[16:17:20] [PASSED] NV12 Handle for inexistent plane without DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] YVU420 Normal sizes
[16:17:20] [PASSED] YVU420 DRM_MODE_FB_MODIFIERS set without modifier
[16:17:20] [PASSED] YVU420 Max sizes
[16:17:20] [PASSED] YVU420 Invalid pitch
[16:17:20] [PASSED] YVU420 Different pitches
[16:17:20] [PASSED] YVU420 Different buffer offsets/pitches
[16:17:20] [PASSED] YVU420 Modifier set just for plane 0, without DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] YVU420 Modifier set just for planes 0, 1, without DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] YVU420 Modifier set just for plane 0, 1, with DRM_MODE_FB_MODIFIERS
[16:17:20] [PASSED] YVU420 Valid modifier
[16:17:20] [PASSED] YVU420 Different modifiers per plane
[16:17:20] [PASSED] YVU420 Modifier for inexistent plane
[16:17:20] [PASSED] X0L2 Normal sizes
[16:17:20] [PASSED] X0L2 Max sizes
[16:17:20] [PASSED] X0L2 Invalid pitch
[16:17:20] [PASSED] X0L2 Pitch greater than minimum required
[16:17:20] [PASSED] X0L2 Handle for inexistent plane
[16:17:20] [PASSED] X0L2 Offset for inexistent plane, without DRM_MODE_FB_MODIFIERS set
[16:17:20] [PASSED] X0L2 Modifier without DRM_MODE_FB_MODIFIERS set
[16:17:20] [PASSED] X0L2 Valid modifier
[16:17:20] [PASSED] X0L2 Modifier for inexistent plane
stty: 'standard input': Inappropriate ioctl for device
[16:17:20] =========== [PASSED] drm_test_framebuffer_create ===========
[16:17:20] ================= [PASSED] drm_framebuffer =================
[16:17:20] =============== drm-test-managed (1 subtest) ===============
[16:17:20] [PASSED] drm_test_managed_run_action
[16:17:20] ================ [PASSED] drm-test-managed =================
[16:17:20] =================== drm_mm (19 subtests) ===================
[16:17:20] [PASSED] drm_test_mm_init
[16:17:21] [PASSED] drm_test_mm_debug
[16:17:30] [PASSED] drm_test_mm_reserve
[16:17:40] [PASSED] drm_test_mm_insert
[16:17:41] [PASSED] drm_test_mm_replace
[16:17:41] [PASSED] drm_test_mm_insert_range
[16:17:41] [PASSED] drm_test_mm_frag
[16:17:41] [PASSED] drm_test_mm_align
[16:17:41] [PASSED] drm_test_mm_align32
[16:17:42] [PASSED] drm_test_mm_align64
[16:17:42] [PASSED] drm_test_mm_evict
[16:17:42] [PASSED] drm_test_mm_evict_range
[16:17:42] [PASSED] drm_test_mm_topdown
[16:17:42] [PASSED] drm_test_mm_bottomup
[16:17:42] [PASSED] drm_test_mm_lowest
[16:17:42] [PASSED] drm_test_mm_highest
[16:17:43] [PASSED] drm_test_mm_color
[16:17:43] [PASSED] drm_test_mm_color_evict
[16:17:43] [PASSED] drm_test_mm_color_evict_range
[16:17:43] ===================== [PASSED] drm_mm ======================
[16:17:43] ============= drm_modes_analog_tv (4 subtests) =============
[16:17:43] [PASSED] drm_test_modes_analog_tv_ntsc_480i
[16:17:43] [PASSED] drm_test_modes_analog_tv_ntsc_480i_inlined
[16:17:43] [PASSED] drm_test_modes_analog_tv_pal_576i
[16:17:43] [PASSED] drm_test_modes_analog_tv_pal_576i_inlined
[16:17:43] =============== [PASSED] drm_modes_analog_tv ===============
[16:17:43] ============== drm_plane_helper (2 subtests) ===============
[16:17:43] =============== drm_test_check_plane_state  ================
[16:17:43] [PASSED] clipping_simple
[16:17:43] [PASSED] clipping_rotate_reflect
[16:17:43] [PASSED] positioning_simple
[16:17:43] [PASSED] upscaling
[16:17:43] [PASSED] downscaling
[16:17:43] [PASSED] rounding1
[16:17:43] [PASSED] rounding2
[16:17:43] [PASSED] rounding3
[16:17:43] [PASSED] rounding4
[16:17:43] =========== [PASSED] drm_test_check_plane_state ============
[16:17:43] =========== drm_test_check_invalid_plane_state  ============
[16:17:43] [PASSED] positioning_invalid
[16:17:43] [PASSED] upscaling_invalid
[16:17:43] [PASSED] downscaling_invalid
[16:17:43] ======= [PASSED] drm_test_check_invalid_plane_state ========
[16:17:43] ================ [PASSED] drm_plane_helper =================
[16:17:43] ====== drm_connector_helper_tv_get_modes (1 subtest) =======
[16:17:43] ====== drm_test_connector_helper_tv_get_modes_check  =======
[16:17:43] [PASSED] None
[16:17:43] [PASSED] PAL
[16:17:43] [PASSED] NTSC
[16:17:43] [PASSED] Both, NTSC Default
[16:17:43] [PASSED] Both, PAL Default
[16:17:43] [PASSED] Both, NTSC Default, with PAL on command-line
[16:17:43] [PASSED] Both, PAL Default, with NTSC on command-line
[16:17:43] == [PASSED] drm_test_connector_helper_tv_get_modes_check ===
[16:17:43] ======== [PASSED] drm_connector_helper_tv_get_modes ========
[16:17:43] ================== drm_rect (4 subtests) ===================
[16:17:43] [PASSED] drm_test_rect_clip_scaled_div_by_zero
[16:17:43] [PASSED] drm_test_rect_clip_scaled_not_clipped
[16:17:43] [PASSED] drm_test_rect_clip_scaled_clipped
[16:17:43] [PASSED] drm_test_rect_clip_scaled_signed_vs_unsigned
[16:17:43] ==================== [PASSED] drm_rect =====================
[16:17:43] ============================================================
[16:17:43] Testing complete. Ran 290 tests: passed: 290
[16:17:43] Elapsed time: 42.702s total, 1.639s configuring, 18.185s building, 22.835s running

+ cleanup
++ stat -c %u:%g /kernel
+ chown -R 1003:1003 /kernel



^ permalink raw reply	[flat|nested] 17+ messages in thread

* [Intel-xe] ✓ CI.Build: success for Start killing xe_macros.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
                   ` (6 preceding siblings ...)
  2023-03-28 16:17 ` [Intel-xe] ✓ CI.KUnit: " Patchwork
@ 2023-03-28 16:21 ` Patchwork
  2023-03-28 16:43 ` [Intel-xe] ○ CI.BAT: info " Patchwork
  8 siblings, 0 replies; 17+ messages in thread
From: Patchwork @ 2023-03-28 16:21 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: intel-xe

== Series Details ==

Series: Start killing xe_macros.
URL   : https://patchwork.freedesktop.org/series/115740/
State : success

== Summary ==

+ trap cleanup EXIT
+ cd /kernel
+ git clone https://gitlab.freedesktop.org/drm/xe/ci.git .ci
Cloning into '.ci'...
++ date +%s
+ echo -e '\e[0Ksection_start:1680020274:build_x86_64[collapsed=true]\r\e[0KBuild x86-64'
+ mkdir -p build64
^[[0Ksection_start:1680020274:build_x86_64[collapsed=true]
^[[0KBuild x86-64
+ cat .ci/kernel/kconfig
+ make O=build64 olddefconfig
make[1]: Entering directory '/kernel/build64'
  GEN     Makefile
  HOSTCC  scripts/basic/fixdep
  HOSTCC  scripts/kconfig/conf.o
  HOSTCC  scripts/kconfig/confdata.o
  HOSTCC  scripts/kconfig/expr.o
  LEX     scripts/kconfig/lexer.lex.c
  YACC    scripts/kconfig/parser.tab.[ch]
  HOSTCC  scripts/kconfig/lexer.lex.o
  HOSTCC  scripts/kconfig/menu.o
  HOSTCC  scripts/kconfig/parser.tab.o
  HOSTCC  scripts/kconfig/preprocess.o
  HOSTCC  scripts/kconfig/symbol.o
  HOSTCC  scripts/kconfig/util.o
  HOSTLD  scripts/kconfig/conf
#
# configuration written to .config
#
make[1]: Leaving directory '/kernel/build64'
++ nproc
+ make O=build64 -j48
make[1]: Entering directory '/kernel/build64'
  GEN     Makefile
  WRAP    arch/x86/include/generated/uapi/asm/bpf_perf_event.h
  WRAP    arch/x86/include/generated/uapi/asm/errno.h
  SYSHDR  arch/x86/include/generated/uapi/asm/unistd_32.h
  WRAP    arch/x86/include/generated/uapi/asm/fcntl.h
  SYSHDR  arch/x86/include/generated/uapi/asm/unistd_64.h
  WRAP    arch/x86/include/generated/uapi/asm/ioctl.h
  WRAP    arch/x86/include/generated/uapi/asm/ioctls.h
  SYSHDR  arch/x86/include/generated/uapi/asm/unistd_x32.h
  SYSTBL  arch/x86/include/generated/asm/syscalls_32.h
  WRAP    arch/x86/include/generated/uapi/asm/param.h
  WRAP    arch/x86/include/generated/uapi/asm/ipcbuf.h
  SYSHDR  arch/x86/include/generated/asm/unistd_32_ia32.h
  SYSTBL  arch/x86/include/generated/asm/syscalls_64.h
  SYSHDR  arch/x86/include/generated/asm/unistd_64_x32.h
  WRAP    arch/x86/include/generated/uapi/asm/poll.h
  WRAP    arch/x86/include/generated/uapi/asm/resource.h
  WRAP    arch/x86/include/generated/uapi/asm/socket.h
  WRAP    arch/x86/include/generated/uapi/asm/sockios.h
  WRAP    arch/x86/include/generated/uapi/asm/termbits.h
  WRAP    arch/x86/include/generated/uapi/asm/termios.h
  WRAP    arch/x86/include/generated/uapi/asm/types.h
  UPD     include/generated/uapi/linux/version.h
  UPD     include/config/kernel.release
  HOSTCC  arch/x86/tools/relocs_32.o
  UPD     include/generated/compile.h
  WRAP    arch/x86/include/generated/asm/early_ioremap.h
  WRAP    arch/x86/include/generated/asm/export.h
  HOSTCC  arch/x86/tools/relocs_64.o
  HOSTCC  arch/x86/tools/relocs_common.o
  WRAP    arch/x86/include/generated/asm/mcs_spinlock.h
  WRAP    arch/x86/include/generated/asm/kmap_size.h
  WRAP    arch/x86/include/generated/asm/irq_regs.h
  WRAP    arch/x86/include/generated/asm/local64.h
  WRAP    arch/x86/include/generated/asm/mmiowb.h
  WRAP    arch/x86/include/generated/asm/module.lds.h
  WRAP    arch/x86/include/generated/asm/rwonce.h
  WRAP    arch/x86/include/generated/asm/unaligned.h
  HOSTCC  scripts/unifdef
  UPD     include/generated/utsrelease.h
  HOSTCC  scripts/kallsyms
  HOSTCC  scripts/sorttable
  HOSTCC  scripts/asn1_compiler
  DESCEND objtool
  HOSTCC  /kernel/build64/tools/objtool/fixdep.o
  HOSTLD  /kernel/build64/tools/objtool/fixdep-in.o
  LINK    /kernel/build64/tools/objtool/fixdep
  CC      /kernel/build64/tools/objtool/weak.o
  CC      /kernel/build64/tools/objtool/exec-cmd.o
  CC      /kernel/build64/tools/objtool/help.o
  CC      /kernel/build64/tools/objtool/check.o
  CC      /kernel/build64/tools/objtool/special.o
  CC      /kernel/build64/tools/objtool/pager.o
  CC      /kernel/build64/tools/objtool/parse-options.o
  CC      /kernel/build64/tools/objtool/builtin-check.o
  CC      /kernel/build64/tools/objtool/elf.o
  CC      /kernel/build64/tools/objtool/run-command.o
  CC      /kernel/build64/tools/objtool/sigchain.o
  CC      /kernel/build64/tools/objtool/objtool.o
  MKDIR   /kernel/build64/tools/objtool/arch/x86/
  CC      /kernel/build64/tools/objtool/orc_gen.o
  CC      /kernel/build64/tools/objtool/subcmd-config.o
  CC      /kernel/build64/tools/objtool/orc_dump.o
  CC      /kernel/build64/tools/objtool/libstring.o
  MKDIR   /kernel/build64/tools/objtool/arch/x86/lib/
  CC      /kernel/build64/tools/objtool/libctype.o
  CC      /kernel/build64/tools/objtool/arch/x86/special.o
  GEN     /kernel/build64/tools/objtool/arch/x86/lib/inat-tables.c
  CC      /kernel/build64/tools/objtool/str_error_r.o
  CC      /kernel/build64/tools/objtool/librbtree.o
  HOSTLD  arch/x86/tools/relocs
  CC      /kernel/build64/tools/objtool/arch/x86/decode.o
  HDRINST usr/include/video/edid.h
  HDRINST usr/include/video/sisfb.h
  HDRINST usr/include/drm/i915_drm.h
  HDRINST usr/include/video/uvesafb.h
  HDRINST usr/include/drm/amdgpu_drm.h
  HDRINST usr/include/drm/vgem_drm.h
  HDRINST usr/include/drm/xe_drm.h
  HDRINST usr/include/drm/virtgpu_drm.h
  HDRINST usr/include/drm/omap_drm.h
  HDRINST usr/include/drm/radeon_drm.h
  HOSTCC  scripts/mod/mk_elfconfig
  HDRINST usr/include/drm/drm_mode.h
  HDRINST usr/include/drm/tegra_drm.h
  CC      scripts/mod/empty.o
  HDRINST usr/include/drm/ivpu_accel.h
  HDRINST usr/include/drm/exynos_drm.h
  HDRINST usr/include/drm/drm_sarea.h
  HDRINST usr/include/drm/v3d_drm.h
  CC      scripts/mod/devicetable-offsets.s
  HDRINST usr/include/drm/drm_fourcc.h
  HDRINST usr/include/drm/qxl_drm.h
  HDRINST usr/include/drm/nouveau_drm.h
  HDRINST usr/include/drm/habanalabs_accel.h
  HDRINST usr/include/drm/vmwgfx_drm.h
  HDRINST usr/include/drm/msm_drm.h
  HDRINST usr/include/drm/etnaviv_drm.h
  HDRINST usr/include/drm/panfrost_drm.h
  HDRINST usr/include/drm/lima_drm.h
  HDRINST usr/include/drm/vc4_drm.h
  HDRINST usr/include/drm/drm.h
  HDRINST usr/include/mtd/inftl-user.h
  HDRINST usr/include/drm/armada_drm.h
  HDRINST usr/include/mtd/nftl-user.h
  HDRINST usr/include/mtd/mtd-user.h
  HDRINST usr/include/mtd/ubi-user.h
  HDRINST usr/include/mtd/mtd-abi.h
  HDRINST usr/include/xen/gntdev.h
  HDRINST usr/include/xen/gntalloc.h
  HDRINST usr/include/xen/evtchn.h
  HDRINST usr/include/xen/privcmd.h
  HDRINST usr/include/asm-generic/auxvec.h
  HDRINST usr/include/asm-generic/bitsperlong.h
  HDRINST usr/include/asm-generic/posix_types.h
  HDRINST usr/include/asm-generic/ioctls.h
  HDRINST usr/include/asm-generic/mman.h
  HDRINST usr/include/asm-generic/shmbuf.h
  HDRINST usr/include/asm-generic/bpf_perf_event.h
  HDRINST usr/include/asm-generic/types.h
  HDRINST usr/include/asm-generic/poll.h
  HDRINST usr/include/asm-generic/msgbuf.h
  HDRINST usr/include/asm-generic/swab.h
  HDRINST usr/include/asm-generic/statfs.h
  HDRINST usr/include/asm-generic/unistd.h
  HDRINST usr/include/asm-generic/hugetlb_encode.h
  HDRINST usr/include/asm-generic/resource.h
  HDRINST usr/include/asm-generic/param.h
  HDRINST usr/include/asm-generic/termbits-common.h
  HDRINST usr/include/asm-generic/sockios.h
  HDRINST usr/include/asm-generic/kvm_para.h
  HDRINST usr/include/asm-generic/errno.h
  HDRINST usr/include/asm-generic/termios.h
  HDRINST usr/include/asm-generic/mman-common.h
  HDRINST usr/include/asm-generic/ioctl.h
  HDRINST usr/include/asm-generic/socket.h
  HDRINST usr/include/asm-generic/signal-defs.h
  HDRINST usr/include/asm-generic/termbits.h
  HDRINST usr/include/asm-generic/int-ll64.h
  HDRINST usr/include/asm-generic/signal.h
  HDRINST usr/include/asm-generic/siginfo.h
  HDRINST usr/include/asm-generic/stat.h
  HDRINST usr/include/asm-generic/int-l64.h
  HDRINST usr/include/asm-generic/errno-base.h
  HDRINST usr/include/asm-generic/fcntl.h
  HDRINST usr/include/asm-generic/setup.h
  HDRINST usr/include/asm-generic/ipcbuf.h
  HDRINST usr/include/asm-generic/sembuf.h
  HDRINST usr/include/asm-generic/ucontext.h
  HDRINST usr/include/rdma/mlx5_user_ioctl_cmds.h
  HDRINST usr/include/rdma/irdma-abi.h
  HDRINST usr/include/rdma/mana-abi.h
  HDRINST usr/include/rdma/hfi/hfi1_user.h
  HDRINST usr/include/rdma/hfi/hfi1_ioctl.h
  HDRINST usr/include/rdma/rdma_user_rxe.h
  HDRINST usr/include/rdma/rdma_user_ioctl.h
  HDRINST usr/include/rdma/mlx5_user_ioctl_verbs.h
  HDRINST usr/include/rdma/bnxt_re-abi.h
  HDRINST usr/include/rdma/hns-abi.h
  HDRINST usr/include/rdma/qedr-abi.h
  HDRINST usr/include/rdma/ib_user_ioctl_cmds.h
  HDRINST usr/include/rdma/vmw_pvrdma-abi.h
  HDRINST usr/include/rdma/ib_user_sa.h
  HDRINST usr/include/rdma/ib_user_ioctl_verbs.h
  HDRINST usr/include/rdma/rvt-abi.h
  HDRINST usr/include/rdma/mlx5-abi.h
  HDRINST usr/include/rdma/rdma_netlink.h
  HDRINST usr/include/rdma/erdma-abi.h
  HDRINST usr/include/rdma/rdma_user_ioctl_cmds.h
  HDRINST usr/include/rdma/rdma_user_cm.h
  HDRINST usr/include/rdma/ib_user_verbs.h
  HDRINST usr/include/rdma/efa-abi.h
  HDRINST usr/include/rdma/siw-abi.h
  HDRINST usr/include/rdma/mlx4-abi.h
  HDRINST usr/include/rdma/mthca-abi.h
  HDRINST usr/include/rdma/ib_user_mad.h
  HDRINST usr/include/rdma/ocrdma-abi.h
  HDRINST usr/include/rdma/cxgb4-abi.h
  HDRINST usr/include/misc/xilinx_sdfec.h
  HDRINST usr/include/misc/uacce/hisi_qm.h
  HDRINST usr/include/misc/uacce/uacce.h
  UPD     scripts/mod/devicetable-offsets.h
  HDRINST usr/include/misc/cxl.h
  HDRINST usr/include/misc/ocxl.h
  HDRINST usr/include/misc/fastrpc.h
  HDRINST usr/include/misc/pvpanic.h
  HDRINST usr/include/linux/i8k.h
  HDRINST usr/include/linux/acct.h
  HDRINST usr/include/linux/atmmpc.h
  HDRINST usr/include/linux/fs.h
  HDRINST usr/include/linux/cifs/cifs_mount.h
  HDRINST usr/include/linux/cifs/cifs_netlink.h
  HDRINST usr/include/linux/if_packet.h
  HDRINST usr/include/linux/route.h
  HDRINST usr/include/linux/patchkey.h
  HDRINST usr/include/linux/tc_ematch/tc_em_cmp.h
  HDRINST usr/include/linux/tc_ematch/tc_em_ipt.h
  HDRINST usr/include/linux/tc_ematch/tc_em_meta.h
  HDRINST usr/include/linux/tc_ematch/tc_em_nbyte.h
  HDRINST usr/include/linux/tc_ematch/tc_em_text.h
  HDRINST usr/include/linux/virtio_pmem.h
  HDRINST usr/include/linux/rkisp1-config.h
  HDRINST usr/include/linux/vhost.h
  HDRINST usr/include/linux/cec-funcs.h
  HDRINST usr/include/linux/ppdev.h
  HDRINST usr/include/linux/isdn/capicmd.h
  HDRINST usr/include/linux/virtio_fs.h
  HDRINST usr/include/linux/netfilter_ipv6.h
  HDRINST usr/include/linux/lirc.h
  HDRINST usr/include/linux/mroute6.h
  HDRINST usr/include/linux/nl80211-vnd-intel.h
  HDRINST usr/include/linux/ivtvfb.h
  HDRINST usr/include/linux/auxvec.h
  HDRINST usr/include/linux/dm-log-userspace.h
  HDRINST usr/include/linux/dccp.h
  HDRINST usr/include/linux/virtio_scmi.h
  HDRINST usr/include/linux/atmarp.h
  HDRINST usr/include/linux/arcfb.h
  HDRINST usr/include/linux/nbd-netlink.h
  HDRINST usr/include/linux/sched/types.h
  HDRINST usr/include/linux/tcp.h
  HDRINST usr/include/linux/neighbour.h
  HDRINST usr/include/linux/dlm_device.h
  HDRINST usr/include/linux/wmi.h
  HDRINST usr/include/linux/btrfs_tree.h
  HDRINST usr/include/linux/virtio_crypto.h
  HDRINST usr/include/linux/vbox_err.h
  HDRINST usr/include/linux/edd.h
  HDRINST usr/include/linux/loop.h
  HDRINST usr/include/linux/nvme_ioctl.h
  HDRINST usr/include/linux/mmtimer.h
  HDRINST usr/include/linux/if_pppol2tp.h
  HDRINST usr/include/linux/mtio.h
  HDRINST usr/include/linux/if_arcnet.h
  HDRINST usr/include/linux/romfs_fs.h
  HDRINST usr/include/linux/posix_types.h
  HDRINST usr/include/linux/rtc.h
  HDRINST usr/include/linux/landlock.h
  HDRINST usr/include/linux/gpio.h
  HDRINST usr/include/linux/selinux_netlink.h
  HDRINST usr/include/linux/pps.h
  HDRINST usr/include/linux/ndctl.h
  HDRINST usr/include/linux/virtio_gpu.h
  HDRINST usr/include/linux/android/binderfs.h
  HDRINST usr/include/linux/android/binder.h
  HDRINST usr/include/linux/virtio_vsock.h
  HDRINST usr/include/linux/sound.h
  HDRINST usr/include/linux/vtpm_proxy.h
  HDRINST usr/include/linux/nfs_fs.h
  HDRINST usr/include/linux/elf-fdpic.h
  HDRINST usr/include/linux/adfs_fs.h
  HDRINST usr/include/linux/target_core_user.h
  HDRINST usr/include/linux/netlink_diag.h
  HDRINST usr/include/linux/const.h
  HDRINST usr/include/linux/firewire-cdev.h
  HDRINST usr/include/linux/vdpa.h
  HDRINST usr/include/linux/if_infiniband.h
  HDRINST usr/include/linux/serial.h
  HDRINST usr/include/linux/iio/types.h
  MKELF   scripts/mod/elfconfig.h
  HDRINST usr/include/linux/iio/buffer.h
  HDRINST usr/include/linux/iio/events.h
  HDRINST usr/include/linux/baycom.h
  HOSTCC  scripts/mod/modpost.o
  HDRINST usr/include/linux/major.h
  HOSTCC  scripts/mod/file2alias.o
  HDRINST usr/include/linux/atmppp.h
  HOSTCC  scripts/mod/sumversion.o
  HDRINST usr/include/linux/ipv6_route.h
  HDRINST usr/include/linux/spi/spidev.h
  HDRINST usr/include/linux/spi/spi.h
  HDRINST usr/include/linux/virtio_ring.h
  HDRINST usr/include/linux/hdlc/ioctl.h
  HDRINST usr/include/linux/remoteproc_cdev.h
  HDRINST usr/include/linux/hyperv.h
  HDRINST usr/include/linux/rpl_iptunnel.h
  HDRINST usr/include/linux/sync_file.h
  HDRINST usr/include/linux/igmp.h
  HDRINST usr/include/linux/v4l2-dv-timings.h
  HDRINST usr/include/linux/virtio_i2c.h
  HDRINST usr/include/linux/xfrm.h
  HDRINST usr/include/linux/capability.h
  HDRINST usr/include/linux/gtp.h
  HDRINST usr/include/linux/xdp_diag.h
  HDRINST usr/include/linux/pkt_cls.h
  HDRINST usr/include/linux/suspend_ioctls.h
  HDRINST usr/include/linux/vt.h
  HDRINST usr/include/linux/loadpin.h
  HDRINST usr/include/linux/dlm_plock.h
  HDRINST usr/include/linux/fb.h
  HDRINST usr/include/linux/max2175.h
  HDRINST usr/include/linux/sunrpc/debug.h
  HDRINST usr/include/linux/gsmmux.h
  HDRINST usr/include/linux/watchdog.h
  HDRINST usr/include/linux/vhost_types.h
  HDRINST usr/include/linux/vduse.h
  HDRINST usr/include/linux/ila.h
  HDRINST usr/include/linux/tdx-guest.h
  HDRINST usr/include/linux/close_range.h
  HDRINST usr/include/linux/ivtv.h
  HDRINST usr/include/linux/cryptouser.h
  HDRINST usr/include/linux/netfilter/xt_string.h
  HDRINST usr/include/linux/netfilter/nfnetlink_compat.h
  HDRINST usr/include/linux/netfilter/nf_nat.h
  HDRINST usr/include/linux/netfilter/xt_recent.h
  HDRINST usr/include/linux/netfilter/xt_addrtype.h
  HDRINST usr/include/linux/netfilter/nf_conntrack_tcp.h
  HDRINST usr/include/linux/netfilter/xt_MARK.h
  HDRINST usr/include/linux/netfilter/xt_SYNPROXY.h
  HDRINST usr/include/linux/netfilter/xt_multiport.h
  HDRINST usr/include/linux/netfilter/nfnetlink.h
  HDRINST usr/include/linux/netfilter/xt_cgroup.h
  HDRINST usr/include/linux/netfilter/nf_synproxy.h
  HDRINST usr/include/linux/netfilter/xt_TCPOPTSTRIP.h
  HDRINST usr/include/linux/netfilter/nfnetlink_log.h
  HDRINST usr/include/linux/netfilter/xt_TPROXY.h
  HDRINST usr/include/linux/netfilter/xt_u32.h
  HDRINST usr/include/linux/netfilter/nfnetlink_osf.h
  HDRINST usr/include/linux/netfilter/xt_ecn.h
  HDRINST usr/include/linux/netfilter/xt_esp.h
  HDRINST usr/include/linux/netfilter/nfnetlink_hook.h
  HDRINST usr/include/linux/netfilter/xt_mac.h
  HDRINST usr/include/linux/netfilter/xt_comment.h
  HDRINST usr/include/linux/netfilter/xt_NFQUEUE.h
  HDRINST usr/include/linux/netfilter/xt_osf.h
  HDRINST usr/include/linux/netfilter/xt_hashlimit.h
  HDRINST usr/include/linux/netfilter/nf_conntrack_sctp.h
  HDRINST usr/include/linux/netfilter/xt_socket.h
  HDRINST usr/include/linux/netfilter/xt_connmark.h
  HDRINST usr/include/linux/netfilter/xt_sctp.h
  HDRINST usr/include/linux/netfilter/xt_tcpudp.h
  HDRINST usr/include/linux/netfilter/xt_DSCP.h
  HDRINST usr/include/linux/netfilter/xt_time.h
  HDRINST usr/include/linux/netfilter/xt_IDLETIMER.h
  HDRINST usr/include/linux/netfilter/xt_policy.h
  HDRINST usr/include/linux/netfilter/xt_rpfilter.h
  HDRINST usr/include/linux/netfilter/xt_nfacct.h
  HDRINST usr/include/linux/netfilter/xt_SECMARK.h
  HDRINST usr/include/linux/netfilter/xt_length.h
  HDRINST usr/include/linux/netfilter/nfnetlink_cthelper.h
  HDRINST usr/include/linux/netfilter/xt_quota.h
  HDRINST usr/include/linux/netfilter/xt_CLASSIFY.h
  HDRINST usr/include/linux/netfilter/xt_ipcomp.h
  HDRINST usr/include/linux/netfilter/xt_iprange.h
  HDRINST usr/include/linux/netfilter/xt_bpf.h
  HDRINST usr/include/linux/netfilter/xt_LOG.h
  HDRINST usr/include/linux/netfilter/xt_rateest.h
  HDRINST usr/include/linux/netfilter/xt_CONNSECMARK.h
  HDRINST usr/include/linux/netfilter/xt_HMARK.h
  HDRINST usr/include/linux/netfilter/xt_CONNMARK.h
  HDRINST usr/include/linux/netfilter/xt_pkttype.h
  HDRINST usr/include/linux/netfilter/xt_ipvs.h
  HDRINST usr/include/linux/netfilter/xt_devgroup.h
  HDRINST usr/include/linux/netfilter/xt_AUDIT.h
  HDRINST usr/include/linux/netfilter/xt_realm.h
  HDRINST usr/include/linux/netfilter/nf_conntrack_common.h
  HDRINST usr/include/linux/netfilter/xt_set.h
  HDRINST usr/include/linux/netfilter/xt_LED.h
  HDRINST usr/include/linux/netfilter/xt_connlabel.h
  HDRINST usr/include/linux/netfilter/xt_owner.h
  HDRINST usr/include/linux/netfilter/xt_dccp.h
  HDRINST usr/include/linux/netfilter/xt_limit.h
  HDRINST usr/include/linux/netfilter/xt_conntrack.h
  HDRINST usr/include/linux/netfilter/xt_TEE.h
  HDRINST usr/include/linux/netfilter/xt_RATEEST.h
  HDRINST usr/include/linux/netfilter/xt_connlimit.h
  HDRINST usr/include/linux/netfilter/ipset/ip_set.h
  HDRINST usr/include/linux/netfilter/ipset/ip_set_list.h
  HDRINST usr/include/linux/netfilter/ipset/ip_set_hash.h
  HDRINST usr/include/linux/netfilter/ipset/ip_set_bitmap.h
  HDRINST usr/include/linux/netfilter/x_tables.h
  HDRINST usr/include/linux/netfilter/xt_dscp.h
  HDRINST usr/include/linux/netfilter/nf_conntrack_ftp.h
  HDRINST usr/include/linux/netfilter/xt_cluster.h
  HDRINST usr/include/linux/netfilter/nf_conntrack_tuple_common.h
  HDRINST usr/include/linux/netfilter/nf_log.h
  HDRINST usr/include/linux/netfilter/xt_tcpmss.h
  HDRINST usr/include/linux/netfilter/xt_NFLOG.h
  HDRINST usr/include/linux/netfilter/xt_l2tp.h
  HDRINST usr/include/linux/netfilter/xt_helper.h
  HDRINST usr/include/linux/netfilter/xt_statistic.h
  HDRINST usr/include/linux/netfilter/nfnetlink_queue.h
  HDRINST usr/include/linux/netfilter/nfnetlink_cttimeout.h
  HDRINST usr/include/linux/netfilter/xt_CT.h
  HDRINST usr/include/linux/netfilter/xt_CHECKSUM.h
  HDRINST usr/include/linux/netfilter/xt_connbytes.h
  HDRINST usr/include/linux/netfilter/xt_state.h
  HDRINST usr/include/linux/netfilter/nf_tables.h
  HDRINST usr/include/linux/netfilter/xt_mark.h
  HDRINST usr/include/linux/netfilter/xt_cpu.h
  HDRINST usr/include/linux/netfilter/nf_tables_compat.h
  HDRINST usr/include/linux/netfilter/xt_physdev.h
  HDRINST usr/include/linux/netfilter/nfnetlink_conntrack.h
  HDRINST usr/include/linux/netfilter/nfnetlink_acct.h
  HDRINST usr/include/linux/netfilter/xt_TCPMSS.h
  HDRINST usr/include/linux/tty_flags.h
  HDRINST usr/include/linux/if_phonet.h
  HDRINST usr/include/linux/elf-em.h
  HDRINST usr/include/linux/vm_sockets.h
  HDRINST usr/include/linux/dlmconstants.h
  HDRINST usr/include/linux/bsg.h
  HDRINST usr/include/linux/matroxfb.h
  HDRINST usr/include/linux/sysctl.h
  HDRINST usr/include/linux/unix_diag.h
  HDRINST usr/include/linux/pcitest.h
  HDRINST usr/include/linux/mman.h
  HDRINST usr/include/linux/if_plip.h
  HDRINST usr/include/linux/virtio_balloon.h
  HDRINST usr/include/linux/pidfd.h
  HDRINST usr/include/linux/f2fs.h
  HDRINST usr/include/linux/x25.h
  HDRINST usr/include/linux/if_cablemodem.h
  HDRINST usr/include/linux/utsname.h
  HDRINST usr/include/linux/counter.h
  HDRINST usr/include/linux/atm_tcp.h
  HDRINST usr/include/linux/atalk.h
  HDRINST usr/include/linux/virtio_rng.h
  HDRINST usr/include/linux/vboxguest.h
  HDRINST usr/include/linux/bpf_perf_event.h
  HDRINST usr/include/linux/ipmi_ssif_bmc.h
  HDRINST usr/include/linux/nfs_mount.h
  HDRINST usr/include/linux/sonet.h
  HDRINST usr/include/linux/netfilter.h
  HDRINST usr/include/linux/keyctl.h
  HDRINST usr/include/linux/nl80211.h
  HDRINST usr/include/linux/misc/bcm_vk.h
  HDRINST usr/include/linux/audit.h
  HDRINST usr/include/linux/tipc_config.h
  HDRINST usr/include/linux/tipc_sockets_diag.h
  HDRINST usr/include/linux/futex.h
  HDRINST usr/include/linux/sev-guest.h
  HDRINST usr/include/linux/ublk_cmd.h
  HDRINST usr/include/linux/types.h
  HDRINST usr/include/linux/virtio_input.h
  HDRINST usr/include/linux/if_slip.h
  HDRINST usr/include/linux/personality.h
  HDRINST usr/include/linux/openat2.h
  HDRINST usr/include/linux/poll.h
  HDRINST usr/include/linux/posix_acl.h
  HDRINST usr/include/linux/smc_diag.h
  HDRINST usr/include/linux/snmp.h
  HDRINST usr/include/linux/errqueue.h
  HDRINST usr/include/linux/if_tunnel.h
  HDRINST usr/include/linux/fanotify.h
  HDRINST usr/include/linux/kernel.h
  HDRINST usr/include/linux/rtnetlink.h
  HDRINST usr/include/linux/rpl.h
  HDRINST usr/include/linux/memfd.h
  HDRINST usr/include/linux/serial_core.h
  HDRINST usr/include/linux/dns_resolver.h
  HDRINST usr/include/linux/pr.h
  HDRINST usr/include/linux/atm_eni.h
  HDRINST usr/include/linux/lp.h
  HDRINST usr/include/linux/virtio_mem.h
  HDRINST usr/include/linux/ultrasound.h
  HDRINST usr/include/linux/sctp.h
  HDRINST usr/include/linux/uio.h
  HDRINST usr/include/linux/tcp_metrics.h
  HDRINST usr/include/linux/wwan.h
  HDRINST usr/include/linux/atmbr2684.h
  HDRINST usr/include/linux/in_route.h
  HDRINST usr/include/linux/qemu_fw_cfg.h
  HDRINST usr/include/linux/if_macsec.h
  HDRINST usr/include/linux/usb/charger.h
  HDRINST usr/include/linux/usb/g_uvc.h
  HDRINST usr/include/linux/usb/gadgetfs.h
  HDRINST usr/include/linux/usb/raw_gadget.h
  HDRINST usr/include/linux/usb/cdc-wdm.h
  HDRINST usr/include/linux/usb/g_printer.h
  HDRINST usr/include/linux/usb/midi.h
  HDRINST usr/include/linux/usb/tmc.h
  HDRINST usr/include/linux/usb/video.h
  HDRINST usr/include/linux/usb/functionfs.h
  HDRINST usr/include/linux/usb/audio.h
  HDRINST usr/include/linux/usb/ch9.h
  HDRINST usr/include/linux/usb/ch11.h
  HDRINST usr/include/linux/usb/cdc.h
  HDRINST usr/include/linux/jffs2.h
  HDRINST usr/include/linux/ax25.h
  HDRINST usr/include/linux/auto_fs.h
  HDRINST usr/include/linux/tiocl.h
  HDRINST usr/include/linux/scc.h
  HDRINST usr/include/linux/psci.h
  HDRINST usr/include/linux/swab.h
  HDRINST usr/include/linux/cec.h
  HDRINST usr/include/linux/kfd_ioctl.h
  HDRINST usr/include/linux/smc.h
  HDRINST usr/include/linux/qrtr.h
  HDRINST usr/include/linux/screen_info.h
  HDRINST usr/include/linux/nfsacl.h
  HDRINST usr/include/linux/seg6_hmac.h
  HDRINST usr/include/linux/gameport.h
  HDRINST usr/include/linux/wireless.h
  HDRINST usr/include/linux/fdreg.h
  HDRINST usr/include/linux/cciss_defs.h
  HDRINST usr/include/linux/serial_reg.h
  HDRINST usr/include/linux/perf_event.h
  HDRINST usr/include/linux/in6.h
  HDRINST usr/include/linux/hid.h
  HDRINST usr/include/linux/netlink.h
  HDRINST usr/include/linux/fuse.h
  HDRINST usr/include/linux/magic.h
  HDRINST usr/include/linux/ioam6_iptunnel.h
  HDRINST usr/include/linux/stm.h
  HDRINST usr/include/linux/vsockmon.h
  HDRINST usr/include/linux/seg6.h
  HDRINST usr/include/linux/idxd.h
  HDRINST usr/include/linux/nitro_enclaves.h
  HDRINST usr/include/linux/ptrace.h
  HDRINST usr/include/linux/ioam6_genl.h
  HDRINST usr/include/linux/qnx4_fs.h
  HDRINST usr/include/linux/fsl_mc.h
  HDRINST usr/include/linux/net_tstamp.h
  HDRINST usr/include/linux/msg.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_TTL.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_ttl.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_ah.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_ECN.h
  HDRINST usr/include/linux/netfilter_ipv4/ip_tables.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_ecn.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_CLUSTERIP.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_REJECT.h
  HDRINST usr/include/linux/netfilter_ipv4/ipt_LOG.h
  HDRINST usr/include/linux/sem.h
  HDRINST usr/include/linux/net_namespace.h
  HDRINST usr/include/linux/radeonfb.h
  HDRINST usr/include/linux/tee.h
  HDRINST usr/include/linux/udp.h
  HDRINST usr/include/linux/virtio_bt.h
  HDRINST usr/include/linux/v4l2-subdev.h
  HDRINST usr/include/linux/posix_acl_xattr.h
  HDRINST usr/include/linux/v4l2-mediabus.h
  HDRINST usr/include/linux/atmapi.h
  HDRINST usr/include/linux/raid/md_p.h
  HDRINST usr/include/linux/raid/md_u.h
  HDRINST usr/include/linux/zorro_ids.h
  HDRINST usr/include/linux/nbd.h
  HDRINST usr/include/linux/isst_if.h
  HDRINST usr/include/linux/rxrpc.h
  HDRINST usr/include/linux/unistd.h
  HDRINST usr/include/linux/if_arp.h
  HDRINST usr/include/linux/atm_zatm.h
  HDRINST usr/include/linux/io_uring.h
  HDRINST usr/include/linux/if_fddi.h
  HDRINST usr/include/linux/bpqether.h
  HDRINST usr/include/linux/sysinfo.h
  HDRINST usr/include/linux/auto_dev-ioctl.h
  HDRINST usr/include/linux/nfs4_mount.h
  HDRINST usr/include/linux/keyboard.h
  HDRINST usr/include/linux/virtio_mmio.h
  HDRINST usr/include/linux/input.h
  HDRINST usr/include/linux/qnxtypes.h
  HDRINST usr/include/linux/mdio.h
  HDRINST usr/include/linux/lwtunnel.h
  HDRINST usr/include/linux/gfs2_ondisk.h
  HDRINST usr/include/linux/nfs4.h
  HDRINST usr/include/linux/ptp_clock.h
  HDRINST usr/include/linux/nubus.h
  HDRINST usr/include/linux/if_bonding.h
  HDRINST usr/include/linux/kcov.h
  HDRINST usr/include/linux/fadvise.h
  HDRINST usr/include/linux/taskstats.h
  HDRINST usr/include/linux/veth.h
  HDRINST usr/include/linux/atm.h
  HDRINST usr/include/linux/ipmi.h
  HDRINST usr/include/linux/kdev_t.h
  HDRINST usr/include/linux/mount.h
  HDRINST usr/include/linux/shm.h
  HDRINST usr/include/linux/resource.h
  HDRINST usr/include/linux/prctl.h
  HDRINST usr/include/linux/watch_queue.h
  HDRINST usr/include/linux/sched.h
  HDRINST usr/include/linux/phonet.h
  HDRINST usr/include/linux/random.h
  HDRINST usr/include/linux/tty.h
  HDRINST usr/include/linux/apm_bios.h
  HDRINST usr/include/linux/fd.h
  HDRINST usr/include/linux/um_timetravel.h
  HDRINST usr/include/linux/tls.h
  HDRINST usr/include/linux/rpmsg_types.h
  HDRINST usr/include/linux/pfrut.h
  HDRINST usr/include/linux/mei.h
  HDRINST usr/include/linux/fsi.h
  HDRINST usr/include/linux/rds.h
  HDRINST usr/include/linux/if_x25.h
  HDRINST usr/include/linux/param.h
  HDRINST usr/include/linux/netdevice.h
  HDRINST usr/include/linux/binfmts.h
  HDRINST usr/include/linux/if_pppox.h
  HDRINST usr/include/linux/sockios.h
  HDRINST usr/include/linux/kcm.h
  HDRINST usr/include/linux/virtio_9p.h
  HDRINST usr/include/linux/genwqe/genwqe_card.h
  HDRINST usr/include/linux/if_tun.h
  HDRINST usr/include/linux/if_ether.h
  HDRINST usr/include/linux/kvm_para.h
  HDRINST usr/include/linux/kernel-page-flags.h
  HDRINST usr/include/linux/cdrom.h
  HDRINST usr/include/linux/un.h
  HDRINST usr/include/linux/module.h
  HDRINST usr/include/linux/mqueue.h
  HDRINST usr/include/linux/a.out.h
  HDRINST usr/include/linux/input-event-codes.h
  HDRINST usr/include/linux/coda.h
  HDRINST usr/include/linux/rio_mport_cdev.h
  HDRINST usr/include/linux/ipsec.h
  HDRINST usr/include/linux/blkpg.h
  HDRINST usr/include/linux/blkzoned.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_arpreply.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_redirect.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_nflog.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_802_3.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_nat.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_mark_m.h
  HDRINST usr/include/linux/netfilter_bridge/ebtables.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_vlan.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_limit.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_log.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_stp.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_pkttype.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_ip.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_ip6.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_arp.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_mark_t.h
  HDRINST usr/include/linux/netfilter_bridge/ebt_among.h
  HDRINST usr/include/linux/reiserfs_fs.h
  HDRINST usr/include/linux/cciss_ioctl.h
  HDRINST usr/include/linux/fsmap.h
  HDRINST usr/include/linux/smiapp.h
  HDRINST usr/include/linux/switchtec_ioctl.h
  HDRINST usr/include/linux/atmdev.h
  HDRINST usr/include/linux/hpet.h
  HDRINST usr/include/linux/virtio_config.h
  HDRINST usr/include/linux/string.h
  HDRINST usr/include/linux/kfd_sysfs.h
  HDRINST usr/include/linux/inet_diag.h
  HDRINST usr/include/linux/xattr.h
  HDRINST usr/include/linux/iommufd.h
  HDRINST usr/include/linux/errno.h
  HDRINST usr/include/linux/icmp.h
  HDRINST usr/include/linux/i2o-dev.h
  HDRINST usr/include/linux/pg.h
  HDRINST usr/include/linux/if_bridge.h
  HDRINST usr/include/linux/thermal.h
  HDRINST usr/include/linux/uinput.h
  HDRINST usr/include/linux/dqblk_xfs.h
  HDRINST usr/include/linux/v4l2-common.h
  HDRINST usr/include/linux/nvram.h
  HDRINST usr/include/linux/if_vlan.h
  HDRINST usr/include/linux/uhid.h
  HDRINST usr/include/linux/omap3isp.h
  HDRINST usr/include/linux/rose.h
  HDRINST usr/include/linux/phantom.h
  HDRINST usr/include/linux/ipmi_msgdefs.h
  HDRINST usr/include/linux/bcm933xx_hcs.h
  HDRINST usr/include/linux/bpf.h
  HDRINST usr/include/linux/mempolicy.h
  HDRINST usr/include/linux/efs_fs_sb.h
  HDRINST usr/include/linux/net_dropmon.h
  HDRINST usr/include/linux/nexthop.h
  HDRINST usr/include/linux/surface_aggregator/cdev.h
  HDRINST usr/include/linux/surface_aggregator/dtx.h
  HDRINST usr/include/linux/net.h
  HDRINST usr/include/linux/mii.h
  HDRINST usr/include/linux/cm4000_cs.h
  HDRINST usr/include/linux/virtio_pcidev.h
  HDRINST usr/include/linux/termios.h
  HDRINST usr/include/linux/cgroupstats.h
  HDRINST usr/include/linux/mpls.h
  HDRINST usr/include/linux/iommu.h
  HDRINST usr/include/linux/toshiba.h
  HDRINST usr/include/linux/virtio_scsi.h
  HDRINST usr/include/linux/zorro.h
  HDRINST usr/include/linux/chio.h
  HDRINST usr/include/linux/pkt_sched.h
  HDRINST usr/include/linux/cramfs_fs.h
  HDRINST usr/include/linux/nfs3.h
  HDRINST usr/include/linux/vfio_ccw.h
  HDRINST usr/include/linux/atm_nicstar.h
  HDRINST usr/include/linux/ncsi.h
  HDRINST usr/include/linux/virtio_net.h
  HDRINST usr/include/linux/ioctl.h
  HDRINST usr/include/linux/stddef.h
  HDRINST usr/include/linux/limits.h
  HDRINST usr/include/linux/ipmi_bmc.h
  HDRINST usr/include/linux/netfilter_arp.h
  HDRINST usr/include/linux/if_addr.h
  HDRINST usr/include/linux/rpmsg.h
  HDRINST usr/include/linux/media-bus-format.h
  HDRINST usr/include/linux/kernelcapi.h
  HDRINST usr/include/linux/ppp_defs.h
  HDRINST usr/include/linux/ethtool.h
  HDRINST usr/include/linux/aspeed-video.h
  HDRINST usr/include/linux/hdlc.h
  HDRINST usr/include/linux/fscrypt.h
  HDRINST usr/include/linux/batadv_packet.h
  HDRINST usr/include/linux/uuid.h
  HDRINST usr/include/linux/capi.h
  HDRINST usr/include/linux/mptcp.h
  HDRINST usr/include/linux/hidraw.h
  HDRINST usr/include/linux/virtio_console.h
  HDRINST usr/include/linux/irqnr.h
  HDRINST usr/include/linux/coresight-stm.h
  HDRINST usr/include/linux/cxl_mem.h
  HDRINST usr/include/linux/iso_fs.h
  HDRINST usr/include/linux/virtio_blk.h
  HDRINST usr/include/linux/udf_fs_i.h
  HDRINST usr/include/linux/coff.h
  HDRINST usr/include/linux/dma-buf.h
  HDRINST usr/include/linux/ife.h
  HDRINST usr/include/linux/agpgart.h
  HDRINST usr/include/linux/socket.h
  HDRINST usr/include/linux/nilfs2_ondisk.h
  HDRINST usr/include/linux/connector.h
  HDRINST usr/include/linux/auto_fs4.h
  HDRINST usr/include/linux/bt-bmc.h
  HDRINST usr/include/linux/map_to_7segment.h
  HDRINST usr/include/linux/tc_act/tc_skbedit.h
  HDRINST usr/include/linux/tc_act/tc_ctinfo.h
  HDRINST usr/include/linux/tc_act/tc_defact.h
  HDRINST usr/include/linux/tc_act/tc_gact.h
  HDRINST usr/include/linux/tc_act/tc_vlan.h
  HDRINST usr/include/linux/tc_act/tc_skbmod.h
  HDRINST usr/include/linux/tc_act/tc_sample.h
  HDRINST usr/include/linux/tc_act/tc_tunnel_key.h
  HDRINST usr/include/linux/tc_act/tc_gate.h
  HDRINST usr/include/linux/tc_act/tc_mirred.h
  HDRINST usr/include/linux/tc_act/tc_nat.h
  HDRINST usr/include/linux/tc_act/tc_csum.h
  HDRINST usr/include/linux/tc_act/tc_connmark.h
  HDRINST usr/include/linux/tc_act/tc_ife.h
  HDRINST usr/include/linux/tc_act/tc_mpls.h
  HDRINST usr/include/linux/tc_act/tc_ct.h
  HDRINST usr/include/linux/tc_act/tc_pedit.h
  HDRINST usr/include/linux/tc_act/tc_bpf.h
  HDRINST usr/include/linux/tc_act/tc_ipt.h
  HDRINST usr/include/linux/netrom.h
  HDRINST usr/include/linux/joystick.h
  HDRINST usr/include/linux/falloc.h
  HDRINST usr/include/linux/cycx_cfm.h
  HDRINST usr/include/linux/omapfb.h
  HDRINST usr/include/linux/msdos_fs.h
  HDRINST usr/include/linux/virtio_types.h
  HDRINST usr/include/linux/mroute.h
  HDRINST usr/include/linux/psample.h
  HDRINST usr/include/linux/ipv6.h
  HDRINST usr/include/linux/dw100.h
  HDRINST usr/include/linux/psp-sev.h
  HDRINST usr/include/linux/vfio.h
  HDRINST usr/include/linux/if_ppp.h
  HDRINST usr/include/linux/byteorder/big_endian.h
  HDRINST usr/include/linux/byteorder/little_endian.h
  HDRINST usr/include/linux/comedi.h
  HDRINST usr/include/linux/scif_ioctl.h
  HDRINST usr/include/linux/timerfd.h
  HDRINST usr/include/linux/time_types.h
  HDRINST usr/include/linux/firewire-constants.h
  HDRINST usr/include/linux/virtio_snd.h
  HDRINST usr/include/linux/ppp-ioctl.h
  HDRINST usr/include/linux/meye.h
  HDRINST usr/include/linux/fib_rules.h
  HDRINST usr/include/linux/gen_stats.h
  HDRINST usr/include/linux/virtio_iommu.h
  HDRINST usr/include/linux/genetlink.h
  HDRINST usr/include/linux/uvcvideo.h
  HDRINST usr/include/linux/pfkeyv2.h
  HDRINST usr/include/linux/soundcard.h
  HDRINST usr/include/linux/times.h
  HDRINST usr/include/linux/nfc.h
  HDRINST usr/include/linux/affs_hardblocks.h
  HDRINST usr/include/linux/nilfs2_api.h
  HDRINST usr/include/linux/rseq.h
  HDRINST usr/include/linux/caif/caif_socket.h
  HDRINST usr/include/linux/caif/if_caif.h
  HDRINST usr/include/linux/i2c-dev.h
  HDRINST usr/include/linux/cuda.h
  HDRINST usr/include/linux/cn_proc.h
  HDRINST usr/include/linux/parport.h
  HDRINST usr/include/linux/v4l2-controls.h
  HDRINST usr/include/linux/hsi/cs-protocol.h
  HDRINST usr/include/linux/hsi/hsi_char.h
  HDRINST usr/include/linux/seg6_genl.h
  HDRINST usr/include/linux/am437x-vpfe.h
  HDRINST usr/include/linux/amt.h
  HDRINST usr/include/linux/netconf.h
  HDRINST usr/include/linux/erspan.h
  HDRINST usr/include/linux/nsfs.h
  HDRINST usr/include/linux/xilinx-v4l2-controls.h
  HDRINST usr/include/linux/aspeed-p2a-ctrl.h
  HDRINST usr/include/linux/vfio_zdev.h
  HDRINST usr/include/linux/serio.h
  HDRINST usr/include/linux/acrn.h
  HDRINST usr/include/linux/nfs2.h
  HDRINST usr/include/linux/virtio_pci.h
  HDRINST usr/include/linux/ipc.h
  HDRINST usr/include/linux/ethtool_netlink.h
  HDRINST usr/include/linux/kd.h
  HDRINST usr/include/linux/elf.h
  HDRINST usr/include/linux/videodev2.h
  HDRINST usr/include/linux/if_alg.h
  HDRINST usr/include/linux/sonypi.h
  HDRINST usr/include/linux/fsverity.h
  HDRINST usr/include/linux/if.h
  HDRINST usr/include/linux/btrfs.h
  HDRINST usr/include/linux/vm_sockets_diag.h
  HDRINST usr/include/linux/netfilter_bridge.h
  HDRINST usr/include/linux/packet_diag.h
  HDRINST usr/include/linux/netfilter_ipv4.h
  HDRINST usr/include/linux/kvm.h
  HDRINST usr/include/linux/pci.h
  HDRINST usr/include/linux/if_addrlabel.h
  HDRINST usr/include/linux/hdlcdrv.h
  HDRINST usr/include/linux/cfm_bridge.h
  HDRINST usr/include/linux/fiemap.h
  HDRINST usr/include/linux/dm-ioctl.h
  HDRINST usr/include/linux/aspeed-lpc-ctrl.h
  HDRINST usr/include/linux/atmioc.h
  HDRINST usr/include/linux/dlm.h
  HDRINST usr/include/linux/pci_regs.h
  HDRINST usr/include/linux/cachefiles.h
  HDRINST usr/include/linux/membarrier.h
  HDRINST usr/include/linux/nfs_idmap.h
  HDRINST usr/include/linux/ip.h
  HDRINST usr/include/linux/atm_he.h
  HDRINST usr/include/linux/nfsd/export.h
  HDRINST usr/include/linux/nfsd/stats.h
  HDRINST usr/include/linux/nfsd/debug.h
  HDRINST usr/include/linux/nfsd/cld.h
  HDRINST usr/include/linux/ip_vs.h
  HDRINST usr/include/linux/vmcore.h
  HDRINST usr/include/linux/vbox_vmmdev_types.h
  HDRINST usr/include/linux/dvb/osd.h
  HDRINST usr/include/linux/dvb/dmx.h
  HDRINST usr/include/linux/dvb/net.h
  HDRINST usr/include/linux/dvb/frontend.h
  HDRINST usr/include/linux/dvb/ca.h
  HDRINST usr/include/linux/dvb/version.h
  HDRINST usr/include/linux/dvb/video.h
  HDRINST usr/include/linux/dvb/audio.h
  HDRINST usr/include/linux/nfs.h
  HDRINST usr/include/linux/if_link.h
  HDRINST usr/include/linux/wait.h
  HDRINST usr/include/linux/icmpv6.h
  HDRINST usr/include/linux/media.h
  HDRINST usr/include/linux/seg6_local.h
  HDRINST usr/include/linux/openvswitch.h
  HDRINST usr/include/linux/atmsap.h
  HDRINST usr/include/linux/bpfilter.h
  HDRINST usr/include/linux/fpga-dfl.h
  HDRINST usr/include/linux/userio.h
  HDRINST usr/include/linux/signal.h
  HDRINST usr/include/linux/map_to_14segment.h
  HDRINST usr/include/linux/hdreg.h
  HDRINST usr/include/linux/utime.h
  HDRINST usr/include/linux/usbdevice_fs.h
  HDRINST usr/include/linux/timex.h
  HDRINST usr/include/linux/if_fc.h
  HDRINST usr/include/linux/reiserfs_xattr.h
  HDRINST usr/include/linux/hw_breakpoint.h
  HDRINST usr/include/linux/quota.h
  HDRINST usr/include/linux/ioprio.h
  HDRINST usr/include/linux/eventpoll.h
  HDRINST usr/include/linux/atmclip.h
  HDRINST usr/include/linux/can.h
  HDRINST usr/include/linux/if_team.h
  HDRINST usr/include/linux/usbip.h
  HDRINST usr/include/linux/stat.h
  HDRINST usr/include/linux/fou.h
  HDRINST usr/include/linux/hash_info.h
  HDRINST usr/include/linux/ppp-comp.h
  HDRINST usr/include/linux/ip6_tunnel.h
  HDRINST usr/include/linux/tipc_netlink.h
  HDRINST usr/include/linux/in.h
  HDRINST usr/include/linux/wireguard.h
  HDRINST usr/include/linux/btf.h
  HDRINST usr/include/linux/batman_adv.h
  HDRINST usr/include/linux/fcntl.h
  HDRINST usr/include/linux/if_ltalk.h
  HDRINST usr/include/linux/atm_idt77105.h
  HDRINST usr/include/linux/i2c.h
  HDRINST usr/include/linux/kexec.h
  HDRINST usr/include/linux/arm_sdei.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6_tables.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_ah.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_NPT.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_rt.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_REJECT.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_opts.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_srh.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_LOG.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_mh.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_HL.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_hl.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_frag.h
  HDRINST usr/include/linux/netfilter_ipv6/ip6t_ipv6header.h
  HDRINST usr/include/linux/minix_fs.h
  HDRINST usr/include/linux/aio_abi.h
  HDRINST usr/include/linux/pktcdvd.h
  HDRINST usr/include/linux/libc-compat.h
  HDRINST usr/include/linux/atmlec.h
  HDRINST usr/include/linux/signalfd.h
  HDRINST usr/include/linux/bpf_common.h
  HDRINST usr/include/linux/seg6_iptunnel.h
  HDRINST usr/include/linux/synclink.h
  HDRINST usr/include/linux/mpls_iptunnel.h
  HDRINST usr/include/linux/mctp.h
  HDRINST usr/include/linux/if_xdp.h
  HDRINST usr/include/linux/llc.h
  HDRINST usr/include/linux/atmsvc.h
  LD      /kernel/build64/tools/objtool/libsubcmd-in.o
  HDRINST usr/include/linux/sed-opal.h
  HDRINST usr/include/linux/sock_diag.h
  HDRINST usr/include/linux/time.h
  HDRINST usr/include/linux/securebits.h
  HDRINST usr/include/linux/fsl_hypervisor.h
  HDRINST usr/include/linux/if_hippi.h
  HDRINST usr/include/linux/dlm_netlink.h
  HDRINST usr/include/linux/seccomp.h
  HDRINST usr/include/linux/oom.h
  HDRINST usr/include/linux/filter.h
  HDRINST usr/include/linux/inotify.h
  HDRINST usr/include/linux/rfkill.h
  HDRINST usr/include/linux/reboot.h
  HDRINST usr/include/linux/can/vxcan.h
  HDRINST usr/include/linux/can/j1939.h
  HDRINST usr/include/linux/can/netlink.h
  HDRINST usr/include/linux/can/bcm.h
  HDRINST usr/include/linux/can/raw.h
  HDRINST usr/include/linux/can/gw.h
  HDRINST usr/include/linux/can/error.h
  LD      /kernel/build64/tools/objtool/arch/x86/objtool-in.o
  HDRINST usr/include/linux/if_eql.h
  HDRINST usr/include/linux/can/isotp.h
  HDRINST usr/include/linux/hiddev.h
  HDRINST usr/include/linux/blktrace_api.h
  HDRINST usr/include/linux/ccs.h
  HDRINST usr/include/linux/ioam6.h
  HDRINST usr/include/linux/hsr_netlink.h
  HDRINST usr/include/linux/mmc/ioctl.h
  HDRINST usr/include/linux/bfs_fs.h
  HDRINST usr/include/linux/rio_cm_cdev.h
  HDRINST usr/include/linux/uleds.h
  HDRINST usr/include/linux/mrp_bridge.h
  HDRINST usr/include/linux/adb.h
  HDRINST usr/include/linux/pmu.h
  HDRINST usr/include/linux/udmabuf.h
  HDRINST usr/include/linux/kcmp.h
  AR      /kernel/build64/tools/objtool/libsubcmd.a
  HDRINST usr/include/linux/dma-heap.h
  HDRINST usr/include/linux/userfaultfd.h
  HDRINST usr/include/linux/netfilter_arp/arpt_mangle.h
  HDRINST usr/include/linux/netfilter_arp/arp_tables.h
  HDRINST usr/include/linux/tipc.h
  HDRINST usr/include/linux/virtio_ids.h
  HDRINST usr/include/linux/l2tp.h
  HDRINST usr/include/linux/devlink.h
  HDRINST usr/include/linux/virtio_gpio.h
  HDRINST usr/include/linux/dcbnl.h
  HDRINST usr/include/linux/cyclades.h
  HDRINST usr/include/sound/intel/avs/tokens.h
  HDRINST usr/include/sound/sof/fw.h
  HDRINST usr/include/sound/sof/abi.h
  HDRINST usr/include/sound/sof/tokens.h
  HDRINST usr/include/sound/sof/header.h
  HDRINST usr/include/sound/usb_stream.h
  HDRINST usr/include/sound/sfnt_info.h
  HDRINST usr/include/sound/asequencer.h
  HDRINST usr/include/sound/tlv.h
  HDRINST usr/include/sound/asound.h
  HDRINST usr/include/sound/asoc.h
  HDRINST usr/include/sound/sb16_csp.h
  HDRINST usr/include/sound/compress_offload.h
  HDRINST usr/include/sound/hdsp.h
  HDRINST usr/include/sound/emu10k1.h
  HDRINST usr/include/sound/snd_ar_tokens.h
  HDRINST usr/include/sound/snd_sst_tokens.h
  HDRINST usr/include/sound/asound_fm.h
  HDRINST usr/include/sound/hdspm.h
  HDRINST usr/include/sound/compress_params.h
  HDRINST usr/include/sound/firewire.h
  HDRINST usr/include/sound/skl-tplg-interface.h
  HDRINST usr/include/scsi/scsi_bsg_ufs.h
  HDRINST usr/include/scsi/scsi_netlink_fc.h
  HDRINST usr/include/scsi/scsi_bsg_mpi3mr.h
  HDRINST usr/include/scsi/fc/fc_ns.h
  HDRINST usr/include/scsi/fc/fc_fs.h
  HDRINST usr/include/scsi/fc/fc_els.h
  HDRINST usr/include/scsi/fc/fc_gs.h
  HDRINST usr/include/scsi/scsi_bsg_fc.h
  HDRINST usr/include/scsi/cxlflash_ioctl.h
  HDRINST usr/include/scsi/scsi_netlink.h
  HDRINST usr/include/linux/version.h
  HDRINST usr/include/asm/processor-flags.h
  HDRINST usr/include/asm/auxvec.h
  HDRINST usr/include/asm/svm.h
  HDRINST usr/include/asm/bitsperlong.h
  HDRINST usr/include/asm/kvm_perf.h
  HDRINST usr/include/asm/mce.h
  HDRINST usr/include/asm/posix_types.h
  HDRINST usr/include/asm/msr.h
  HDRINST usr/include/asm/sigcontext32.h
  HDRINST usr/include/asm/mman.h
  HDRINST usr/include/asm/shmbuf.h
  HDRINST usr/include/asm/e820.h
  HDRINST usr/include/asm/posix_types_64.h
  HDRINST usr/include/asm/vsyscall.h
  HDRINST usr/include/asm/msgbuf.h
  HDRINST usr/include/asm/swab.h
  HDRINST usr/include/asm/statfs.h
  HDRINST usr/include/asm/posix_types_x32.h
  HDRINST usr/include/asm/ptrace.h
  HDRINST usr/include/asm/unistd.h
  HDRINST usr/include/asm/ist.h
  HDRINST usr/include/asm/prctl.h
  HDRINST usr/include/asm/boot.h
  HDRINST usr/include/asm/sigcontext.h
  HDRINST usr/include/asm/posix_types_32.h
  HDRINST usr/include/asm/kvm_para.h
  HDRINST usr/include/asm/a.out.h
  HDRINST usr/include/asm/mtrr.h
  HDRINST usr/include/asm/amd_hsmp.h
  HDRINST usr/include/asm/hwcap2.h
  HDRINST usr/include/asm/ptrace-abi.h
  HDRINST usr/include/asm/vm86.h
  HDRINST usr/include/asm/vmx.h
  HDRINST usr/include/asm/ldt.h
  HDRINST usr/include/asm/perf_regs.h
  HDRINST usr/include/asm/kvm.h
  HDRINST usr/include/asm/debugreg.h
  HDRINST usr/include/asm/signal.h
  HDRINST usr/include/asm/bootparam.h
  HDRINST usr/include/asm/siginfo.h
  HDRINST usr/include/asm/hw_breakpoint.h
  HDRINST usr/include/asm/stat.h
  HDRINST usr/include/asm/setup.h
  HDRINST usr/include/asm/sembuf.h
  HDRINST usr/include/asm/sgx.h
  HDRINST usr/include/asm/ucontext.h
  HDRINST usr/include/asm/byteorder.h
  HDRINST usr/include/asm/unistd_64.h
  HDRINST usr/include/asm/ioctls.h
  HDRINST usr/include/asm/bpf_perf_event.h
  HDRINST usr/include/asm/types.h
  HDRINST usr/include/asm/poll.h
  HDRINST usr/include/asm/resource.h
  HDRINST usr/include/asm/param.h
  HDRINST usr/include/asm/sockios.h
  HDRINST usr/include/asm/errno.h
  HDRINST usr/include/asm/unistd_x32.h
  HDRINST usr/include/asm/termios.h
  HDRINST usr/include/asm/ioctl.h
  HDRINST usr/include/asm/socket.h
  HDRINST usr/include/asm/unistd_32.h
  HDRINST usr/include/asm/termbits.h
  HDRINST usr/include/asm/fcntl.h
  HDRINST usr/include/asm/ipcbuf.h
  HOSTLD  scripts/mod/modpost
  CC      kernel/bounds.s
  CHKSHA1 ../include/linux/atomic/atomic-arch-fallback.h
  CHKSHA1 ../include/linux/atomic/atomic-instrumented.h
  CHKSHA1 ../include/linux/atomic/atomic-long.h
  UPD     include/generated/timeconst.h
  UPD     include/generated/bounds.h
  CC      arch/x86/kernel/asm-offsets.s
  UPD     include/generated/asm-offsets.h
  CALL    ../scripts/checksyscalls.sh
  LD      /kernel/build64/tools/objtool/objtool-in.o
  LINK    /kernel/build64/tools/objtool/objtool
  LDS     scripts/module.lds
  CC      ipc/compat.o
  CC      ipc/util.o
  CC      ipc/msgutil.o
  CC      ipc/msg.o
  HOSTCC  usr/gen_init_cpio
  CC      ipc/sem.o
  CC      init/main.o
  AR      certs/built-in.a
  CC      init/do_mounts.o
  CC      ipc/shm.o
  CC      init/do_mounts_initrd.o
  CC      arch/x86/power/cpu.o
  AR      arch/x86/video/built-in.a
  AS      arch/x86/lib/clear_page_64.o
  CC      arch/x86/power/hibernate_64.o
  CC      security/commoncap.o
  CC      init/initramfs.o
  AS      arch/x86/power/hibernate_asm_64.o
  CC      arch/x86/pci/i386.o
  CC      arch/x86/lib/cmdline.o
  CC      ipc/syscall.o
  AS      arch/x86/lib/cmpxchg16b_emu.o
  CC      security/min_addr.o
  CC      ipc/ipc_sysctl.o
  CC      io_uring/io_uring.o
  CC      arch/x86/pci/init.o
  CC [M]  arch/x86/video/fbdev.o
  CC      arch/x86/pci/mmconfig_64.o
  CC      arch/x86/lib/copy_mc.o
  AR      virt/lib/built-in.a
  UPD     init/utsversion-tmp.h
  CC      block/partitions/core.o
  AR      arch/x86/ia32/built-in.a
  AR      drivers/irqchip/built-in.a
  AS      arch/x86/crypto/aesni-intel_asm.o
  CC      security/keys/gc.o
  CC [M]  virt/lib/irqbypass.o
  CC      net/core/sock.o
  CC      arch/x86/realmode/init.o
  CC      sound/core/sound.o
  AR      sound/i2c/other/built-in.a
  AR      sound/drivers/opl3/built-in.a
  CC      sound/core/seq/seq.o
  CC      arch/x86/events/amd/core.o
  CC      arch/x86/kernel/fpu/init.o
  AR      sound/i2c/built-in.a
  AR      sound/drivers/opl4/built-in.a
  CC      fs/notify/dnotify/dnotify.o
  CC      arch/x86/mm/pat/set_memory.o
  CC      arch/x86/mm/pat/memtype.o
  CC      arch/x86/kernel/fpu/bugs.o
  CC      arch/x86/kernel/fpu/core.o
  AR      drivers/bus/mhi/host/built-in.a
  AR      sound/drivers/mpu401/built-in.a
  CC      arch/x86/entry/vdso/vma.o
  CC      lib/kunit/test.o
  AR      drivers/bus/mhi/ep/built-in.a
  CC      kernel/sched/core.o
  AR      drivers/pinctrl/actions/built-in.a
  AR      drivers/bus/mhi/built-in.a
  AR      drivers/phy/allwinner/built-in.a
  CC      mm/kasan/common.o
  AR      sound/drivers/vx/built-in.a
  AR      drivers/phy/amlogic/built-in.a
  CC      crypto/api.o
  CC      arch/x86/crypto/aesni-intel_glue.o
  AR      drivers/bus/built-in.a
  AR      drivers/pinctrl/bcm/built-in.a
  AR      sound/drivers/pcsp/built-in.a
  CC      crypto/cipher.o
  AR      drivers/phy/broadcom/built-in.a
  AR      sound/drivers/built-in.a
  AR      drivers/pinctrl/cirrus/built-in.a
  AR      drivers/phy/cadence/built-in.a
  AR      drivers/pinctrl/freescale/built-in.a
  AR      drivers/phy/freescale/built-in.a
  AR      drivers/phy/hisilicon/built-in.a
  CC      drivers/pinctrl/intel/pinctrl-baytrail.o
  AR      drivers/pinctrl/mediatek/built-in.a
  AR      drivers/phy/ingenic/built-in.a
  AS      arch/x86/lib/copy_mc_64.o
  CC      fs/nfs_common/grace.o
  AR      drivers/phy/intel/built-in.a
  AR      drivers/phy/lantiq/built-in.a
  CC      sound/core/init.o
  AR      drivers/phy/marvell/built-in.a
  AR      drivers/phy/mediatek/built-in.a
  AR      drivers/phy/microchip/built-in.a
  CC      net/llc/llc_core.o
  AR      drivers/phy/motorola/built-in.a
  AR      drivers/phy/mscc/built-in.a
  AR      drivers/phy/qualcomm/built-in.a
  AS      arch/x86/lib/copy_page_64.o
  AR      drivers/phy/ralink/built-in.a
  AR      drivers/phy/renesas/built-in.a
  GEN     usr/initramfs_data.cpio
  AR      drivers/phy/rockchip/built-in.a
  AS      arch/x86/lib/copy_user_64.o
  COPY    usr/initramfs_inc_data
  AS      usr/initramfs_data.o
  AR      drivers/phy/samsung/built-in.a
  AR      drivers/phy/socionext/built-in.a
  AR      drivers/phy/st/built-in.a
  AR      usr/built-in.a
  CC      arch/x86/lib/cpu.o
  AR      drivers/phy/sunplus/built-in.a
  AR      drivers/phy/tegra/built-in.a
  CC      net/core/request_sock.o
  AR      drivers/phy/ti/built-in.a
  AR      drivers/phy/xilinx/built-in.a
  CC      drivers/phy/phy-core.o
  AR      virt/built-in.a
  CC      security/inode.o
  CC      lib/math/div64.o
  CC      sound/core/seq/seq_lock.o
  CC      lib/math/gcd.o
  CC      arch/x86/lib/delay.o
  CC      kernel/sched/fair.o
  CC      lib/math/lcm.o
  AS      arch/x86/realmode/rm/header.o
  AS      arch/x86/realmode/rm/trampoline_64.o
  CC      arch/x86/kernel/fpu/regset.o
  AS      arch/x86/realmode/rm/stack.o
  CC      lib/crypto/memneq.o
  AS      arch/x86/realmode/rm/reboot.o
  CC      security/keys/key.o
  CC      lib/math/int_pow.o
  CC      block/partitions/ldm.o
  AS      arch/x86/lib/getuser.o
  GEN     arch/x86/lib/inat-tables.c
  CC      crypto/compress.o
  AS      arch/x86/realmode/rm/wakeup_asm.o
  CC      arch/x86/mm/pat/memtype_interval.o
  CC      arch/x86/power/hibernate.o
  CC      arch/x86/mm/init.o
  AR      fs/notify/dnotify/built-in.a
  CC      lib/math/int_sqrt.o
  CC      arch/x86/mm/init_64.o
  CC      arch/x86/realmode/rm/wakemain.o
  CC      fs/notify/inotify/inotify_fsnotify.o
  CC      arch/x86/pci/direct.o
  CC      lib/zlib_inflate/inffast.o
  CC      init/calibrate.o
  CC      lib/zlib_inflate/inflate.o
  CC      lib/kunit/resource.o
  CC      lib/math/reciprocal_div.o
  CC      arch/x86/realmode/rm/video-mode.o
  CC      lib/zlib_inflate/infutil.o
  CC      drivers/gpio/gpiolib.o
  CC      arch/x86/kernel/fpu/signal.o
  CC      lib/math/rational.o
  CC      arch/x86/lib/insn-eval.o
  CC      mm/kasan/report.o
  CC      fs/notify/inotify/inotify_user.o
  AR      fs/nfs_common/built-in.a
  CC      arch/x86/entry/vdso/extable.o
  CC      arch/x86/mm/fault.o
  CC      arch/x86/mm/ioremap.o
  AS      arch/x86/realmode/rm/copy.o
  CC      sound/core/seq/seq_clientmgr.o
  CC      init/init_task.o
  AS      arch/x86/realmode/rm/bioscall.o
  CC      arch/x86/realmode/rm/regs.o
  CC      net/llc/llc_input.o
  CC      arch/x86/realmode/rm/video-vga.o
  CC      arch/x86/events/amd/lbr.o
  CC      lib/crypto/utils.o
  AS      arch/x86/crypto/aesni-intel_avx-x86_64.o
  CC      io_uring/xattr.o
  CC      crypto/algapi.o
  CC      arch/x86/events/amd/ibs.o
  CC      net/ethernet/eth.o
  CC      arch/x86/realmode/rm/video-vesa.o
  CC      block/bdev.o
  CC      lib/zlib_inflate/inftrees.o
  AR      sound/isa/ad1816a/built-in.a
  AR      sound/isa/ad1848/built-in.a
  AR      sound/isa/cs423x/built-in.a
  CC      drivers/pinctrl/intel/pinctrl-intel.o
  AR      sound/isa/es1688/built-in.a
  CC      lib/kunit/string-stream.o
  CC      arch/x86/events/amd/uncore.o
  CC [M]  lib/math/prime_numbers.o
  CC      arch/x86/realmode/rm/video-bios.o
  AR      sound/isa/galaxy/built-in.a
  CC      kernel/sched/build_policy.o
  CC      arch/x86/kernel/cpu/mce/core.o
  AR      sound/isa/gus/built-in.a
  CC      arch/x86/kernel/cpu/mtrr/mtrr.o
  AR      sound/isa/msnd/built-in.a
  AR      sound/isa/opti9xx/built-in.a
  AR      sound/isa/sb/built-in.a
  CC      arch/x86/kernel/cpu/mtrr/if.o
  AR      sound/isa/wavefront/built-in.a
  CC      arch/x86/kernel/fpu/xstate.o
  AR      sound/isa/wss/built-in.a
  AR      sound/isa/built-in.a
  PASYMS  arch/x86/realmode/rm/pasyms.h
  LDS     arch/x86/realmode/rm/realmode.lds
  AR      drivers/phy/built-in.a
  CC      net/802/p8022.o
  AR      arch/x86/power/built-in.a
  CC      crypto/scatterwalk.o
  LD      arch/x86/realmode/rm/realmode.elf
  CC      net/llc/llc_output.o
  CC      lib/zlib_inflate/inflate_syms.o
  AS      arch/x86/crypto/aes_ctrby8_avx-x86_64.o
  RELOCS  arch/x86/realmode/rm/realmode.relocs
  OBJCOPY arch/x86/realmode/rm/realmode.bin
  CC      arch/x86/entry/vsyscall/vsyscall_64.o
  AS      arch/x86/realmode/rmpiggy.o
  CC      arch/x86/pci/mmconfig-shared.o
  AR      arch/x86/realmode/built-in.a
  CC      ipc/mqueue.o
  AS      arch/x86/entry/vsyscall/vsyscall_emu_64.o
  CC      sound/core/seq/seq_memory.o
  AR      lib/math/built-in.a
  AS [M]  arch/x86/crypto/ghash-clmulni-intel_asm.o
  CC      arch/x86/kernel/cpu/mtrr/generic.o
  CC      lib/crypto/chacha.o
  AR      sound/pci/ac97/built-in.a
  CC [M]  arch/x86/crypto/ghash-clmulni-intel_glue.o
  AR      sound/pci/ali5451/built-in.a
  AR      sound/pci/asihpi/built-in.a
  AR      sound/pci/au88x0/built-in.a
  AR      sound/pci/aw2/built-in.a
  AR      sound/pci/ctxfi/built-in.a
  CC      security/keys/keyring.o
  AR      sound/pci/ca0106/built-in.a
  AR      sound/pci/cs46xx/built-in.a
  AR      sound/pci/cs5535audio/built-in.a
  CC      init/version.o
  AR      sound/pci/lola/built-in.a
  AR      sound/pci/lx6464es/built-in.a
  CC      arch/x86/entry/vdso/vdso32-setup.o
  AR      sound/pci/echoaudio/built-in.a
  AR      sound/pci/emu10k1/built-in.a
  CC      lib/crypto/aes.o
  CC      net/802/psnap.o
  AR      sound/pci/hda/built-in.a
  CC [M]  sound/pci/hda/hda_bind.o
  CC      mm/kasan/init.o
  AR      arch/x86/mm/pat/built-in.a
  CC      lib/kunit/assert.o
  AS      arch/x86/entry/entry.o
  AR      lib/zlib_inflate/built-in.a
  CC      security/keys/keyctl.o
  CC      fs/notify/fsnotify.o
  CC      fs/notify/fanotify/fanotify.o
  CC      arch/x86/lib/insn.o
  CC      fs/notify/fanotify/fanotify_user.o
  CC      block/partitions/msdos.o
  AS      arch/x86/lib/memcpy_64.o
  CC      lib/zlib_deflate/deflate.o
  CC      io_uring/nop.o
  LDS     arch/x86/entry/vdso/vdso.lds
  AR      init/built-in.a
  CC      lib/zlib_deflate/deftree.o
  CC      lib/zlib_deflate/deflate_syms.o
  AS      arch/x86/entry/vdso/vdso-note.o
  CC      lib/lzo/lzo1x_compress.o
  AR      fs/notify/inotify/built-in.a
  CC      security/keys/permission.o
  CC      arch/x86/entry/vdso/vclock_gettime.o
  AS      arch/x86/lib/memmove_64.o
  CC      crypto/proc.o
  AS [M]  arch/x86/crypto/crc32-pclmul_asm.o
  CC      arch/x86/entry/vdso/vgetcpu.o
  CC [M]  arch/x86/crypto/crc32-pclmul_glue.o
  CC [M]  drivers/pinctrl/intel/pinctrl-cherryview.o
  CC      net/core/skbuff.o
  AR      net/llc/built-in.a
  CC      sound/core/memory.o
  CC      net/sched/sch_generic.o
  CC      net/sched/sch_mq.o
  AS      arch/x86/lib/memset_64.o
  CC      net/netlink/af_netlink.o
  CC      arch/x86/mm/extable.o
  AR      arch/x86/entry/vsyscall/built-in.a
  AR      arch/x86/events/amd/built-in.a
  CC      net/sched/sch_frag.o
  CC      arch/x86/lib/misc.o
  CC      arch/x86/events/intel/core.o
  CC      arch/x86/lib/pc-conf-reg.o
  CC      lib/kunit/try-catch.o
  AR      sound/ppc/built-in.a
  AS      arch/x86/lib/putuser.o
  AS      arch/x86/lib/retpoline.o
  CC      net/sched/sch_api.o
  CC [M]  drivers/pinctrl/intel/pinctrl-broxton.o
  CC      arch/x86/kernel/cpu/mtrr/cleanup.o
  CC [M]  drivers/pinctrl/intel/pinctrl-geminilake.o
  CC      arch/x86/mm/mmap.o
  CC      lib/crypto/gf128mul.o
  CC      sound/core/seq/seq_queue.o
  CC      arch/x86/pci/fixup.o
  CC      lib/lzo/lzo1x_decompress_safe.o
  CC [M]  sound/pci/hda/hda_codec.o
  AR      net/ethernet/built-in.a
  CC      net/netlink/genetlink.o
  CC      lib/crypto/blake2s.o
  CC      arch/x86/lib/usercopy.o
  HOSTCC  arch/x86/entry/vdso/vdso2c
  CC      security/keys/process_keys.o
  AS [M]  arch/x86/crypto/crct10dif-pcl-asm_64.o
  AR      net/bpf/built-in.a
  CC      crypto/aead.o
  CC      io_uring/fs.o
  CC [M]  arch/x86/crypto/crct10dif-pclmul_glue.o
  CC      net/802/stp.o
  CC      net/ethtool/ioctl.o
  CC      io_uring/splice.o
  CC      net/ethtool/common.o
  CC      mm/kasan/generic.o
  AR      arch/x86/kernel/fpu/built-in.a
  CC      fs/notify/notification.o
  CC      net/ethtool/netlink.o
  CC      block/partitions/efi.o
  CC      lib/kunit/executor.o
  AR      lib/zlib_deflate/built-in.a
  AR      sound/arm/built-in.a
  AR      sound/sh/built-in.a
  AR      sound/synth/emux/built-in.a
  AR      sound/synth/built-in.a
  CC      security/keys/request_key.o
  AR      sound/usb/misc/built-in.a
  CC      fs/notify/group.o
  AR      sound/usb/usx2y/built-in.a
  AR      sound/usb/caiaq/built-in.a
  CC      security/keys/request_key_auth.o
  AR      sound/usb/6fire/built-in.a
  CC      fs/notify/mark.o
  LDS     arch/x86/entry/vdso/vdso32/vdso32.lds
  AR      sound/usb/hiface/built-in.a
  AR      sound/usb/bcd2000/built-in.a
  AS      arch/x86/entry/vdso/vdso32/note.o
  AR      sound/firewire/built-in.a
  AR      sound/usb/built-in.a
  CC [M]  drivers/pinctrl/intel/pinctrl-sunrisepoint.o
  AS      arch/x86/entry/vdso/vdso32/system_call.o
  CC      lib/lz4/lz4_compress.o
  AR      lib/lzo/built-in.a
  CC      ipc/namespace.o
  AS      arch/x86/entry/vdso/vdso32/sigreturn.o
  CC      arch/x86/lib/usercopy_64.o
  CC      arch/x86/entry/vdso/vdso32/vclock_gettime.o
  CC      lib/lz4/lz4hc_compress.o
  LD [M]  arch/x86/crypto/ghash-clmulni-intel.o
  LD [M]  arch/x86/crypto/crc32-pclmul.o
  CC      arch/x86/kernel/cpu/mce/severity.o
  LD [M]  arch/x86/crypto/crct10dif-pclmul.o
  CC      lib/crypto/blake2s-generic.o
  CC      arch/x86/mm/pgtable.o
  CC      net/sched/sch_blackhole.o
  AR      arch/x86/crypto/built-in.a
  AR      drivers/pwm/built-in.a
  CC      security/keys/user_defined.o
  CC      net/sched/sch_fifo.o
  CC      sound/core/seq/seq_fifo.o
  AR      lib/kunit/built-in.a
  AR      fs/notify/fanotify/built-in.a
  CC      arch/x86/kernel/cpu/mce/genpool.o
  CC      lib/zstd/zstd_compress_module.o
  CC      arch/x86/pci/acpi.o
  AR      arch/x86/platform/atom/built-in.a
  AR      arch/x86/kernel/cpu/mtrr/built-in.a
  AR      arch/x86/platform/ce4100/built-in.a
  AR      sound/pci/ice1712/built-in.a
  CC      arch/x86/platform/efi/memmap.o
  CC      arch/x86/platform/efi/quirks.o
  AR      arch/x86/platform/geode/built-in.a
  CC      security/keys/compat.o
  CC      arch/x86/platform/efi/efi.o
  CC      arch/x86/kernel/acpi/boot.o
  CC      security/keys/proc.o
  CC      security/keys/sysctl.o
  AR      net/802/built-in.a
  CC      arch/x86/platform/efi/efi_64.o
  CC      crypto/geniv.o
  AR      drivers/pinctrl/intel/built-in.a
  AR      drivers/pinctrl/mvebu/built-in.a
  AR      drivers/pinctrl/nomadik/built-in.a
  AR      drivers/pinctrl/nuvoton/built-in.a
  AR      drivers/pinctrl/sprd/built-in.a
  AR      drivers/pinctrl/sunplus/built-in.a
  AR      drivers/pinctrl/ti/built-in.a
  CC      kernel/sched/build_utility.o
  CC      fs/notify/fdinfo.o
  CC      drivers/pinctrl/core.o
  CC      mm/kasan/report_generic.o
  CC      lib/zstd/compress/fse_compress.o
  CC      ipc/mq_sysctl.o
  VDSO    arch/x86/entry/vdso/vdso64.so.dbg
  CC      arch/x86/events/zhaoxin/core.o
  OBJCOPY arch/x86/entry/vdso/vdso64.so
  CC      arch/x86/mm/physaddr.o
  CC      arch/x86/lib/msr-smp.o
  AR      block/partitions/built-in.a
  CC      block/fops.o
  VDSO2C  arch/x86/entry/vdso/vdso-image-64.c
  VDSO    arch/x86/entry/vdso/vdso32.so.dbg
  CC      arch/x86/entry/vdso/vdso-image-64.o
  CC      drivers/gpio/gpiolib-devres.o
  AR      arch/x86/net/built-in.a
  CC [M]  arch/x86/kvm/../../../virt/kvm/kvm_main.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/eventfd.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/binary_stats.o
  CC      arch/x86/kernel/cpu/mce/intel.o
  CC      lib/crypto/blake2s-selftest.o
  CC      drivers/pinctrl/pinctrl-utils.o
  CC      arch/x86/events/intel/bts.o
  CC      arch/x86/lib/cache-smp.o
  CC      sound/core/seq/seq_prioq.o
  CC      arch/x86/lib/msr.o
  OBJCOPY arch/x86/entry/vdso/vdso32.so
  VDSO2C  arch/x86/entry/vdso/vdso-image-32.c
  CC      lib/crypto/des.o
  CC      arch/x86/entry/vdso/vdso-image-32.o
  CC      drivers/pinctrl/pinmux.o
  CC      lib/zstd/compress/hist.o
  AR      ipc/built-in.a
  CC      arch/x86/kernel/apic/apic.o
  CC      crypto/skcipher.o
  CC      arch/x86/kernel/apic/apic_common.o
  CC      arch/x86/pci/legacy.o
  AR      security/keys/built-in.a
  CC      security/device_cgroup.o
  CC      lib/crypto/sha1.o
  AS      arch/x86/platform/efi/efi_stub_64.o
  CC      lib/crypto/sha256.o
  CC      lib/zstd/compress/huf_compress.o
  CC      mm/filemap.o
  AR      fs/notify/built-in.a
  CC      arch/x86/mm/tlb.o
  CC      fs/iomap/trace.o
  AR      arch/x86/entry/vdso/built-in.a
  AS      arch/x86/entry/entry_64.o
  CC      arch/x86/kernel/apic/apic_noop.o
  CC      net/netlink/policy.o
  CC      fs/iomap/iter.o
  CC      lib/lz4/lz4_decompress.o
  CC      arch/x86/entry/syscall_64.o
  CC      arch/x86/kernel/cpu/cacheinfo.o
  CC      drivers/gpio/gpiolib-legacy.o
  CC      mm/kasan/shadow.o
  CC      fs/iomap/buffered-io.o
  AR      arch/x86/platform/efi/built-in.a
  AR      arch/x86/platform/iris/built-in.a
  CC      arch/x86/platform/intel/iosf_mbi.o
  CC [M]  net/netfilter/ipvs/ip_vs_conn.o
  CC      net/netfilter/core.o
  AR      arch/x86/events/zhaoxin/built-in.a
  CC      net/core/datagram.o
  CC      arch/x86/kernel/cpu/mce/threshold.o
  AR      net/sched/built-in.a
  AS      arch/x86/lib/msr-reg.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/vfio.o
  AR      fs/quota/built-in.a
  CC      sound/core/control.o
  CC [M]  net/netfilter/ipvs/ip_vs_core.o
  CC      arch/x86/kernel/acpi/sleep.o
  CC      drivers/pci/msi/pcidev_msi.o
  CC      sound/core/misc.o
  CC      sound/core/seq/seq_timer.o
  CC      net/netfilter/nf_log.o
  CC      block/bio.o
  CC      arch/x86/pci/irq.o
  CC      arch/x86/kernel/kprobes/core.o
  CC [M]  sound/pci/hda/hda_jack.o
  CC      arch/x86/lib/msr-reg-export.o
  CC      io_uring/sync.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/coalesced_mmio.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/async_pf.o
  CC      drivers/gpio/gpiolib-cdev.o
  AS      arch/x86/lib/hweight.o
  CC      drivers/pinctrl/pinconf.o
  CC      arch/x86/entry/common.o
  CC      arch/x86/lib/iomem.o
  CC      drivers/video/console/dummycon.o
  CC      mm/kasan/quarantine.o
  CC [M]  lib/crypto/arc4.o
  CC      drivers/idle/intel_idle.o
  CC      drivers/video/console/vgacon.o
  CC      net/ethtool/bitset.o
  AR      arch/x86/platform/intel/built-in.a
  AR      arch/x86/platform/intel-mid/built-in.a
  AR      arch/x86/platform/intel-quark/built-in.a
  AR      arch/x86/platform/olpc/built-in.a
  CC      drivers/pci/msi/api.o
  CC      net/netlink/diag.o
  AR      arch/x86/platform/scx200/built-in.a
  CC      arch/x86/events/intel/ds.o
  AR      arch/x86/platform/ts5500/built-in.a
  AR      arch/x86/platform/uv/built-in.a
  AR      arch/x86/platform/built-in.a
  CC      net/ethtool/strset.o
  AR      sound/sparc/built-in.a
  CC      net/ethtool/linkinfo.o
  CC      arch/x86/mm/cpu_entry_area.o
  AS      arch/x86/kernel/acpi/wakeup_64.o
  CC      crypto/seqiv.o
  CC      arch/x86/kernel/acpi/apei.o
  CC      arch/x86/kernel/cpu/mce/apei.o
  CC      net/ethtool/linkmodes.o
  AS      arch/x86/lib/iomap_copy_64.o
  CC      sound/core/seq/seq_system.o
  CC      fs/iomap/direct-io.o
  CC      arch/x86/lib/inat.o
  CC      arch/x86/kernel/cpu/scattered.o
  AR      security/built-in.a
  CC      sound/core/device.o
  AR      lib/lz4/built-in.a
  AR      drivers/char/ipmi/built-in.a
  CC      sound/core/info.o
  AR      lib/crypto/built-in.a
  LD [M]  lib/crypto/libarc4.o
  CC      drivers/acpi/acpica/dsargs.o
  AR      arch/x86/lib/built-in.a
  CC      io_uring/advise.o
  AR      arch/x86/lib/lib.a
  CC      drivers/acpi/acpica/dscontrol.o
  CC      drivers/pinctrl/pinconf-generic.o
  CC      arch/x86/kernel/cpu/topology.o
  CC      lib/zstd/compress/zstd_compress.o
  AS      arch/x86/entry/thunk_64.o
  CC      drivers/video/logo/logo.o
  AS      arch/x86/entry/entry_64_compat.o
  CC      arch/x86/kernel/kprobes/opt.o
  CC      arch/x86/entry/syscall_32.o
  CC [M]  sound/pci/hda/hda_auto_parser.o
  CC      drivers/pnp/pnpacpi/core.o
  CC      arch/x86/kernel/cpu/common.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/irqchip.o
  CC      drivers/pnp/pnpacpi/rsparser.o
  AR      mm/kasan/built-in.a
  CC      net/core/stream.o
  CC      net/core/scm.o
  CC      arch/x86/mm/maccess.o
  CC      drivers/pci/msi/msi.o
  CC      arch/x86/pci/common.o
  CC      crypto/echainiv.o
  CC      arch/x86/kernel/apic/ipi.o
  CC      sound/core/seq/seq_ports.o
  CC      arch/x86/kernel/acpi/cppc.o
  AR      arch/x86/kernel/cpu/mce/built-in.a
  CC      io_uring/filetable.o
  CC      drivers/video/backlight/backlight.o
  CC      arch/x86/kernel/kprobes/ftrace.o
  CC      drivers/acpi/acpica/dsdebug.o
  CC      drivers/pnp/core.o
  HOSTCC  drivers/video/logo/pnmtologo
  CC      drivers/acpi/apei/apei-base.o
  CC      drivers/pci/pcie/portdrv.o
  CC      arch/x86/mm/pgprot.o
  AR      drivers/video/console/built-in.a
  CC      drivers/pci/pcie/rcec.o
  AR      net/netlink/built-in.a
  AR      drivers/pinctrl/built-in.a
  CC      arch/x86/pci/early.o
  AR      drivers/idle/built-in.a
  AR      net/ipv4/netfilter/built-in.a
  CC      arch/x86/pci/bus_numa.o
  CC [M]  net/ipv4/netfilter/nf_defrag_ipv4.o
  CC      arch/x86/pci/amd_bus.o
  CC [M]  net/ipv4/netfilter/nf_reject_ipv4.o
  CC      lib/xz/xz_dec_syms.o
  CC      sound/core/isadma.o
  LOGO    drivers/video/logo/logo_linux_clut224.c
  CC      arch/x86/kernel/apic/vector.o
  CC      drivers/video/logo/logo_linux_clut224.o
  AR      arch/x86/entry/built-in.a
  CC      net/xfrm/xfrm_policy.o
  CC      arch/x86/events/intel/knc.o
  CC      net/xfrm/xfrm_state.o
  CC      net/ethtool/rss.o
  CC      net/unix/af_unix.o
  AR      drivers/video/logo/built-in.a
  AR      drivers/amba/built-in.a
  CC      net/ethtool/linkstate.o
  CC      drivers/acpi/acpica/dsfield.o
  CC      lib/xz/xz_dec_stream.o
  CC      arch/x86/kernel/apic/hw_nmi.o
  CC      fs/iomap/fiemap.o
  CC      drivers/pci/pcie/aspm.o
  CC      block/elevator.o
  CC      drivers/gpio/gpiolib-sysfs.o
  CC      arch/x86/kernel/acpi/cstate.o
  CC      crypto/ahash.o
  AR      arch/x86/kernel/kprobes/built-in.a
  LDS     arch/x86/kernel/vmlinux.lds
  CC      net/unix/garbage.o
  CC      arch/x86/mm/hugetlbpage.o
  AS      arch/x86/kernel/head_64.o
  CC      arch/x86/kernel/head64.o
  CC      fs/iomap/seek.o
  CC      lib/xz/xz_dec_lzma2.o
  CC [M]  net/netfilter/ipvs/ip_vs_ctl.o
  CC      crypto/shash.o
  CC      block/blk-core.o
  CC      net/unix/sysctl_net_unix.o
  AR      drivers/pnp/pnpacpi/built-in.a
  CC [M]  arch/x86/kvm/../../../virt/kvm/dirty_ring.o
  CC      drivers/pnp/card.o
  CC      sound/core/seq/seq_info.o
  CC      block/blk-sysfs.o
  CC      io_uring/openclose.o
  CC      drivers/pci/msi/irqdomain.o
  AR      drivers/video/backlight/built-in.a
  CC [M]  sound/pci/hda/hda_sysfs.o
  AR      arch/x86/pci/built-in.a
  CC      io_uring/uring_cmd.o
  CC      drivers/video/fbdev/core/fb_notify.o
  CC      block/blk-flush.o
  CC [M]  sound/pci/hda/hda_controller.o
  CC      drivers/acpi/apei/hest.o
  CC      drivers/acpi/acpica/dsinit.o
  CC      arch/x86/events/intel/lbr.o
  CC [M]  sound/pci/hda/hda_proc.o
  CC      block/blk-settings.o
  CC      drivers/acpi/apei/erst.o
  CC      drivers/pci/hotplug/pci_hotplug_core.o
  AR      drivers/video/fbdev/omap/built-in.a
  CC      arch/x86/events/intel/p4.o
  AR      arch/x86/kernel/acpi/built-in.a
  AR      sound/pci/korg1212/built-in.a
  CC      arch/x86/kernel/ebda.o
  CC      arch/x86/kernel/apic/io_apic.o
  CC      net/core/gen_stats.o
  CC      arch/x86/kernel/cpu/rdrand.o
  CC      drivers/gpio/gpiolib-acpi.o
  CC      fs/proc/task_mmu.o
  CC      kernel/locking/mutex.o
  CC      fs/iomap/swapfile.o
  CC      drivers/acpi/apei/bert.o
  AR      sound/core/seq/built-in.a
  CC      sound/core/vmaster.o
  CC      arch/x86/mm/kasan_init_64.o
  CC      net/ethtool/debug.o
  CC      drivers/acpi/acpica/dsmethod.o
  CC      arch/x86/kernel/cpu/match.o
  CC      kernel/locking/semaphore.o
  CC      lib/xz/xz_dec_bcj.o
  CC [M]  net/ipv4/netfilter/ip_tables.o
  CC      drivers/pnp/driver.o
  CC      arch/x86/kernel/apic/msi.o
  CC      net/ipv4/route.o
  CC      drivers/acpi/apei/ghes.o
  CC      drivers/pci/pcie/aer.o
  CC      mm/mempool.o
  CC      arch/x86/kernel/cpu/bugs.o
  AR      drivers/pci/msi/built-in.a
  CC [M]  drivers/video/fbdev/core/fbmem.o
  CC      crypto/akcipher.o
  CC      kernel/locking/rwsem.o
  CC      drivers/video/aperture.o
  CC      kernel/locking/percpu-rwsem.o
  CC      kernel/power/qos.o
  CC [M]  arch/x86/kvm/../../../virt/kvm/pfncache.o
  CC      drivers/pci/hotplug/acpi_pcihp.o
  CC      drivers/acpi/acpica/dsmthdat.o
  CC      block/blk-ioc.o
  CC      arch/x86/kernel/apic/x2apic_phys.o
  CC      drivers/pci/hotplug/pciehp_core.o
  CC      arch/x86/kernel/apic/x2apic_cluster.o
  CC      io_uring/epoll.o
  CC      kernel/printk/printk.o
  CC      arch/x86/events/intel/p6.o
  CC      sound/core/ctljack.o
  AR      lib/xz/built-in.a
  CC      kernel/printk/printk_safe.o
  AR      fs/iomap/built-in.a
  CC      fs/kernfs/mount.o
  CC      kernel/printk/printk_ringbuffer.o
  CC      fs/kernfs/inode.o
  CC      kernel/locking/irqflag-debug.o
  CC      arch/x86/mm/pkeys.o
  CC      drivers/pnp/resource.o
  CC      kernel/printk/sysctl.o
  CC      net/unix/diag.o
  CC      net/core/gen_estimator.o
  CC      net/ethtool/wol.o
  CC      drivers/gpio/gpiolib-swnode.o
  CC      sound/core/jack.o
  CC      arch/x86/mm/pti.o
  CC [M]  sound/pci/hda/hda_hwdep.o
  CC      arch/x86/events/core.o
  CC      drivers/acpi/acpica/dsobject.o
  CC      arch/x86/events/probe.o
  CC      crypto/kpp.o
  CC      sound/core/timer.o
  CC      mm/oom_kill.o
  CC      fs/proc/inode.o
  CC      arch/x86/kernel/cpu/aperfmperf.o
  CC      kernel/locking/mutex-debug.o
  CC      mm/fadvise.o
  CC      arch/x86/events/utils.o
  CC      arch/x86/kernel/cpu/cpuid-deps.o
  CC      block/blk-map.o
  CC      io_uring/statx.o
  CC      arch/x86/events/intel/pt.o
  CC      drivers/pci/hotplug/pciehp_ctrl.o
  CC      drivers/video/cmdline.o
  CC      kernel/power/main.o
  CC [M]  arch/x86/kvm/x86.o
  CC      fs/proc/root.o
  CC      lib/zstd/compress/zstd_compress_literals.o
  CC [M]  net/ipv4/netfilter/iptable_filter.o
  CC      fs/sysfs/file.o
  AR      kernel/sched/built-in.a
  CC      net/core/net_namespace.o
  AR      drivers/acpi/apei/built-in.a
  CC      fs/kernfs/dir.o
  CC      arch/x86/kernel/cpu/umwait.o
  CC      drivers/pci/pcie/err.o
  CC      drivers/acpi/acpica/dsopcode.o
  AR      drivers/gpio/built-in.a
  CC      drivers/acpi/acpica/dspkginit.o
  CC      lib/zstd/compress/zstd_compress_sequences.o
  CC      arch/x86/kernel/apic/apic_flat_64.o
  CC      drivers/acpi/acpica/dsutils.o
  CC      net/ipv4/inetpeer.o
  CC [M]  arch/x86/kvm/emulate.o
  CC [M]  sound/pci/hda/hda_generic.o
  CC      fs/proc/base.o
  AR      drivers/acpi/pmic/built-in.a
  CC      fs/proc/generic.o
  CC      drivers/acpi/dptf/int340x_thermal.o
  CC      crypto/acompress.o
  CC      arch/x86/events/rapl.o
  AR      arch/x86/mm/built-in.a
  CC      net/core/secure_seq.o
  CC      fs/proc/array.o
  CC      net/ipv4/protocol.o
  CC      drivers/pnp/manager.o
  CC [M]  drivers/video/fbdev/core/fbmon.o
  CC      drivers/pnp/support.o
  CC      net/unix/scm.o
  CC      net/ethtool/features.o
  CC      fs/sysfs/dir.o
  CC [M]  arch/x86/kvm/i8259.o
  CC      io_uring/net.o
  CC      lib/zstd/compress/zstd_compress_superblock.o
  CC      arch/x86/kernel/cpu/proc.o
  CC [M]  net/ipv4/netfilter/iptable_mangle.o
  CC      fs/sysfs/symlink.o
  CC      kernel/locking/lockdep.o
  CC      drivers/acpi/acpica/dswexec.o
  CC      drivers/acpi/acpica/dswload.o
  CC [M]  arch/x86/kvm/irq.o
  CC      fs/sysfs/mount.o
  CC      drivers/pci/hotplug/pciehp_pci.o
  CC      arch/x86/kernel/apic/probe_64.o
  CC      drivers/pci/pcie/aer_inject.o
  CC      kernel/power/console.o
  AR      drivers/acpi/dptf/built-in.a
  CC      fs/sysfs/group.o
  AR      drivers/pci/controller/dwc/built-in.a
  AR      drivers/pci/controller/mobiveil/built-in.a
  CC      drivers/pci/controller/vmd.o
  CC      block/blk-merge.o
  CC      arch/x86/events/intel/uncore.o
  CC      lib/zstd/compress/zstd_double_fast.o
  CC      drivers/acpi/acpica/dswload2.o
  CC [M]  net/netfilter/ipvs/ip_vs_sched.o
  CC      fs/proc/fd.o
  CC      drivers/pnp/interface.o
  AR      arch/x86/kernel/apic/built-in.a
  CC      drivers/pci/hotplug/pciehp_hpc.o
  CC      sound/core/hrtimer.o
  CC [M]  net/netfilter/ipvs/ip_vs_xmit.o
  CC      crypto/scompress.o
  CC      drivers/acpi/acpica/dswscope.o
  CC      kernel/power/process.o
  CC      net/ethtool/privflags.o
  CC      drivers/pnp/quirks.o
  CC      fs/proc/proc_tty.o
  MKCAP   arch/x86/kernel/cpu/capflags.c
  CC      net/ipv4/ip_input.o
  CC      kernel/power/suspend.o
  CC      fs/kernfs/file.o
  CC [M]  net/ipv4/netfilter/iptable_nat.o
  CC      sound/core/seq_device.o
  AR      kernel/printk/built-in.a
  CC      net/ethtool/rings.o
  AR      net/unix/built-in.a
  CC      block/blk-timeout.o
  CC      drivers/pci/hotplug/acpiphp_core.o
  CC      drivers/acpi/acpica/dswstate.o
  CC      mm/maccess.o
  CC      net/core/flow_dissector.o
  AR      fs/sysfs/built-in.a
  CC      drivers/pci/pcie/pme.o
  CC      kernel/power/hibernate.o
  CC      kernel/irq/irqdesc.o
  CC      drivers/pci/pcie/dpc.o
  CC      kernel/power/snapshot.o
  CC      drivers/acpi/acpica/evevent.o
  CC [M]  net/ipv4/netfilter/ipt_REJECT.o
  CC      fs/kernfs/symlink.o
  CC      arch/x86/kernel/cpu/powerflags.o
  CC      fs/configfs/inode.o
  CC      fs/configfs/file.o
  CC      mm/page-writeback.o
  CC [M]  net/netfilter/ipvs/ip_vs_app.o
  CC      arch/x86/kernel/cpu/feat_ctl.o
  CC      net/xfrm/xfrm_hash.o
  CC [M]  drivers/video/fbdev/core/fbcmap.o
  CC      fs/proc/cmdline.o
  CC      kernel/rcu/update.o
  CC      crypto/algboss.o
  AR      drivers/pci/controller/built-in.a
  CC      crypto/testmgr.o
  CC      arch/x86/kernel/cpu/intel.o
  CC [M]  sound/core/control_led.o
  CC      drivers/acpi/acpica/evgpe.o
  CC      arch/x86/kernel/cpu/intel_pconfig.o
  CC      drivers/pnp/system.o
  CC [M]  drivers/video/fbdev/core/fbsysfs.o
  CC      block/blk-lib.o
  CC [M]  net/netfilter/ipvs/ip_vs_sync.o
  CC      fs/configfs/dir.o
  CC      drivers/pci/hotplug/acpiphp_glue.o
  CC [M]  sound/core/hwdep.o
  CC      block/blk-mq.o
  CC      mm/folio-compat.o
  CC      arch/x86/kernel/cpu/tsx.o
  CC      arch/x86/kernel/cpu/intel_epb.o
  CC      arch/x86/events/intel/uncore_nhmex.o
  CC [M]  drivers/video/fbdev/core/modedb.o
  CC      net/xfrm/xfrm_input.o
  CC      arch/x86/events/intel/uncore_snb.o
  AR      fs/kernfs/built-in.a
  CC      net/xfrm/xfrm_output.o
  AR      drivers/pci/pcie/built-in.a
  CC      net/xfrm/xfrm_sysctl.o
  CC      net/xfrm/xfrm_replay.o
  AR      drivers/video/fbdev/omap2/omapfb/dss/built-in.a
  CC      kernel/irq/handle.o
  AR      drivers/video/fbdev/omap2/omapfb/displays/built-in.a
  CC      net/ethtool/channels.o
  AR      drivers/video/fbdev/omap2/omapfb/built-in.a
  CC      io_uring/msg_ring.o
  AR      drivers/video/fbdev/omap2/built-in.a
  CC [M]  drivers/video/fbdev/uvesafb.o
  AR      drivers/pci/switch/built-in.a
  CC [M]  sound/pci/hda/patch_realtek.o
  CC [M]  drivers/video/fbdev/simplefb.o
  CC      fs/devpts/inode.o
  CC      kernel/irq/manage.o
  AR      drivers/pnp/built-in.a
  CC      block/blk-mq-tag.o
  CC [M]  net/netfilter/ipvs/ip_vs_est.o
  CC      drivers/acpi/acpica/evgpeblk.o
  CC      fs/proc/consoles.o
  CC      fs/proc/cpuinfo.o
  CC      arch/x86/kernel/cpu/amd.o
  CC      arch/x86/kernel/cpu/hygon.o
  CC      kernel/power/swap.o
  AR      net/ipv6/netfilter/built-in.a
  CC [M]  net/ipv6/netfilter/nf_defrag_ipv6_hooks.o
  CC      net/ipv6/af_inet6.o
  CC      net/ipv4/ip_fragment.o
  CC      kernel/power/user.o
  CC [M]  drivers/video/fbdev/core/fbcvt.o
  CC      mm/readahead.o
  CC [M]  sound/core/pcm.o
  CC      drivers/video/nomodeset.o
  CC      block/blk-stat.o
  CC      kernel/irq/spurious.o
  CC      block/blk-mq-sysfs.o
  CC      drivers/acpi/acpica/evgpeinit.o
  CC      net/core/sysctl_net_core.o
  CC      io_uring/timeout.o
  CC      fs/proc/devices.o
  CC [M]  sound/core/pcm_native.o
  CC      arch/x86/kernel/cpu/centaur.o
  CC      net/ipv4/ip_forward.o
  CC      arch/x86/events/intel/uncore_snbep.o
  AR      fs/devpts/built-in.a
  CC      kernel/rcu/sync.o
  AR      drivers/pci/hotplug/built-in.a
  CC      arch/x86/events/intel/uncore_discovery.o
  CC      drivers/pci/access.o
  CC      io_uring/sqpoll.o
  CC      kernel/power/poweroff.o
  CC      fs/configfs/symlink.o
  CC      net/ethtool/coalesce.o
  CC      net/xfrm/xfrm_device.o
  CC      kernel/irq/resend.o
  CC      drivers/acpi/acpica/evgpeutil.o
  CC      arch/x86/kernel/cpu/zhaoxin.o
  AR      kernel/livepatch/built-in.a
  CC      block/blk-mq-cpumap.o
  CC      kernel/irq/chip.o
  CC      io_uring/fdinfo.o
  CC      kernel/rcu/srcutree.o
  CC      net/ethtool/pause.o
  CC      mm/swap.o
  CC      net/core/dev.o
  CC [M]  drivers/video/fbdev/core/fb_cmdline.o
  CC [M]  drivers/video/fbdev/core/fb_defio.o
  CC      fs/proc/interrupts.o
  CC      net/core/dev_addr_lists.o
  CC      net/core/dst.o
  CC      arch/x86/events/msr.o
  CC      net/xfrm/xfrm_algo.o
  CC      drivers/pci/bus.o
  CC [M]  net/ipv6/netfilter/nf_conntrack_reasm.o
  CC      fs/configfs/mount.o
  CC      net/ethtool/eee.o
  AR      drivers/clk/actions/built-in.a
  AR      drivers/clk/analogbits/built-in.a
  CC      drivers/acpi/acpica/evglock.o
  AR      drivers/clk/bcm/built-in.a
  CC      drivers/acpi/acpica/evhandler.o
  AR      drivers/clk/imgtec/built-in.a
  AR      drivers/clk/imx/built-in.a
  CC      drivers/dma/dw/core.o
  AR      drivers/clk/ingenic/built-in.a
  AR      drivers/soc/apple/built-in.a
  AR      drivers/clk/mediatek/built-in.a
  AR      drivers/soc/aspeed/built-in.a
  AR      kernel/power/built-in.a
  AR      drivers/clk/microchip/built-in.a
  CC [M]  net/netfilter/ipvs/ip_vs_proto.o
  AR      drivers/soc/bcm/bcm63xx/built-in.a
  AR      drivers/clk/mstar/built-in.a
  CC [M]  net/netfilter/ipvs/ip_vs_pe.o
  AR      drivers/soc/bcm/built-in.a
  AR      drivers/clk/mvebu/built-in.a
  AR      drivers/clk/ralink/built-in.a
  AR      drivers/clk/renesas/built-in.a
  CC      drivers/dma/dw/dw.o
  AR      drivers/soc/fsl/built-in.a
  AR      drivers/clk/socfpga/built-in.a
  AR      drivers/soc/fujitsu/built-in.a
  AR      drivers/clk/sprd/built-in.a
  AR      drivers/soc/imx/built-in.a
  AR      drivers/clk/sunxi-ng/built-in.a
  CC      drivers/dma/hsu/hsu.o
  AR      drivers/soc/ixp4xx/built-in.a
  AR      drivers/clk/ti/built-in.a
  CC [M]  sound/pci/hda/patch_analog.o
  AR      drivers/clk/versatile/built-in.a
  AR      drivers/soc/loongson/built-in.a
  CC      lib/raid6/algos.o
  AR      drivers/soc/mediatek/built-in.a
  CC      drivers/clk/x86/clk-lpss-atom.o
  CC      drivers/clk/x86/clk-pmc-atom.o
  CC      fs/proc/loadavg.o
  AR      drivers/soc/microchip/built-in.a
  CC      lib/raid6/recov.o
  AR      drivers/soc/pxa/built-in.a
  CC      mm/truncate.o
  AR      drivers/soc/amlogic/built-in.a
  CC      net/ethtool/tsinfo.o
  CC [M]  arch/x86/kvm/lapic.o
  AR      drivers/soc/qcom/built-in.a
  AR      drivers/soc/renesas/built-in.a
  CC      net/core/netevent.o
  AR      drivers/soc/rockchip/built-in.a
  CC      kernel/irq/dummychip.o
  AR      drivers/soc/sunxi/built-in.a
  AR      drivers/soc/ti/built-in.a
  AR      drivers/soc/xilinx/built-in.a
  AR      drivers/soc/built-in.a
  CC      crypto/cmac.o
  CC      drivers/dma/dw/idma32.o
  CC [M]  drivers/video/fbdev/core/fbcon.o
  CC      fs/ext4/balloc.o
  CC      drivers/acpi/acpica/evmisc.o
  CC      drivers/video/hdmi.o
  CC      fs/ext4/bitmap.o
  CC      fs/configfs/item.o
  CC      net/ipv4/ip_options.o
  CC      net/ipv4/ip_output.o
  CC      kernel/locking/lockdep_proc.o
  CC      drivers/dma/dw/acpi.o
  CC      crypto/hmac.o
  AR      drivers/dma/idxd/built-in.a
  CC      kernel/irq/devres.o
  CC      drivers/pci/probe.o
  CC      drivers/pci/host-bridge.o
  CC      io_uring/tctx.o
  CC      drivers/pci/remove.o
  CC [M]  drivers/video/fbdev/core/bitblit.o
  CC      arch/x86/kernel/cpu/perfctr-watchdog.o
  CC      fs/proc/meminfo.o
  CC      kernel/rcu/tree.o
  AR      drivers/clk/x86/built-in.a
  AR      drivers/clk/xilinx/built-in.a
  CC      kernel/rcu/rcu_segcblist.o
  CC      drivers/clk/clk-devres.o
  AR      drivers/dma/mediatek/built-in.a
  CC      drivers/clk/clk-bulk.o
  HOSTCC  lib/raid6/mktables
  CC      block/blk-mq-sched.o
  CC      drivers/acpi/acpica/evregion.o
  CC      lib/fonts/fonts.o
  CC      net/xfrm/xfrm_user.o
  CC      lib/fonts/font_8x8.o
  CC      net/core/neighbour.o
  CC      lib/argv_split.o
  UNROLL  lib/raid6/int1.c
  UNROLL  lib/raid6/int2.c
  UNROLL  lib/raid6/int4.c
  UNROLL  lib/raid6/int8.c
  AR      drivers/dma/hsu/built-in.a
  UNROLL  lib/raid6/int16.c
  UNROLL  lib/raid6/int32.c
  CC      lib/raid6/recov_ssse3.o
  AR      drivers/dma/qcom/built-in.a
  AR      sound/pci/mixart/built-in.a
  CC      fs/proc/stat.o
  CC      drivers/pci/pci.o
  AR      fs/configfs/built-in.a
  CC      drivers/dma/dw/pci.o
  CC      net/ethtool/cabletest.o
  CC [M]  sound/pci/hda/patch_hdmi.o
  CC      fs/proc/uptime.o
  CC      drivers/pci/pci-driver.o
  CC      lib/zstd/compress/zstd_fast.o
  CC [M]  sound/core/pcm_lib.o
  CC      kernel/irq/autoprobe.o
  AR      sound/pci/nm256/built-in.a
  CC [M]  sound/pci/hda/hda_eld.o
  CC      lib/raid6/recov_avx2.o
  CC      mm/vmscan.o
  CC      lib/raid6/mmx.o
  AR      sound/pci/oxygen/built-in.a
  CC      lib/raid6/sse1.o
  CC      crypto/vmac.o
  CC      lib/fonts/font_8x16.o
  CC [M]  sound/core/pcm_misc.o
  CC [M]  net/netfilter/ipvs/ip_vs_proto_tcp.o
  CC      lib/raid6/sse2.o
  CC [M]  sound/core/pcm_memory.o
  LD [M]  net/ipv6/netfilter/nf_defrag_ipv6.o
  CC      kernel/locking/spinlock.o
  CC      net/ipv6/anycast.o
  CC      fs/ext4/block_validity.o
  CC      drivers/clk/clkdev.o
  CC      drivers/virtio/virtio.o
  CC      arch/x86/kernel/cpu/vmware.o
  CC      arch/x86/kernel/cpu/hypervisor.o
  CC      drivers/virtio/virtio_ring.o
  CC      drivers/acpi/acpica/evrgnini.o
  CC [M]  arch/x86/kvm/i8254.o
  CC      arch/x86/events/intel/cstate.o
  CC      io_uring/poll.o
  CC      fs/proc/util.o
  CC      arch/x86/kernel/cpu/mshyperv.o
  CC      net/ipv6/ip6_output.o
  CC      drivers/pci/search.o
  CC      kernel/irq/irqdomain.o
  CC [M]  drivers/video/fbdev/core/softcursor.o
  AR      lib/fonts/built-in.a
  CC      mm/shmem.o
  CC [M]  net/netfilter/ipvs/ip_vs_proto_udp.o
  AR      drivers/dma/dw/built-in.a
  AR      drivers/dma/ti/built-in.a
  AR      drivers/dma/xilinx/built-in.a
  CC      kernel/locking/osq_lock.o
  CC [M]  drivers/dma/ioat/init.o
  CC      kernel/locking/qspinlock.o
  CC      drivers/dma/dmaengine.o
  CC      io_uring/cancel.o
  CC      drivers/clk/clk.o
  CC      lib/raid6/avx2.o
  CC      drivers/acpi/acpica/evsci.o
  CC      block/ioctl.o
  CC      lib/raid6/avx512.o
  CC [M]  drivers/video/fbdev/core/tileblit.o
  CC      io_uring/kbuf.o
  CC [M]  sound/pci/hda/hda_intel.o
  CC      drivers/pci/pci-sysfs.o
  CC      drivers/virtio/virtio_anchor.o
  CC      block/genhd.o
  CC      net/ipv6/ip6_input.o
  CC      fs/proc/version.o
  CC      net/ethtool/tunnels.o
  CC      crypto/xcbc.o
  AR      arch/x86/events/intel/built-in.a
  CC      fs/ext4/dir.o
  AR      arch/x86/events/built-in.a
  CC      block/ioprio.o
  CC [M]  drivers/video/fbdev/core/cfbfillrect.o
  CC      lib/bug.o
  CC      drivers/acpi/acpica/evxface.o
  CC      drivers/dma/virt-dma.o
  CC      kernel/locking/rtmutex_api.o
  CC [M]  sound/core/memalloc.o
  CC [M]  drivers/dma/ioat/dma.o
  CC      arch/x86/kernel/cpu/capflags.o
  CC      drivers/virtio/virtio_pci_modern_dev.o
  AR      arch/x86/kernel/cpu/built-in.a
  CC      arch/x86/kernel/platform-quirks.o
  CC      net/ipv6/addrconf.o
  CC      fs/proc/softirqs.o
  CC      lib/raid6/recov_avx512.o
  CC      drivers/acpi/acpica/evxfevnt.o
  CC      drivers/acpi/acpica/evxfgpe.o
  CC      drivers/acpi/acpica/evxfregn.o
  CC      crypto/crypto_null.o
  LD [M]  sound/pci/hda/snd-hda-codec.o
  CC      drivers/dma/acpi-dma.o
  CC      arch/x86/kernel/process_64.o
  CC      drivers/acpi/acpica/exconcat.o
  CC [M]  sound/core/pcm_timer.o
  CC      net/ethtool/fec.o
  CC      kernel/irq/proc.o
  CC      drivers/acpi/acpica/exconfig.o
  CC      drivers/acpi/acpica/exconvrt.o
  LD [M]  sound/pci/hda/snd-hda-codec-generic.o
  CC [M]  net/netfilter/ipvs/ip_vs_nfct.o
  CC      net/ipv4/ip_sockglue.o
  CC      fs/proc/namespaces.o
  CC      drivers/virtio/virtio_pci_legacy_dev.o
  TABLE   lib/raid6/tables.c
  CC      io_uring/rsrc.o
  CC      net/ethtool/eeprom.o
  LD [M]  sound/core/snd-ctl-led.o
  CC      block/badblocks.o
  CC [M]  drivers/dma/ioat/prep.o
  CC [M]  drivers/video/fbdev/core/cfbcopyarea.o
  CC [M]  drivers/dma/ioat/dca.o
  CC      block/blk-rq-qos.o
  CC      kernel/irq/migration.o
  CC [M]  drivers/dma/ioat/sysfs.o
  CC      net/ipv6/addrlabel.o
  CC      lib/buildid.o
  LD [M]  sound/pci/hda/snd-hda-codec-realtek.o
  CC      crypto/md5.o
  CC      drivers/acpi/acpica/excreate.o
  AR      sound/spi/built-in.a
  CC      drivers/acpi/acpica/exdebug.o
  CC      lib/raid6/int1.o
  CC      fs/ext4/ext4_jbd2.o
  CC      drivers/acpi/tables.o
  LD [M]  sound/core/snd-hwdep.o
  CC      net/packet/af_packet.o
  CC      net/packet/diag.o
  AR      sound/core/built-in.a
  LD [M]  sound/core/snd-pcm.o
  CC      net/ipv6/route.o
  CC      io_uring/rw.o
  CC      io_uring/opdef.o
  CC      net/key/af_key.o
  CC      arch/x86/kernel/signal.o
  AR      net/bridge/netfilter/built-in.a
  CC      net/bridge/br.o
  AR      net/xfrm/built-in.a
  CC      arch/x86/kernel/signal_64.o
  CC      kernel/locking/spinlock_debug.o
  CC      kernel/irq/cpuhotplug.o
  CC      kernel/irq/pm.o
  CC      drivers/virtio/virtio_pci_modern.o
  CC      kernel/irq/msi.o
  CC      fs/proc/self.o
  LD [M]  sound/pci/hda/snd-hda-codec-analog.o
  LD [M]  sound/pci/hda/snd-hda-codec-hdmi.o
  CC      drivers/pci/rom.o
  CC      drivers/acpi/acpica/exdump.o
  LD [M]  sound/pci/hda/snd-hda-intel.o
  AR      sound/pci/pcxhr/built-in.a
  CC      fs/ext4/extents.o
  AR      sound/pci/riptide/built-in.a
  AR      sound/pci/rme9652/built-in.a
  CC      fs/ext4/extents_status.o
  AR      sound/pci/trident/built-in.a
  CC      crypto/sha1_generic.o
  CC      arch/x86/kernel/signal_compat.o
  AR      sound/pci/ymfpci/built-in.a
  CC      arch/x86/kernel/traps.o
  AR      sound/pci/vx222/built-in.a
  CC      net/bridge/br_device.o
  AR      sound/pci/built-in.a
  CC      block/disk-events.o
  CC      net/ethtool/stats.o
  AR      sound/parisc/built-in.a
  CC      fs/proc/thread_self.o
  AR      sound/pcmcia/vx/built-in.a
  AR      sound/pcmcia/pdaudiocf/built-in.a
  AR      sound/pcmcia/built-in.a
  CC      lib/raid6/int2.o
  AR      sound/mips/built-in.a
  AR      sound/soc/built-in.a
  CC      drivers/acpi/acpica/exfield.o
  AR      sound/atmel/built-in.a
  AR      sound/hda/built-in.a
  CC [M]  net/netfilter/ipvs/ip_vs_rr.o
  CC [M]  sound/hda/hda_bus_type.o
  CC      kernel/locking/qrwlock.o
  CC [M]  drivers/video/fbdev/core/cfbimgblt.o
  CC [M]  sound/hda/hdac_bus.o
  CC      drivers/acpi/acpica/exfldio.o
  CC [M]  drivers/video/fbdev/core/sysfillrect.o
  LD [M]  drivers/dma/ioat/ioatdma.o
  CC      drivers/acpi/blacklist.o
  CC      fs/proc/proc_sysctl.o
  CC [M]  net/sunrpc/auth_gss/auth_gss.o
  AR      drivers/dma/built-in.a
  CC      drivers/acpi/osi.o
  CC [M]  net/sunrpc/auth_gss/gss_generic_token.o
  CC [M]  net/sunrpc/auth_gss/gss_mech_switch.o
  CC      drivers/pci/setup-res.o
  CC      net/sunrpc/clnt.o
  CC      net/8021q/vlan_core.o
  CC      drivers/virtio/virtio_pci_common.o
  CC      crypto/sha256_generic.o
  AR      sound/x86/built-in.a
  CC      crypto/sha512_generic.o
  CC [M]  net/sunrpc/auth_gss/svcauth_gss.o
  CC [M]  net/sunrpc/auth_gss/gss_rpc_upcall.o
  AR      sound/xen/built-in.a
  CC      drivers/acpi/acpica/exmisc.o
  CC      kernel/irq/affinity.o
  CC      fs/proc/proc_net.o
  AR      kernel/locking/built-in.a
  CC      drivers/tty/vt/vt_ioctl.o
  CC      lib/zstd/compress/zstd_lazy.o
  CC      drivers/tty/vt/vc_screen.o
  CC      lib/raid6/int4.o
  CC [M]  sound/hda/hdac_device.o
  CC      block/blk-ia-ranges.o
  CC      lib/raid6/int8.o
  CC      drivers/acpi/acpica/exmutex.o
  CC      kernel/irq/matrix.o
  CC      drivers/pci/irq.o
  CC      fs/proc/kcore.o
  CC      crypto/blake2b_generic.o
  CC      arch/x86/kernel/idt.o
  AR      sound/virtio/built-in.a
  CC [M]  drivers/video/fbdev/core/syscopyarea.o
  CC      net/dcb/dcbnl.o
  CC      net/ipv4/inet_hashtables.o
  CC      net/ethtool/phc_vclocks.o
  CC      arch/x86/kernel/irq.o
  CC      net/dcb/dcbevent.o
  CC      net/ethtool/module.o
  CC      net/ipv4/inet_timewait_sock.o
  LD [M]  net/netfilter/ipvs/ip_vs.o
  CC      io_uring/notif.o
  CC      drivers/virtio/virtio_pci_legacy.o
  CC      net/netfilter/nf_queue.o
  CC      net/bridge/br_fdb.o
  CC      drivers/pci/vpd.o
  CC      crypto/ecb.o
  CC      drivers/acpi/acpica/exnames.o
  AR      kernel/rcu/built-in.a
  CC      net/netfilter/nf_sockopt.o
  CC      crypto/cbc.o
  CC      mm/util.o
  CC [M]  drivers/virtio/virtio_mem.o
  CC      lib/raid6/int16.o
  CC [M]  drivers/video/fbdev/core/sysimgblt.o
  CC      drivers/clk/clk-divider.o
  CC      block/bsg.o
  CC      drivers/tty/vt/selection.o
  CC      crypto/pcbc.o
  CC      net/bridge/br_forward.o
  CC      net/sunrpc/xprt.o
  CC [M]  sound/hda/hdac_sysfs.o
  CC      fs/ext4/file.o
  CC [M]  drivers/video/fbdev/core/fb_sys_fops.o
  CC      net/sunrpc/socklib.o
  CC      drivers/acpi/acpica/exoparg1.o
  CC      block/bsg-lib.o
  CC [M]  net/8021q/vlan.o
  CC [M]  net/8021q/vlan_dev.o
  CC      drivers/acpi/osl.o
  CC      net/ipv4/inet_connection_sock.o
  CC      lib/raid6/int32.o
  CC      fs/proc/kmsg.o
  CC      net/sunrpc/xprtsock.o
  CC      lib/cmdline.o
  CC      net/sunrpc/sched.o
  CC      net/ipv6/ip6_fib.o
  CC      net/ethtool/pse-pd.o
  CC      drivers/pci/setup-bus.o
  CC      crypto/cts.o
  CC      drivers/char/hw_random/core.o
  AR      net/key/built-in.a
  CC      drivers/char/agp/backend.o
  CC      crypto/lrw.o
  AR      kernel/irq/built-in.a
  CC      io_uring/io-wq.o
  CC      kernel/dma/mapping.o
  CC      drivers/clk/clk-fixed-factor.o
  CC      kernel/dma/direct.o
  CC      drivers/clk/clk-fixed-rate.o
  CC      net/bridge/br_if.o
  CC      drivers/acpi/acpica/exoparg2.o
  CC      net/l3mdev/l3mdev.o
  CC      drivers/tty/vt/keyboard.o
  CC      mm/mmzone.o
  CC      fs/proc/page.o
  CC      lib/raid6/tables.o
  LD [M]  drivers/video/fbdev/core/fb.o
  CC [M]  sound/hda/hdac_regmap.o
  CC      net/netfilter/utils.o
  AR      drivers/video/fbdev/core/built-in.a
  AR      drivers/video/fbdev/built-in.a
  AR      drivers/video/built-in.a
  CC      block/blk-cgroup.o
  AR      drivers/iommu/amd/built-in.a
  CC      drivers/iommu/intel/dmar.o
  CC      block/blk-cgroup-rwstat.o
  CC      drivers/pci/vc.o
  CC [M]  sound/hda/hdac_controller.o
  AR      drivers/gpu/host1x/built-in.a
  AR      drivers/gpu/drm/tests/built-in.a
  CC [M]  net/sunrpc/auth_gss/gss_rpc_xdr.o
  CC [M]  drivers/gpu/drm/tests/drm_kunit_helpers.o
  CC      arch/x86/kernel/irq_64.o
  CC      drivers/clk/clk-gate.o
  CC [M]  drivers/gpu/drm/tests/drm_buddy_test.o
  AR      drivers/gpu/vga/built-in.a
  CC [M]  net/netfilter/nfnetlink.o
  CC      drivers/char/agp/generic.o
  CC      drivers/acpi/acpica/exoparg3.o
  CC      crypto/xts.o
  CC      drivers/char/hw_random/intel-rng.o
  AR      net/dcb/built-in.a
  CC      mm/vmstat.o
  AR      drivers/gpu/drm/arm/built-in.a
  CC      net/ipv6/ipv6_sockglue.o
  AR      net/packet/built-in.a
  CC [M]  net/8021q/vlan_netlink.o
  CC      lib/cpumask.o
  CC      drivers/clk/clk-multiplier.o
  AR      net/ethtool/built-in.a
  CC      kernel/entry/common.o
  CC      lib/ctype.o
  CC      kernel/entry/syscall_user_dispatch.o
  CC [M]  sound/hda/hdac_stream.o
  AR      lib/raid6/built-in.a
  CC      kernel/entry/kvm.o
  AR      net/l3mdev/built-in.a
  AR      drivers/virtio/built-in.a
  AR      drivers/gpu/drm/display/built-in.a
  CC      net/core/rtnetlink.o
  CC      kernel/dma/ops_helpers.o
  CC [M]  drivers/gpu/drm/display/drm_display_helper_mod.o
  CC      drivers/connector/cn_queue.o
  AR      drivers/iommu/arm/arm-smmu/built-in.a
  AR      drivers/iommu/arm/arm-smmu-v3/built-in.a
  AR      drivers/iommu/iommufd/built-in.a
  AR      drivers/iommu/arm/built-in.a
  CC      drivers/iommu/iommu.o
  CC      drivers/iommu/iommu-traces.o
  CC      drivers/acpi/acpica/exoparg6.o
  CC [M]  drivers/gpu/drm/tests/drm_cmdline_parser_test.o
  CC      drivers/clk/clk-mux.o
  AR      fs/proc/built-in.a
  CC      drivers/acpi/acpica/exprep.o
  CC      arch/x86/kernel/dumpstack_64.o
  CC [M]  sound/hda/array.o
  CC      arch/x86/kernel/time.o
  CC      drivers/clk/clk-composite.o
  CC      arch/x86/kernel/ioport.o
  CC      drivers/pci/mmap.o
  AR      drivers/gpu/drm/rcar-du/built-in.a
  CC      drivers/pci/setup-irq.o
  AR      drivers/char/hw_random/built-in.a
  CC      net/ipv4/tcp.o
  CC      drivers/tty/vt/consolemap.o
  CC [M]  drivers/gpu/drm/display/drm_dp_dual_mode_helper.o
  CC      drivers/iommu/intel/iommu.o
  CC      crypto/ctr.o
  CC      drivers/clk/clk-fractional-divider.o
  CC [M]  drivers/gpu/drm/tests/drm_connector_test.o
  AR      io_uring/built-in.a
  CC      net/bridge/br_input.o
  CC [M]  arch/x86/kvm/ioapic.o
  CC [M]  sound/hda/hdmi_chmap.o
  CC      block/blk-throttle.o
  CC      kernel/dma/dummy.o
  CC      drivers/acpi/acpica/exregion.o
  CC [M]  net/8021q/vlanproc.o
  AR      drivers/gpu/drm/omapdrm/built-in.a
  CC      net/sunrpc/auth.o
  CC      arch/x86/kernel/dumpstack.o
  CC [M]  net/bluetooth/af_bluetooth.o
  CC      drivers/base/power/sysfs.o
  CC [M]  net/sunrpc/auth_gss/trace.o
  CC      fs/ext4/fsmap.o
  CC      drivers/char/agp/isoch.o
  CC      drivers/char/agp/intel-agp.o
  CC      drivers/base/power/generic_ops.o
  CC      fs/ext4/fsync.o
  AR      kernel/entry/built-in.a
  CC [M]  arch/x86/kvm/irq_comm.o
  CC [M]  drivers/gpu/drm/display/drm_dp_helper.o
  CC      drivers/pci/proc.o
  CC [M]  sound/hda/trace.o
  CC [M]  sound/hda/hdac_component.o
  CC [M]  sound/hda/hdac_i915.o
  CC      block/mq-deadline.o
  CC [M]  net/netfilter/nf_conntrack_core.o
  CC      drivers/connector/connector.o
  CC      drivers/connector/cn_proc.o
  CC      crypto/gcm.o
  CC      crypto/pcrypt.o
  CC [M]  net/netfilter/nf_conntrack_standalone.o
  CC      drivers/base/firmware_loader/builtin/main.o
  CC      drivers/acpi/acpica/exresnte.o
  CC      drivers/base/firmware_loader/main.o
  CC      drivers/clk/clk-gpio.o
  CC      mm/backing-dev.o
  CC      drivers/iommu/intel/pasid.o
  CC      kernel/dma/contiguous.o
  CC [M]  arch/x86/kvm/cpuid.o
  HOSTCC  drivers/tty/vt/conmakehash
  CC      block/kyber-iosched.o
  CC      drivers/tty/hvc/hvc_console.o
  CC      drivers/base/power/common.o
  CC      arch/x86/kernel/nmi.o
  AR      drivers/base/firmware_loader/builtin/built-in.a
  CC      arch/x86/kernel/ldt.o
  CC      block/blk-mq-pci.o
  CC [M]  sound/hda/intel-dsp-config.o
  CC      drivers/tty/vt/vt.o
  AR      net/8021q/built-in.a
  LD [M]  net/8021q/8021q.o
  CC [M]  drivers/gpu/drm/tests/drm_damage_helper_test.o
  CC      kernel/dma/swiotlb.o
  CC      drivers/acpi/utils.o
  CC      arch/x86/kernel/setup.o
  CC      drivers/acpi/acpica/exresolv.o
  CC      drivers/pci/slot.o
  CC      drivers/char/agp/intel-gtt.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_mech.o
  AR      drivers/clk/built-in.a
  CC [M]  net/netfilter/nf_conntrack_expect.o
  CC      drivers/block/loop.o
  CC      net/ipv6/ndisc.o
  CC [M]  drivers/block/nbd.o
  CC      block/blk-mq-virtio.o
  CC      drivers/base/power/qos.o
  CC      net/bridge/br_ioctl.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_seal.o
  CC      sound/sound_core.o
  AR      drivers/misc/eeprom/built-in.a
  AR      drivers/misc/cb710/built-in.a
  AR      drivers/misc/ti-st/built-in.a
  AR      drivers/misc/lis3lv02d/built-in.a
  CC      sound/last.o
  AR      drivers/misc/cardreader/built-in.a
  CC [M]  drivers/misc/mei/hdcp/mei_hdcp.o
  CC      drivers/base/power/runtime.o
  AR      drivers/misc/built-in.a
  CC      block/blk-mq-debugfs.o
  CC      drivers/acpi/acpica/exresop.o
  CC [M]  net/bluetooth/hci_core.o
  CC      kernel/dma/remap.o
  CC [M]  drivers/misc/mei/pxp/mei_pxp.o
  AR      drivers/connector/built-in.a
  CC      crypto/cryptd.o
  CC [M]  sound/hda/intel-nhlt.o
  CC      kernel/module/main.o
  CC      kernel/module/strict_rwx.o
  CC      net/bridge/br_stp.o
  AR      drivers/base/firmware_loader/built-in.a
  AR      drivers/gpu/drm/tilcdc/built-in.a
  CC      kernel/time/time.o
  AR      drivers/tty/hvc/built-in.a
  CC      kernel/futex/core.o
  CC [M]  net/dns_resolver/dns_key.o
  COPY    drivers/tty/vt/defkeymap.c
  CC      kernel/futex/syscalls.o
  CC      fs/ext4/hash.o
  CC      mm/mm_init.o
  CC      mm/percpu.o
  CC      drivers/pci/pci-acpi.o
  CC      drivers/acpi/reboot.o
  CC      kernel/module/tree_lookup.o
  CC [M]  net/dns_resolver/dns_query.o
  CC      net/core/utils.o
  CC      drivers/acpi/acpica/exserial.o
  CC      arch/x86/kernel/x86_init.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_unseal.o
  CC [M]  drivers/gpu/drm/tests/drm_dp_mst_helper_test.o
  CC      net/ipv6/udp.o
  CC      net/sunrpc/auth_null.o
  AR      drivers/char/agp/built-in.a
  CC      drivers/char/tpm/tpm-chip.o
  CC      net/sunrpc/auth_unix.o
  CC [M]  drivers/gpu/drm/display/drm_dp_mst_topology.o
  CC      drivers/acpi/nvs.o
  AR      kernel/dma/built-in.a
  CC      lib/zstd/compress/zstd_ldm.o
  CC [M]  arch/x86/kvm/pmu.o
  CC [M]  sound/hda/intel-sdw-acpi.o
  CC      net/sunrpc/svc.o
  CC      drivers/mfd/mfd-core.o
  CC [M]  drivers/misc/mei/init.o
  CC      crypto/des_generic.o
  CC      lib/zstd/compress/zstd_opt.o
  CC      drivers/acpi/acpica/exstore.o
  CC      kernel/time/timer.o
  CC      block/blk-pm.o
  CC [M]  net/netfilter/nf_conntrack_helper.o
  CC      block/holder.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_seqnum.o
  CC      fs/ext4/ialloc.o
  CC [M]  drivers/misc/mei/hbm.o
  CC      drivers/mfd/intel-lpss.o
  LD [M]  net/dns_resolver/dns_resolver.o
  CC      net/devres.o
  CC      drivers/base/power/wakeirq.o
  CC      kernel/futex/pi.o
  CC [M]  arch/x86/kvm/mtrr.o
  CC      arch/x86/kernel/i8259.o
  CC      drivers/mfd/intel-lpss-pci.o
  CC      crypto/aes_generic.o
  CC      drivers/iommu/intel/trace.o
  LD [M]  sound/hda/snd-hda-core.o
  CC      mm/slab_common.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_wrap.o
  LD [M]  sound/hda/snd-intel-dspcfg.o
  LD [M]  sound/hda/snd-intel-sdw-acpi.o
  CC      drivers/char/tpm/tpm-dev-common.o
  AR      sound/built-in.a
  CC      drivers/base/regmap/regmap.o
  CC      drivers/acpi/wakeup.o
  CC      net/bridge/br_stp_bpdu.o
  CC      drivers/iommu/iommu-sysfs.o
  CC      drivers/base/regmap/regcache.o
  CC      drivers/acpi/acpica/exstoren.o
  CC [M]  drivers/gpu/drm/tests/drm_format_helper_test.o
  CC      drivers/pci/quirks.o
  CC      drivers/base/regmap/regcache-rbtree.o
  CC [M]  drivers/misc/mei/interrupt.o
  CC      fs/ext4/indirect.o
  CC      drivers/mfd/intel-lpss-acpi.o
  CC [M]  drivers/gpu/drm/tests/drm_format_test.o
  CC [M]  drivers/gpu/drm/tests/drm_framebuffer_test.o
  AR      block/built-in.a
  CC      drivers/base/regmap/regcache-flat.o
  CC      fs/ext4/inline.o
  CC      drivers/char/tpm/tpm-dev.o
  CC      drivers/base/power/main.o
  CC      net/socket.o
  CC      arch/x86/kernel/irqinit.o
  CC      net/core/link_watch.o
  CC      kernel/futex/requeue.o
  CC [M]  drivers/gpu/drm/tests/drm_managed_test.o
  CC      drivers/acpi/acpica/exstorob.o
  CC [M]  net/bluetooth/hci_conn.o
  CC      drivers/iommu/dma-iommu.o
  CC      drivers/char/tpm/tpm-interface.o
  CC [M]  arch/x86/kvm/hyperv.o
  CC      net/ipv4/tcp_input.o
  CC [M]  net/bluetooth/hci_event.o
  CC [M]  net/netfilter/nf_conntrack_proto.o
  CONMK   drivers/tty/vt/consolemap_deftbl.c
  CC      drivers/tty/vt/defkeymap.o
  CC      drivers/iommu/intel/cap_audit.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_crypto.o
  CC [M]  drivers/misc/mei/client.o
  CC      drivers/mfd/intel_soc_pmic_crc.o
  CC      drivers/base/power/wakeup.o
  CC      drivers/base/regmap/regmap-debugfs.o
  AR      drivers/gpu/drm/imx/built-in.a
  CC      drivers/base/regmap/regmap-i2c.o
  CC      kernel/module/debug_kmemleak.o
  AR      drivers/block/built-in.a
  CC      drivers/tty/vt/consolemap_deftbl.o
  CC      drivers/acpi/acpica/exsystem.o
  CC      drivers/iommu/intel/irq_remapping.o
  CC      drivers/char/tpm/tpm1-cmd.o
  CC [M]  drivers/gpu/drm/tests/drm_mm_test.o
  CC [M]  drivers/misc/mei/main.o
  CC [M]  drivers/gpu/drm/tests/drm_modes_test.o
  AR      drivers/tty/vt/built-in.a
  CC      crypto/deflate.o
  CC      drivers/tty/serial/8250/8250_core.o
  CC      drivers/base/regmap/regmap-irq.o
  CC      kernel/futex/waitwake.o
  CC      drivers/tty/serial/8250/8250_pnp.o
  CC      drivers/char/tpm/tpm2-cmd.o
  CC      net/bridge/br_stp_if.o
  CC [M]  net/netfilter/nf_conntrack_proto_generic.o
  CC      arch/x86/kernel/jump_label.o
  CC      drivers/acpi/acpica/extrace.o
  CC      kernel/module/kallsyms.o
  CC      drivers/char/tpm/tpmrm-dev.o
  CC      kernel/module/procfs.o
  AR      drivers/tty/ipwireless/built-in.a
  CC      drivers/tty/tty_io.o
  CC      net/core/filter.o
  CC      mm/compaction.o
  CC      crypto/crc32c_generic.o
  AR      kernel/futex/built-in.a
  CC      arch/x86/kernel/irq_work.o
  CC      kernel/cgroup/cgroup.o
  CC [M]  drivers/mfd/lpc_sch.o
  CC      kernel/cgroup/rstat.o
  CC      kernel/time/hrtimer.o
  CC      kernel/cgroup/namespace.o
  CC [M]  drivers/mfd/lpc_ich.o
  CC      kernel/cgroup/cgroup-v1.o
  CC      net/ipv6/udplite.o
  CC      drivers/acpi/acpica/exutils.o
  CC [M]  net/sunrpc/auth_gss/gss_krb5_keys.o
  CC      kernel/module/sysfs.o
  CC      drivers/tty/serial/8250/8250_port.o
  CC      net/ipv6/raw.o
  CC      drivers/tty/serial/8250/8250_dma.o
  CC      drivers/iommu/ioasid.o
  CC      drivers/tty/serial/serial_core.o
  CC      drivers/acpi/sleep.o
  CC [M]  drivers/misc/mei/dma-ring.o
  CC      crypto/crct10dif_common.o
  CC [M]  drivers/gpu/drm/tests/drm_plane_helper_test.o
  CC      net/compat.o
  CC      net/sunrpc/svcsock.o
  CC [M]  net/netfilter/nf_conntrack_proto_tcp.o
  CC      net/sysctl_net.o
  CC      drivers/char/tpm/tpm2-space.o
  CC      drivers/tty/serial/earlycon.o
  AR      drivers/iommu/intel/built-in.a
  CC [M]  net/bluetooth/mgmt.o
  CC      fs/ext4/inode.o
  CC      net/bridge/br_stp_timer.o
  CC      drivers/acpi/acpica/hwacpi.o
  CC      drivers/acpi/acpica/hwesleep.o
  CC      drivers/pci/ats.o
  CC [M]  net/netfilter/nf_conntrack_proto_udp.o
  CC      drivers/base/power/wakeup_stats.o
  CC      kernel/cgroup/freezer.o
  CC      fs/ext4/ioctl.o
  CC      crypto/crct10dif_generic.o
  CC      arch/x86/kernel/probe_roms.o
  CC [M]  drivers/gpu/drm/display/drm_dsc_helper.o
  CC      drivers/iommu/iova.o
  CC      fs/ext4/mballoc.o
  AR      kernel/module/built-in.a
  CC      drivers/pci/iov.o
  AR      drivers/mfd/built-in.a
  AR      drivers/base/test/built-in.a
  CC      drivers/base/component.o
  LD [M]  net/sunrpc/auth_gss/auth_rpcgss.o
  CC [M]  drivers/gpu/drm/display/drm_hdcp_helper.o
  LD [M]  net/sunrpc/auth_gss/rpcsec_gss_krb5.o
  CC [M]  drivers/misc/mei/bus.o
  CC      net/bridge/br_netlink.o
  CC      kernel/cgroup/legacy_freezer.o
  CC      net/sunrpc/svcauth.o
  CC      drivers/acpi/acpica/hwgpe.o
  AR      drivers/nfc/built-in.a
  CC      arch/x86/kernel/sys_ia32.o
  CC      drivers/iommu/irq_remapping.o
  CC      drivers/base/power/domain.o
  CC      lib/zstd/zstd_decompress_module.o
  CC      crypto/authenc.o
  CC [M]  drivers/gpu/drm/tests/drm_probe_helper_test.o
  AR      drivers/base/regmap/built-in.a
  CC [M]  net/bluetooth/hci_sock.o
  CC      drivers/acpi/acpica/hwregs.o
  CC      net/bridge/br_netlink_tunnel.o
  CC      drivers/char/tpm/tpm-sysfs.o
  CC      drivers/pci/pci-label.o
  CC      drivers/tty/n_tty.o
  CC      drivers/acpi/device_sysfs.o
  CC      drivers/tty/tty_ioctl.o
  CC [M]  net/bluetooth/hci_sysfs.o
  CC      drivers/acpi/acpica/hwsleep.o
  CC [M]  arch/x86/kvm/debugfs.o
  CC      kernel/time/timekeeping.o
  CC      drivers/base/power/domain_governor.o
  CC      drivers/base/core.o
  CC      kernel/cgroup/pids.o
  CC [M]  arch/x86/kvm/mmu/mmu.o
  CC [M]  net/bluetooth/l2cap_core.o
  CC [M]  net/bluetooth/l2cap_sock.o
  CC [M]  drivers/gpu/drm/display/drm_hdmi_helper.o
  CC      fs/jbd2/transaction.o
  CC [M]  arch/x86/kvm/mmu/page_track.o
  CC      net/bridge/br_arp_nd_proxy.o
  AR      drivers/iommu/built-in.a
  CC      fs/jbd2/commit.o
  CC      fs/ext4/migrate.o
  AR      drivers/dax/hmem/built-in.a
  CC      fs/ext4/mmp.o
  CC      drivers/dax/super.o
  CC      arch/x86/kernel/signal_32.o
  CC      drivers/tty/serial/8250/8250_dwlib.o
  CC      drivers/acpi/acpica/hwvalid.o
  CC [M]  drivers/gpu/drm/tests/drm_rect_test.o
  CC      drivers/pci/pci-stub.o
  CC [M]  drivers/misc/mei/bus-fixup.o
  CC      lib/zstd/decompress/huf_decompress.o
  CC      drivers/char/tpm/eventlog/common.o
  CC [M]  net/netfilter/nf_conntrack_proto_icmp.o
  CC      net/ipv6/icmp.o
  CC      arch/x86/kernel/sys_x86_64.o
  CC      net/bridge/br_sysfs_if.o
  CC      crypto/authencesn.o
  CC      fs/ramfs/inode.o
  CC      drivers/tty/tty_ldisc.o
  CC [M]  drivers/gpu/drm/display/drm_scdc_helper.o
  CC      arch/x86/kernel/espfix_64.o
  CC      drivers/acpi/acpica/hwxface.o
  CC      arch/x86/kernel/ksysfs.o
  CC      drivers/dax/bus.o
  CC [M]  net/bluetooth/smp.o
  CC      net/sunrpc/svcauth_unix.o
  CC [M]  net/netfilter/nf_conntrack_extend.o
  CC [M]  drivers/gpu/drm/display/drm_dp_aux_dev.o
  CC [M]  net/bluetooth/lib.o
  CC      drivers/char/tpm/eventlog/tpm1.o
  CC      fs/ext4/move_extent.o
  CC      drivers/tty/serial/8250/8250_pci.o
  CC      drivers/pci/vgaarb.o
  CC      drivers/tty/tty_buffer.o
  CC      kernel/cgroup/cpuset.o
  CC [M]  net/netfilter/nf_conntrack_acct.o
  CC      drivers/base/power/clock_ops.o
  CC      net/core/sock_diag.o
  CC      drivers/acpi/acpica/hwxfsleep.o
  CC [M]  drivers/misc/mei/debugfs.o
  CC      drivers/acpi/acpica/hwpci.o
  CC      net/bridge/br_sysfs_br.o
  CC      net/sunrpc/addr.o
  CC      fs/ramfs/file-mmu.o
  CC      mm/interval_tree.o
  CC      net/ipv4/tcp_output.o
  CC      drivers/acpi/acpica/nsaccess.o
  CC      kernel/time/ntp.o
  CC [M]  net/netfilter/nf_conntrack_seqadj.o
  CC      net/bridge/br_nf_core.o
  CC      net/sunrpc/rpcb_clnt.o
  CC      crypto/lzo.o
  CC      arch/x86/kernel/bootflag.o
  CC [M]  net/netfilter/nf_conntrack_proto_icmpv6.o
  CC      net/core/dev_ioctl.o
  CC      drivers/acpi/acpica/nsalloc.o
  CC [M]  net/bluetooth/ecdh_helper.o
  CC      drivers/char/tpm/eventlog/tpm2.o
  CC      fs/jbd2/recovery.o
  CC      fs/hugetlbfs/inode.o
  CC [M]  drivers/misc/mei/mei-trace.o
  CC      kernel/time/clocksource.o
  LD [M]  drivers/gpu/drm/display/drm_display_helper.o
  AR      drivers/base/power/built-in.a
  CC      crypto/lzo-rle.o
  CC      drivers/char/tpm/tpm_ppi.o
  CC [M]  net/bluetooth/hci_request.o
  AR      fs/ramfs/built-in.a
  CC      crypto/lz4.o
  CC      drivers/tty/tty_port.o
  AR      drivers/gpu/drm/i2c/built-in.a
  AR      drivers/gpu/drm/panel/built-in.a
  CC      drivers/base/bus.o
  AR      drivers/gpu/drm/bridge/analogix/built-in.a
  AR      drivers/gpu/drm/bridge/cadence/built-in.a
  AR      drivers/gpu/drm/bridge/imx/built-in.a
  AR      drivers/gpu/drm/bridge/synopsys/built-in.a
  AR      drivers/gpu/drm/bridge/built-in.a
  AR      drivers/gpu/drm/hisilicon/built-in.a
  AR      drivers/gpu/drm/mxsfb/built-in.a
  AR      drivers/dax/built-in.a
  AR      drivers/gpu/drm/tiny/built-in.a
  CC      drivers/dma-buf/dma-buf.o
  AR      drivers/gpu/drm/xlnx/built-in.a
  AR      drivers/gpu/drm/gud/built-in.a
  AR      drivers/gpu/drm/solomon/built-in.a
  CC [M]  drivers/gpu/drm/ttm/ttm_tt.o
  CC      drivers/base/dd.o
  CC      crypto/lz4hc.o
  CC [M]  drivers/gpu/drm/ttm/ttm_bo.o
  CC      arch/x86/kernel/e820.o
  CC      drivers/acpi/acpica/nsarguments.o
  CC      net/ipv6/mcast.o
  CC [M]  net/netfilter/nf_conntrack_proto_dccp.o
  AR      drivers/pci/built-in.a
  CC      mm/list_lru.o
  CC      mm/workingset.o
  CC [M]  net/bluetooth/mgmt_util.o
  CC      crypto/xxhash_generic.o
  CC      fs/ext4/namei.o
  CC      drivers/tty/serial/serial_mctrl_gpio.o
  CC      drivers/tty/serial/8250/8250_exar.o
  CC      net/ipv4/tcp_timer.o
  CC      drivers/acpi/acpica/nsconvert.o
  CC      net/sunrpc/timer.o
  CC      drivers/acpi/acpica/nsdump.o
  CC      net/core/tso.o
  CC      net/bridge/br_multicast.o
  CC      drivers/char/tpm/eventlog/acpi.o
  CC      drivers/base/syscore.o
  CC      net/core/sock_reuseport.o
  CC [M]  arch/x86/kvm/mmu/spte.o
  CC      drivers/char/tpm/eventlog/efi.o
  CC [M]  net/netfilter/nf_conntrack_proto_sctp.o
  CC [M]  drivers/misc/mei/pci-me.o
  CC      fs/jbd2/checkpoint.o
  CC      drivers/tty/tty_mutex.o
  CC      kernel/time/jiffies.o
  CC      crypto/rng.o
  CC      net/ipv4/tcp_ipv4.o
  AR      drivers/cxl/core/built-in.a
  AR      drivers/cxl/built-in.a
  CC      fs/fat/cache.o
  CC      drivers/char/tpm/tpm_crb.o
  CC      drivers/acpi/acpica/nseval.o
  CC      net/core/fib_notifier.o
  CC      fs/fat/dir.o
  CC      mm/debug.o
  CC      drivers/base/driver.o
  CC      arch/x86/kernel/pci-dma.o
  AR      fs/hugetlbfs/built-in.a
  CC      drivers/char/mem.o
  CC      mm/gup.o
  CC      kernel/time/timer_list.o
  CC      drivers/dma-buf/dma-fence.o
  CC      kernel/time/timeconv.o
  CC      drivers/dma-buf/dma-fence-array.o
  CC [M]  drivers/gpu/drm/ttm/ttm_bo_util.o
  CC [M]  net/bluetooth/mgmt_config.o
  CC      drivers/tty/serial/8250/8250_early.o
  CC      drivers/base/class.o
  CC      drivers/base/platform.o
  AR      drivers/macintosh/built-in.a
  CC      drivers/acpi/acpica/nsinit.o
  CC      mm/mmap_lock.o
  CC [M]  drivers/misc/mei/hw-me.o
  CC [M]  net/netfilter/nf_conntrack_netlink.o
  CC [M]  drivers/gpu/drm/ttm/ttm_bo_vm.o
  CC      net/bridge/br_mdb.o
  CC      net/sunrpc/xdr.o
  CC      drivers/dma-buf/dma-fence-chain.o
  CC      lib/zstd/decompress/zstd_ddict.o
  CC      net/ipv6/reassembly.o
  CC      crypto/drbg.o
  CC      kernel/time/timecounter.o
  CC      net/core/xdp.o
  CC      drivers/dma-buf/dma-fence-unwrap.o
  CC      kernel/time/alarmtimer.o
  CC      lib/zstd/decompress/zstd_decompress.o
  CC      drivers/scsi/scsi.o
  AR      drivers/char/tpm/built-in.a
  CC      lib/dec_and_lock.o
  CC      lib/zstd/decompress/zstd_decompress_block.o
  CC      drivers/base/cpu.o
  CC [M]  arch/x86/kvm/mmu/tdp_iter.o
  CC      fs/jbd2/revoke.o
  CC      arch/x86/kernel/quirks.o
  CC      drivers/acpi/acpica/nsload.o
  AR      kernel/cgroup/built-in.a
  CC      lib/decompress.o
  CC      drivers/acpi/acpica/nsnames.o
  CC      net/ipv4/tcp_minisocks.o
  CC      kernel/time/posix-timers.o
  CC      drivers/base/firmware.o
  CC      arch/x86/kernel/topology.o
  CC      drivers/tty/serial/8250/8250_dw.o
  CC      drivers/tty/tty_ldsem.o
  CC      fs/ext4/page-io.o
  CC      kernel/trace/trace_clock.o
  CC      kernel/trace/ftrace.o
  CC      drivers/char/random.o
  CC      drivers/acpi/acpica/nsobject.o
  CC      drivers/dma-buf/dma-resv.o
  CC      kernel/bpf/core.o
  CC [M]  drivers/gpu/drm/ttm/ttm_module.o
  CC      drivers/acpi/acpica/nsparse.o
  CC      fs/nfs/client.o
  CC      drivers/dma-buf/sync_file.o
  CC      fs/exportfs/expfs.o
  CC      drivers/base/init.o
  CC      mm/highmem.o
  CC [M]  net/bluetooth/hci_codec.o
  CC [M]  drivers/gpu/drm/ttm/ttm_execbuf_util.o
  CC      lib/zstd/zstd_common_module.o
  CC      lib/zstd/common/debug.o
  CC      fs/fat/fatent.o
  CC      fs/fat/file.o
  CC      drivers/char/misc.o
  CC [M]  net/bluetooth/eir.o
  CC      crypto/jitterentropy.o
  CC      arch/x86/kernel/kdebugfs.o
  CC      fs/lockd/clntlock.o
  CC      drivers/base/map.o
  CC      fs/nls/nls_base.o
  CC      fs/jbd2/journal.o
  CC      arch/x86/kernel/alternative.o
  CC      drivers/acpi/acpica/nspredef.o
  CC      drivers/tty/tty_baudrate.o
  CC [M]  net/bluetooth/hci_sync.o
  CC      drivers/scsi/hosts.o
  CC      fs/ext4/readpage.o
  CC      drivers/tty/serial/8250/8250_lpss.o
  CC      drivers/scsi/scsi_ioctl.o
  CC      drivers/acpi/acpica/nsprepkg.o
  CC      crypto/jitterentropy-kcapi.o
  CC [M]  drivers/gpu/drm/ttm/ttm_range_manager.o
  CC      fs/nls/nls_cp437.o
  AR      fs/exportfs/built-in.a
  CC [M]  drivers/gpu/drm/ttm/ttm_resource.o
  CC      crypto/ghash-generic.o
  AR      fs/unicode/built-in.a
  CC      net/core/flow_offload.o
  CC      net/ipv4/tcp_cong.o
  CC      net/core/gro.o
  CC [M]  drivers/gpu/drm/ttm/ttm_pool.o
  CC      drivers/dma-buf/sw_sync.o
  CC      fs/nls/nls_ascii.o
  CC      fs/ntfs/aops.o
  CC      drivers/base/devres.o
  CC      drivers/scsi/scsicam.o
  CC      drivers/base/attribute_container.o
  CC      fs/ntfs/attrib.o
  CC      drivers/acpi/acpica/nsrepair.o
  CC [M]  drivers/misc/mei/gsc-me.o
  CC      kernel/time/posix-cpu-timers.o
  CC      fs/autofs/init.o
  CC      kernel/time/posix-clock.o
  CC      fs/autofs/inode.o
  CC      mm/memory.o
  CC [M]  arch/x86/kvm/mmu/tdp_mmu.o
  CC [M]  arch/x86/kvm/smm.o
  CC [M]  net/bluetooth/sco.o
  CC      drivers/dma-buf/sync_debug.o
  CC      crypto/af_alg.o
  CC      drivers/char/virtio_console.o
  CC      fs/nls/nls_iso8859-1.o
  CC      drivers/acpi/device_pm.o
  CC      drivers/acpi/proc.o
  CC      net/ipv6/tcp_ipv6.o
  CC      drivers/tty/serial/8250/8250_mid.o
  CC      fs/fat/inode.o
  CC      kernel/events/core.o
  CC      crypto/algif_hash.o
  CC      fs/lockd/clntproc.o
  CC      drivers/acpi/bus.o
  CC      drivers/acpi/acpica/nsrepair2.o
  CC      fs/fat/misc.o
  CC      drivers/acpi/acpica/nssearch.o
  CC [M]  net/bluetooth/iso.o
  CC      fs/ext4/resize.o
  CC [M]  net/netfilter/nf_nat_core.o
  CC      net/ipv6/ping.o
  CC      drivers/scsi/scsi_error.o
  CC      fs/nls/nls_utf8.o
  CC      net/core/net-sysfs.o
  CC      net/sunrpc/sunrpc_syms.o
  CC      arch/x86/kernel/i8253.o
  CC      net/ipv4/tcp_metrics.o
  CC      fs/nfs/dir.o
  CC [M]  drivers/gpu/drm/ttm/ttm_device.o
  CC      drivers/base/transport_class.o
  CC [M]  drivers/dma-buf/selftest.o
  CC      crypto/algif_skcipher.o
  LD [M]  drivers/misc/mei/mei.o
  CC      fs/autofs/root.o
  LD [M]  drivers/misc/mei/mei-me.o
  LD [M]  drivers/misc/mei/mei-gsc.o
  CC      drivers/acpi/acpica/nsutils.o
  CC      drivers/nvme/host/core.o
  CC      kernel/trace/ring_buffer.o
  AR      drivers/nvme/target/built-in.a
  CC      drivers/acpi/acpica/nswalk.o
  CC      drivers/nvme/host/ioctl.o
  AR      fs/nls/built-in.a
  CC      fs/ntfs/collate.o
  CC      kernel/fork.o
  CC      drivers/tty/serial/8250/8250_pericom.o
  CC      drivers/acpi/glue.o
  CC      arch/x86/kernel/hw_breakpoint.o
  CC      net/sunrpc/cache.o
  CC      drivers/base/topology.o
  CC      drivers/acpi/scan.o
  CC      kernel/time/itimer.o
  CC [M]  drivers/dma-buf/st-dma-fence.o
  CC      drivers/acpi/resource.o
  CC [M]  arch/x86/kvm/vmx/vmx.o
  CC [M]  arch/x86/kvm/kvm-asm-offsets.s
  AR      kernel/bpf/built-in.a
  CC      drivers/acpi/acpi_processor.o
  CC      net/bridge/br_multicast_eht.o
  CC      fs/fat/nfs.o
  CC      drivers/acpi/acpica/nsxfeval.o
  CC [M]  drivers/gpu/drm/ttm/ttm_sys_manager.o
  CC [M]  drivers/gpu/drm/ttm/ttm_agp_backend.o
  CC      kernel/events/ring_buffer.o
  CC      fs/ntfs/compress.o
  CC      kernel/trace/trace.o
  CC      fs/autofs/symlink.o
  CC      lib/decompress_bunzip2.o
  CC      fs/lockd/clntxdr.o
  CC      lib/zstd/common/entropy_common.o
  CC      net/ipv4/tcp_fastopen.o
  AR      drivers/tty/serial/8250/built-in.a
  CC      drivers/char/hpet.o
  AR      drivers/tty/serial/built-in.a
  CC      drivers/tty/tty_jobctrl.o
  CC [M]  arch/x86/kvm/vmx/pmu_intel.o
  CC [M]  net/bluetooth/a2mp.o
  CC      mm/mincore.o
  CC      crypto/xor.o
  CC      drivers/base/container.o
  CC      net/sunrpc/rpc_pipe.o
  CC      lib/zstd/common/error_private.o
  CC      lib/zstd/common/fse_decompress.o
  CC      drivers/acpi/acpica/nsxfname.o
  CC      arch/x86/kernel/tsc.o
  CC [M]  drivers/dma-buf/st-dma-fence-chain.o
  CC      drivers/tty/n_null.o
  CC [M]  net/netfilter/nf_nat_proto.o
  CC      drivers/ata/libata-core.o
  CC      fs/fat/namei_vfat.o
  AR      fs/jbd2/built-in.a
  LD [M]  drivers/gpu/drm/ttm/ttm.o
  CC      fs/autofs/waitq.o
  CC      drivers/spi/spi.o
  CC      drivers/net/phy/mdio-boardinfo.o
  CC      drivers/scsi/scsi_lib.o
  CC      kernel/time/clockevents.o
  AR      drivers/firewire/built-in.a
  CC [M]  drivers/gpu/drm/scheduler/sched_main.o
  CC      drivers/net/phy/mdio_devres.o
  CC [M]  net/bluetooth/amp.o
  CC      drivers/base/property.o
  CC      drivers/net/phy/phy.o
  CC [M]  drivers/dma-buf/st-dma-fence-unwrap.o
  CC      drivers/scsi/scsi_lib_dma.o
  CC      crypto/hash_info.o
  CC      fs/ext4/super.o
  CC      net/core/net-procfs.o
  CC      kernel/exec_domain.o
  CC      crypto/simd.o
  AR      drivers/net/pse-pd/built-in.a
  CC      kernel/time/tick-common.o
  CC      drivers/tty/pty.o
  CC      drivers/acpi/acpica/nsxfobj.o
  CC      drivers/char/nvram.o
  AR      drivers/cdrom/built-in.a
  CC      drivers/tty/sysrq.o
  CC      fs/lockd/host.o
  CC      drivers/net/phy/phy-c45.o
  CC [M]  drivers/gpu/drm/scheduler/sched_fence.o
  CC      net/bridge/br_vlan.o
  CC [M]  net/bluetooth/hci_debugfs.o
  CC      fs/ntfs/debug.o
  CC      net/ipv6/exthdrs.o
  CC      fs/autofs/expire.o
  CC      drivers/net/mdio/acpi_mdio.o
  CC      lib/zstd/common/zstd_common.o
  AR      drivers/net/pcs/built-in.a
  CC      drivers/net/mdio/fwnode_mdio.o
  CC      fs/ntfs/dir.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_drv.o
  CC      drivers/acpi/acpica/psargs.o
  AR      lib/zstd/built-in.a
  CC      lib/decompress_inflate.o
  CC      fs/ntfs/file.o
  CC      arch/x86/kernel/tsc_msr.o
  CC [M]  drivers/dma-buf/st-dma-resv.o
  CC      drivers/scsi/scsi_scan.o
  CC [M]  crypto/md4.o
  CC [M]  arch/x86/kvm/vmx/vmcs12.o
  CC      net/ipv4/tcp_rate.o
  CC [M]  drivers/gpu/drm/scheduler/sched_entity.o
  CC      drivers/base/cacheinfo.o
  CC      arch/x86/kernel/io_delay.o
  CC      fs/fat/namei_msdos.o
  CC      drivers/ata/libata-scsi.o
  CC      kernel/events/callchain.o
  AR      drivers/char/built-in.a
  AR      drivers/auxdisplay/built-in.a
  CC      drivers/base/swnode.o
  CC      lib/decompress_unlz4.o
  CC      net/core/netpoll.o
  CC      kernel/time/tick-broadcast.o
  GEN     drivers/scsi/scsi_devinfo_tbl.c
  CC      drivers/ata/libata-eh.o
  CC      drivers/usb/common/common.o
  CC      drivers/acpi/acpica/psloop.o
  CC      drivers/usb/common/debug.o
  CC      fs/autofs/dev-ioctl.o
  CC      lib/decompress_unlzma.o
  CC      kernel/trace/trace_output.o
  AR      drivers/dma-buf/built-in.a
  CC [M]  net/netfilter/nf_nat_helper.o
  LD [M]  drivers/dma-buf/dmabuf_selftests.o
  CC      drivers/ata/libata-transport.o
  CC [M]  crypto/ccm.o
  CC      fs/debugfs/inode.o
  CC      fs/debugfs/file.o
  CC [M]  arch/x86/kvm/vmx/hyperv.o
  CC      net/ipv6/datagram.o
  AR      drivers/tty/built-in.a
  CC      fs/tracefs/inode.o
  CC      net/sunrpc/sysfs.o
  CC      arch/x86/kernel/rtc.o
  CC [M]  arch/x86/kvm/vmx/nested.o
  AR      drivers/net/mdio/built-in.a
  CC      net/bridge/br_vlan_tunnel.o
  CC      lib/decompress_unlzo.o
  CC      drivers/net/phy/phy-core.o
  CC [M]  arch/x86/kvm/vmx/posted_intr.o
  CC      kernel/trace/trace_seq.o
  CC      fs/lockd/svc.o
  CC      fs/lockd/svclock.o
  LD [M]  drivers/gpu/drm/scheduler/gpu-sched.o
  CC      drivers/acpi/acpica/psobject.o
  CC      arch/x86/kernel/resource.o
  CC      drivers/net/phy/phy_device.o
  CC      fs/nfs/file.o
  CC      net/bridge/br_vlan_options.o
  CC      fs/ntfs/index.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_device.o
  CC      kernel/time/tick-broadcast-hrtimer.o
  AR      fs/fat/built-in.a
  CC      lib/decompress_unxz.o
  AR      fs/autofs/built-in.a
  CC      fs/ntfs/inode.o
  CC      fs/nfs/getroot.o
  AR      drivers/usb/common/built-in.a
  CC      net/ipv4/tcp_recovery.o
  CC      drivers/usb/core/usb.o
  CC      fs/lockd/svcshare.o
  CC      drivers/base/auxiliary.o
  CC      drivers/scsi/scsi_devinfo.o
  AS      arch/x86/kernel/irqflags.o
  CC      fs/btrfs/super.o
  LD [M]  net/bluetooth/bluetooth.o
  CC      arch/x86/kernel/static_call.o
  AR      fs/tracefs/built-in.a
  CC      drivers/usb/core/hub.o
  LD [M]  arch/x86/kvm/kvm.o
  CC      kernel/trace/trace_stat.o
  CC      drivers/nvme/host/trace.o
  CC      drivers/acpi/acpica/psopcode.o
  AR      drivers/usb/phy/built-in.a
  CC      drivers/ata/libata-trace.o
  CC [M]  crypto/arc4.o
  CC      kernel/time/tick-oneshot.o
  AR      fs/debugfs/built-in.a
  CC      kernel/trace/trace_printk.o
  CC      kernel/trace/pid_list.o
  CC      lib/decompress_unzstd.o
  CC      drivers/ata/libata-sata.o
  CC [M]  net/netfilter/nf_nat_redirect.o
  CC      net/sunrpc/svc_xprt.o
  CC      arch/x86/kernel/process.o
  CC      drivers/usb/host/pci-quirks.o
  CC      drivers/base/devtmpfs.o
  CC      net/sunrpc/xprtmultipath.o
  CC      drivers/base/memory.o
  CC      drivers/usb/host/ehci-hcd.o
  CC      drivers/acpi/acpica/psopinfo.o
  CC      fs/pstore/inode.o
  CC      net/core/fib_rules.o
  CC      fs/pstore/platform.o
  CC [M]  crypto/ecc.o
  CC      drivers/scsi/scsi_sysctl.o
  CC      kernel/time/tick-sched.o
  CC      fs/pstore/pmsg.o
  CC      mm/mlock.o
  CC [M]  net/netfilter/nf_nat_masquerade.o
  CC      fs/lockd/svcproc.o
  CC      fs/lockd/svcsubs.o
  CC      lib/dump_stack.o
  CC      drivers/acpi/acpica/psparse.o
  CC      net/ipv6/ip6_flowlabel.o
  CC      kernel/trace/trace_sched_switch.o
  CC      kernel/panic.o
  CC      net/bridge/br_mst.o
  UPD     arch/x86/kvm/kvm-asm-offsets.h
  CC      drivers/usb/core/hcd.o
  CC [M]  net/bridge/br_netfilter_hooks.o
  CC      drivers/nvme/host/pci.o
  CC      net/ipv4/tcp_ulp.o
  AR      drivers/spi/built-in.a
  CC [M]  net/bridge/br_netfilter_ipv6.o
  CC      drivers/usb/host/ehci-pci.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_kms.o
  CC      kernel/trace/trace_functions.o
  CC      drivers/ata/libata-sff.o
  CC      fs/efivarfs/inode.o
  CC      net/ipv4/tcp_offload.o
  CC      drivers/scsi/scsi_debugfs.o
  CC      drivers/base/module.o
  CC [M]  fs/netfs/buffered_read.o
  AR      fs/pstore/built-in.a
  CC [M]  fs/fscache/cache.o
  CC      drivers/ata/libata-pmp.o
  CC      fs/ntfs/mft.o
  CC      drivers/usb/host/ohci-hcd.o
  CC      drivers/acpi/acpica/psscope.o
  CC      lib/earlycpio.o
  CC [M]  fs/fscache/cookie.o
  CC      drivers/scsi/scsi_trace.o
  CC      drivers/net/phy/linkmode.o
  CC      fs/nfs/inode.o
  CC      lib/extable.o
  CC      drivers/usb/storage/scsiglue.o
  CC      arch/x86/kernel/ptrace.o
  CC      kernel/time/vsyscall.o
  CC      drivers/base/pinctrl.o
  CC      drivers/usb/storage/protocol.o
  CC      kernel/trace/trace_preemptirq.o
  CC      fs/efivarfs/file.o
  CC      lib/flex_proportions.o
  CC      drivers/acpi/acpica/pstree.o
  CC      arch/x86/kernel/tls.o
  CC      fs/lockd/mon.o
  AS [M]  arch/x86/kvm/vmx/vmenter.o
  CC      fs/lockd/xdr.o
  CC      fs/ntfs/mst.o
  CC [M]  net/netfilter/x_tables.o
  CC [M]  fs/netfs/io.o
  CC      net/ipv6/inet6_connection_sock.o
  AR      net/bridge/built-in.a
  CC      drivers/usb/core/urb.o
  CC      lib/idr.o
  CC      kernel/time/timekeeping_debug.o
  CC      net/core/net-traces.o
  CC      kernel/time/namespace.o
  CC      drivers/base/platform-msi.o
  CC      drivers/scsi/scsi_logging.o
  CC      kernel/trace/trace_nop.o
  CC      drivers/net/phy/mdio_bus.o
  CC      kernel/events/hw_breakpoint.o
  CC [M]  fs/fscache/io.o
  CC      net/core/selftests.o
  CC      drivers/acpi/acpica/psutils.o
  CC      fs/efivarfs/super.o
  CC      fs/ext4/symlink.o
  CC      drivers/ata/libata-acpi.o
  CC      net/sunrpc/stats.o
  CC      drivers/scsi/scsi_pm.o
  CC      drivers/usb/storage/transport.o
  CC [M]  crypto/essiv.o
  CC      net/ipv4/tcp_plb.o
  CC      fs/ntfs/namei.o
  CC      kernel/trace/trace_functions_graph.o
  CC      mm/mmap.o
  CC      kernel/events/uprobes.o
  CC      arch/x86/kernel/step.o
  CC      drivers/acpi/acpica/pswalk.o
  CC      drivers/base/physical_location.o
  CC      lib/irq_regs.o
  CC      drivers/usb/core/message.o
  CC      kernel/trace/fgraph.o
  CC      net/core/ptp_classifier.o
  CC [M]  fs/fscache/main.o
  AR      drivers/net/ethernet/adi/built-in.a
  AR      kernel/time/built-in.a
  AR      drivers/net/ethernet/alacritech/built-in.a
  AR      drivers/net/usb/built-in.a
  CC      lib/is_single_threaded.o
  CC [M]  drivers/net/usb/pegasus.o
  AR      drivers/net/ethernet/amazon/built-in.a
  AR      drivers/net/ethernet/aquantia/built-in.a
  AR      drivers/net/ethernet/asix/built-in.a
  CC [M]  drivers/net/ipvlan/ipvlan_core.o
  AR      drivers/net/ethernet/cadence/built-in.a
  CC      fs/lockd/clnt4xdr.o
  AR      drivers/net/ethernet/broadcom/built-in.a
  CC [M]  drivers/net/ethernet/broadcom/b44.o
  CC      fs/lockd/xdr4.o
  CC      fs/efivarfs/vars.o
  AR      drivers/net/ethernet/cavium/common/built-in.a
  CC [M]  drivers/net/ipvlan/ipvlan_main.o
  AR      drivers/net/ethernet/cavium/thunder/built-in.a
  AR      drivers/net/ethernet/cavium/liquidio/built-in.a
  LD [M]  net/bridge/br_netfilter.o
  AR      drivers/net/ethernet/cavium/octeon/built-in.a
  CC      mm/mmu_gather.o
  AR      drivers/net/ethernet/cavium/built-in.a
  CC [M]  fs/fscache/volume.o
  CC      drivers/acpi/processor_core.o
  CC      kernel/cpu.o
  CC      kernel/exit.o
  CC      drivers/acpi/acpica/psxface.o
  CC      drivers/scsi/scsi_bsg.o
  CC [M]  fs/netfs/main.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_atombios.o
  CC      net/ipv6/udp_offload.o
  CC      fs/ntfs/runlist.o
  CC      drivers/base/trace.o
  AR      drivers/nvme/host/built-in.a
  CC      lib/klist.o
  CC      drivers/net/phy/mdio_device.o
  AR      drivers/nvme/built-in.a
  CC      drivers/usb/storage/usb.o
  CC [M]  crypto/ecdh.o
  CC      arch/x86/kernel/i8237.o
  CC      drivers/input/serio/serio.o
  CC [M]  fs/smbfs_common/cifs_arc4.o
  CC      drivers/ata/libata-pata-timings.o
  CC      net/core/netprio_cgroup.o
  CC      drivers/input/keyboard/atkbd.o
  CC      drivers/rtc/lib.o
  CC      net/ipv4/datagram.o
  CC      drivers/acpi/acpica/rsaddr.o
  AR      drivers/input/mouse/built-in.a
  CC      drivers/acpi/acpica/rscalc.o
  CC      fs/lockd/svc4proc.o
  AR      drivers/i2c/algos/built-in.a
  CC [M]  drivers/i2c/algos/i2c-algo-bit.o
  CC      kernel/trace/blktrace.o
  CC      arch/x86/kernel/stacktrace.o
  CC      lib/kobject.o
  CC      net/ipv4/raw.o
  CC [M]  fs/cifs/trace.o
  AR      fs/efivarfs/built-in.a
  CC      net/ipv4/udp.o
  CC [M]  fs/smbfs_common/cifs_md4.o
  CC      drivers/scsi/scsi_common.o
  CC [M]  fs/cifs/cifsfs.o
  CC      net/sunrpc/sysctl.o
  CC [M]  crypto/ecdh_helper.o
  AR      drivers/base/built-in.a
  CC      drivers/net/phy/swphy.o
  CC      drivers/input/input.o
  CC      net/ipv6/seg6.o
  CC      fs/lockd/procfs.o
  CC [M]  net/netfilter/xt_tcpudp.o
  CC      fs/nfs/super.o
  CC      drivers/net/phy/fixed_phy.o
  CC      net/core/dst_cache.o
  CC      drivers/rtc/class.o
  CC [M]  fs/fscache/proc.o
  CC      drivers/input/serio/i8042.o
  CC [M]  fs/netfs/objects.o
  CC      drivers/ata/ahci.o
  CC      fs/ntfs/super.o
  CC      drivers/acpi/acpica/rscreate.o
  CC      drivers/scsi/sd.o
  CC      net/ipv4/udplite.o
  CC [M]  drivers/net/usb/rtl8150.o
  CC      drivers/input/serio/libps2.o
  CC      arch/x86/kernel/reboot.o
  CC      drivers/usb/storage/initializers.o
  LD [M]  crypto/ecdh_generic.o
  AR      crypto/built-in.a
  CC      kernel/trace/trace_events.o
  CC      kernel/trace/trace_export.o
  CC      drivers/usb/core/driver.o
  AR      kernel/events/built-in.a
  CC      kernel/softirq.o
  CC [M]  drivers/net/ipvlan/ipvlan_l3s.o
  CC      fs/btrfs/ctree.o
  CC      lib/kobject_uevent.o
  CC [M]  fs/cifs/cifs_debug.o
  CC      drivers/usb/host/ohci-pci.o
  LD [M]  arch/x86/kvm/kvm-intel.o
  AR      net/sunrpc/built-in.a
  AR      drivers/net/ethernet/cortina/built-in.a
  CC      drivers/i2c/busses/i2c-designware-common.o
  AR      drivers/input/keyboard/built-in.a
  AR      drivers/net/ethernet/engleder/built-in.a
  CC      fs/ntfs/sysctl.o
  CC      drivers/input/input-compat.o
  CC      drivers/i2c/busses/i2c-designware-master.o
  AR      drivers/net/ethernet/ezchip/built-in.a
  CC      fs/ntfs/unistr.o
  AR      drivers/net/ethernet/fungible/built-in.a
  CC      drivers/acpi/acpica/rsdumpinfo.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/atombios_crtc.o
  AR      drivers/net/ethernet/huawei/built-in.a
  CC      drivers/acpi/acpica/rsinfo.o
  LD [M]  fs/fscache/fscache.o
  AR      fs/lockd/built-in.a
  CC [M]  drivers/net/ethernet/intel/e1000/e1000_main.o
  AR      drivers/net/ethernet/i825xx/built-in.a
  CC      drivers/rtc/interface.o
  CC [M]  fs/fuse/dev.o
  CC      drivers/rtc/nvmem.o
  CC [M]  drivers/gpu/drm/i915/i915_driver.o
  CC      drivers/usb/storage/sierra_ms.o
  LD [M]  fs/netfs/netfs.o
  CC [M]  drivers/net/phy/phylink.o
  CC      net/ipv6/fib6_notifier.o
  CC [M]  drivers/gpu/drm/i915/i915_drm_client.o
  CC [M]  drivers/net/ethernet/broadcom/bnx2.o
  CC [M]  fs/fuse/dir.o
  AR      drivers/net/ethernet/microsoft/built-in.a
  AR      drivers/net/ethernet/litex/built-in.a
  CC      drivers/acpi/acpica/rsio.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_connectors.o
  CC      kernel/resource.o
  CC      drivers/usb/host/uhci-hcd.o
  CC [M]  drivers/gpu/drm/vgem/vgem_drv.o
  CC      mm/mprotect.o
  CC      drivers/usb/host/xhci.o
  CC      arch/x86/kernel/msr.o
  CC [M]  drivers/gpu/drm/vgem/vgem_fence.o
  CC [M]  net/netfilter/xt_mark.o
  AR      drivers/net/ethernet/microchip/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/atom.o
  CC      drivers/ata/libahci.o
  CC [M]  drivers/gpu/drm/xe/tests/xe_bo_test.o
  CC [M]  drivers/gpu/drm/i915/i915_config.o
  AR      drivers/net/ethernet/mscc/built-in.a
  CC [M]  drivers/gpu/drm/xe/tests/xe_dma_buf_test.o
  CC [M]  drivers/gpu/drm/xe/tests/xe_migrate_test.o
  AR      drivers/input/serio/built-in.a
  CC      net/ipv4/udp_offload.o
  CC [M]  drivers/net/usb/r8152.o
  CC      drivers/acpi/acpica/rsirq.o
  CC      fs/ntfs/upcase.o
  CC      fs/nfs/io.o
  LD [M]  drivers/net/ipvlan/ipvlan.o
  CC      drivers/usb/storage/option_ms.o
  CC [M]  drivers/net/ethernet/intel/e1000e/82571.o
  CC [M]  drivers/net/vxlan/vxlan_core.o
  CC      lib/logic_pio.o
  CC      drivers/usb/core/config.o
  CC [M]  drivers/net/vxlan/vxlan_multicast.o
  CC      lib/maple_tree.o
  CC [M]  drivers/gpu/drm/i915/i915_getparam.o
  CC      drivers/input/input-mt.o
  CC      drivers/i2c/busses/i2c-designware-platdrv.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_fence.o
  CC [M]  drivers/net/ethernet/intel/igb/igb_main.o
  CC [M]  drivers/gpu/drm/xe/xe_bb.o
  CC      drivers/acpi/acpica/rslist.o
  CC [M]  drivers/net/ethernet/intel/igb/igb_ethtool.o
  CC [M]  fs/cifs/connect.o
  CC      kernel/sysctl.o
  LD [M]  drivers/gpu/drm/vgem/vgem.o
  CC      net/ipv6/rpl.o
  CC      arch/x86/kernel/cpuid.o
  CC [M]  fs/cifs/dir.o
  CC      net/ipv6/ioam6.o
  CC [M]  drivers/net/ethernet/intel/e1000e/ich8lan.o
  CC [M]  net/netfilter/xt_nat.o
  CC      net/core/gro_cells.o
  AR      fs/ntfs/built-in.a
  CC [M]  drivers/net/usb/asix_devices.o
  CC      drivers/usb/storage/usual-tables.o
  CC      lib/memcat_p.o
  CC      drivers/acpi/acpica/rsmemory.o
  CC [M]  drivers/net/ethernet/intel/e1000/e1000_hw.o
  CC      drivers/rtc/dev.o
  CC      drivers/input/input-poller.o
  CC      fs/nfs/direct.o
  CC [M]  fs/cifs/file.o
  CC [M]  fs/fuse/file.o
  CC      arch/x86/kernel/early-quirks.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_main.o
  CC [M]  drivers/gpu/drm/i915/i915_ioctl.o
  CC      drivers/i2c/busses/i2c-designware-baytrail.o
  CC [M]  drivers/gpu/drm/xe/xe_bo.o
  CC      mm/mremap.o
  CC      drivers/scsi/sg.o
  CC [M]  drivers/gpu/drm/i915/i915_irq.o
  CC      kernel/trace/trace_event_perf.o
  CC [M]  drivers/gpu/drm/xe/xe_bo_evict.o
  AR      drivers/usb/storage/built-in.a
  CC      net/ipv6/sysctl_net_ipv6.o
  CC      drivers/acpi/acpica/rsmisc.o
  CC [M]  drivers/net/ethernet/intel/e1000e/80003es2lan.o
  CC      drivers/usb/core/file.o
  CC      kernel/trace/trace_events_filter.o
  CC [M]  fs/cifs/inode.o
  CC [M]  drivers/gpu/drm/xe/xe_debugfs.o
  AR      net/core/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ttm.o
  CC [M]  drivers/net/vxlan/vxlan_vnifilter.o
  CC      drivers/input/ff-core.o
  CC      drivers/rtc/proc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_object.o
  CC      drivers/ata/ata_piix.o
  CC [M]  net/netfilter/xt_REDIRECT.o
  CC [M]  drivers/net/phy/aquantia_main.o
  CC      fs/ext4/sysfs.o
  CC      net/ipv4/arp.o
  CC      fs/btrfs/extent-tree.o
  CC [M]  drivers/i2c/busses/i2c-scmi.o
  CC      drivers/acpi/acpica/rsserial.o
  CC      arch/x86/kernel/smp.o
  CC [M]  drivers/gpu/drm/i915/i915_mitigations.o
  CC [M]  drivers/net/usb/asix_common.o
  CC      drivers/input/touchscreen.o
  CC      drivers/usb/core/buffer.o
  CC      drivers/usb/host/xhci-mem.o
  CC      kernel/trace/trace_events_trigger.o
  CC      drivers/usb/host/xhci-ext-caps.o
  CC      drivers/rtc/sysfs.o
  CC [M]  net/netfilter/xt_MASQUERADE.o
  CC      drivers/input/ff-memless.o
  CC      drivers/acpi/acpica/rsutils.o
  CC [M]  drivers/net/ethernet/intel/igb/e1000_82575.o
  CC      net/ipv6/xfrm6_policy.o
  CC [M]  drivers/net/ethernet/intel/igb/e1000_mac.o
  CC      mm/msync.o
  CC [M]  drivers/net/ethernet/intel/e1000e/mac.o
  CC [M]  drivers/net/ethernet/intel/igb/e1000_nvm.o
  CC      fs/ext4/xattr.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_mac.o
  CC      drivers/usb/core/sysfs.o
  CC [M]  drivers/net/phy/aquantia_hwmon.o
  CC [M]  drivers/i2c/busses/i2c-ccgx-ucsi.o
  AR      drivers/i3c/built-in.a
  CC      fs/nfs/pagelist.o
  CC      net/ipv6/xfrm6_state.o
  CC      drivers/acpi/acpica/rsxface.o
  CC      drivers/rtc/rtc-mc146818-lib.o
  CC      net/ipv6/xfrm6_input.o
  CC      net/ipv6/xfrm6_output.o
  AR      drivers/ata/built-in.a
  CC      drivers/net/loopback.o
  CC      drivers/scsi/scsi_sysfs.o
  CC [M]  drivers/net/ethernet/intel/igbvf/vf.o
  CC      drivers/usb/serial/usb-serial.o
  AR      drivers/media/i2c/built-in.a
  AR      drivers/media/tuners/built-in.a
  AR      drivers/media/rc/keymaps/built-in.a
  AR      drivers/media/rc/built-in.a
  AR      drivers/media/common/b2c2/built-in.a
  AR      drivers/media/common/siano/built-in.a
  CC      arch/x86/kernel/smpboot.o
  AR      drivers/media/common/v4l2-tpg/built-in.a
  AR      drivers/media/common/videobuf2/built-in.a
  AR      drivers/media/common/built-in.a
  AR      drivers/media/platform/allegro-dvt/built-in.a
  AR      drivers/media/platform/amlogic/meson-ge2d/built-in.a
  AR      drivers/media/platform/amlogic/built-in.a
  CC      drivers/input/vivaldi-fmap.o
  AR      drivers/media/platform/amphion/built-in.a
  AR      drivers/media/platform/aspeed/built-in.a
  AR      drivers/media/platform/atmel/built-in.a
  CC      mm/page_vma_mapped.o
  AR      drivers/media/platform/cadence/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_device.o
  AR      drivers/media/platform/chips-media/built-in.a
  AR      drivers/media/platform/intel/built-in.a
  AR      drivers/media/platform/marvell/built-in.a
  CC      drivers/usb/serial/generic.o
  AR      drivers/media/platform/mediatek/jpeg/built-in.a
  AR      drivers/media/platform/mediatek/mdp/built-in.a
  CC      drivers/usb/serial/bus.o
  AR      drivers/media/platform/mediatek/vcodec/built-in.a
  AR      drivers/media/platform/microchip/built-in.a
  AR      drivers/media/platform/mediatek/vpu/built-in.a
  AR      drivers/media/platform/nvidia/tegra-vde/built-in.a
  AR      drivers/media/platform/nvidia/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_gart.o
  AR      drivers/media/platform/mediatek/mdp3/built-in.a
  AR      drivers/media/platform/mediatek/built-in.a
  AR      drivers/media/platform/nxp/dw100/built-in.a
  AR      drivers/media/platform/nxp/imx-jpeg/built-in.a
  AR      drivers/media/platform/qcom/camss/built-in.a
  AR      drivers/media/platform/nxp/built-in.a
  CC [M]  net/netfilter/xt_addrtype.o
  AR      drivers/media/platform/qcom/venus/built-in.a
  AR      drivers/media/platform/qcom/built-in.a
  AR      drivers/media/platform/renesas/rcar-vin/built-in.a
  AR      drivers/media/platform/renesas/rzg2l-cru/built-in.a
  AR      drivers/media/platform/renesas/vsp1/built-in.a
  AR      drivers/media/platform/rockchip/rga/built-in.a
  CC      drivers/acpi/acpica/tbdata.o
  AR      drivers/media/platform/renesas/built-in.a
  AR      drivers/media/platform/rockchip/rkisp1/built-in.a
  AR      drivers/media/platform/rockchip/built-in.a
  CC      drivers/usb/serial/console.o
  AR      drivers/media/platform/samsung/exynos-gsc/built-in.a
  AR      drivers/media/platform/samsung/exynos4-is/built-in.a
  CC      kernel/trace/trace_eprobe.o
  AR      drivers/media/platform/samsung/s3c-camif/built-in.a
  AR      drivers/media/platform/samsung/s5p-g2d/built-in.a
  CC [M]  drivers/i2c/busses/i2c-i801.o
  AR      drivers/media/platform/samsung/s5p-jpeg/built-in.a
  AR      drivers/media/platform/samsung/s5p-mfc/built-in.a
  AR      drivers/media/platform/samsung/built-in.a
  CC      drivers/input/input-leds.o
  CC [M]  drivers/net/phy/ax88796b.o
  AR      drivers/media/platform/st/sti/bdisp/built-in.a
  AR      drivers/media/platform/st/sti/c8sectpfe/built-in.a
  AR      drivers/media/platform/st/sti/delta/built-in.a
  CC      drivers/rtc/rtc-cmos.o
  CC [M]  drivers/net/ethernet/intel/e1000/e1000_ethtool.o
  CC      kernel/trace/trace_kprobe.o
  AR      drivers/media/platform/st/sti/hva/built-in.a
  CC [M]  fs/fuse/inode.o
  AR      drivers/media/platform/st/stm32/built-in.a
  AR      drivers/media/platform/st/built-in.a
  AR      drivers/media/platform/sunxi/sun4i-csi/built-in.a
  AR      drivers/media/pci/b2c2/built-in.a
  CC      net/ipv4/icmp.o
  AR      drivers/media/platform/sunxi/sun6i-csi/built-in.a
  AR      drivers/media/platform/sunxi/sun6i-mipi-csi2/built-in.a
  AR      drivers/media/pci/pluto2/built-in.a
  CC [M]  drivers/net/usb/ax88172a.o
  AR      drivers/media/platform/sunxi/sun8i-a83t-mipi-csi2/built-in.a
  AR      drivers/media/pci/dm1105/built-in.a
  AR      drivers/media/pci/pt1/built-in.a
  AR      drivers/media/platform/sunxi/sun8i-di/built-in.a
  AR      drivers/media/pci/pt3/built-in.a
  AR      drivers/media/platform/sunxi/sun8i-rotate/built-in.a
  AR      drivers/media/platform/sunxi/built-in.a
  CC [M]  drivers/net/ethernet/intel/igbvf/mbx.o
  AR      drivers/media/pci/mantis/built-in.a
  AR      drivers/media/pci/ngene/built-in.a
  AR      drivers/ptp/built-in.a
  CC [M]  drivers/ptp/ptp_clock.o
  AR      drivers/media/pci/ddbridge/built-in.a
  AR      drivers/media/platform/ti/am437x/built-in.a
  AR      drivers/media/pci/smipcie/built-in.a
  AR      drivers/media/platform/ti/cal/built-in.a
  AR      drivers/media/pci/netup_unidvb/built-in.a
  CC      kernel/trace/error_report-traces.o
  AR      drivers/media/platform/ti/vpe/built-in.a
  AR      drivers/media/platform/ti/davinci/built-in.a
  AR      drivers/media/pci/intel/ipu3/built-in.a
  AR      drivers/media/pci/intel/built-in.a
  CC      drivers/usb/core/endpoint.o
  AR      drivers/media/platform/ti/omap/built-in.a
  AR      drivers/media/pci/built-in.a
  AR      drivers/media/platform/ti/omap3isp/built-in.a
  AR      drivers/media/platform/ti/built-in.a
  CC [M]  drivers/ptp/ptp_chardev.o
  AR      drivers/media/platform/verisilicon/built-in.a
  AR      drivers/media/platform/via/built-in.a
  CC [M]  drivers/net/ethernet/intel/igb/e1000_phy.o
  AR      drivers/media/platform/xilinx/built-in.a
  AR      drivers/media/platform/built-in.a
  CC      drivers/usb/serial/ftdi_sio.o
  AR      drivers/media/usb/b2c2/built-in.a
  AR      drivers/media/usb/dvb-usb/built-in.a
  AR      drivers/media/usb/dvb-usb-v2/built-in.a
  AR      drivers/media/usb/s2255/built-in.a
  AR      drivers/media/usb/siano/built-in.a
  CC      drivers/usb/core/devio.o
  CC      drivers/net/netconsole.o
  AR      drivers/media/usb/ttusb-budget/built-in.a
  AR      drivers/media/usb/ttusb-dec/built-in.a
  AR      drivers/media/usb/built-in.a
  CC      drivers/acpi/acpica/tbfadt.o
  CC [M]  drivers/net/ethernet/intel/e1000e/manage.o
  AR      drivers/media/mmc/siano/built-in.a
  AR      drivers/media/mmc/built-in.a
  AR      drivers/media/firewire/built-in.a
  AR      drivers/media/spi/built-in.a
  AR      drivers/media/test-drivers/built-in.a
  AR      drivers/media/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_dma_buf.o
  CC [M]  fs/fuse/control.o
  AR      drivers/net/ethernet/neterion/built-in.a
  CC      net/ipv6/xfrm6_protocol.o
  CC      mm/pagewalk.o
  CC [M]  drivers/net/ethernet/intel/igbvf/ethtool.o
  CC      drivers/usb/core/notify.o
  CC      drivers/input/mousedev.o
  CC [M]  drivers/i2c/busses/i2c-isch.o
  CC      mm/pgtable-generic.o
  CC [M]  drivers/net/phy/bcm7xxx.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_encoders.o
  CC      drivers/usb/serial/pl2303.o
  CC [M]  drivers/gpu/drm/i915/i915_module.o
  AR      drivers/scsi/built-in.a
  AR      drivers/power/reset/built-in.a
  CC      drivers/power/supply/power_supply_core.o
  CC      drivers/usb/host/xhci-ring.o
  CC      drivers/hwmon/hwmon.o
  CC [M]  drivers/net/ethernet/broadcom/cnic.o
  CC      net/ipv4/devinet.o
  CC [M]  drivers/hwmon/acpi_power_meter.o
  LD [M]  drivers/net/vxlan/vxlan.o
  CC      arch/x86/kernel/tsc_sync.o
  CC [M]  net/netfilter/xt_conntrack.o
  CC      drivers/acpi/acpica/tbfind.o
  CC [M]  drivers/hwmon/coretemp.o
  AR      drivers/rtc/built-in.a
  CC      fs/btrfs/print-tree.o
  CC [M]  drivers/gpu/drm/i915/i915_params.o
  AR      drivers/thermal/broadcom/built-in.a
  AR      drivers/thermal/samsung/built-in.a
  CC      drivers/thermal/intel/therm_throt.o
  AR      drivers/thermal/st/built-in.a
  CC [M]  drivers/ptp/ptp_sysfs.o
  CC [M]  fs/cifs/link.o
  CC      drivers/usb/core/generic.o
  CC [M]  drivers/i2c/busses/i2c-ismt.o
  CC [M]  drivers/i2c/busses/i2c-piix4.o
  CC [M]  drivers/net/ethernet/intel/igbvf/netdev.o
  CC      fs/nfs/read.o
  CC [M]  drivers/net/usb/ax88179_178a.o
  CC      fs/ext4/xattr_hurd.o
  CC [M]  drivers/net/ethernet/intel/e1000e/nvm.o
  CC      drivers/acpi/acpica/tbinstal.o
  AR      drivers/thermal/qcom/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_engine.o
  CC [M]  drivers/net/dummy.o
  CC      kernel/trace/power-traces.o
  CC      arch/x86/kernel/setup_percpu.o
  CC      mm/rmap.o
  CC [M]  drivers/gpu/drm/xe/xe_exec.o
  CC      drivers/input/evdev.o
  CC [M]  fs/fuse/xattr.o
  CC      fs/nfs/symlink.o
  CC [M]  drivers/net/phy/bcm87xx.o
  CC [M]  drivers/net/phy/bcm-phy-lib.o
  CC      fs/btrfs/root-tree.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_display.o
  CC      net/ipv6/netfilter.o
  CC [M]  drivers/net/ethernet/broadcom/tg3.o
  AR      drivers/usb/serial/built-in.a
  CC      drivers/power/supply/power_supply_sysfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_i2c.o
  CC [M]  drivers/net/ethernet/intel/e1000/e1000_param.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_i225.o
  CC      lib/nmi_backtrace.o
  CC      drivers/acpi/acpica/tbprint.o
  CC [M]  drivers/net/phy/broadcom.o
  CC [M]  drivers/gpu/drm/xe/xe_execlist.o
  AR      drivers/usb/misc/built-in.a
  CC [M]  drivers/usb/misc/ftdi-elan.o
  CC [M]  drivers/gpu/drm/i915/i915_pci.o
  CC [M]  drivers/ptp/ptp_vclock.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_main.o
  CC [M]  fs/fuse/acl.o
  CC      fs/ext4/xattr_trusted.o
  AR      drivers/hwmon/built-in.a
  CC [M]  net/netfilter/xt_ipvs.o
  CC      arch/x86/kernel/ftrace.o
  CC [M]  drivers/net/ethernet/intel/ixgbevf/vf.o
  CC [M]  drivers/thermal/intel/x86_pkg_temp_thermal.o
  CC      drivers/acpi/acpica/tbutils.o
  CC [M]  drivers/net/ethernet/intel/ixgbevf/mbx.o
  CC [M]  drivers/net/ethernet/intel/e1000e/phy.o
  CC [M]  drivers/net/macvlan.o
  CC      drivers/power/supply/power_supply_leds.o
  AS      arch/x86/kernel/ftrace_64.o
  CC      fs/btrfs/dir-item.o
  CC [M]  drivers/i2c/busses/i2c-designware-pcidrv.o
  CC      arch/x86/kernel/trace_clock.o
  CC      fs/btrfs/file-item.o
  CC [M]  drivers/net/ethernet/intel/e1000e/param.o
  CC      fs/btrfs/inode-item.o
  CC [M]  drivers/net/usb/cdc_ether.o
  CC      drivers/usb/core/quirks.o
  CC      lib/plist.o
  CC      drivers/power/supply/power_supply_hwmon.o
  CC [M]  drivers/net/ethernet/intel/igb/e1000_mbx.o
  CC      net/ipv6/fib6_rules.o
  AR      drivers/input/built-in.a
  CC [M]  fs/cifs/misc.o
  CC [M]  fs/fuse/readdir.o
  CC      drivers/usb/core/devices.o
  CC [M]  fs/fuse/ioctl.o
  CC      lib/radix-tree.o
  CC      fs/ext4/xattr_user.o
  CC      arch/x86/kernel/trace.o
  CC      drivers/acpi/acpica/tbxface.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_base.o
  CC [M]  drivers/ptp/ptp_kvm_x86.o
  CC      fs/ext4/fast_commit.o
  CC [M]  drivers/net/phy/lxt.o
  CC [M]  drivers/gpu/drm/xe/xe_force_wake.o
  CC      fs/ext4/orphan.o
  CC      arch/x86/kernel/rethook.o
  CC [M]  drivers/thermal/intel/intel_menlow.o
  CC [M]  drivers/net/ethernet/intel/igb/e1000_i210.o
  LD [M]  drivers/net/ethernet/intel/e1000/e1000.o
  CC [M]  drivers/gpu/drm/i915/i915_scatterlist.o
  CC [M]  drivers/net/ethernet/intel/ixgb/ixgb_main.o
  CC      arch/x86/kernel/crash_core_64.o
  CC      kernel/trace/rpm-traces.o
  CC      net/ipv6/proc.o
  CC      fs/btrfs/disk-io.o
  AR      drivers/power/supply/built-in.a
  AR      drivers/power/built-in.a
  CC      net/ipv6/syncookies.o
  LD [M]  net/netfilter/nf_conntrack.o
  CC      kernel/trace/trace_dynevent.o
  CC      fs/nfs/unlink.o
  CC      drivers/acpi/acpica/tbxfload.o
  CC [M]  drivers/net/ethernet/intel/ixgbevf/ethtool.o
  AR      drivers/net/ethernet/intel/built-in.a
  LD [M]  drivers/i2c/busses/i2c-designware-pci.o
  CC [M]  drivers/net/ethernet/intel/e100.o
  CC      drivers/watchdog/watchdog_core.o
  AR      drivers/i2c/busses/built-in.a
  LD [M]  net/netfilter/nf_nat.o
  AR      drivers/i2c/muxes/built-in.a
  CC [M]  drivers/i2c/muxes/i2c-mux-gpio.o
  AR      net/netfilter/built-in.a
  CC      arch/x86/kernel/module.o
  CC [M]  drivers/net/ethernet/intel/igb/igb_ptp.o
  CC      net/ipv4/af_inet.o
  CC      net/ipv6/mip6.o
  CC [M]  drivers/net/usb/cdc_eem.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/object.o
  CC [M]  drivers/ptp/ptp_kvm_common.o
  LD [M]  drivers/net/ethernet/intel/igbvf/igbvf.o
  CC [M]  drivers/net/usb/smsc75xx.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_gem.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/client.o
  CC      drivers/watchdog/watchdog_dev.o
  CC      drivers/usb/core/phy.o
  CC [M]  drivers/net/usb/smsc95xx.o
  CC [M]  drivers/gpu/drm/xe/xe_ggtt.o
  CC      lib/ratelimit.o
  LD [M]  fs/fuse/fuse.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_nvm.o
  CC      drivers/acpi/acpica/tbxfroot.o
  CC [M]  drivers/net/phy/realtek.o
  AR      drivers/thermal/intel/built-in.a
  AR      drivers/thermal/tegra/built-in.a
  CC      drivers/thermal/thermal_core.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ring.o
  LD [M]  drivers/ptp/ptp.o
  CC      mm/vmalloc.o
  CC [M]  drivers/net/mii.o
  CC      net/ipv6/addrconf_core.o
  CC      drivers/acpi/processor_pdc.o
  CC [M]  drivers/net/phy/smsc.o
  CC [M]  fs/overlayfs/super.o
  CC      lib/rbtree.o
  CC [M]  drivers/gpu/drm/i915/i915_suspend.o
  CC [M]  drivers/gpu/drm/i915/i915_switcheroo.o
  CC      kernel/trace/trace_probe.o
  CC      arch/x86/kernel/early_printk.o
  CC [M]  drivers/gpu/drm/i915/i915_sysfs.o
  CC [M]  drivers/net/ethernet/intel/e1000e/ethtool.o
  CC      drivers/i2c/i2c-boardinfo.o
  CC      drivers/acpi/acpica/utaddress.o
  LD [M]  drivers/ptp/ptp_kvm.o
  CC [M]  drivers/md/persistent-data/dm-array.o
  CC [M]  drivers/md/persistent-data/dm-bitset.o
  CC [M]  drivers/md/persistent-data/dm-block-manager.o
  CC      drivers/usb/host/xhci-hub.o
  CC      net/ipv6/exthdrs_core.o
  CC      drivers/usb/core/port.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/conn.o
  CC      drivers/i2c/i2c-core-base.o
  CC [M]  drivers/gpu/drm/i915/i915_utils.o
  CC      arch/x86/kernel/hpet.o
  CC      drivers/usb/core/hcd-pci.o
  CC [M]  drivers/gpu/drm/xe/xe_gt.o
  CC      lib/seq_buf.o
  CC [M]  drivers/gpu/drm/xe/xe_gt_clock.o
  CC      fs/open.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_phy.o
  CC [M]  drivers/net/ethernet/intel/ixgbevf/ixgbevf_main.o
  CC      drivers/watchdog/softdog.o
  CC [M]  fs/cifs/netmisc.o
  CC      lib/show_mem.o
  CC      drivers/acpi/acpica/utalloc.o
  CC      fs/nfs/write.o
  CC      fs/nfs/namespace.o
  LD [M]  drivers/net/phy/aquantia.o
  CC      fs/btrfs/transaction.o
  CC [M]  drivers/net/ethernet/intel/igb/igb_hwmon.o
  AR      drivers/net/phy/built-in.a
  CC      net/ipv6/ip6_checksum.o
  CC      net/ipv6/ip6_icmp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_cs.o
  CC [M]  drivers/net/ethernet/intel/ixgb/ixgb_hw.o
  CC [M]  drivers/net/ethernet/intel/ixgbevf/ipsec.o
  CC      drivers/md/md.o
  CC [M]  drivers/gpu/drm/i915/intel_device_info.o
  CC [M]  drivers/gpu/drm/i915/intel_memory_region.o
  CC      drivers/md/md-bitmap.o
  CC [M]  drivers/md/persistent-data/dm-space-map-common.o
  CC      drivers/usb/host/xhci-dbg.o
  AR      drivers/watchdog/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_bios.o
  CC      drivers/acpi/acpica/utascii.o
  CC      kernel/capability.o
  CC      lib/siphash.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/device.o
  CC      drivers/i2c/i2c-core-smbus.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_benchmark.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_diag.o
  AR      fs/ext4/built-in.a
  CC      drivers/md/md-autodetect.o
  CC      kernel/ptrace.o
  CC      drivers/thermal/thermal_sysfs.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/disp.o
  CC      drivers/acpi/ec.o
  CC [M]  drivers/net/usb/mcs7830.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/driver.o
  CC      drivers/usb/core/usb-acpi.o
  CC      kernel/trace/trace_uprobe.o
  CC [M]  fs/overlayfs/namei.o
  CC      arch/x86/kernel/amd_nb.o
  CC      drivers/acpi/acpica/utbuffer.o
  CC [M]  drivers/gpu/drm/xe/xe_gt_debugfs.o
  CC      drivers/thermal/thermal_helpers.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/event.o
  CC      net/ipv4/igmp.o
  CC      net/ipv6/output_core.o
  CC      lib/string.o
  LD [M]  drivers/net/ethernet/intel/igb/igb.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/atombios_dp.o
  CC      kernel/trace/rethook.o
  CC [M]  fs/cifs/smbencrypt.o
  CC [M]  drivers/gpu/drm/xe/xe_gt_mcr.o
  CC      drivers/acpi/acpica/utcksum.o
  CC [M]  drivers/net/ethernet/intel/e1000e/netdev.o
  CC      arch/x86/kernel/kvm.o
  CC      kernel/user.o
  CC [M]  drivers/gpu/drm/xe/xe_gt_pagefault.o
  CC [M]  drivers/gpu/drm/i915/intel_pcode.o
  CC [M]  drivers/net/ethernet/intel/ixgb/ixgb_ee.o
  CC [M]  drivers/md/persistent-data/dm-space-map-disk.o
  CC [M]  drivers/net/usb/usbnet.o
  CC      drivers/thermal/thermal_hwmon.o
  AR      drivers/usb/core/built-in.a
  CC [M]  drivers/net/usb/cdc_ncm.o
  CC      net/ipv4/fib_frontend.o
  CC      fs/read_write.o
  CC [M]  drivers/net/usb/r8153_ecm.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_ethtool.o
  CC      drivers/usb/gadget/udc/core.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_ptp.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/fifo.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_afmt.o
  AR      drivers/usb/gadget/function/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_gt_sysfs.o
  AR      drivers/usb/gadget/legacy/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_gt_tlb_invalidation.o
  CC      lib/timerqueue.o
  CC      drivers/usb/host/xhci-trace.o
  CC [M]  drivers/gpu/drm/i915/intel_pm.o
  CC      drivers/acpi/acpica/utcopy.o
  CC      drivers/i2c/i2c-core-acpi.o
  CC      drivers/usb/gadget/udc/trace.o
  CC      net/ipv6/protocol.o
  CC [M]  fs/overlayfs/util.o
  CC      lib/vsprintf.o
  CC      drivers/acpi/dock.o
  CC      drivers/i2c/i2c-core-slave.o
  CC [M]  drivers/md/persistent-data/dm-space-map-metadata.o
  CC      drivers/thermal/gov_fair_share.o
  CC [M]  drivers/net/ethernet/intel/ixgb/ixgb_ethtool.o
  CC [M]  drivers/gpu/drm/i915/intel_region_ttm.o
  CC [M]  drivers/net/ethernet/intel/ixgb/ixgb_param.o
  CC [M]  drivers/gpu/drm/xe/xe_gt_topology.o
  CC [M]  drivers/gpu/drm/i915/intel_runtime_pm.o
  CC      drivers/acpi/acpica/utexcep.o
  CC [M]  drivers/gpu/drm/xe/xe_guc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_trace_points.o
  CC      fs/btrfs/inode.o
  CC [M]  drivers/gpu/drm/i915/intel_sbi.o
  CC      net/ipv6/ip6_offload.o
  CC [M]  drivers/gpu/drm/i915/intel_step.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_ads.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/head.o
  CC      mm/page_alloc.o
  CC [M]  fs/cifs/transport.o
  CC      arch/x86/kernel/kvmclock.o
  CC      drivers/thermal/gov_step_wise.o
  CC      drivers/acpi/pci_root.o
  CC      drivers/usb/gadget/usbstring.o
  CC      drivers/usb/gadget/config.o
  CC      drivers/usb/host/xhci-debugfs.o
  AR      kernel/trace/built-in.a
  CC      kernel/signal.o
  CC [M]  drivers/gpu/drm/i915/intel_uncore.o
  CC      drivers/acpi/pci_link.o
  CC      drivers/acpi/acpica/utdebug.o
  CC      drivers/acpi/acpica/utdecode.o
  CC      arch/x86/kernel/paravirt.o
  CC      drivers/md/dm-uevent.o
  CC [M]  drivers/md/persistent-data/dm-transaction-manager.o
  CC      drivers/i2c/i2c-dev.o
  CC      net/ipv4/fib_semantics.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_ct.o
  CC [M]  drivers/i2c/i2c-smbus.o
  CC [M]  fs/overlayfs/inode.o
  CC      fs/nfs/mount_clnt.o
  CC [M]  drivers/gpu/drm/i915/intel_wakeref.o
  AR      drivers/usb/gadget/udc/built-in.a
  CC      drivers/usb/gadget/epautoconf.o
  CC      drivers/thermal/gov_user_space.o
  CC      drivers/acpi/acpica/utdelete.o
  CC      drivers/usb/gadget/composite.o
  CC [M]  drivers/gpu/drm/ast/ast_drv.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_dump.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/mem.o
  CC [M]  drivers/gpu/drm/ast/ast_i2c.o
  CC [M]  drivers/gpu/drm/ast/ast_main.o
  CC      arch/x86/kernel/pvclock.o
  LD [M]  drivers/net/ethernet/intel/ixgbevf/ixgbevf.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/mmu.o
  CC      drivers/usb/gadget/functions.o
  CC      mm/init-mm.o
  CC      net/ipv4/fib_trie.o
  CC [M]  drivers/i2c/i2c-mux.o
  LD [M]  drivers/net/ethernet/intel/ixgb/ixgb.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_tsn.o
  CC [M]  fs/cifs/cached_dir.o
  CC      drivers/gpu/drm/drm_mipi_dsi.o
  CC [M]  drivers/net/ethernet/intel/e1000e/ptp.o
  LD [M]  drivers/net/usb/asix.o
  CC [M]  drivers/net/mdio.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/outp.o
  CC      arch/x86/kernel/pcspeaker.o
  CC      kernel/sys.o
  AR      drivers/thermal/built-in.a
  CC [M]  drivers/gpu/drm/i915/vlv_sideband.o
  CC      fs/file_table.o
  CC [M]  drivers/md/persistent-data/dm-btree.o
  CC      net/ipv4/fib_notifier.o
  CC      arch/x86/kernel/check.o
  CC      drivers/acpi/acpica/uterror.o
  CC      drivers/usb/gadget/configfs.o
  CC      drivers/md/dm.o
  CC      fs/super.o
  CC      drivers/usb/host/xhci-pci.o
  CC      net/ipv6/tcpv6_offload.o
  AR      drivers/net/ethernet/netronome/built-in.a
  CC [M]  fs/cifs/cifs_unicode.o
  CC      drivers/acpi/acpica/uteval.o
  CC [M]  drivers/gpu/drm/i915/vlv_suspend.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/atombios_encoders.o
  CC      fs/btrfs/file.o
  CC      drivers/usb/gadget/u_f.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_common.o
  CC [M]  drivers/gpu/drm/ast/ast_mm.o
  CC      fs/nfs/nfstrace.o
  CC      lib/win_minmax.o
  CC [M]  fs/overlayfs/file.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_ethtool.o
  CC      fs/btrfs/defrag.o
  CC      fs/char_dev.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_debugfs.o
  CC [M]  drivers/gpu/drm/ast/ast_mode.o
  CC      arch/x86/kernel/uprobes.o
  AR      drivers/i2c/built-in.a
  CC      drivers/acpi/acpica/utglobal.o
  CC [M]  drivers/md/persistent-data/dm-btree-remove.o
  CC [M]  drivers/net/ethernet/intel/igc/igc_xdp.o
  CC      fs/stat.o
  CC [M]  drivers/net/tun.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/timer.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/vmm.o
  CC      lib/xarray.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_hwconfig.o
  CC      net/ipv6/exthdrs_offload.o
  CC      lib/lockref.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_82599.o
  CC      fs/btrfs/extent_map.o
  CC      net/ipv4/inet_fragment.o
  CC      drivers/acpi/acpica/uthex.o
  CC      lib/bcd.o
  CC      lib/sort.o
  CC      lib/parser.o
  CC [M]  fs/overlayfs/dir.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_log.o
  CC      drivers/opp/core.o
  CC [M]  drivers/net/veth.o
  AR      drivers/usb/host/built-in.a
  CC [M]  drivers/md/persistent-data/dm-btree-spine.o
  CC      drivers/acpi/acpica/utids.o
  CC      lib/debug_locks.o
  CC      arch/x86/kernel/perf_regs.o
  CC [M]  fs/cifs/nterr.o
  CC      drivers/opp/cpu.o
  CC      arch/x86/kernel/tracepoint.o
  CC      fs/exec.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_pc.o
  CC [M]  fs/cifs/cifsencrypt.o
  CC      net/ipv4/ping.o
  CC      lib/random32.o
  CC      fs/pipe.o
  AR      drivers/usb/gadget/built-in.a
  CC [M]  drivers/usb/class/usbtmc.o
  CC      fs/namei.o
  CC [M]  drivers/gpu/drm/xe/xe_guc_submit.o
  CC [M]  fs/cifs/readdir.o
  CC      lib/bust_spinlocks.o
  CC      drivers/opp/debugfs.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/user.o
  LD [M]  drivers/net/ethernet/intel/igc/igc.o
  CC      arch/x86/kernel/itmt.o
  CC      drivers/acpi/acpica/utinit.o
  CC [M]  drivers/gpu/drm/nouveau/nvif/userc361.o
  CC      arch/x86/kernel/umip.o
  CC [M]  fs/cifs/ioctl.o
  CC      net/ipv6/inet6_hashtables.o
  CC      net/ipv4/ip_tunnel_core.o
  CC      net/ipv4/gre_offload.o
  LD [M]  drivers/md/persistent-data/dm-persistent-data.o
  CC      drivers/acpi/pci_irq.o
  CC      drivers/cpufreq/cpufreq.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_sa.o
  CC      drivers/cpuidle/governors/menu.o
  CC [M]  drivers/gpu/drm/ast/ast_post.o
  CC [M]  drivers/gpu/drm/i915/soc/intel_dram.o
  CC      lib/kasprintf.o
  CC [M]  drivers/gpu/drm/xe/xe_hw_engine.o
  CC      drivers/acpi/acpica/utlock.o
  CC      drivers/mmc/core/core.o
  CC      drivers/mmc/core/bus.o
  CC      kernel/umh.o
  CC      kernel/workqueue.o
  CC      drivers/md/dm-table.o
  CC      fs/btrfs/sysfs.o
  AR      drivers/ufs/built-in.a
  CC      drivers/md/dm-target.o
  CC [M]  fs/overlayfs/readdir.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_82598.o
  CC      fs/fcntl.o
  LD [M]  drivers/net/ethernet/intel/e1000e/e1000e.o
  CC [M]  drivers/gpu/drm/ast/ast_dp501.o
  CC [M]  drivers/gpu/drm/i915/soc/intel_gmch.o
  CC      drivers/cpufreq/freq_table.o
  CC      arch/x86/kernel/unwind_orc.o
  CC      lib/bitmap.o
  AR      drivers/cpuidle/governors/built-in.a
  CC      drivers/cpuidle/cpuidle.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/client.o
  CC      fs/btrfs/accessors.o
  CC      drivers/acpi/acpica/utmath.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_phy.o
  CC      drivers/acpi/acpi_lpss.o
  AR      drivers/opp/built-in.a
  CC [M]  fs/overlayfs/copy_up.o
  CC      drivers/acpi/acpi_apd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/atombios_i2c.o
  CC [M]  fs/cifs/sess.o
  CC      lib/scatterlist.o
  CC      drivers/cpuidle/driver.o
  CC      drivers/acpi/acpica/utmisc.o
  CC      arch/x86/kernel/callthunks.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_dma_buf.o
  CC      drivers/mmc/core/host.o
  CC      net/ipv4/metrics.o
  CC      drivers/md/dm-linear.o
  CC      kernel/pid.o
  AR      drivers/usb/built-in.a
  CC      drivers/cpufreq/cpufreq_performance.o
  CC      drivers/acpi/acpi_platform.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_sriov.o
  CC      net/ipv6/mcast_snoop.o
  CC [M]  fs/cifs/export.o
  CC      kernel/task_work.o
  CC [M]  fs/cifs/unc.o
  CC [M]  net/ipv6/ip6_udp_tunnel.o
  CC [M]  drivers/gpu/drm/i915/soc/intel_pch.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/engine.o
  CC [M]  drivers/gpu/drm/drm_aperture.o
  AR      drivers/net/ethernet/ni/built-in.a
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/enum.o
  CC [M]  fs/cifs/winucase.o
  AR      drivers/net/ethernet/packetengines/built-in.a
  CC [M]  drivers/gpu/drm/ast/ast_dp.o
  CC      drivers/cpufreq/cpufreq_ondemand.o
  AR      drivers/leds/trigger/built-in.a
  CC [M]  fs/overlayfs/export.o
  CC [M]  drivers/leds/trigger/ledtrig-audio.o
  CC      drivers/cpuidle/governor.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_mbx.o
  CC      drivers/cpufreq/cpufreq_governor.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_x540.o
  CC      drivers/acpi/acpica/utmutex.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/event.o
  CC      drivers/mmc/core/mmc.o
  CC      drivers/cpuidle/sysfs.o
  CC [M]  drivers/gpu/drm/xe/xe_hw_fence.o
  AR      drivers/firmware/arm_ffa/built-in.a
  CC      drivers/md/dm-stripe.o
  AR      drivers/firmware/arm_scmi/built-in.a
  CC      arch/x86/kernel/mmconf-fam10h_64.o
  AR      drivers/firmware/broadcom/built-in.a
  CC [M]  drivers/gpu/drm/drm_atomic.o
  CC      fs/btrfs/xattr.o
  AR      drivers/firmware/cirrus/built-in.a
  CC      arch/x86/kernel/vsmp_64.o
  AR      drivers/firmware/meson/built-in.a
  CC      lib/list_sort.o
  AR      drivers/leds/blink/built-in.a
  AR      drivers/leds/simple/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vm.o
  CC      drivers/cpuidle/poll_state.o
  CC      drivers/leds/led-core.o
  CC      drivers/firmware/efi/efi-bgrt.o
  CC      lib/uuid.o
  CC      drivers/firmware/efi/efi.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/firmware.o
  CC      lib/iov_iter.o
  CC      mm/memblock.o
  CC      drivers/firmware/efi/libstub/efi-stub-helper.o
  CC      drivers/acpi/acpica/utnonansi.o
  CC      mm/memory_hotplug.o
  CC [M]  fs/cifs/smb2ops.o
  AR      drivers/crypto/stm32/built-in.a
  CC [M]  fs/cifs/smb2maperror.o
  AR      drivers/crypto/xilinx/built-in.a
  CC      lib/clz_ctz.o
  CC      drivers/firmware/efi/libstub/gop.o
  AR      drivers/crypto/hisilicon/built-in.a
  CC      drivers/acpi/acpica/utobject.o
  AR      drivers/crypto/keembay/built-in.a
  AR      drivers/crypto/built-in.a
  CC      net/ipv4/netlink.o
  CC      drivers/cpuidle/cpuidle-haltpoll.o
  CC      lib/bsearch.o
  CC      lib/find_bit.o
  CC      lib/llist.o
  LD [M]  drivers/gpu/drm/ast/ast.o
  CC      drivers/cpufreq/cpufreq_governor_attr_set.o
  CC      drivers/firmware/efi/libstub/secureboot.o
  AR      drivers/firmware/imx/built-in.a
  AR      drivers/net/ethernet/realtek/built-in.a
  CC      fs/btrfs/ordered-data.o
  LD [M]  fs/overlayfs/overlay.o
  CC [M]  drivers/net/ethernet/realtek/8139cp.o
  AR      drivers/net/ethernet/renesas/built-in.a
  CC      fs/ioctl.o
  AR      drivers/firmware/psci/built-in.a
  CC      drivers/firmware/efi/libstub/tpm.o
  AR      drivers/firmware/smccc/built-in.a
  CC      drivers/clocksource/acpi_pm.o
  CC      drivers/clocksource/i8253.o
  AR      net/ipv6/built-in.a
  AR      drivers/net/ethernet/sfc/built-in.a
  AR      drivers/firmware/tegra/built-in.a
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/gpuobj.o
  CC [M]  drivers/net/ethernet/realtek/8139too.o
  CC [M]  drivers/gpu/drm/i915/i915_memcpy.o
  CC      fs/btrfs/extent_io.o
  AR      drivers/firmware/xilinx/built-in.a
  CC      drivers/cpufreq/acpi-cpufreq.o
  CC      mm/madvise.o
  CC [M]  drivers/gpu/drm/drm_atomic_uapi.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_x550.o
  CC      drivers/mmc/core/mmc_ops.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vm_pt.o
  CC      net/ipv4/nexthop.o
  AR      arch/x86/kernel/built-in.a
  AR      arch/x86/built-in.a
  CC      drivers/leds/led-class.o
  CC      fs/readdir.o
  CC [M]  drivers/gpu/drm/i915/i915_mm.o
  CC [M]  drivers/gpu/drm/i915/i915_sw_fence.o
  CC      mm/page_io.o
  AR      drivers/cpuidle/built-in.a
  CC      drivers/md/dm-ioctl.o
  CC      drivers/acpi/acpica/utosi.o
  CC      lib/memweight.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/intr.o
  CC      lib/kfifo.o
  CC      drivers/firmware/dmi_scan.o
  CC      fs/nfs/export.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_lib.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_ptp.o
  CC [M]  drivers/gpu/drm/xe/xe_huc.o
  CC      drivers/hid/usbhid/hid-core.o
  CC      net/ipv4/udp_tunnel_stub.o
  CC      drivers/hid/hid-core.o
  AR      drivers/clocksource/built-in.a
  CC      drivers/hid/hid-input.o
  AR      drivers/staging/media/deprecated/vpfe_capture/built-in.a
  CC      drivers/firmware/efi/libstub/file.o
  AR      drivers/staging/media/deprecated/saa7146/common/built-in.a
  AR      drivers/staging/media/deprecated/saa7146/av7110/built-in.a
  AR      drivers/staging/media/deprecated/saa7146/saa7146/built-in.a
  CC      fs/nfs/sysfs.o
  AR      drivers/staging/media/deprecated/saa7146/ttpci/built-in.a
  CC      drivers/acpi/acpica/utownerid.o
  AR      drivers/staging/media/deprecated/saa7146/built-in.a
  AR      drivers/staging/media/built-in.a
  AR      drivers/staging/built-in.a
  AR      drivers/platform/x86/amd/built-in.a
  CC      drivers/platform/x86/intel/pmc/core.o
  CC      drivers/leds/led-triggers.o
  CC      drivers/platform/x86/intel/pmc/spt.o
  CC      fs/btrfs/volumes.o
  CC      net/ipv4/sysctl_net_ipv4.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/ioctl.o
  AR      drivers/platform/surface/built-in.a
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/memory.o
  CC [M]  drivers/gpu/drm/drm_auth.o
  CC      drivers/platform/x86/p2sb.o
  CC      drivers/acpi/acpica/utpredef.o
  CC      drivers/platform/x86/intel/pmc/cnp.o
  CC      fs/nfs/fs_context.o
  CC      drivers/cpufreq/intel_pstate.o
  CC      drivers/mmc/core/sd.o
  CC      drivers/acpi/acpica/utresdecode.o
  CC      drivers/acpi/acpica/utresrc.o
  CC      drivers/platform/x86/pmc_atom.o
  CC      kernel/extable.o
  CC [M]  drivers/gpu/drm/drm_blend.o
  CC      fs/select.o
  CC [M]  drivers/gpu/drm/xe/xe_huc_debugfs.o
  CC [M]  fs/cifs/smb2transport.o
  CC      drivers/firmware/efi/libstub/mem.o
  CC [M]  drivers/gpu/drm/i915/i915_sw_fence_work.o
  CC      drivers/acpi/acpica/utstate.o
  CC      fs/btrfs/async-thread.o
  CC [M]  drivers/gpu/drm/i915/i915_syncmap.o
  CC      mm/swap_state.o
  CC      drivers/acpi/acpica/utstring.o
  CC [M]  drivers/platform/x86/wmi.o
  CC      fs/dcache.o
  CC      fs/nfs/sysctl.o
  CC      fs/inode.o
  CC      drivers/acpi/acpica/utstrsuppt.o
  AR      drivers/leds/built-in.a
  CC      drivers/hid/usbhid/hiddev.o
  CC [M]  drivers/gpu/drm/drm_bridge.o
  CC      drivers/acpi/acpica/utstrtoul64.o
  CC      drivers/platform/x86/intel/pmc/icl.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_xsk.o
  CC      fs/nfs/nfs2super.o
  CC      drivers/mmc/core/sd_ops.o
  CC      fs/nfs/proc.o
  CC      fs/attr.o
  CC [M]  drivers/platform/x86/intel/pmt/class.o
  CC      fs/bad_inode.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/mm.o
  CC [M]  drivers/net/ethernet/realtek/r8169_main.o
  CC [M]  drivers/net/ethernet/realtek/r8169_firmware.o
  CC      drivers/mailbox/mailbox.o
  CC      drivers/acpi/acpica/utxface.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/object.o
  CC      drivers/md/dm-io.o
  CC      drivers/devfreq/devfreq.o
  CC [M]  drivers/gpu/drm/xe/xe_irq.o
  CC      drivers/firmware/efi/libstub/random.o
  CC      drivers/md/dm-kcopyd.o
  CC      drivers/firmware/efi/vars.o
  CC      kernel/params.o
  CC [M]  drivers/platform/x86/intel/pmt/telemetry.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_dcb.o
  CC      fs/btrfs/ioctl.o
  CC      lib/percpu-refcount.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ib.o
  CC [M]  drivers/gpu/drm/xe/xe_lrc.o
  CC      fs/nfs/nfs2xdr.o
  CC      drivers/platform/x86/intel/pmc/tgl.o
  CC [M]  drivers/net/ethernet/realtek/r8169_phy_config.o
  CC      fs/file.o
  CC      drivers/acpi/acpica/utxfinit.o
  CC      fs/btrfs/locking.o
  CC [M]  drivers/gpu/drm/i915/i915_user_extensions.o
  CC      drivers/mmc/core/sdio.o
  CC      fs/nfs/nfs3super.o
  CC      drivers/mailbox/pcc.o
  CC      drivers/acpi/acpica/utxferror.o
  CC      fs/filesystems.o
  CC      kernel/kthread.o
  CC [M]  drivers/gpu/drm/i915/i915_ioc32.o
  AR      drivers/hid/usbhid/built-in.a
  CC      net/ipv4/proc.o
  CC      net/ipv4/syncookies.o
  CC [M]  drivers/gpu/drm/i915/i915_debugfs.o
  CC      drivers/firmware/efi/libstub/randomalloc.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_dcb_82598.o
  CC      mm/swapfile.o
  CC      drivers/platform/x86/intel/pmc/adl.o
  CC      drivers/mmc/core/sdio_ops.o
  CC [M]  drivers/platform/x86/intel/pmt/crashlog.o
  CC      drivers/firmware/efi/reboot.o
  CC      drivers/hid/hid-quirks.o
  CC      kernel/sys_ni.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/oproxy.o
  CC      lib/rhashtable.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_dcb_82599.o
  CC      net/ipv4/esp4.o
  CC [M]  fs/cifs/smb2misc.o
  CC      drivers/acpi/acpica/utxfmutex.o
  CC      drivers/mmc/host/sdhci.o
  CC [M]  fs/cifs/smb2pdu.o
  CC [M]  drivers/gpu/drm/xe/xe_migrate.o
  CC      drivers/md/dm-sysfs.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_dcb_nl.o
  CC      fs/namespace.o
  AR      drivers/cpufreq/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_mmio.o
  CC      fs/nfs/nfs3client.o
  CC      drivers/hid/hid-debug.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_sysfs.o
  AR      drivers/mailbox/built-in.a
  CC      drivers/platform/x86/intel/pmc/mtl.o
  CC      mm/swap_slots.o
  CC      drivers/platform/x86/intel/pmc/pltdrv.o
  CC [M]  fs/cifs/smb2inode.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_pll.o
  CC      drivers/firmware/efi/libstub/pci.o
  CC      fs/nfs/nfs3proc.o
  CC      fs/nfs/nfs3xdr.o
  CC      drivers/mmc/core/sdio_bus.o
  AR      drivers/acpi/acpica/built-in.a
  LD [M]  drivers/platform/x86/intel/pmt/pmt_class.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ucode.o
  LD [M]  drivers/platform/x86/intel/pmt/pmt_telemetry.o
  CC      drivers/acpi/acpi_pnp.o
  LD [M]  drivers/platform/x86/intel/pmt/pmt_crashlog.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_bo_list.o
  CC      fs/seq_file.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ctx.o
  CC      drivers/platform/x86/intel/turbo_max_3.o
  CC [M]  drivers/devfreq/governor_simpleondemand.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_sync.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_debugfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_gtt_mgr.o
  CC      drivers/mmc/core/sdio_cis.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/option.o
  CC      net/ipv4/esp4_offload.o
  AR      drivers/platform/x86/intel/pmc/built-in.a
  CC      lib/base64.o
  CC [M]  drivers/gpu/drm/drm_cache.o
  CC [M]  drivers/platform/x86/intel/vsec.o
  CC [M]  drivers/net/ethernet/intel/ixgbe/ixgbe_ipsec.o
  CC      drivers/md/dm-stats.o
  CC      lib/once.o
  CC      drivers/md/dm-rq.o
  CC [M]  drivers/devfreq/governor_performance.o
  CC [M]  drivers/gpu/drm/i915/i915_debugfs_params.o
  CC [M]  drivers/gpu/drm/i915/display/intel_display_debugfs.o
  CC      mm/dmapool.o
  CC      drivers/md/dm-io-rewind.o
  CC      fs/xattr.o
  CC      kernel/nsproxy.o
  CC      drivers/acpi/power.o
  CC      drivers/firmware/efi/libstub/skip_spaces.o
  CC      drivers/firmware/efi/libstub/lib-cmdline.o
  CC [M]  drivers/gpu/drm/xe/xe_mocs.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/ramht.o
  CC      drivers/hid/hidraw.o
  CC      net/ipv4/netfilter.o
  CC [M]  drivers/gpu/drm/drm_client.o
  CC      drivers/firmware/efi/libstub/lib-ctype.o
  CC      drivers/firmware/efi/libstub/alignedmem.o
  CC [M]  drivers/platform/x86/wmi-bmof.o
  CC      drivers/mmc/core/sdio_io.o
  CC      lib/refcount.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/subdev.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_preempt_mgr.o
  AR      drivers/devfreq/built-in.a
  CC      drivers/powercap/powercap_sys.o
  CC      drivers/mmc/core/sdio_irq.o
  CC      mm/hugetlb.o
  CC [M]  drivers/platform/x86/mxm-wmi.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vram_mgr.o
  CC      fs/btrfs/orphan.o
  CC      lib/usercopy.o
  CC [M]  drivers/platform/x86/intel/rst.o
  LD [M]  drivers/platform/x86/intel/intel_vsec.o
  CC [M]  fs/cifs/smb2file.o
  CC      drivers/mmc/host/sdhci-pci-core.o
  CC      drivers/acpi/event.o
  CC [M]  fs/cifs/cifsacl.o
  CC      net/ipv4/inet_diag.o
  CC      lib/errseq.o
  LD [M]  drivers/net/ethernet/realtek/r8169.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_virt.o
  CC      mm/hugetlb_vmemmap.o
  CC [M]  drivers/gpu/drm/xe/xe_module.o
  CC      lib/bucket_locks.o
  CC [M]  drivers/platform/x86/intel_ips.o
  CC      lib/generic-radix-tree.o
  CC      drivers/acpi/evged.o
  CC      lib/string_helpers.o
  CC      drivers/firmware/efi/libstub/relocate.o
  CC      lib/hexdump.o
  CC [M]  fs/cifs/fs_context.o
  CC [M]  fs/cifs/dns_resolve.o
  ASN.1   fs/cifs/cifs_spnego_negtokeninit.asn1.[ch]
  CC      drivers/md/dm-builtin.o
  CC [M]  drivers/gpu/drm/i915/display/intel_pipe_crc.o
  CC      drivers/hid/hid-generic.o
  CC      lib/kstrtox.o
  CC      fs/libfs.o
  CC      net/ipv4/tcp_diag.o
  CC      kernel/notifier.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_atomfirmware.o
  CC      lib/debug_info.o
  CC      drivers/firmware/efi/memattr.o
  AR      drivers/platform/x86/intel/built-in.a
  LD [M]  drivers/platform/x86/intel/intel-rst.o
  CC      drivers/mmc/core/slot-gpio.o
  CC [M]  drivers/gpu/drm/drm_client_modeset.o
  CC [M]  fs/cifs/smb1ops.o
  CC      drivers/powercap/intel_rapl_common.o
  CC      drivers/mmc/host/sdhci-pci-o2micro.o
  CC [M]  drivers/gpu/drm/xe/xe_pat.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/core/uevent.o
  CC      drivers/firmware/efi/tpm.o
  AR      fs/nfs/built-in.a
  CC      net/ipv4/udp_diag.o
  CC      drivers/mmc/core/regulator.o
  CC [M]  drivers/gpu/drm/drm_color_mgmt.o
  CC      lib/iomap.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/nvfw/fw.o
  CC      drivers/firmware/dmi-sysfs.o
  AR      drivers/platform/x86/built-in.a
  CC [M]  drivers/md/dm-bufio.o
  CC [M]  drivers/gpu/drm/i915/i915_pmu.o
  CC      lib/pci_iomap.o
  CC      drivers/acpi/sysfs.o
  CC      lib/iomap_copy.o
  LD [M]  drivers/net/ethernet/intel/ixgbe/ixgbe.o
  CC      drivers/firmware/efi/libstub/printk.o
  CC      drivers/hid/hid-a4tech.o
  CC [M]  fs/cifs/cifssmb.o
  CC [M]  drivers/md/dm-bio-prison-v1.o
  AR      drivers/net/ethernet/smsc/built-in.a
  CC      drivers/firmware/efi/memmap.o
  CC [M]  drivers/net/ethernet/smsc/smsc9420.o
  CC      drivers/mmc/core/debugfs.o
  CC      kernel/ksysfs.o
  CC      kernel/cred.o
  CC      drivers/firmware/dmi-id.o
  CC      drivers/mmc/core/block.o
  AR      drivers/net/ethernet/socionext/built-in.a
  AR      drivers/net/ethernet/vertexcom/built-in.a
  AR      drivers/net/ethernet/wangxun/built-in.a
  AR      drivers/net/ethernet/xilinx/built-in.a
  AR      drivers/perf/built-in.a
  CC      fs/btrfs/export.o
  AR      drivers/platform/built-in.a
  AR      drivers/net/ethernet/synopsys/built-in.a
  CC      fs/btrfs/tree-log.o
  CC      drivers/ras/ras.o
  AR      drivers/hwtracing/intel_th/built-in.a
  CC [M]  drivers/gpu/drm/i915/gt/gen2_engine_cs.o
  CC      fs/btrfs/free-space-cache.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/nvfw/hs.o
  CC [M]  drivers/gpu/drm/xe/xe_pci.o
  CC      lib/devres.o
  CC      fs/btrfs/zlib.o
  CC      drivers/mmc/host/sdhci-pci-arasan.o
  CC      fs/btrfs/lzo.o
  CC      drivers/mmc/host/sdhci-pci-dwc-mshc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/nvfw/ls.o
  CC      drivers/hid/hid-apple.o
  CC      drivers/hid/hid-belkin.o
  CC      drivers/firmware/efi/libstub/vsprintf.o
  CC      drivers/mmc/host/sdhci-pci-gli.o
  CC      mm/sparse.o
  CC      drivers/hid/hid-cherry.o
  CC      drivers/powercap/intel_rapl_msr.o
  CC      drivers/acpi/property.o
  CC      drivers/mmc/host/sdhci-acpi.o
  CC [M]  drivers/md/dm-bio-prison-v2.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vf_error.o
  CC [M]  drivers/md/dm-crypt.o
  CC      lib/check_signature.o
  CC      mm/sparse-vmemmap.o
  CC [M]  drivers/gpu/drm/drm_connector.o
  CC      net/ipv4/tcp_cubic.o
  CC      drivers/firmware/efi/libstub/x86-stub.o
  CC      fs/fs-writeback.o
  CC      drivers/mmc/core/queue.o
  CC      drivers/firmware/memmap.o
  CC [M]  fs/cifs/cifs_spnego_negtokeninit.asn1.o
  CC [M]  drivers/gpu/drm/xe/xe_pcode.o
  CC      net/ipv4/xfrm4_policy.o
  CC [M]  drivers/gpu/drm/drm_crtc.o
  CC      mm/mmu_notifier.o
  STUBCPY drivers/firmware/efi/libstub/alignedmem.stub.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_sched.o
  CC      lib/interval_tree.o
  CC      drivers/hid/hid-chicony.o
  CC      fs/pnode.o
  CC      drivers/mmc/host/cqhci-core.o
  CC      kernel/reboot.o
  AR      drivers/net/ethernet/pensando/built-in.a
  CC [M]  drivers/gpu/drm/i915/gt/gen6_engine_cs.o
  CC [M]  drivers/gpu/drm/i915/gt/gen6_ppgtt.o
  CC      lib/assoc_array.o
  CC      kernel/async.o
  CC [M]  drivers/mmc/host/sdhci-pltfm.o
  CC [M]  drivers/gpu/drm/xe/xe_pm.o
  CC [M]  drivers/gpu/drm/xe/xe_preempt_fence.o
  CC      fs/splice.o
  AR      drivers/powercap/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_pt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_debugfs.o
  CC      drivers/android/binderfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ids.o
  CC [M]  fs/cifs/asn1.o
  CC      drivers/ras/debugfs.o
  CC      drivers/android/binder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/nvfw/acr.o
  CC      mm/ksm.o
  CC      mm/slub.o
  CC      fs/btrfs/zstd.o
  CC      fs/btrfs/compression.o
  CC [M]  drivers/md/dm-thin.o
  CC      fs/sync.o
  STUBCPY drivers/firmware/efi/libstub/efi-stub-helper.stub.o
  CC      fs/utimes.o
  STUBCPY drivers/firmware/efi/libstub/file.stub.o
  STUBCPY drivers/firmware/efi/libstub/gop.stub.o
  STUBCPY drivers/firmware/efi/libstub/lib-cmdline.stub.o
  STUBCPY drivers/firmware/efi/libstub/lib-ctype.stub.o
  CC      net/ipv4/xfrm4_state.o
  STUBCPY drivers/firmware/efi/libstub/mem.stub.o
  CC      fs/btrfs/delayed-ref.o
  STUBCPY drivers/firmware/efi/libstub/pci.stub.o
  STUBCPY drivers/firmware/efi/libstub/printk.stub.o
  STUBCPY drivers/firmware/efi/libstub/random.stub.o
  STUBCPY drivers/firmware/efi/libstub/randomalloc.stub.o
  STUBCPY drivers/firmware/efi/libstub/relocate.stub.o
  STUBCPY drivers/firmware/efi/libstub/secureboot.stub.o
  STUBCPY drivers/firmware/efi/libstub/skip_spaces.stub.o
  AR      drivers/net/ethernet/built-in.a
  STUBCPY drivers/firmware/efi/libstub/tpm.stub.o
  STUBCPY drivers/firmware/efi/libstub/vsprintf.stub.o
  CC      drivers/acpi/acpi_cmos_rtc.o
  STUBCPY drivers/firmware/efi/libstub/x86-stub.stub.o
  AR      drivers/firmware/efi/libstub/lib.a
  CC      drivers/hid/hid-cypress.o
  CC      drivers/hid/hid-ezkey.o
  CC      drivers/firmware/efi/esrt.o
  CC      kernel/range.o
  CC [M]  drivers/gpu/drm/xe/xe_query.o
  AR      drivers/net/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_reg_sr.o
  CC      drivers/nvmem/core.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_gmc.o
  AR      drivers/ras/built-in.a
  CC      fs/d_path.o
  CC [M]  drivers/mtd/chips/chipreg.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_xgmi.o
  CC      fs/stack.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_csa.o
  CC [M]  drivers/gpu/drm/i915/gt/gen7_renderclear.o
  CC      kernel/smpboot.o
  CC      mm/migrate.o
  CC [M]  drivers/gpu/drm/xe/xe_reg_whitelist.o
  CC      drivers/android/binder_alloc.o
  CC [M]  drivers/gpu/drm/drm_displayid.o
  CC      fs/fs_struct.o
  AR      drivers/mmc/core/built-in.a
  CC      lib/smp_processor_id.o
  CC      fs/statfs.o
  CC [M]  drivers/gpu/drm/drm_drv.o
  CC      drivers/hid/hid-kensington.o
  CC      drivers/acpi/x86/apple.o
  CC      net/ipv4/xfrm4_input.o
  CC      drivers/hid/hid-lg.o
  CC [M]  drivers/uio/uio.o
  CC      fs/fs_pin.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/nvfw/flcn.o
  CC      fs/nsfs.o
  CC [M]  drivers/mtd/mtdcore.o
  AR      drivers/mmc/host/built-in.a
  CC      drivers/firmware/efi/efi-pstore.o
  CC [M]  drivers/gpu/drm/xe/xe_rtp.o
  AR      drivers/mmc/built-in.a
  CC      drivers/hid/hid-lg-g15.o
  CC      drivers/firmware/efi/cper.o
  CC      net/ipv4/xfrm4_output.o
  CC [M]  drivers/gpu/drm/xe/xe_ring_ops.o
  CC      kernel/ucount.o
  CC [M]  drivers/gpu/drm/drm_dumb_buffers.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ras.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vm_cpu.o
  CC      drivers/hid/hid-microsoft.o
  CC      lib/list_debug.o
  CC [M]  drivers/vfio/pci/vfio_pci_core.o
  CC      lib/debugobjects.o
  CC [M]  drivers/vfio/pci/vfio_pci_intrs.o
  CC      mm/migrate_device.o
  CC [M]  drivers/pps/pps.o
  CC      fs/fs_types.o
  AR      drivers/nvmem/built-in.a
  CC [M]  drivers/pps/kapi.o
  CC      drivers/acpi/x86/utils.o
  CC      lib/bitrev.o
  CC      net/ipv4/xfrm4_protocol.o
  CC [M]  net/ipv4/ip_tunnel.o
  CC [M]  drivers/gpu/drm/i915/gt/gen8_engine_cs.o
  CC      drivers/hid/hid-monterey.o
  CC [M]  drivers/gpu/drm/xe/xe_sa.o
  CC [M]  drivers/pps/sysfs.o
  CC [M]  drivers/vfio/vfio_main.o
  CC [M]  drivers/gpu/drm/drm_edid.o
  CC [M]  drivers/vfio/pci/vfio_pci_rdwr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/base.o
  CC      kernel/regset.o
  CC [M]  drivers/bluetooth/btusb.o
  CC [M]  drivers/gpu/drm/drm_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vm_sdma.o
  CC      fs/btrfs/relocation.o
  CC      lib/crc16.o
  CC [M]  drivers/vfio/group.o
  CC [M]  drivers/bluetooth/btintel.o
  CC [M]  drivers/gpu/drm/xe/xe_sched_job.o
  CC [M]  drivers/gpu/drm/xe/xe_step.o
  CC [M]  drivers/gpu/drm/drm_file.o
  CC      fs/btrfs/delayed-inode.o
  CC [M]  drivers/gpu/drm/xe/xe_sync.o
  CC      kernel/kmod.o
  CC [M]  net/ipv4/udp_tunnel_core.o
  CC [M]  drivers/gpu/drm/i915/gt/gen8_ppgtt.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_breadcrumbs.o
  CC      lib/crc-t10dif.o
  CC      drivers/acpi/x86/s2idle.o
  CC      drivers/firmware/efi/cper_cxl.o
  HOSTCC  lib/gen_crc32table
  LD [M]  drivers/pps/pps_core.o
  CC [M]  drivers/md/dm-thin-metadata.o
  AR      drivers/hid/built-in.a
  CC [M]  drivers/dca/dca-core.o
  CC [M]  drivers/ssb/main.o
  CC [M]  drivers/dca/dca-sysfs.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/cmdq.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_context.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/fw.o
  CC [M]  drivers/ssb/scan.o
  CC      lib/libcrc32c.o
  LD [M]  drivers/md/dm-bio-prison.o
  CC      kernel/groups.o
  CC [M]  net/ipv4/udp_tunnel_nic.o
  CC      mm/huge_memory.o
  CC [M]  drivers/mtd/mtdsuper.o
  AR      drivers/md/built-in.a
  CC [M]  drivers/mtd/mtdconcat.o
  CC [M]  drivers/vfio/pci/vfio_pci_config.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/msgq.o
  CC [M]  drivers/gpu/drm/xe/xe_trace.o
  CC      drivers/firmware/efi/runtime-wrappers.o
  CC [M]  drivers/mtd/mtdpart.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_context_sseu.o
  LD [M]  fs/cifs/cifs.o
  AR      net/ipv4/built-in.a
  CC [M]  drivers/gpu/drm/xe/xe_ttm_gtt_mgr.o
  CC      drivers/acpi/debugfs.o
  CC      lib/xxhash.o
  CC [M]  drivers/vhost/net.o
  CC      fs/btrfs/scrub.o
  CC [M]  drivers/vhost/vhost.o
  CC      fs/btrfs/backref.o
  CC [M]  drivers/gpu/drm/drm_fourcc.o
  LD [M]  drivers/dca/dca.o
  CC [M]  drivers/gpu/drm/xe/xe_ttm_stolen_mgr.o
  CC [M]  drivers/vhost/iotlb.o
  CC      drivers/firmware/efi/dev-path-parser.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_engine_cs.o
  CC [M]  drivers/vfio/iova_bitmap.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_discovery.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ras_eeprom.o
  CC      drivers/firmware/efi/apple-properties.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_engine_heartbeat.o
  CC      kernel/kcmp.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_engine_pm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/qmgr.o
  CC [M]  drivers/gpu/drm/drm_framebuffer.o
  CC      kernel/freezer.o
  CC      drivers/acpi/acpi_lpat.o
  CC      fs/btrfs/ulist.o
  CC [M]  drivers/ssb/sprom.o
  CC      lib/genalloc.o
  CC      drivers/acpi/acpi_lpit.o
  CC [M]  drivers/vfio/container.o
  CC      drivers/firmware/efi/earlycon.o
  CC [M]  drivers/mtd/mtdchar.o
  CC      fs/fs_context.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_engine_user.o
  CC [M]  drivers/gpu/drm/xe/xe_ttm_vram_mgr.o
  LD [M]  drivers/md/dm-thin-pool.o
  CC [M]  drivers/gpu/drm/xe/xe_tuning.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/v1.o
  CC [M]  drivers/vfio/virqfd.o
  CC      drivers/acpi/prmt.o
  CC [M]  drivers/vfio/vfio_iommu_type1.o
  CC [M]  drivers/bluetooth/btbcm.o
  CC      drivers/firmware/efi/cper-x86.o
  CC      fs/btrfs/qgroup.o
  CC      fs/fs_parser.o
  LD [M]  drivers/vhost/vhost_iotlb.o
  CC      lib/percpu_counter.o
  CC      lib/fault-inject.o
  CC      fs/fsopen.o
  CC      lib/syscall.o
  CC [M]  drivers/gpu/drm/drm_gem.o
  CC      kernel/stacktrace.o
  CC [M]  drivers/gpu/drm/xe/xe_uc.o
  CC      drivers/acpi/acpi_pcc.o
  CC [M]  drivers/vfio/pci/vfio_pci.o
  CC      kernel/dma.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/gm200.o
  LD [M]  net/ipv4/udp_tunnel.o
  CC [M]  drivers/ssb/pci.o
  AR      net/built-in.a
  CC [M]  drivers/gpu/drm/i915/gt/intel_execlists_submission.o
  CC      lib/dynamic_debug.o
  CC [M]  drivers/gpu/drm/drm_ioctl.o
  CC      fs/init.o
  CC      fs/btrfs/send.o
  CC      drivers/acpi/ac.o
  CC      fs/btrfs/dev-replace.o
  CC      lib/errname.o
  CC      kernel/smp.o
  CC      lib/nlattr.o
  CC      lib/checksum.o
  CC      lib/cpu_rmap.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_nbio.o
  LD [M]  drivers/vfio/pci/vfio-pci-core.o
  CC [M]  drivers/gpu/drm/drm_lease.o
  CC [M]  drivers/gpu/drm/xe/xe_uc_debugfs.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_ggtt.o
  AR      drivers/firmware/efi/built-in.a
  AR      drivers/firmware/built-in.a
  CC      fs/btrfs/raid56.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/gp102.o
  CC      fs/btrfs/uuid-tree.o
  CC [M]  drivers/gpu/drm/drm_managed.o
  CC      fs/btrfs/props.o
  CC      fs/btrfs/free-space-tree.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/ga100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_umc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smu_v11_0_i2c.o
  CC [M]  drivers/gpu/drm/xe/xe_uc_fw.o
  CC [M]  drivers/gpu/drm/xe/xe_vm.o
  CC [M]  drivers/gpu/drm/drm_mm.o
  CC      kernel/uid16.o
  CC      fs/kernel_read_file.o
  CC      mm/khugepaged.o
  CC [M]  drivers/gpu/drm/xe/xe_vm_madvise.o
  LD [M]  drivers/mtd/mtd.o
  LD [M]  drivers/vfio/pci/vfio-pci.o
  CC      mm/page_counter.o
  CC [M]  drivers/ssb/pcihost_wrapper.o
  CC [M]  drivers/bluetooth/btrtl.o
  CC      drivers/acpi/button.o
  CC      fs/remap_range.o
  CC [M]  drivers/gpu/drm/drm_mode_config.o
  LD [M]  drivers/vhost/vhost_net.o
  CC      drivers/acpi/fan_core.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_ggtt_fencing.o
  CC      lib/dynamic_queue_limits.o
  AR      drivers/android/built-in.a
  CC      kernel/kallsyms.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_fru_eeprom.o
  CC      mm/memcontrol.o
  CC [M]  drivers/gpu/drm/xe/xe_wait_user_fence.o
  CC [M]  drivers/gpu/drm/drm_mode_object.o
  CC      drivers/acpi/fan_attr.o
  CC      kernel/acct.o
  CC      fs/buffer.o
  CC      kernel/crash_core.o
  CC      fs/direct-io.o
  CC      fs/mpage.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/falcon/ga102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_rap.o
  CC [M]  drivers/ssb/driver_chipcommon.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/base.o
  CC      drivers/acpi/processor_driver.o
  CC [M]  drivers/gpu/drm/drm_modes.o
  CC      fs/btrfs/tree-checker.o
  CC      lib/glob.o
  CC      lib/strncpy_from_user.o
  CC      fs/proc_namespace.o
  CC [M]  drivers/gpu/drm/drm_modeset_lock.o
  CC      drivers/acpi/processor_thermal.o
  CC [M]  drivers/ssb/driver_chipcommon_pmu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_fw_attestation.o
  CC [M]  drivers/gpu/drm/drm_plane.o
  CC      drivers/acpi/processor_idle.o
  CC      fs/btrfs/space-info.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/lsfw.o
  CC      fs/btrfs/block-rsv.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_securedisplay.o
  LD [M]  drivers/vfio/vfio.o
  CC [M]  drivers/gpu/drm/drm_prime.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/gm200.o
  CC      fs/btrfs/delalloc-space.o
  CC      kernel/compat.o
  CC [M]  drivers/gpu/drm/xe/xe_wa.o
  CC      lib/strnlen_user.o
  CC [M]  drivers/gpu/drm/drm_print.o
  CC      lib/net_utils.o
  CC      fs/eventpoll.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_eeprom.o
  CC      drivers/acpi/processor_throttling.o
  CC [M]  drivers/gpu/drm/drm_property.o
  CC      lib/sg_pool.o
  CC      lib/stackdepot.o
  CC      mm/vmpressure.o
  CC      kernel/utsname.o
  CC      kernel/user_namespace.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_mca.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_psp_ta.o
  CC      lib/ucs2_string.o
  CC [M]  drivers/gpu/drm/drm_pt_walk.o
  CC      fs/btrfs/block-group.o
  CC [M]  drivers/ssb/driver_pcicore.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_buffer_pool.o
  CC [M]  drivers/gpu/drm/drm_syncobj.o
  CC [M]  drivers/gpu/drm/xe/xe_wopcm.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/gm20b.o
  CC      lib/sbitmap.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_lsdma.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/gp102.o
  CC [M]  lib/asn1_decoder.o
  CC [M]  drivers/gpu/drm/drm_sysfs.o
  CC      kernel/pid_namespace.o
  CC [M]  drivers/gpu/drm/drm_trace_points.o
  CC      fs/anon_inodes.o
  CC [M]  drivers/gpu/drm/xe/xe_display.o
  UPD     kernel/config_data
  CC [M]  drivers/gpu/drm/xe/display/icl_dsi.o
  CC [M]  drivers/gpu/drm/drm_vblank.o
  CC [M]  drivers/gpu/drm/xe/display/intel_atomic.o
  CC [M]  drivers/gpu/drm/drm_vblank_work.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ring_mux.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/gp108.o
  CC [M]  drivers/gpu/drm/drm_vma_manager.o
  GEN     lib/oid_registry_data.c
  CC      mm/swap_cgroup.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/gv100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/gp10b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/tu102.o
  CC [M]  drivers/gpu/drm/xe/display/intel_atomic_plane.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_fdinfo.o
  CC      drivers/acpi/processor_perflib.o
  CC      mm/hugetlb_cgroup.o
  CC [M]  drivers/gpu/drm/drm_writeback.o
  LD [M]  drivers/ssb/ssb.o
  CC [M]  drivers/gpu/drm/lib/drm_random.o
  CC      fs/btrfs/discard.o
  CC      fs/btrfs/reflink.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_pmu.o
  CC [M]  drivers/gpu/drm/xe/display/intel_audio.o
  CC      kernel/stop_machine.o
  CC      fs/btrfs/subpage.o
  CC      fs/signalfd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/cik.o
  CC [M]  drivers/gpu/drm/drm_ioc32.o
  CC      fs/timerfd.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/ga100.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_debugfs.o
  CC      fs/btrfs/tree-mod-log.o
  CC [M]  lib/oid_registry.o
  CC      fs/eventfd.o
  CC      fs/btrfs/extent-io-tree.o
  CC      fs/userfaultfd.o
  CC      kernel/kprobes.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/acr/ga102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/cik_ih.o
  CC [M]  drivers/gpu/drm/drm_panel.o
  CC      kernel/hung_task.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/base.o
  CC      mm/kmemleak.o
  CC      kernel/watchdog.o
  CC      fs/btrfs/fs.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/nv50.o
  CC      fs/btrfs/messages.o
  CC [M]  drivers/gpu/drm/drm_pci.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/g84.o
  CC      drivers/acpi/container.o
  CC      mm/page_isolation.o
  CC      drivers/acpi/thermal.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_engines_debugfs.o
  AR      lib/lib.a
  GEN     lib/crc32table.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/dce_v8_0.o
  CC      fs/aio.o
  CC      lib/crc32.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v7_0.o
  CC      mm/early_ioremap.o
  CC      fs/locks.o
  CC [M]  drivers/gpu/drm/drm_debugfs.o
  CC      kernel/watchdog_hld.o
  CC      fs/binfmt_script.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/gf100.o
  CC      mm/cma.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_irq.o
  CC [M]  drivers/gpu/drm/xe/display/intel_backlight.o
  CC [M]  drivers/gpu/drm/drm_debugfs_crc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/cik_sdma.o
  CC      fs/binfmt_elf.o
  CC [M]  drivers/gpu/drm/xe/display/intel_bios.o
  CC      fs/compat_binfmt_elf.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_mcr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/gk20a.o
  CC      fs/btrfs/bio.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/gm107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/uvd_v4_2.o
  CC      fs/mbcache.o
  CC      kernel/seccomp.o
  CC      fs/posix_acl.o
  AR      lib/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/vce_v2_0.o
  CC      fs/btrfs/acl.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_pm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/gm20b.o
  CC      fs/coredump.o
  CC      fs/drop_caches.o
  CC      drivers/acpi/acpi_memhotplug.o
  CC      mm/secretmem.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.o
  CC      kernel/relay.o
  CC      kernel/utsname_sysctl.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/si.o
  CC      drivers/acpi/ioapic.o
  CC [M]  drivers/gpu/drm/drm_edid_load.o
  CC [M]  drivers/gpu/drm/drm_panel_orientation_quirks.o
  CC [M]  drivers/gpu/drm/drm_buddy.o
  CC      mm/userfaultfd.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bar/tu102.o
  CC      mm/memremap.o
  CC      fs/fhandle.o
  CC      kernel/delayacct.o
  CC      kernel/taskstats.o
  CC [M]  drivers/gpu/drm/xe/display/intel_bw.o
  CC [M]  drivers/gpu/drm/xe/display/intel_cdclk.o
  CC      drivers/acpi/battery.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/base.o
  CC      drivers/acpi/hed.o
  CC      kernel/tsacct.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/bit.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_pm_irq.o
  CC      mm/hmm.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_requests.o
  CC      mm/memfd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gmc_v6_0.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_sysfs.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gt_sysfs_pm.o
  CC [M]  drivers/gpu/drm/drm_gem_shmem_helper.o
  CC [M]  drivers/gpu/drm/drm_suballoc.o
  CC      drivers/acpi/bgrt.o
  CC      mm/bootmem_info.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gtt.o
  CC [M]  drivers/gpu/drm/xe/display/intel_color.o
  CC      kernel/tracepoint.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/boost.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v6_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/si_ih.o
  AR      fs/btrfs/built-in.a
  CC      drivers/acpi/cppc_acpi.o
  CC      drivers/acpi/spcr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/conn.o
  CC      drivers/acpi/acpi_pad.o
  CC      kernel/latencytop.o
  CC      kernel/irq_work.o
  CC      kernel/static_call.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_llc.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_lrc.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_migrate.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/si_dma.o
  CC [M]  drivers/gpu/drm/xe/display/intel_combo_phy.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_mocs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/dce_v6_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/cstep.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/uvd_v3_1.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/dcb.o
  CC [M]  drivers/acpi/acpi_video.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/disp.o
  CC      kernel/static_call_inline.o
  CC [M]  drivers/gpu/drm/drm_gem_ttm_helper.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_ppgtt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/dp.o
  CC      kernel/user-return-notifier.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_rc6.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/extdev.o
  CC      kernel/padata.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/fan.o
  CC      kernel/jump_label.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vi.o
  AR      mm/built-in.a
  CC [M]  drivers/gpu/drm/drm_atomic_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mxgpu_vi.o
  CC [M]  drivers/gpu/drm/drm_atomic_state_helper.o
  CC      kernel/context_tracking.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v6_1.o
  CC [M]  drivers/acpi/video_detect.o
  AR      fs/built-in.a
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/gpio.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_region_lmem.o
  CC      kernel/iomem.o
  CC      kernel/rseq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/i2c.o
  CC [M]  drivers/gpu/drm/xe/display/intel_connector.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/iccsense.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/soc15.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_renderstate.o
  CC [M]  drivers/gpu/drm/xe/display/intel_crtc_state_dump.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/emu_soc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mxgpu_ai.o
  CC [M]  drivers/gpu/drm/drm_bridge_connector.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v7_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/image.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_reset.o
  AR      drivers/acpi/built-in.a
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/init.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vega10_reg_init.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vega20_reg_init.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/mxm.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_ring.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/npde.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_ring_submission.o
  GZIP    kernel/config_data.gz
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v7_4.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/pcir.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v2_3.o
  CC [M]  drivers/gpu/drm/drm_crtc_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nv.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_rps.o
  CC      kernel/configs.o
  CC [M]  drivers/gpu/drm/xe/display/intel_crtc.o
  CC [M]  drivers/gpu/drm/xe/display/intel_cursor.o
  CC [M]  drivers/gpu/drm/drm_damage_helper.o
  CC [M]  drivers/gpu/drm/drm_encoder_slave.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_sa_media.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/perf.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/arct_reg_init.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/pll.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_sseu.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_sseu_debugfs.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/pmu.o
  CC [M]  drivers/gpu/drm/xe/display/intel_ddi_buf_trans.o
  CC [M]  drivers/gpu/drm/drm_flip_work.o
  CC [M]  drivers/gpu/drm/xe/display/intel_ddi.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mxgpu_nv.o
  CC [M]  drivers/gpu/drm/drm_format_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v7_2.o
  LD [M]  drivers/acpi/video.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/hdp_v4_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/power_budget.o
  AR      kernel/built-in.a
  CC [M]  drivers/gpu/drm/i915/gt/intel_timeline.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_wopcm.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_workarounds.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/ramcfg.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/rammap.o
  CC [M]  drivers/gpu/drm/xe/display/intel_display.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/shadow.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/hdp_v5_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/aldebaran_reg_init.o
  CC [M]  drivers/gpu/drm/drm_gem_atomic_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/aldebaran.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/soc21.o
  CC [M]  drivers/gpu/drm/drm_gem_framebuffer_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sienna_cichlid.o
  CC [M]  drivers/gpu/drm/i915/gt/shmem_utils.o
  CC [M]  drivers/gpu/drm/i915/gt/sysfs_engines.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_ggtt_gmch.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/shadowacpi.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/shadowof.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smu_v13_0_10.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v4_3.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/hdp_v6_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/shadowpci.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/nbio_v7_7.o
  CC [M]  drivers/gpu/drm/i915/gt/gen6_renderstate.o
  CC [M]  drivers/gpu/drm/i915/gt/gen7_renderstate.o
  CC [M]  drivers/gpu/drm/xe/display/intel_display_debugfs.o
  CC [M]  drivers/gpu/drm/i915/gt/gen8_renderstate.o
  CC [M]  drivers/gpu/drm/xe/display/intel_display_power.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/hdp_v5_2.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/lsdma_v6_0.o
  CC [M]  drivers/gpu/drm/drm_kms_helper_common.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/shadowramin.o
  CC [M]  drivers/gpu/drm/xe/display/intel_display_power_map.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/shadowrom.o
  CC [M]  drivers/gpu/drm/xe/display/intel_display_power_well.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/df_v1_7.o
  CC [M]  drivers/gpu/drm/i915/gt/gen9_renderstate.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/df_v3_6.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/df_v4_3.o
  CC [M]  drivers/gpu/drm/drm_modeset_helper.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_busy.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gmc_v7_0.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_clflush.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/timing.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/therm.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_context.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gmc_v8_0.o
  CC [M]  drivers/gpu/drm/drm_plane_helper.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_create.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfxhub_v1_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/vmap.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v1_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/volt.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_dmabuf.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gmc_v9_0.o
  CC [M]  drivers/gpu/drm/drm_probe_helper.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_domain.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/vpstate.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfxhub_v1_1.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v9_4.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_execbuffer.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_internal.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfxhub_v2_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v2_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gmc_v10_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/xpio.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/M0203.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_object.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfxhub_v2_1.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v2_3.o
  CC [M]  drivers/gpu/drm/drm_rect.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v1_7.o
  CC [M]  drivers/gpu/drm/drm_self_refresh_helper.o
  CC [M]  drivers/gpu/drm/xe/display/intel_display_trace.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfxhub_v3_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/M0205.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/M0209.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_lmem.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bios/P0260.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_mman.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v3_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v3_0_2.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_pages.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_phys.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gmc_v11_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/hwsq.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_pm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mmhub_v3_0_1.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfxhub_v3_0_3.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/umc_v6_0.o
  CC [M]  drivers/gpu/drm/drm_simple_kms_helper.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dkl_phy.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_region.o
  CC [M]  drivers/gpu/drm/bridge/panel.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/nv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/nv31.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_shmem.o
  CC [M]  drivers/gpu/drm/drm_fbdev_generic.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/umc_v6_1.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_shrinker.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_stolen.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_throttle.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/umc_v6_7.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/nv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/g94.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/bus/gf100.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_tiling.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/base.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_ttm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/umc_v8_7.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_ttm_move.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_ttm_pm.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dmc.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_userptr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/umc_v8_10.o
  CC [M]  drivers/gpu/drm/drm_fb_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_irq.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ih.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dp_aux_backlight.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/iceland_ih.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/tonga_ih.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/nv04.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gem_wait.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/nv40.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dp_aux.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/cz_ih.o
  CC [M]  drivers/gpu/drm/i915/gem/i915_gemfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vega10_ih.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vega20_ih.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/navi10_ih.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/ih_v6_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_psp.o
  CC [M]  drivers/gpu/drm/i915/i915_active.o
  CC [M]  drivers/gpu/drm/i915/i915_cmd_parser.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/nv50.o
  LD [M]  drivers/gpu/drm/drm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v3_1.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/g84.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/gt215.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v10_0.o
  CC [M]  drivers/gpu/drm/i915/i915_deps.o
  CC [M]  drivers/gpu/drm/i915/i915_gem_evict.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v11_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v11_0_8.o
  CC [M]  drivers/gpu/drm/i915/i915_gem_gtt.o
  LD [M]  drivers/gpu/drm/drm_shmem_helper.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v12_0.o
  LD [M]  drivers/gpu/drm/drm_suballoc_helper.o
  LD [M]  drivers/gpu/drm/drm_ttm_helper.o
  AR      drivers/gpu/drm/built-in.a
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v13_0.o
  CC [M]  drivers/gpu/drm/i915/i915_gem_ww.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/psp_v13_0_4.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/dce_v10_0.o
  CC [M]  drivers/gpu/drm/i915/i915_gem.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/dce_v11_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/mcp77.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vkms.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/gf100.o
  CC [M]  drivers/gpu/drm/i915/i915_query.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_gfx.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_rlc.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dp.o
  CC [M]  drivers/gpu/drm/i915/i915_request.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v8_0.o
  CC [M]  drivers/gpu/drm/i915/i915_scheduler.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v9_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v9_4.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dp_hdcp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/gk104.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v9_4_2.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v10_0.o
  CC [M]  drivers/gpu/drm/i915/i915_trace_points.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dp_link_training.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/imu_v11_0.o
  CC [M]  drivers/gpu/drm/i915/i915_ttm_buddy_manager.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dpll.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v11_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/gfx_v11_0_3.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/imu_v11_0_3.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_sdma.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/gk20a.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v2_4.o
  LD [M]  drivers/gpu/drm/drm_kms_helper.o
  CC [M]  drivers/gpu/drm/i915/i915_vma.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dpll_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/gm20b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v3_0.o
  CC [M]  drivers/gpu/drm/i915/i915_vma_resource.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_gsc_fw.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_gsc_uc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v4_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v4_4.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v5_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/pllnv04.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v5_2.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/clk/pllgt215.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dp_mst.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_ads.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/sdma_v6_0.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_capture.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_ct.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_mes.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/base.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_debugfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mes_v10_1.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_fw.o
  CC [M]  drivers/gpu/drm/xe/display/intel_drrs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mes_v11_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_uvd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/uvd_v5_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/uvd_v6_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/uvd_v7_0.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dsb.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vce.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dsi.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_hwconfig.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/nv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/nv05.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dsi_dcs_backlight.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vce_v3_0.o
  CC [M]  drivers/gpu/drm/xe/display/intel_dsi_vbt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vce_v4_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/nv10.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_log.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.o
  CC [M]  drivers/gpu/drm/xe/display/intel_fb.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/nv1a.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_rc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_vcn.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_slpc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vcn_sw_ring.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vcn_v1_0.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_guc_submission.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_huc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vcn_v2_0.o
  CC [M]  drivers/gpu/drm/xe/display/intel_fbc.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_huc_debugfs.o
  CC [M]  drivers/gpu/drm/xe/display/intel_fdi.o
  CC [M]  drivers/gpu/drm/xe/display/intel_fifo_underrun.o
  CC [M]  drivers/gpu/drm/xe/display/intel_frontbuffer.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_huc_fw.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/nv20.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_uc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/nv50.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.o
  CC [M]  drivers/gpu/drm/i915/gt/uc/intel_uc_fw.o
  CC [M]  drivers/gpu/drm/xe/display/intel_global_state.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vcn_v2_5.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/g84.o
  CC [M]  drivers/gpu/drm/i915/gt/intel_gsc.o
  CC [M]  drivers/gpu/drm/xe/display/intel_gmbus.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/g98.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vcn_v3_0.o
  CC [M]  drivers/gpu/drm/xe/display/intel_hdcp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/vcn_v4_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/gt215.o
  CC [M]  drivers/gpu/drm/i915/i915_hwmon.o
  CC [M]  drivers/gpu/drm/xe/display/intel_hdmi.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_jpeg.o
  CC [M]  drivers/gpu/drm/i915/display/hsw_ips.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/jpeg_v1_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/mcp89.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/jpeg_v2_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/jpeg_v2_5.o
  CC [M]  drivers/gpu/drm/xe/display/intel_hotplug.o
  CC [M]  drivers/gpu/drm/xe/display/intel_hti.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/jpeg_v3_0.o
  CC [M]  drivers/gpu/drm/i915/display/intel_atomic.o
  CC [M]  drivers/gpu/drm/xe/display/intel_lspcon.o
  CC [M]  drivers/gpu/drm/i915/display/intel_atomic_plane.o
  CC [M]  drivers/gpu/drm/i915/display/intel_audio.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/jpeg_v4_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/gf100.o
  CC [M]  drivers/gpu/drm/i915/display/intel_bios.o
  CC [M]  drivers/gpu/drm/i915/display/intel_bw.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/gm107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/athub_v1_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/gm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/gv100.o
  CC [M]  drivers/gpu/drm/xe/display/intel_lvds.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/tu102.o
  CC [M]  drivers/gpu/drm/xe/display/intel_modeset_setup.o
  CC [M]  drivers/gpu/drm/xe/display/intel_modeset_verify.o
  CC [M]  drivers/gpu/drm/i915/display/intel_cdclk.o
  CC [M]  drivers/gpu/drm/xe/display/intel_panel.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/devinit/ga100.o
  CC [M]  drivers/gpu/drm/xe/display/intel_pipe_crc.o
  CC [M]  drivers/gpu/drm/xe/display/intel_pps.o
  CC [M]  drivers/gpu/drm/i915/display/intel_color.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/athub_v2_0.o
  CC [M]  drivers/gpu/drm/i915/display/intel_combo_phy.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fault/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/athub_v2_1.o
  CC [M]  drivers/gpu/drm/i915/display/intel_connector.o
  CC [M]  drivers/gpu/drm/xe/display/intel_psr.o
  CC [M]  drivers/gpu/drm/i915/display/intel_crtc.o
  CC [M]  drivers/gpu/drm/xe/display/intel_qp_tables.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/athub_v3_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fault/user.o
  CC [M]  drivers/gpu/drm/i915/display/intel_crtc_state_dump.o
  CC [M]  drivers/gpu/drm/xe/display/intel_quirks.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smuio_v9_0.o
  CC [M]  drivers/gpu/drm/xe/display/intel_snps_phy.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smuio_v11_0.o
  CC [M]  drivers/gpu/drm/xe/display/intel_sprite.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fault/gp100.o
  CC [M]  drivers/gpu/drm/i915/display/intel_cursor.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fault/gp10b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smuio_v11_0_6.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smuio_v13_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/smuio_v13_0_6.o
  CC [M]  drivers/gpu/drm/xe/display/intel_tc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fault/gv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_reset.o
  CC [M]  drivers/gpu/drm/xe/display/intel_vblank.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fault/tu102.o
  CC [M]  drivers/gpu/drm/xe/display/intel_vdsc.o
  CC [M]  drivers/gpu/drm/xe/display/intel_vga.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/mca_v3_0.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/base.o
  CC [M]  drivers/gpu/drm/xe/display/intel_vrr.o
  CC [M]  drivers/gpu/drm/i915/display/intel_display.o
  CC [M]  drivers/gpu/drm/xe/display/intel_wm.o
  CC [M]  drivers/gpu/drm/xe/display/xe_fb_pin.o
  CC [M]  drivers/gpu/drm/i915/display/intel_display_power.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv04.o
  CC [M]  drivers/gpu/drm/i915/display/intel_display_power_map.o
  CC [M]  drivers/gpu/drm/i915/display/intel_display_power_well.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv10.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dmc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv1a.o
  CC [M]  drivers/gpu/drm/xe/display/xe_plane_initial.o
  CC [M]  drivers/gpu/drm/xe/display/skl_scaler.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_module.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dpio_phy.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dpll.o
  CC [M]  drivers/gpu/drm/xe/display/skl_universal_plane.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_chardev.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_topology.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv20.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv25.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_pasid.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_doorbell.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_flat_memory.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dpll_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv30.o
  CC [M]  drivers/gpu/drm/xe/display/skl_watermark.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv35.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_process.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv36.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_queue.o
  CC [M]  drivers/gpu/drm/xe/display/ext/i915_irq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv40.o
  CC [M]  drivers/gpu/drm/xe/display/ext/i9xx_wm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv41.o
  CC [M]  drivers/gpu/drm/xe/display/ext/intel_device_info.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv44.o
  CC [M]  drivers/gpu/drm/xe/display/ext/intel_dram.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dpt.o
  CC [M]  drivers/gpu/drm/xe/display/ext/intel_pch.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_mqd_manager.o
  CC [M]  drivers/gpu/drm/xe/display/ext/intel_pm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_mqd_manager_cik.o
  CC [M]  drivers/gpu/drm/xe/display/intel_acpi.o
  CC [M]  drivers/gpu/drm/i915/display/intel_drrs.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv46.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv47.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dsb.o
  CC [M]  drivers/gpu/drm/xe/display/intel_opregion.o
  CC [M]  drivers/gpu/drm/i915/display/intel_fb.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_mqd_manager_vi.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv49.o
  CC [M]  drivers/gpu/drm/xe/display/intel_fbdev.o
  CC [M]  drivers/gpu/drm/i915/display/intel_fb_pin.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_mqd_manager_v9.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv4e.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_mqd_manager_v10.o
  CC [M]  drivers/gpu/drm/i915/display/intel_fbc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_mqd_manager_v11.o
  HDRTEST drivers/gpu/drm/xe/abi/guc_klvs_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/nv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_kernel_queue.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_packet_manager.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/g84.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_packet_manager_vi.o
  HDRTEST drivers/gpu/drm/xe/abi/guc_errors_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gt215.o
  HDRTEST drivers/gpu/drm/xe/abi/guc_actions_slpc_abi.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_packet_manager_v9.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/mcp77.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/mcp89.o
  CC [M]  drivers/gpu/drm/i915/display/intel_fdi.o
  CC [M]  drivers/gpu/drm/i915/display/intel_fifo_underrun.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_process_queue_manager.o
  HDRTEST drivers/gpu/drm/xe/abi/guc_communication_mmio_abi.h
  HDRTEST drivers/gpu/drm/xe/abi/guc_actions_abi.h
  HDRTEST drivers/gpu/drm/xe/abi/guc_communication_ctb_abi.h
  HDRTEST drivers/gpu/drm/xe/abi/guc_messages_abi.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_vma_types.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/intel_wakeref.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_drv.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_reg_defs.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_reg.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gf108.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_active_types.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_utils.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device_queue_manager.o
  CC [M]  drivers/gpu/drm/i915/display/intel_frontbuffer.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_config.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_vma.h
  CC [M]  drivers/gpu/drm/i915/display/intel_global_state.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gk104.o
  CC [M]  drivers/gpu/drm/i915/display/intel_hdcp.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/intel_mchbar_regs.h
  CC [M]  drivers/gpu/drm/i915/display/intel_hotplug.o
  CC [M]  drivers/gpu/drm/i915/display/intel_hti.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device_queue_manager_cik.o
  CC [M]  drivers/gpu/drm/i915/display/intel_lpe_audio.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device_queue_manager_vi.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/soc/intel_gmch.h
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/i915_fixed.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device_queue_manager_v9.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gk110.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/intel_runtime_pm.h
  CC [M]  drivers/gpu/drm/i915/display/intel_modeset_verify.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gk20a.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/intel_pm_types.h
  CC [M]  drivers/gpu/drm/i915/display/intel_modeset_setup.o
  HDRTEST drivers/gpu/drm/xe/compat-i915-headers/intel_pci_config.h
  CC [M]  drivers/gpu/drm/i915/display/intel_overlay.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gm107.o
  HDRTEST drivers/gpu/drm/xe/display/ext/i915_irq.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gm200.o
  HDRTEST drivers/gpu/drm/xe/display/ext/intel_pch.h
  HDRTEST drivers/gpu/drm/xe/display/ext/intel_pm.h
  CC [M]  drivers/gpu/drm/i915/display/intel_pch_display.o
  HDRTEST drivers/gpu/drm/xe/display/ext/i9xx_wm.h
  HDRTEST drivers/gpu/drm/xe/display/ext/intel_dram.h
  HDRTEST drivers/gpu/drm/xe/display/ext/intel_device_info.h
  HDRTEST drivers/gpu/drm/xe/display/xe_de.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device_queue_manager_v10.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gm20b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_device_queue_manager_v11.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_interrupt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gp100.o
  HDRTEST drivers/gpu/drm/xe/regs/xe_reg_defs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_events.o
  HDRTEST drivers/gpu/drm/xe/regs/xe_gt_regs.h
  CC [M]  drivers/gpu/drm/i915/display/intel_pch_refclk.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gp102.o
  HDRTEST drivers/gpu/drm/xe/regs/xe_regs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gp10b.o
  HDRTEST drivers/gpu/drm/xe/regs/xe_gpu_commands.h
  HDRTEST drivers/gpu/drm/xe/regs/xe_lrc_layout.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/cik_event_interrupt.o
  HDRTEST drivers/gpu/drm/xe/regs/xe_engine_regs.h
  CC [M]  drivers/gpu/drm/i915/display/intel_plane_initial.o
  HDRTEST drivers/gpu/drm/xe/tests/xe_test.h
  HDRTEST drivers/gpu/drm/xe/tests/xe_migrate_test.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_int_process_v9.o
  HDRTEST drivers/gpu/drm/xe/tests/xe_dma_buf_test.h
  HDRTEST drivers/gpu/drm/xe/tests/xe_bo_test.h
  HDRTEST drivers/gpu/drm/xe/xe_bb.h
  HDRTEST drivers/gpu/drm/xe/xe_bb_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_int_process_v11.o
  HDRTEST drivers/gpu/drm/xe/xe_bo.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_smi_events.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/tu102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ga100.o
  HDRTEST drivers/gpu/drm/xe/xe_bo_doc.h
  HDRTEST drivers/gpu/drm/xe/xe_bo_evict.h
  HDRTEST drivers/gpu/drm/xe/xe_bo_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ga102.o
  CC [M]  drivers/gpu/drm/i915/display/intel_psr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ram.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_crat.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv10.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv1a.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv20.o
  HDRTEST drivers/gpu/drm/xe/xe_debugfs.h
  HDRTEST drivers/gpu/drm/xe/xe_device.h
  CC [M]  drivers/gpu/drm/i915/display/intel_quirks.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_debugfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_svm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv40.o
  CC [M]  drivers/gpu/drm/i915/display/intel_sprite.o
  CC [M]  drivers/gpu/drm/i915/display/intel_tc.o
  CC [M]  drivers/gpu/drm/i915/display/intel_vblank.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv41.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv44.o
  HDRTEST drivers/gpu/drm/xe/xe_device_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv49.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv4e.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../amdkfd/kfd_migrate.o
  CC [M]  drivers/gpu/drm/i915/display/intel_vga.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_fence.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramnv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgt215.o
  CC [M]  drivers/gpu/drm/i915/display/intel_wm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/rammcp77.o
  CC [M]  drivers/gpu/drm/i915/display/i9xx_plane.o
  CC [M]  drivers/gpu/drm/i915/display/i9xx_wm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gpuvm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gfx_v8.o
  HDRTEST drivers/gpu/drm/xe/xe_display.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gfx_v9.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgf100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_arcturus.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_aldebaran.o
  HDRTEST drivers/gpu/drm/xe/xe_dma_buf.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gfx_v10.o
  HDRTEST drivers/gpu/drm/xe/xe_drv.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgf108.o
  CC [M]  drivers/gpu/drm/i915/display/skl_scaler.o
  CC [M]  drivers/gpu/drm/i915/display/skl_universal_plane.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gfx_v10_3.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgk104.o
  CC [M]  drivers/gpu/drm/i915/display/skl_watermark.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgm107.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramgp100.o
  HDRTEST drivers/gpu/drm/xe/xe_engine.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/ramga102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gfx_v11.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/sddr2.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/sddr3.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gddr3.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_amdkfd_gfx_v7.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fb/gddr5.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fuse/base.o
  HDRTEST drivers/gpu/drm/xe/xe_engine_types.h
  HDRTEST drivers/gpu/drm/xe/xe_exec.h
  HDRTEST drivers/gpu/drm/xe/xe_execlist.h
  HDRTEST drivers/gpu/drm/xe/xe_execlist_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fuse/nv50.o
  CC [M]  drivers/gpu/drm/i915/display/intel_acpi.o
  CC [M]  drivers/gpu/drm/i915/display/intel_opregion.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_cgs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_job.o
  CC [M]  drivers/gpu/drm/i915/display/intel_fbdev.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_acp.o
  HDRTEST drivers/gpu/drm/xe/xe_force_wake.h
  HDRTEST drivers/gpu/drm/xe/xe_force_wake_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../acp/acp_hw.o
  HDRTEST drivers/gpu/drm/xe/xe_ggtt.h
  HDRTEST drivers/gpu/drm/xe/xe_ggtt_types.h
  CC [M]  drivers/gpu/drm/i915/display/dvo_ch7017.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fuse/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/fuse/gm107.o
  CC [M]  drivers/gpu/drm/i915/display/dvo_ch7xxx.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/base.o
  HDRTEST drivers/gpu/drm/xe/xe_gt.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/nv10.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_ioc32.o
  HDRTEST drivers/gpu/drm/xe/xe_gt_clock.h
  HDRTEST drivers/gpu/drm/xe/xe_gt_debugfs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/nv50.o
  HDRTEST drivers/gpu/drm/xe/xe_gt_mcr.h
  HDRTEST drivers/gpu/drm/xe/xe_gt_pagefault.h
  HDRTEST drivers/gpu/drm/xe/xe_gt_sysfs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_acpi.o
  CC [M]  drivers/gpu/drm/i915/display/dvo_ivch.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/amdgpu_hmm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu11/arcturus_ppt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/g94.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu11/navi10_ppt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/gf119.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/gk104.o
  CC [M]  drivers/gpu/drm/i915/display/dvo_ns2501.o
  HDRTEST drivers/gpu/drm/xe/xe_gt_sysfs_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gpio/ga102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu11/sienna_cichlid_ppt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu11/vangogh_ppt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu11/cyan_skillfish_ppt.o
  HDRTEST drivers/gpu/drm/xe/xe_gt_tlb_invalidation.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu11/smu_v11_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu12/renoir_ppt.o
  HDRTEST drivers/gpu/drm/xe/xe_gt_tlb_invalidation_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu12/smu_v12_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/smu_v13_0.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/aldebaran_ppt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gsp/base.o
  CC [M]  drivers/gpu/drm/i915/display/dvo_sil164.o
  HDRTEST drivers/gpu/drm/xe/xe_gt_topology.h
  HDRTEST drivers/gpu/drm/xe/xe_gt_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gsp/gv100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/gsp/ga102.o
  HDRTEST drivers/gpu/drm/xe/xe_guc.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/base.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/nv04.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/yellow_carp_ppt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/smu_v13_0_0_ppt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/nv4e.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/nv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/smu_v13_0_4_ppt.o
  CC [M]  drivers/gpu/drm/i915/display/dvo_tfp410.o
  CC [M]  drivers/gpu/drm/i915/display/g4x_dp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/g94.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/smu_v13_0_5_ppt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/gf117.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_ads.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_ads_types.h
  CC [M]  drivers/gpu/drm/i915/display/g4x_hdmi.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_ct.h
  CC [M]  drivers/gpu/drm/i915/display/icl_dsi.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu13/smu_v13_0_7_ppt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/amdgpu_smu.o
  CC [M]  drivers/gpu/drm/i915/display/intel_backlight.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_ct_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/gf119.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_debugfs.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_engine_types.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_fwif.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/swsmu/smu_cmn.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/smumgr.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_hwconfig.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_log.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_log_types.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_pc.h
  CC [M]  drivers/gpu/drm/i915/display/intel_crt.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_pc_types.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_reg.h
  HDRTEST drivers/gpu/drm/xe/xe_guc_submit.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/gk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/gk110.o
  HDRTEST drivers/gpu/drm/xe/xe_guc_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/gm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/pad.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/padnv04.o
  HDRTEST drivers/gpu/drm/xe/xe_huc.h
  HDRTEST drivers/gpu/drm/xe/xe_huc_debugfs.h
  HDRTEST drivers/gpu/drm/xe/xe_huc_types.h
  HDRTEST drivers/gpu/drm/xe/xe_hw_engine.h
  HDRTEST drivers/gpu/drm/xe/xe_hw_engine_types.h
  HDRTEST drivers/gpu/drm/xe/xe_hw_fence.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/padnv4e.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/smu8_smumgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/padnv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/tonga_smumgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/padg94.o
  CC [M]  drivers/gpu/drm/i915/display/intel_ddi.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/padgf119.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/padgm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/bus.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/busnv04.o
  HDRTEST drivers/gpu/drm/xe/xe_hw_fence_types.h
  HDRTEST drivers/gpu/drm/xe/xe_irq.h
  HDRTEST drivers/gpu/drm/xe/xe_lrc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/fiji_smumgr.o
  HDRTEST drivers/gpu/drm/xe/xe_lrc_types.h
  CC [M]  drivers/gpu/drm/i915/display/intel_ddi_buf_trans.o
  CC [M]  drivers/gpu/drm/i915/display/intel_display_trace.o
  HDRTEST drivers/gpu/drm/xe/xe_macros.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/busnv4e.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dkl_phy.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/polaris10_smumgr.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dp.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dp_aux.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/busnv50.o
  HDRTEST drivers/gpu/drm/xe/xe_map.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/iceland_smumgr.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dp_aux_backlight.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dp_hdcp.o
  HDRTEST drivers/gpu/drm/xe/xe_migrate.h
  CC [M]  drivers/gpu/drm/i915/display/intel_dp_link_training.o
  HDRTEST drivers/gpu/drm/xe/xe_migrate_doc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/smu7_smumgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/vega10_smumgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/busgf119.o
  HDRTEST drivers/gpu/drm/xe/xe_mmio.h
  CC [M]  drivers/gpu/drm/i915/display/intel_dp_mst.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dsi.o
  HDRTEST drivers/gpu/drm/xe/xe_mocs.h
  HDRTEST drivers/gpu/drm/xe/xe_module.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/bit.o
  HDRTEST drivers/gpu/drm/xe/xe_pat.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/aux.o
  HDRTEST drivers/gpu/drm/xe/xe_pci.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/auxg94.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/smu10_smumgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/ci_smumgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/auxgf119.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/vega12_smumgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/auxgm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/i2c/anx9805.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/iccsense/base.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dsi_dcs_backlight.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dsi_vbt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/iccsense/gf100.o
  HDRTEST drivers/gpu/drm/xe/xe_pcode.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/vegam_smumgr.o
  HDRTEST drivers/gpu/drm/xe/xe_pcode_api.h
  HDRTEST drivers/gpu/drm/xe/xe_platform_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/smu9_smumgr.o
  HDRTEST drivers/gpu/drm/xe/xe_pm.h
  HDRTEST drivers/gpu/drm/xe/xe_preempt_fence.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/smumgr/vega20_smumgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/instmem/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/hwmgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/instmem/nv04.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/processpptables.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/hardwaremanager.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/instmem/nv40.o
  CC [M]  drivers/gpu/drm/i915/display/intel_dvo.o
  CC [M]  drivers/gpu/drm/i915/display/intel_gmbus.o
  HDRTEST drivers/gpu/drm/xe/xe_preempt_fence_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/instmem/nv50.o
  HDRTEST drivers/gpu/drm/xe/xe_pt.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu8_hwmgr.o
  CC [M]  drivers/gpu/drm/i915/display/intel_hdmi.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/instmem/gk20a.o
  HDRTEST drivers/gpu/drm/xe/xe_pt_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/pppcielanes.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gf100.o
  CC [M]  drivers/gpu/drm/i915/display/intel_lspcon.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/process_pptables_v1_0.o
  HDRTEST drivers/gpu/drm/xe/xe_query.h
  HDRTEST drivers/gpu/drm/xe/xe_reg_sr.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/ppatomctrl.o
  HDRTEST drivers/gpu/drm/xe/xe_reg_sr_types.h
  CC [M]  drivers/gpu/drm/i915/display/intel_lvds.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gk104.o
  CC [M]  drivers/gpu/drm/i915/display/intel_panel.o
  CC [M]  drivers/gpu/drm/i915/display/intel_pps.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gm107.o
  HDRTEST drivers/gpu/drm/xe/xe_reg_whitelist.h
  HDRTEST drivers/gpu/drm/xe/xe_res_cursor.h
  CC [M]  drivers/gpu/drm/i915/display/intel_qp_tables.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/ppatomfwctrl.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gp100.o
  HDRTEST drivers/gpu/drm/xe/xe_ring_ops.h
  CC [M]  drivers/gpu/drm/i915/display/intel_sdvo.o
  HDRTEST drivers/gpu/drm/xe/xe_ring_ops_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu7_hwmgr.o
  CC [M]  drivers/gpu/drm/i915/display/intel_snps_phy.o
  HDRTEST drivers/gpu/drm/xe/xe_rtp.h
  CC [M]  drivers/gpu/drm/i915/display/intel_tv.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gp102.o
  CC [M]  drivers/gpu/drm/i915/display/intel_vdsc.o
  CC [M]  drivers/gpu/drm/i915/display/intel_vrr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu7_powertune.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu7_thermal.o
  CC [M]  drivers/gpu/drm/i915/display/vlv_dsi.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu7_clockpowergating.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega10_processpptables.o
  HDRTEST drivers/gpu/drm/xe/xe_rtp_types.h
  HDRTEST drivers/gpu/drm/xe/xe_sa.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega10_hwmgr.o
  HDRTEST drivers/gpu/drm/xe/xe_sa_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/gp10b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega10_powertune.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/ltc/ga102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/base.o
  HDRTEST drivers/gpu/drm/xe/xe_sched_job.h
  CC [M]  drivers/gpu/drm/i915/display/vlv_dsi_pll.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega10_thermal.o
  CC [M]  drivers/gpu/drm/i915/i915_perf.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu10_hwmgr.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp.o
  HDRTEST drivers/gpu/drm/xe/xe_sched_job_types.h
  HDRTEST drivers/gpu/drm/xe/xe_step.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/pp_psm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega12_processpptables.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/nv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/nv11.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_tee.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega12_hwmgr.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_huc.o
  HDRTEST drivers/gpu/drm/xe/xe_step_types.h
  HDRTEST drivers/gpu/drm/xe/xe_sync.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/nv17.o
  HDRTEST drivers/gpu/drm/xe/xe_sync_types.h
  HDRTEST drivers/gpu/drm/xe/xe_trace.h
  HDRTEST drivers/gpu/drm/xe/xe_ttm_gtt_mgr.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/nv44.o
  HDRTEST drivers/gpu/drm/xe/xe_ttm_gtt_mgr_types.h
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_cmd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega12_thermal.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_debugfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/pp_overdriver.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_irq.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu_helper.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_pm.o
  CC [M]  drivers/gpu/drm/i915/pxp/intel_pxp_session.o
  HDRTEST drivers/gpu/drm/xe/xe_ttm_stolen_mgr.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega20_processpptables.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega20_hwmgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/nv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega20_powertune.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/g84.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/g98.o
  CC [M]  drivers/gpu/drm/i915/i915_gpu_error.o
  HDRTEST drivers/gpu/drm/xe/xe_ttm_vram_mgr.h
  CC [M]  drivers/gpu/drm/i915/gem/selftests/i915_gem_client_blt.o
  CC [M]  drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.o
  HDRTEST drivers/gpu/drm/xe/xe_ttm_vram_mgr_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega20_thermal.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/common_baco.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/gt215.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/gk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/gk20a.o
  CC [M]  drivers/gpu/drm/i915/selftests/intel_scheduler_helpers.o
  CC [M]  drivers/gpu/drm/i915/selftests/i915_random.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega10_baco.o
  HDRTEST drivers/gpu/drm/xe/xe_tuning.h
  CC [M]  drivers/gpu/drm/i915/selftests/i915_selftest.o
  HDRTEST drivers/gpu/drm/xe/xe_uc.h
  CC [M]  drivers/gpu/drm/i915/selftests/igt_atomic.o
  CC [M]  drivers/gpu/drm/i915/selftests/igt_flush_test.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega20_baco.o
  CC [M]  drivers/gpu/drm/i915/selftests/igt_live_test.o
  CC [M]  drivers/gpu/drm/i915/selftests/igt_mmap.o
  CC [M]  drivers/gpu/drm/i915/selftests/igt_reset.o
  CC [M]  drivers/gpu/drm/i915/selftests/igt_spinner.o
  HDRTEST drivers/gpu/drm/xe/xe_uc_debugfs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/vega12_baco.o
  HDRTEST drivers/gpu/drm/xe/xe_uc_fw.h
  CC [M]  drivers/gpu/drm/i915/selftests/librapl.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu9_baco.o
  CC [M]  drivers/gpu/drm/i915/i915_vgpu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dkl_phy_regs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_crtc_state_dump.h
  HDRTEST drivers/gpu/drm/i915/display/hsw_ips.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/gp100.o
  HDRTEST drivers/gpu/drm/i915/display/g4x_hdmi.h
  HDRTEST drivers/gpu/drm/xe/xe_uc_fw_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/gp10b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mc/ga100.o
  HDRTEST drivers/gpu/drm/xe/xe_uc_fw_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/base.o
  HDRTEST drivers/gpu/drm/i915/display/intel_hdcp_regs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/tonga_baco.o
  HDRTEST drivers/gpu/drm/i915/display/intel_overlay.h
  HDRTEST drivers/gpu/drm/xe/xe_uc_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/nv04.o
  HDRTEST drivers/gpu/drm/xe/xe_vm.h
  HDRTEST drivers/gpu/drm/i915/display/intel_display.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/polaris_baco.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/nv41.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dmc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/fiji_baco.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/ci_baco.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/nv44.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/nv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/hwmgr/smu7_baco.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/powerplay/amd_powerplay.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/g84.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/mcp77.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/legacy-dpm/legacy_dpm.o
  HDRTEST drivers/gpu/drm/xe/xe_vm_doc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/legacy-dpm/kv_dpm.o
  HDRTEST drivers/gpu/drm/i915/display/intel_vga.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/legacy-dpm/kv_smc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gf100.o
  HDRTEST drivers/gpu/drm/i915/display/intel_audio.h
  HDRTEST drivers/gpu/drm/i915/display/intel_lvds.h
  HDRTEST drivers/gpu/drm/i915/display/intel_modeset_setup.h
  HDRTEST drivers/gpu/drm/i915/display/intel_cdclk.h
  HDRTEST drivers/gpu/drm/i915/display/intel_display_limits.h
  HDRTEST drivers/gpu/drm/xe/xe_vm_madvise.h
  HDRTEST drivers/gpu/drm/i915/display/intel_hotplug.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dkl_phy.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gk104.o
  HDRTEST drivers/gpu/drm/i915/display/intel_atomic.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dpll.h
  HDRTEST drivers/gpu/drm/i915/display/vlv_dsi_pll_regs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dp_mst.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gk20a.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gm200.o
  HDRTEST drivers/gpu/drm/i915/display/g4x_dp.h
  HDRTEST drivers/gpu/drm/xe/xe_vm_types.h
  HDRTEST drivers/gpu/drm/i915/display/intel_tc.h
  HDRTEST drivers/gpu/drm/i915/display/intel_frontbuffer.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/legacy-dpm/si_dpm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/legacy-dpm/si_smc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/amdgpu_dpm.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dsi_vbt.h
  HDRTEST drivers/gpu/drm/i915/display/intel_psr.h
  HDRTEST drivers/gpu/drm/i915/display/intel_crt.h
  HDRTEST drivers/gpu/drm/xe/xe_wa.h
  HDRTEST drivers/gpu/drm/i915/display/intel_opregion.h
  HDRTEST drivers/gpu/drm/xe/xe_wait_user_fence.h
  HDRTEST drivers/gpu/drm/i915/display/intel_snps_phy_regs.h
  HDRTEST drivers/gpu/drm/xe/xe_wopcm.h
  HDRTEST drivers/gpu/drm/i915/display/i9xx_wm.h
  HDRTEST drivers/gpu/drm/i915/display/intel_global_state.h
  HDRTEST drivers/gpu/drm/i915/display/intel_lpe_audio.h
  HDRTEST drivers/gpu/drm/xe/xe_wopcm_types.h
  HDRTEST drivers/gpu/drm/i915/display/intel_drrs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/amdgpu_pm.o
  LD [M]  drivers/gpu/drm/xe/xe.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gm20b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../pm/amdgpu_dpm_internal.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gp100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gp10b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_plane.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/gv100.o
  HDRTEST drivers/gpu/drm/i915/display/intel_fbdev.h
  HDRTEST drivers/gpu/drm/i915/display/intel_hdmi.h
  HDRTEST drivers/gpu/drm/i915/display/intel_fdi.h
  HDRTEST drivers/gpu/drm/i915/display/intel_fb.h
  HDRTEST drivers/gpu/drm/i915/display/intel_qp_tables.h
  HDRTEST drivers/gpu/drm/i915/display/intel_vdsc.h
  HDRTEST drivers/gpu/drm/i915/display/intel_snps_phy.h
  HDRTEST drivers/gpu/drm/i915/display/intel_display_core.h
  HDRTEST drivers/gpu/drm/i915/display/vlv_dsi_pll.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dvo_dev.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/tu102.o
  HDRTEST drivers/gpu/drm/i915/display/intel_hdcp.h
  HDRTEST drivers/gpu/drm/i915/display/intel_sdvo_regs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_pch_refclk.h
  HDRTEST drivers/gpu/drm/i915/display/intel_display_trace.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/mem.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_crtc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/memnv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/memnv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_irq.o
  HDRTEST drivers/gpu/drm/i915/display/intel_display_power.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/memgf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_mst_types.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmnv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmnv41.o
  HDRTEST drivers/gpu/drm/i915/display/i9xx_plane.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_color.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dp_aux_backlight.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/dc_fpu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dpll_mgr.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_services.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmnv44.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_helpers.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_pp_smu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_psr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmnv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_hdcp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmmcp77.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_crc.o
  HDRTEST drivers/gpu/drm/i915/display/vlv_dsi.h
  HDRTEST drivers/gpu/drm/i915/display/intel_plane_initial.h
  HDRTEST drivers/gpu/drm/i915/display/intel_fifo_underrun.h
  HDRTEST drivers/gpu/drm/i915/display/intel_cursor.h
  HDRTEST drivers/gpu/drm/i915/display/vlv_dsi_regs.h
drivers/gpu/drm/xe/xe.o: warning: objtool: intel_crtc_init+0x241: unreachable instruction
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgf100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/amdgpu_dm/amdgpu_dm_debugfs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/basics/conversion.o
  HDRTEST drivers/gpu/drm/i915/display/skl_scaler.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/basics/fixpt31_32.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/basics/vector.o
  HDRTEST drivers/gpu/drm/i915/display/intel_hti.h
  HDRTEST drivers/gpu/drm/i915/display/icl_dsi_regs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgk20a.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgm200.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/basics/dc_common.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/bios_parser.o
  HDRTEST drivers/gpu/drm/i915/display/intel_atomic_plane.h
  HDRTEST drivers/gpu/drm/i915/display/skl_watermark.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgm20b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/bios_parser_interface.o
  HDRTEST drivers/gpu/drm/i915/display/intel_fbc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/bios_parser_helper.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgp100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/command_table.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgp10b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmgv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/command_table_helper.o
  HDRTEST drivers/gpu/drm/i915/display/intel_display_reg_defs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/vmmtu102.o
  HDRTEST drivers/gpu/drm/i915/display/intel_acpi.h
  HDRTEST drivers/gpu/drm/i915/display/intel_connector.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dpt.h
  HDRTEST drivers/gpu/drm/i915/display/intel_quirks.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dp_link_training.h
  HDRTEST drivers/gpu/drm/i915/display/intel_color.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/umem.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/bios_parser_common.o
  HDRTEST drivers/gpu/drm/i915/display/intel_crtc.h
  HDRTEST drivers/gpu/drm/i915/display/intel_display_debugfs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_modeset_verify.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/command_table2.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/ummu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_display_power_well.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/command_table_helper2.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/bios_parser2.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/dce60/command_table_helper_dce60.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mmu/uvmm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mxm/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/dce80/command_table_helper_dce80.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/dce110/command_table_helper_dce110.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/dce112/command_table_helper_dce112.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mxm/mxms.o
  HDRTEST drivers/gpu/drm/i915/display/intel_wm.h
  HDRTEST drivers/gpu/drm/i915/display/intel_pipe_crc.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/mxm/nv50.o
  HDRTEST drivers/gpu/drm/i915/display/intel_audio_regs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/bios/dce112/command_table_helper2_dce112.o
  HDRTEST drivers/gpu/drm/i915/display/intel_panel.h
  HDRTEST drivers/gpu/drm/i915/display/intel_sprite.h
  HDRTEST drivers/gpu/drm/i915/display/intel_wm_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/calcs/dce_calcs.o
  HDRTEST drivers/gpu/drm/i915/display/intel_tv.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/agp.o
  HDRTEST drivers/gpu/drm/i915/display/intel_hti_regs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/calcs/custom_float.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/calcs/bw_fixed.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/pcie.o
  HDRTEST drivers/gpu/drm/i915/display/intel_vrr.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/nv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/nv40.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/display_mode_lib.o
  HDRTEST drivers/gpu/drm/i915/display/skl_universal_plane.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/nv46.o
  HDRTEST drivers/gpu/drm/i915/display/intel_mg_phy_regs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/nv4c.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/display_rq_dlg_helpers.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/g84.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/g92.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dml1_display_rq_dlg_calc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/g94.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn10/dcn10_fpu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/gf100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn20/dcn20_fpu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/display_mode_vba.o
  HDRTEST drivers/gpu/drm/i915/display/intel_bw.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn20/display_rq_dlg_calc_20.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/gf106.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn20/display_mode_vba_20.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn20/display_rq_dlg_calc_20v2.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn20/display_mode_vba_20v2.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/gk104.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn21/display_rq_dlg_calc_21.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pci/gp100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn21/display_mode_vba_21.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/base.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/memx.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn30/dcn30_fpu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gt215.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn30/display_mode_vba_30.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn30/display_rq_dlg_calc_30.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gf119.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn31/display_mode_vba_31.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn31/display_rq_dlg_calc_31.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gk110.o
  HDRTEST drivers/gpu/drm/i915/display/intel_de.h
  HDRTEST drivers/gpu/drm/i915/display/intel_lvds_regs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn314/display_mode_vba_314.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn314/display_rq_dlg_calc_314.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gk208.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn32/display_mode_vba_32.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gk20a.o
  HDRTEST drivers/gpu/drm/i915/display/intel_gmbus_regs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dsi_dcs_backlight.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gm107.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gm200.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn32/display_rq_dlg_calc_32.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gm20b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn32/display_mode_vba_util_32.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gp102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn31/dcn31_fpu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/pmu/gp10b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/privring/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/privring/gf117.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/privring/gk104.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn32/dcn32_fpu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn321/dcn321_fpu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn301/dcn301_fpu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn302/dcn302_fpu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/privring/gk20a.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/privring/gm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/privring/gp10b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/base.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/fan.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/fannil.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/fanpwm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/fantog.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/ic.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/temp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/nv40.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/nv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/g84.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn303/dcn303_fpu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dvo.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dcn314/dcn314_fpu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gt215.o
  HDRTEST drivers/gpu/drm/i915/display/intel_sdvo.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/dsc/rc_calc_fpu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dp_aux.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gf100.o
  HDRTEST drivers/gpu/drm/i915/display/intel_combo_phy.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gf119.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dvo_regs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_gmbus.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dsi.h
  HDRTEST drivers/gpu/drm/i915/display/intel_dmc_regs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gk104.o
  HDRTEST drivers/gpu/drm/i915/display/intel_ddi.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/calcs/dcn_calcs.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/calcs/dcn_calc_math.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dsb.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dml/calcs/dcn_calc_auto.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dce60/dce60_clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dce100/dce_clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dce110/dce110_clk_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gm107.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gm200.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dce112/dce112_clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dce120/dce120_clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn10/rv1_clk_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/therm/gp100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn10/rv1_clk_mgr_vbios_smu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/timer/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn10/rv2_clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn20/dcn20_clk_mgr.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn201/dcn201_clk_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/timer/nv04.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn21/rn_clk_mgr.o
  HDRTEST drivers/gpu/drm/i915/display/intel_bios.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/timer/nv40.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn21/rn_clk_mgr_vbios_smu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_pch_display.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/timer/nv41.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn30/dcn30_clk_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/timer/gk20a.o
  HDRTEST drivers/gpu/drm/i915/display/intel_display_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn30/dcn30_clk_mgr_smu_msg.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/top/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn301/vg_clk_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/top/gk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/top/ga100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/vfn/base.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/vfn/uvfn.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn301/dcn301_smu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn31/dcn31_smu.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn31/dcn31_clk_mgr.o
  HDRTEST drivers/gpu/drm/i915/display/intel_backlight.h
  HDRTEST drivers/gpu/drm/i915/display/intel_vblank.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn314/dcn314_smu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/vfn/gv100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/vfn/tu102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn314/dcn314_clk_mgr.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dp.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn315/dcn315_smu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_backlight_regs.h
  HDRTEST drivers/gpu/drm/i915/display/intel_combo_phy_regs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/vfn/ga100.o
  HDRTEST drivers/gpu/drm/i915/display/intel_display_power_map.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn315/dcn315_clk_mgr.o
  HDRTEST drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/base.o
  HDRTEST drivers/gpu/drm/i915/display/icl_dsi.h
  HDRTEST drivers/gpu/drm/i915/display/intel_lspcon.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn316/dcn316_smu.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dpio_phy.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn316/dcn316_clk_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/gpio.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn32/dcn32_clk_mgr.o
  HDRTEST drivers/gpu/drm/i915/display/intel_dp_hdcp.h
  HDRTEST drivers/gpu/drm/i915/display/intel_fb_pin.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/nv40.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/clk_mgr/dcn32/dcn32_clk_mgr_smu_msg.o
  HDRTEST drivers/gpu/drm/i915/display/intel_pps.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_audio.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_ttm.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/gf100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_stream_encoder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/gf117.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/gk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/gk20a.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_link_encoder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/subdev/volt/gm20b.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_region.h
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_context_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/falcon.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_hwseq.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_mem_input.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_lmem.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_clock_source.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_mman.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_scl_filters.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_object_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_transform.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_opp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/xtensa.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_dmcu.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/bsp/g84.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_context.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_abm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gt215.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_ipp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_aux.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_i2c.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gf100.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_clflush.h
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_tiling.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_i2c_hw.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_stolen.h
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_ttm_pm.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gk104.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_create.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gm107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_i2c_sw.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_ttm_move.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gm200.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_ioctls.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gp100.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_domain.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dmub_psr.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_internal.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dmub_abm.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_dmabuf.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dce_panel_cntl.o
  HDRTEST drivers/gpu/drm/i915/gem/selftests/mock_context.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dmub_hw_lock_mgr.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gp102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/gv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dce/dmub_outbox.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/tu102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/gpio_base.o
  HDRTEST drivers/gpu/drm/i915/gem/selftests/huge_gem_object.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/gpio_service.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/hw_factory.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/ga100.o
  HDRTEST drivers/gpu/drm/i915/gem/selftests/mock_gem_object.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/ce/ga102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/cipher/g84.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/device/acpi.o
  HDRTEST drivers/gpu/drm/i915/gem/selftests/mock_dmabuf.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/hw_gpio.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/device/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/hw_hpd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/hw_ddc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/hw_generic.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/hw_translate.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce60/hw_translate_dce60.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/device/ctrl.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/device/pci.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce60/hw_factory_dce60.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/device/tegra.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce80/hw_translate_dce80.o
  HDRTEST drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce80/hw_factory_dce80.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce110/hw_translate_dce110.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce110/hw_factory_dce110.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce120/hw_translate_dce120.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/device/user.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_userptr.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dce120/hw_factory_dce120.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/base.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_pm.h
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_shrinker.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/chan.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/conn.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn10/hw_translate_dcn10.o
  HDRTEST drivers/gpu/drm/i915/gem/i915_gemfs.h
  HDRTEST drivers/gpu/drm/i915/gem/i915_gem_object.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn10/hw_factory_dcn10.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn20/hw_translate_dcn20.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_timeline_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn20/hw_factory_dcn20.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn21/hw_translate_dcn21.o
  HDRTEST drivers/gpu/drm/i915/gt/selftest_engine.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/dp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn21/hw_factory_dcn21.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_breadcrumbs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn30/hw_translate_dcn30.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine_heartbeat.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/hdmi.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_context_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/head.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/ior.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_execlists_submission.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_pm.h
  HDRTEST drivers/gpu/drm/i915/gt/selftest_rc6.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/outp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn30/hw_factory_dcn30.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn315/hw_translate_dcn315.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn315/hw_factory_dcn315.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn32/hw_translate_dcn32.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/gpio/dcn32/hw_factory_dcn32.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/irq_service.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/vga.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dce60/irq_service_dce60.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/nv04.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dce80/irq_service_dce80.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dce110/irq_service_dce110.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dce120/irq_service_dce120.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/nv50.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn10/irq_service_dcn10.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_llc_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn20/irq_service_dcn20.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn21/irq_service_dcn21.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn201/irq_service_dcn201.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/g84.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_region_lmem.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_requests.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_ggtt_gmch.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_print.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn30/irq_service_dcn30.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/g94.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn302/irq_service_dcn302.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn303/irq_service_dcn303.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gt200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/mcp77.o
  HDRTEST drivers/gpu/drm/i915/gt/gen8_ppgtt.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn31/irq_service_dcn31.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gt215.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_mcr.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_timeline.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/mcp89.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn314/irq_service_dcn314.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn315/irq_service_dcn315.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/irq/dcn32/irq_service_dcn32.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/link_detection.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gf119.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/link_dpms.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gk104.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/link_factory.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/link_resource.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/link_validation.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/accessories/link_dp_trace.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gk110.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gm107.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gm200.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/accessories/link_dp_cts.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gp100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/accessories/link_fpga.o
  HDRTEST drivers/gpu/drm/i915/gt/gen6_engine_cs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gp102.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_workarounds_types.h
  HDRTEST drivers/gpu/drm/i915/gt/selftest_rps.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/hwss/link_hwss_dio.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/gv100.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_sa_media.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/tu102.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_debugfs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/hwss/link_hwss_dpia.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/hwss/link_hwss_hpo_dp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_hpd.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_rps_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/ga102.o
  HDRTEST drivers/gpu/drm/i915/gt/selftest_engine_heartbeat.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/udisp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/uconn.o
  HDRTEST drivers/gpu/drm/i915/gt/sysfs_engines.h
  HDRTEST drivers/gpu/drm/i915/gt/gen7_renderclear.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_ddc.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_context.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_wopcm.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dpcd.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_dpia.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_mocs.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine_pm.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_sysfs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_training.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/uoutp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_training_8b_10b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/disp/uhead.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/base.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_rc6.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_training_128b_132b.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_ring_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/nv04.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_training_dpia.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_training_auxless.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/nv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/gf100.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_workarounds.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine_regs.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_pm_irq.h
  HDRTEST drivers/gpu/drm/i915/gt/shmem_utils.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/gf119.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/gv100.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/user.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_training_fixed_vs_pe_retimer.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/usernv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/usernv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/usergf100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_phy.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_capability.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_edp_panel_control.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_reset_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/link/protocols/link_dp_irq_handler.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/virtual/virtual_link_encoder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/usergf119.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/dma/usergv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/virtual/virtual_stream_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/virtual/virtual_link_hwss.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_regs.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_resource.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/base.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/cgrp.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_reset.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/chan.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/chid.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/runl.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_gsc_fw.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_init.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/runq.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/guc_capture_fwif.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_uc.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/nv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/nv10.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_hwseq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/nv17.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_uc_fw_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/nv40.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_print.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/nv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/g84.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_dpp.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_fw.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_dpp_cm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/g98.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_hubp.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_debugfs.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_klvs_abi.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_errors_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gf100.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_actions_slpc_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gk104.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_communication_mmio_abi.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_actions_abi.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_mpc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_opp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_hubbub.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gk110.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gk208.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gk20a.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gm107.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gm200.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_optc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gp100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/gv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_mmhubbub.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_stream_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_link_encoder.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_communication_ctb_abi.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/abi/guc_messages_abi.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/tu102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/ga100.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_reg.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_dccg.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/ga102.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_gsc_uc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_vmid.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_dwb.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_dwb_scl.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn20/dcn20_dsc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/ucgrp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/fifo/uchan.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_huc.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dsc/dc_dsc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv04.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv10.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv15.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dsc/rc_calc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv17.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv20.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv25.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv2a.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dsc/rc_calc_dpi.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_huc_fw.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_init.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv30.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv34.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv35.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv40.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_resource.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_capture.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_ipp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_hw_sequencer.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv44.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_hw_sequencer_debug.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/nv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/g84.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_submission.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_dpp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_opp.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_slpc_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gt200.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_optc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/mcp79.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gt215.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_hubp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/mcp89.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_log.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_ct.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_slpc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_mpc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_dpp_dscl.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gf104.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gf108.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_dpp_cm.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gf110.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_cm_common.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_hubbub.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_ads.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gf117.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_stream_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn10/dcn10_link_encoder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gf119.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gk104.o
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_guc_rc.h
  HDRTEST drivers/gpu/drm/i915/gt/uc/intel_huc_debugfs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gk110.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gk110b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_init.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_hubp.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_hwconfig.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_hubbub.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_resource.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_llc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_hwseq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gk208.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gk20a.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_link_encoder.o
  HDRTEST drivers/gpu/drm/i915/gt/gen8_engine_cs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gm107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn21/dcn21_dccg.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_sseu_debugfs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gm200.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_rc6_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_init.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_context_param.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gm20b.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gp100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_resource.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gp102.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gpu_commands.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gp104.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine_user.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_hwseq.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_irq.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gsc.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_rps.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_hubbub.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gp107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_mpc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_hubp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gp108.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gp10b.o
  HDRTEST drivers/gpu/drm/i915/gt/selftest_llc.h
  HDRTEST drivers/gpu/drm/i915/gt/gen6_ppgtt.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/gv100.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_ggtt_fencing.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/tu102.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_migrate_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ga102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxnv40.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_opp.o
  HDRTEST drivers/gpu/drm/i915/gt/selftests/mock_timeline.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxnv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf100.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_lrc.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_optc.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_dpp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_dccg.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn201/dcn201_link_encoder.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_lrc_reg.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_migrate.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_init.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_sysfs_pm.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf104.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_hubbub.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_hubp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dpp.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_optc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf108.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf110.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dccg.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf117.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_breadcrumbs_types.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_hwseq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf119.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgk104.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgk110.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_buffer_pool.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_mpc.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgk110b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_vpg.o
  HDRTEST drivers/gpu/drm/i915/gt/mock_engine.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine_stats.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_afmt.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgk208.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgk20a.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dio_stream_encoder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgm107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dwb.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dpp_cm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dwb_cm.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_cm_common.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgm200.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgm20b.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_mmhubbub.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_dio_link_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn30/dcn30_resource.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgp100.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gtt.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_buffer_pool_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgp102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_init.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_resource.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_ring.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgp104.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_dccg.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgp107.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_dio_link_encoder.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgv100.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_hwseq.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_panel_cntl.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn301/dcn301_hubbub.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxtu102.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxga102.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn302/dcn302_init.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mpeg/nv31.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn302/dcn302_hwseq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mpeg/nv40.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mpeg/nv44.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_renderstate.h
  HDRTEST drivers/gpu/drm/i915/gt/intel_sseu.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mpeg/nv50.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mpeg/g84.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn302/dcn302_resource.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn303/dcn303_init.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn303/dcn303_hwseq.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mspdec/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn303/dcn303_resource.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_resource.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_hubbub.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mspdec/g98.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_engine_types.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mspdec/gt215.o
  HDRTEST drivers/gpu/drm/i915/gt/intel_gt_engines_debugfs.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mspdec/gf100.o
  HDRTEST drivers/gpu/drm/i915/gt/gen2_engine_cs.h
  HDRTEST drivers/gpu/drm/i915/gvt/gvt.h
  HDRTEST drivers/gpu/drm/i915/gvt/trace.h
  HDRTEST drivers/gpu/drm/i915/gvt/debug.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/mspdec/gk104.o
  HDRTEST drivers/gpu/drm/i915/gvt/edid.h
  HDRTEST drivers/gpu/drm/i915/gvt/page_track.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_hwseq.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_init.o
  HDRTEST drivers/gpu/drm/i915/gvt/mmio.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msppp/base.o
  HDRTEST drivers/gpu/drm/i915/gvt/sched_policy.h
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msppp/g98.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_hubp.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msppp/gt215.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_dccg.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_optc.o
  HDRTEST drivers/gpu/drm/i915/gvt/fb_decoder.h
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_dio_link_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_panel_cntl.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msppp/gf100.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msvld/base.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_apg.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_hpo_dp_stream_encoder.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_hpo_dp_link_encoder.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msvld/g98.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msvld/gt215.o
  CC [M]  drivers/gpu/drm/nouveau/nvkm/engine/msvld/mcp89.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../display/dc/dcn31/dcn31_afmt.o
  CC [M]  drivers/gpu/drm/amd/amdgpu/../d



^ permalink raw reply	[flat|nested] 17+ messages in thread

* [Intel-xe] ○ CI.BAT: info for Start killing xe_macros.
  2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
                   ` (7 preceding siblings ...)
  2023-03-28 16:21 ` [Intel-xe] ✓ CI.Build: " Patchwork
@ 2023-03-28 16:43 ` Patchwork
  8 siblings, 0 replies; 17+ messages in thread
From: Patchwork @ 2023-03-28 16:43 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: intel-xe

[-- Attachment #1: Type: text/plain, Size: 314 bytes --]

== Series Details ==

Series: Start killing xe_macros.
URL   : https://patchwork.freedesktop.org/series/115740/
State : info

== Summary ==

Participating hosts:
bat-atsm-2
bat-dg2-oem2
bat-adlp-7
Missing hosts results[0]:
Results: [xe-pw-115740v1](https://intel-gfx-ci.01.org/tree/xe/xe-pw-115740v1/index.html)



[-- Attachment #2: Type: text/html, Size: 824 bytes --]

^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON.
  2023-03-28 16:10 ` [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON Rodrigo Vivi
@ 2023-03-28 18:26   ` Matthew Brost
  2023-03-28 18:58     ` Rodrigo Vivi
  0 siblings, 1 reply; 17+ messages in thread
From: Matthew Brost @ 2023-03-28 18:26 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: intel-xe

On Tue, Mar 28, 2023 at 12:10:19PM -0400, Rodrigo Vivi wrote:
> If that ever becomes useful for something we bring it
> back with some written reasoning.
> 

Isn't the idea that we hide XE_WARN_ON and XE_BUG_ON behind a Kconfig
option so these are only built in debug kernels? If we are doing that
then these are not useless.

Matt
 
> Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
> ---
>  drivers/gpu/drm/xe/display/xe_fb_pin.c      |  2 +-
>  drivers/gpu/drm/xe/xe_bo.c                  | 12 +++++-----
>  drivers/gpu/drm/xe/xe_debugfs.c             |  4 ++--
>  drivers/gpu/drm/xe/xe_device.c              |  4 ++--
>  drivers/gpu/drm/xe/xe_device.h              |  2 +-
>  drivers/gpu/drm/xe/xe_dma_buf.c             |  2 +-
>  drivers/gpu/drm/xe/xe_engine.c              |  2 +-
>  drivers/gpu/drm/xe/xe_execlist.c            |  2 +-
>  drivers/gpu/drm/xe/xe_ggtt.c                |  6 ++---
>  drivers/gpu/drm/xe/xe_gt.c                  | 24 +++++++++----------
>  drivers/gpu/drm/xe/xe_gt_debugfs.c          |  4 ++--
>  drivers/gpu/drm/xe/xe_gt_pagefault.c        |  2 +-
>  drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c |  2 +-
>  drivers/gpu/drm/xe/xe_guc.c                 |  4 ++--
>  drivers/gpu/drm/xe/xe_guc.h                 |  2 +-
>  drivers/gpu/drm/xe/xe_guc_ads.c             |  2 +-
>  drivers/gpu/drm/xe/xe_guc_ct.c              |  6 ++---
>  drivers/gpu/drm/xe/xe_guc_debugfs.c         |  2 +-
>  drivers/gpu/drm/xe/xe_guc_pc.c              | 18 +++++++-------
>  drivers/gpu/drm/xe/xe_guc_submit.c          | 10 ++++----
>  drivers/gpu/drm/xe/xe_huc_debugfs.c         |  2 +-
>  drivers/gpu/drm/xe/xe_hw_fence.c            |  6 ++---
>  drivers/gpu/drm/xe/xe_macros.h              |  1 -
>  drivers/gpu/drm/xe/xe_migrate.c             |  2 +-
>  drivers/gpu/drm/xe/xe_mocs.c                |  4 ++--
>  drivers/gpu/drm/xe/xe_pt.c                  | 10 ++++----
>  drivers/gpu/drm/xe/xe_reg_sr.c              |  4 ++--
>  drivers/gpu/drm/xe/xe_res_cursor.h          |  2 +-
>  drivers/gpu/drm/xe/xe_rtp.c                 |  2 +-
>  drivers/gpu/drm/xe/xe_sync.c                |  4 ++--
>  drivers/gpu/drm/xe/xe_uc.c                  |  2 +-
>  drivers/gpu/drm/xe/xe_uc_debugfs.c          |  2 +-
>  drivers/gpu/drm/xe/xe_uc_fw.c               |  2 +-
>  drivers/gpu/drm/xe/xe_vm.c                  | 26 ++++++++++-----------
>  drivers/gpu/drm/xe/xe_vm_madvise.c          |  2 +-
>  35 files changed, 91 insertions(+), 92 deletions(-)
> 
> diff --git a/drivers/gpu/drm/xe/display/xe_fb_pin.c b/drivers/gpu/drm/xe/display/xe_fb_pin.c
> index 65c0bc28a3d1..ef37dc1e9a5c 100644
> --- a/drivers/gpu/drm/xe/display/xe_fb_pin.c
> +++ b/drivers/gpu/drm/xe/display/xe_fb_pin.c
> @@ -190,7 +190,7 @@ static struct i915_vma *__xe_pin_fb_vma(struct intel_framebuffer *fb,
>  		return ERR_PTR(-ENODEV);
>  
>  	/* Remapped view is only required on ADL-P, which xe doesn't support. */
> -	if (XE_WARN_ON(view->type == I915_GTT_VIEW_REMAPPED)) {
> +	if (WARN_ON(view->type == I915_GTT_VIEW_REMAPPED)) {
>  		ret = -ENODEV;
>  		goto err;
>  	}
> diff --git a/drivers/gpu/drm/xe/xe_bo.c b/drivers/gpu/drm/xe/xe_bo.c
> index e4d079b61d52..eb00b0a67abe 100644
> --- a/drivers/gpu/drm/xe/xe_bo.c
> +++ b/drivers/gpu/drm/xe/xe_bo.c
> @@ -424,7 +424,7 @@ static int xe_bo_trigger_rebind(struct xe_device *xe, struct xe_bo *bo,
>  							MAX_SCHEDULE_TIMEOUT);
>  			if (timeout > 0) {
>  				ret = xe_vm_invalidate_vma(vma);
> -				XE_WARN_ON(ret);
> +				WARN_ON(ret);
>  			} else if (!timeout) {
>  				ret = -ETIME;
>  			} else {
> @@ -661,7 +661,7 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
>  				void *new_addr = gt->mem.vram.mapping +
>  					(new_mem->start << PAGE_SHIFT);
>  
> -				if (XE_WARN_ON(new_mem->start == XE_BO_INVALID_OFFSET)) {
> +				if (WARN_ON(new_mem->start == XE_BO_INVALID_OFFSET)) {
>  					ret = -EINVAL;
>  					xe_device_mem_access_put(xe);
>  					goto out;
> @@ -721,7 +721,7 @@ static bool xe_ttm_bo_lock_in_destructor(struct ttm_buffer_object *ttm_bo)
>  {
>  	bool locked;
>  
> -	XE_WARN_ON(kref_read(&ttm_bo->kref));
> +	WARN_ON(kref_read(&ttm_bo->kref));
>  
>  	/*
>  	 * We can typically only race with TTM trylocking under the
> @@ -732,7 +732,7 @@ static bool xe_ttm_bo_lock_in_destructor(struct ttm_buffer_object *ttm_bo)
>  	spin_lock(&ttm_bo->bdev->lru_lock);
>  	locked = dma_resv_trylock(ttm_bo->base.resv);
>  	spin_unlock(&ttm_bo->bdev->lru_lock);
> -	XE_WARN_ON(!locked);
> +	WARN_ON(!locked);
>  
>  	return locked;
>  }
> @@ -748,7 +748,7 @@ static void xe_ttm_bo_release_notify(struct ttm_buffer_object *ttm_bo)
>  		return;
>  
>  	bo = ttm_to_xe_bo(ttm_bo);
> -	XE_WARN_ON(bo->created && kref_read(&ttm_bo->base.refcount));
> +	WARN_ON(bo->created && kref_read(&ttm_bo->base.refcount));
>  
>  	/*
>  	 * Corner case where TTM fails to allocate memory and this BOs resv
> @@ -966,7 +966,7 @@ struct xe_bo *__xe_bo_create_locked(struct xe_device *xe, struct xe_bo *bo,
>  	/* Only kernel objects should set GT */
>  	XE_BUG_ON(gt && type != ttm_bo_type_kernel);
>  
> -	if (XE_WARN_ON(!size))
> +	if (WARN_ON(!size))
>  		return ERR_PTR(-EINVAL);
>  
>  	if (!bo) {
> diff --git a/drivers/gpu/drm/xe/xe_debugfs.c b/drivers/gpu/drm/xe/xe_debugfs.c
> index 7827a785b020..fc88ee32a006 100644
> --- a/drivers/gpu/drm/xe/xe_debugfs.c
> +++ b/drivers/gpu/drm/xe/xe_debugfs.c
> @@ -71,7 +71,7 @@ static int forcewake_open(struct inode *inode, struct file *file)
>  	u8 id;
>  
>  	for_each_gt(gt, xe, id)
> -		XE_WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +		WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  
>  	return 0;
>  }
> @@ -83,7 +83,7 @@ static int forcewake_release(struct inode *inode, struct file *file)
>  	u8 id;
>  
>  	for_each_gt(gt, xe, id)
> -		XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +		WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  
>  	return 0;
>  }
> diff --git a/drivers/gpu/drm/xe/xe_device.c b/drivers/gpu/drm/xe/xe_device.c
> index a79f934e3d2d..49dec0b6516f 100644
> --- a/drivers/gpu/drm/xe/xe_device.c
> +++ b/drivers/gpu/drm/xe/xe_device.c
> @@ -411,7 +411,7 @@ void xe_device_mem_access_get(struct xe_device *xe)
>  	if (resumed)
>  		xe_pm_runtime_put(xe);
>  
> -	XE_WARN_ON(ref == S32_MAX);
> +	WARN_ON(ref == S32_MAX);
>  }
>  
>  void xe_device_mem_access_put(struct xe_device *xe)
> @@ -422,5 +422,5 @@ void xe_device_mem_access_put(struct xe_device *xe)
>  	if (!ref && hold)
>  		xe_pm_runtime_put(xe);
>  
> -	XE_WARN_ON(ref < 0);
> +	WARN_ON(ref < 0);
>  }
> diff --git a/drivers/gpu/drm/xe/xe_device.h b/drivers/gpu/drm/xe/xe_device.h
> index d277f8985f7b..5f725ed2ca6b 100644
> --- a/drivers/gpu/drm/xe/xe_device.h
> +++ b/drivers/gpu/drm/xe/xe_device.h
> @@ -97,7 +97,7 @@ static inline bool xe_device_mem_access_ongoing(struct xe_device *xe)
>  
>  static inline void xe_device_assert_mem_access(struct xe_device *xe)
>  {
> -	XE_WARN_ON(!xe_device_mem_access_ongoing(xe));
> +	WARN_ON(!xe_device_mem_access_ongoing(xe));
>  }
>  
>  static inline bool xe_device_in_fault_mode(struct xe_device *xe)
> diff --git a/drivers/gpu/drm/xe/xe_dma_buf.c b/drivers/gpu/drm/xe/xe_dma_buf.c
> index 9b252cc782b7..485aa5ccbeca 100644
> --- a/drivers/gpu/drm/xe/xe_dma_buf.c
> +++ b/drivers/gpu/drm/xe/xe_dma_buf.c
> @@ -219,7 +219,7 @@ static void xe_dma_buf_move_notify(struct dma_buf_attachment *attach)
>  	struct drm_gem_object *obj = attach->importer_priv;
>  	struct xe_bo *bo = gem_to_xe_bo(obj);
>  
> -	XE_WARN_ON(xe_bo_evict(bo, false));
> +	WARN_ON(xe_bo_evict(bo, false));
>  }
>  
>  static const struct dma_buf_attach_ops xe_dma_buf_attach_ops = {
> diff --git a/drivers/gpu/drm/xe/xe_engine.c b/drivers/gpu/drm/xe/xe_engine.c
> index 37209b13bcd6..cdd2349524d6 100644
> --- a/drivers/gpu/drm/xe/xe_engine.c
> +++ b/drivers/gpu/drm/xe/xe_engine.c
> @@ -705,7 +705,7 @@ static void engine_kill_compute(struct xe_engine *e)
>   */
>  bool xe_engine_is_idle(struct xe_engine *engine)
>  {
> -	if (XE_WARN_ON(xe_engine_is_parallel(engine)))
> +	if (WARN_ON(xe_engine_is_parallel(engine)))
>  		return false;
>  
>  	return xe_lrc_seqno(&engine->lrc[0]) ==
> diff --git a/drivers/gpu/drm/xe/xe_execlist.c b/drivers/gpu/drm/xe/xe_execlist.c
> index 02021457b1f0..127ca8c6e279 100644
> --- a/drivers/gpu/drm/xe/xe_execlist.c
> +++ b/drivers/gpu/drm/xe/xe_execlist.c
> @@ -373,7 +373,7 @@ static int execlist_engine_init(struct xe_engine *e)
>  		sprintf(e->name, "ccs%d", ffs(e->logical_mask) - 1);
>  		break;
>  	default:
> -		XE_WARN_ON(e->class);
> +		WARN_ON(e->class);
>  	}
>  
>  	return 0;
> diff --git a/drivers/gpu/drm/xe/xe_ggtt.c b/drivers/gpu/drm/xe/xe_ggtt.c
> index a430d1568890..bd079e823661 100644
> --- a/drivers/gpu/drm/xe/xe_ggtt.c
> +++ b/drivers/gpu/drm/xe/xe_ggtt.c
> @@ -205,7 +205,7 @@ void xe_ggtt_invalidate(struct xe_gt *gt)
>  		int seqno;
>  
>  		seqno = xe_gt_tlb_invalidation_guc(gt);
> -		XE_WARN_ON(seqno <= 0);
> +		WARN_ON(seqno <= 0);
>  		if (seqno > 0)
>  			xe_gt_tlb_invalidation_wait(gt, seqno);
>  	} else if (xe_device_guc_submission_enabled(gt_to_xe(gt))) {
> @@ -294,7 +294,7 @@ static int __xe_ggtt_insert_bo_at(struct xe_ggtt *ggtt, struct xe_bo *bo,
>  	if (xe_bo_is_vram(bo) && ggtt->flags & XE_GGTT_FLAGS_64K)
>  		alignment = SZ_64K;
>  
> -	if (XE_WARN_ON(bo->ggtt_node.size)) {
> +	if (WARN_ON(bo->ggtt_node.size)) {
>  		return 0;
>  	}
>  
> @@ -351,7 +351,7 @@ void xe_ggtt_remove_node(struct xe_ggtt *ggtt, struct drm_mm_node *node)
>  
>  void xe_ggtt_remove_bo(struct xe_ggtt *ggtt, struct xe_bo *bo)
>  {
> -	if (XE_WARN_ON(!bo->ggtt_node.size))
> +	if (WARN_ON(!bo->ggtt_node.size))
>  		return;
>  
>  	xe_ggtt_remove_node(ggtt, &bo->ggtt_node);
> diff --git a/drivers/gpu/drm/xe/xe_gt.c b/drivers/gpu/drm/xe/xe_gt.c
> index 6322e0689a9e..7d8b4cffcc2d 100644
> --- a/drivers/gpu/drm/xe/xe_gt.c
> +++ b/drivers/gpu/drm/xe/xe_gt.c
> @@ -356,7 +356,7 @@ int xe_gt_init_noalloc(struct xe_gt *gt)
>  
>  err_force_wake:
>  	err2 = xe_force_wake_put(gt_to_fw(gt), XE_FW_GT);
> -	XE_WARN_ON(err2);
> +	WARN_ON(err2);
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  err:
>  	return err;
> @@ -401,7 +401,7 @@ static int gt_fw_domain_init(struct xe_gt *gt)
>  		goto err_force_wake;
>  
>  	err = xe_force_wake_put(gt_to_fw(gt), XE_FW_GT);
> -	XE_WARN_ON(err);
> +	WARN_ON(err);
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  
>  	return 0;
> @@ -482,7 +482,7 @@ static int all_fw_domain_init(struct xe_gt *gt)
>  		goto err_force_wake;
>  
>  	err = xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL);
> -	XE_WARN_ON(err);
> +	WARN_ON(err);
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  
>  	return 0;
> @@ -623,16 +623,16 @@ static int gt_reset(struct xe_gt *gt)
>  
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  	err = xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL);
> -	XE_WARN_ON(err);
> +	WARN_ON(err);
>  
>  	drm_info(&xe->drm, "GT reset done\n");
>  
>  	return 0;
>  
>  err_out:
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  err_msg:
> -	XE_WARN_ON(xe_uc_start(&gt->uc));
> +	WARN_ON(xe_uc_start(&gt->uc));
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  	drm_err(&xe->drm, "GT reset failed, err=%d\n", err);
>  
> @@ -663,12 +663,12 @@ void xe_gt_reset_async(struct xe_gt *gt)
>  void xe_gt_suspend_prepare(struct xe_gt *gt)
>  {
>  	xe_device_mem_access_get(gt_to_xe(gt));
> -	XE_WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  
>  	xe_uc_stop_prepare(&gt->uc);
>  
>  	xe_device_mem_access_put(gt_to_xe(gt));
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  }
>  
>  int xe_gt_suspend(struct xe_gt *gt)
> @@ -692,13 +692,13 @@ int xe_gt_suspend(struct xe_gt *gt)
>  		goto err_force_wake;
>  
>  	xe_device_mem_access_put(gt_to_xe(gt));
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	drm_info(&xe->drm, "GT suspended\n");
>  
>  	return 0;
>  
>  err_force_wake:
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  err_msg:
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  	drm_err(&xe->drm, "GT suspend failed: %d\n", err);
> @@ -721,13 +721,13 @@ int xe_gt_resume(struct xe_gt *gt)
>  		goto err_force_wake;
>  
>  	xe_device_mem_access_put(gt_to_xe(gt));
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	drm_info(&xe->drm, "GT resumed\n");
>  
>  	return 0;
>  
>  err_force_wake:
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  err_msg:
>  	xe_device_mem_access_put(gt_to_xe(gt));
>  	drm_err(&xe->drm, "GT resume failed: %d\n", err);
> diff --git a/drivers/gpu/drm/xe/xe_gt_debugfs.c b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> index 9fab8017490f..639b2486803b 100644
> --- a/drivers/gpu/drm/xe/xe_gt_debugfs.c
> +++ b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> @@ -150,7 +150,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
>  	sprintf(name, "gt%d", gt->info.id);
>  	root = debugfs_create_dir(name, minor->debugfs_root);
>  	if (IS_ERR(root)) {
> -		XE_WARN_ON("Create GT directory failed");
> +		WARN_ON("Create GT directory failed");
>  		return;
>  	}
>  
> @@ -162,7 +162,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
>  #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
>  	local = drmm_kmalloc(&gt_to_xe(gt)->drm, DEBUGFS_SIZE, GFP_KERNEL);
>  	if (!local) {
> -		XE_WARN_ON("Couldn't allocate memory");
> +		WARN_ON("Couldn't allocate memory");
>  		return;
>  	}
>  
> diff --git a/drivers/gpu/drm/xe/xe_gt_pagefault.c b/drivers/gpu/drm/xe/xe_gt_pagefault.c
> index 1677640e1075..de0abd322fce 100644
> --- a/drivers/gpu/drm/xe/xe_gt_pagefault.c
> +++ b/drivers/gpu/drm/xe/xe_gt_pagefault.c
> @@ -346,7 +346,7 @@ int xe_guc_pagefault_handler(struct xe_guc *guc, u32 *msg, u32 len)
>  		pf_queue->tail = (pf_queue->tail + len) % PF_QUEUE_NUM_DW;
>  		queue_work(gt->usm.pf_wq, &pf_queue->worker);
>  	} else {
> -		XE_WARN_ON("PF Queue full, shouldn't be possible");
> +		WARN_ON("PF Queue full, shouldn't be possible");
>  	}
>  	spin_unlock_irqrestore(&pf_queue->lock, flags);
>  
> diff --git a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> index f279e21300aa..6c9a96cf3d5f 100644
> --- a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> +++ b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> @@ -319,7 +319,7 @@ int xe_guc_tlb_invalidation_done_handler(struct xe_guc *guc, u32 *msg, u32 len)
>  	/* Sanity check on seqno */
>  	expected_seqno = (gt->tlb_invalidation.seqno_recv + 1) %
>  		TLB_INVALIDATION_SEQNO_MAX;
> -	XE_WARN_ON(expected_seqno != msg[0]);
> +	WARN_ON(expected_seqno != msg[0]);
>  
>  	gt->tlb_invalidation.seqno_recv = msg[0];
>  	smp_wmb();
> diff --git a/drivers/gpu/drm/xe/xe_guc.c b/drivers/gpu/drm/xe/xe_guc.c
> index 58b9841616e4..bccdfb914f08 100644
> --- a/drivers/gpu/drm/xe/xe_guc.c
> +++ b/drivers/gpu/drm/xe/xe_guc.c
> @@ -805,7 +805,7 @@ void xe_guc_reset_wait(struct xe_guc *guc)
>  
>  void xe_guc_stop_prepare(struct xe_guc *guc)
>  {
> -	XE_WARN_ON(xe_guc_pc_stop(&guc->pc));
> +	WARN_ON(xe_guc_pc_stop(&guc->pc));
>  }
>  
>  int xe_guc_stop(struct xe_guc *guc)
> @@ -830,7 +830,7 @@ int xe_guc_start(struct xe_guc *guc)
>  		return ret;
>  
>  	ret = xe_guc_pc_start(&guc->pc);
> -	XE_WARN_ON(ret);
> +	WARN_ON(ret);
>  
>  	return 0;
>  }
> diff --git a/drivers/gpu/drm/xe/xe_guc.h b/drivers/gpu/drm/xe/xe_guc.h
> index 74a74051f354..903069a07ca4 100644
> --- a/drivers/gpu/drm/xe/xe_guc.h
> +++ b/drivers/gpu/drm/xe/xe_guc.h
> @@ -51,7 +51,7 @@ static inline u16 xe_engine_class_to_guc_class(enum xe_engine_class class)
>  		return GUC_COMPUTE_CLASS;
>  	case XE_ENGINE_CLASS_OTHER:
>  	default:
> -		XE_WARN_ON(class);
> +		WARN_ON(class);
>  		return -1;
>  	}
>  }
> diff --git a/drivers/gpu/drm/xe/xe_guc_ads.c b/drivers/gpu/drm/xe/xe_guc_ads.c
> index 304a9501b447..d5a089694f80 100644
> --- a/drivers/gpu/drm/xe/xe_guc_ads.c
> +++ b/drivers/gpu/drm/xe/xe_guc_ads.c
> @@ -313,7 +313,7 @@ int xe_guc_ads_init_post_hwconfig(struct xe_guc_ads *ads)
>  	ads->golden_lrc_size = calculate_golden_lrc_size(ads);
>  	ads->regset_size = calculate_regset_size(gt);
>  
> -	XE_WARN_ON(ads->golden_lrc_size +
> +	WARN_ON(ads->golden_lrc_size +
>  		   (ads->regset_size - prev_regset_size) >
>  		   MAX_GOLDEN_LRC_SIZE);
>  
> diff --git a/drivers/gpu/drm/xe/xe_guc_ct.c b/drivers/gpu/drm/xe/xe_guc_ct.c
> index 5e00b75d3ca2..fec09ba412a8 100644
> --- a/drivers/gpu/drm/xe/xe_guc_ct.c
> +++ b/drivers/gpu/drm/xe/xe_guc_ct.c
> @@ -378,7 +378,7 @@ static void g2h_reserve_space(struct xe_guc_ct *ct, u32 g2h_len, u32 num_g2h)
>  static void __g2h_release_space(struct xe_guc_ct *ct, u32 g2h_len)
>  {
>  	lockdep_assert_held(&ct->fast_lock);
> -	XE_WARN_ON(ct->ctbs.g2h.space + g2h_len >
> +	WARN_ON(ct->ctbs.g2h.space + g2h_len >
>  		   ct->ctbs.g2h.size - ct->ctbs.g2h.resv_space);
>  
>  	ct->ctbs.g2h.space += g2h_len;
> @@ -778,7 +778,7 @@ static int parse_g2h_response(struct xe_guc_ct *ct, u32 *msg, u32 len)
>  		return 0;
>  	}
>  
> -	XE_WARN_ON(fence != g2h_fence->seqno);
> +	WARN_ON(fence != g2h_fence->seqno);
>  
>  	if (type == GUC_HXG_TYPE_RESPONSE_FAILURE) {
>  		g2h_fence->fail = true;
> @@ -1009,7 +1009,7 @@ static void g2h_fast_path(struct xe_guc_ct *ct, u32 *msg, u32 len)
>  							   adj_len);
>  		break;
>  	default:
> -		XE_WARN_ON("NOT_POSSIBLE");
> +		WARN_ON("NOT_POSSIBLE");
>  	}
>  
>  	if (ret)
> diff --git a/drivers/gpu/drm/xe/xe_guc_debugfs.c b/drivers/gpu/drm/xe/xe_guc_debugfs.c
> index 6b72db4d5bb2..5ee500b8c3f1 100644
> --- a/drivers/gpu/drm/xe/xe_guc_debugfs.c
> +++ b/drivers/gpu/drm/xe/xe_guc_debugfs.c
> @@ -90,7 +90,7 @@ void xe_guc_debugfs_register(struct xe_guc *guc, struct dentry *parent)
>  #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
>  	local = drmm_kmalloc(&guc_to_xe(guc)->drm, DEBUGFS_SIZE, GFP_KERNEL);
>  	if (!local) {
> -		XE_WARN_ON("Couldn't allocate memory");
> +		WARN_ON("Couldn't allocate memory");
>  		return;
>  	}
>  
> diff --git a/drivers/gpu/drm/xe/xe_guc_pc.c b/drivers/gpu/drm/xe/xe_guc_pc.c
> index 5a8d827ba770..9160bcd83206 100644
> --- a/drivers/gpu/drm/xe/xe_guc_pc.c
> +++ b/drivers/gpu/drm/xe/xe_guc_pc.c
> @@ -392,7 +392,7 @@ static ssize_t freq_act_show(struct device *dev,
>  
>  	ret = sysfs_emit(buf, "%d\n", decode_freq(freq));
>  
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	return ret;
>  }
>  static DEVICE_ATTR_RO(freq_act);
> @@ -420,7 +420,7 @@ static ssize_t freq_cur_show(struct device *dev,
>  	freq = REG_FIELD_GET(REQ_RATIO_MASK, freq);
>  	ret = sysfs_emit(buf, "%d\n", decode_freq(freq));
>  
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	return ret;
>  }
>  static DEVICE_ATTR_RO(freq_cur);
> @@ -483,7 +483,7 @@ static ssize_t freq_min_show(struct device *dev,
>  	ret = sysfs_emit(buf, "%d\n", pc_get_min_freq(pc));
>  
>  fw:
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  out:
>  	mutex_unlock(&pc->freq_lock);
>  	xe_device_mem_access_put(pc_to_xe(pc));
> @@ -620,7 +620,7 @@ static ssize_t rc6_residency_show(struct device *dev,
>  
>  	ret = sysfs_emit(buff, "%u\n", reg);
>  
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	return ret;
>  }
>  static DEVICE_ATTR_RO(rc6_residency);
> @@ -749,7 +749,7 @@ static int pc_gucrc_disable(struct xe_guc_pc *pc)
>  	xe_mmio_write32(gt, GEN6_RC_CONTROL.reg, 0);
>  	xe_mmio_write32(gt, GEN6_RC_STATE.reg, 0);
>  
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	return 0;
>  }
>  
> @@ -758,7 +758,7 @@ static void pc_init_pcode_freq(struct xe_guc_pc *pc)
>  	u32 min = DIV_ROUND_CLOSEST(pc->rpn_freq, GT_FREQUENCY_MULTIPLIER);
>  	u32 max = DIV_ROUND_CLOSEST(pc->rp0_freq, GT_FREQUENCY_MULTIPLIER);
>  
> -	XE_WARN_ON(xe_pcode_init_min_freq_table(pc_to_gt(pc), min, max));
> +	WARN_ON(xe_pcode_init_min_freq_table(pc_to_gt(pc), min, max));
>  }
>  
>  static int pc_init_freqs(struct xe_guc_pc *pc)
> @@ -801,7 +801,7 @@ int xe_guc_pc_start(struct xe_guc_pc *pc)
>  	u32 size = PAGE_ALIGN(sizeof(struct slpc_shared_data));
>  	int ret;
>  
> -	XE_WARN_ON(!xe_device_guc_submission_enabled(xe));
> +	WARN_ON(!xe_device_guc_submission_enabled(xe));
>  
>  	xe_device_mem_access_get(pc_to_xe(pc));
>  
> @@ -836,7 +836,7 @@ int xe_guc_pc_start(struct xe_guc_pc *pc)
>  
>  out:
>  	xe_device_mem_access_put(pc_to_xe(pc));
> -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
>  	return ret;
>  }
>  
> @@ -876,7 +876,7 @@ static void pc_fini(struct drm_device *drm, void *arg)
>  {
>  	struct xe_guc_pc *pc = arg;
>  
> -	XE_WARN_ON(xe_guc_pc_stop(pc));
> +	WARN_ON(xe_guc_pc_stop(pc));
>  	sysfs_remove_files(pc_to_gt(pc)->sysfs, pc_attrs);
>  	xe_bo_unpin_map_no_vm(pc->bo);
>  }
> diff --git a/drivers/gpu/drm/xe/xe_guc_submit.c b/drivers/gpu/drm/xe/xe_guc_submit.c
> index e857013070b9..8df5513796f1 100644
> --- a/drivers/gpu/drm/xe/xe_guc_submit.c
> +++ b/drivers/gpu/drm/xe/xe_guc_submit.c
> @@ -715,7 +715,7 @@ static void disable_scheduling_deregister(struct xe_guc *guc,
>  	if (!ret) {
>  		struct drm_gpu_scheduler *sched = &e->guc->sched;
>  
> -		XE_WARN_ON("Pending enable failed to respond");
> +		WARN_ON("Pending enable failed to respond");
>  		sched->timeout = MIN_SCHED_TIMEOUT;
>  		drm_sched_run_wq_start(sched);
>  		xe_gt_reset_async(e->gt);
> @@ -794,8 +794,8 @@ guc_engine_timedout_job(struct drm_sched_job *drm_job)
>  	int i = 0;
>  
>  	if (!test_bit(DMA_FENCE_FLAG_SIGNALED_BIT, &job->fence->flags)) {
> -		XE_WARN_ON(e->flags & ENGINE_FLAG_KERNEL);
> -		XE_WARN_ON(e->flags & ENGINE_FLAG_VM && !engine_killed(e));
> +		WARN_ON(e->flags & ENGINE_FLAG_KERNEL);
> +		WARN_ON(e->flags & ENGINE_FLAG_VM && !engine_killed(e));
>  
>  		drm_warn(&xe->drm, "Timedout job: seqno=%u, guc_id=%d, flags=0x%lx",
>  			 xe_sched_job_seqno(job), e->guc->id, e->flags);
> @@ -847,7 +847,7 @@ guc_engine_timedout_job(struct drm_sched_job *drm_job)
>  					 !engine_pending_disable(e) ||
>  					 guc_read_stopped(guc), HZ * 5);
>  		if (!ret) {
> -			XE_WARN_ON("Schedule disable failed to respond");
> +			WARN_ON("Schedule disable failed to respond");
>  			sched->timeout = MIN_SCHED_TIMEOUT;
>  			list_add(&drm_job->list, &sched->pending_list);
>  			drm_sched_run_wq_start(sched);
> @@ -1124,7 +1124,7 @@ static int guc_engine_init(struct xe_engine *e)
>  		sprintf(e->name, "ccs%d", e->guc->id);
>  		break;
>  	default:
> -		XE_WARN_ON(e->class);
> +		WARN_ON(e->class);
>  	}
>  
>  	trace_xe_engine_create(e);
> diff --git a/drivers/gpu/drm/xe/xe_huc_debugfs.c b/drivers/gpu/drm/xe/xe_huc_debugfs.c
> index ee3d8315036a..b88076242fcf 100644
> --- a/drivers/gpu/drm/xe/xe_huc_debugfs.c
> +++ b/drivers/gpu/drm/xe/xe_huc_debugfs.c
> @@ -56,7 +56,7 @@ void xe_huc_debugfs_register(struct xe_huc *huc, struct dentry *parent)
>  #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
>  	local = drmm_kmalloc(&huc_to_xe(huc)->drm, DEBUGFS_SIZE, GFP_KERNEL);
>  	if (!local) {
> -		XE_WARN_ON("Couldn't allocate memory");
> +		WARN_ON("Couldn't allocate memory");
>  		return;
>  	}
>  
> diff --git a/drivers/gpu/drm/xe/xe_hw_fence.c b/drivers/gpu/drm/xe/xe_hw_fence.c
> index ffe1a3992ef5..bbfce9f58cd6 100644
> --- a/drivers/gpu/drm/xe/xe_hw_fence.c
> +++ b/drivers/gpu/drm/xe/xe_hw_fence.c
> @@ -88,14 +88,14 @@ void xe_hw_fence_irq_finish(struct xe_hw_fence_irq *irq)
>  	int err;
>  	bool tmp;
>  
> -	if (XE_WARN_ON(!list_empty(&irq->pending))) {
> +	if (WARN_ON(!list_empty(&irq->pending))) {
>  		tmp = dma_fence_begin_signalling();
>  		spin_lock_irqsave(&irq->lock, flags);
>  		list_for_each_entry_safe(fence, next, &irq->pending, irq_link) {
>  			list_del_init(&fence->irq_link);
>  			err = dma_fence_signal_locked(&fence->dma);
>  			dma_fence_put(&fence->dma);
> -			XE_WARN_ON(err);
> +			WARN_ON(err);
>  		}
>  		spin_unlock_irqrestore(&irq->lock, flags);
>  		dma_fence_end_signalling(tmp);
> @@ -202,7 +202,7 @@ static const struct dma_fence_ops xe_hw_fence_ops = {
>  
>  static struct xe_hw_fence *to_xe_hw_fence(struct dma_fence *fence)
>  {
> -	if (XE_WARN_ON(fence->ops != &xe_hw_fence_ops))
> +	if (WARN_ON(fence->ops != &xe_hw_fence_ops))
>  		return NULL;
>  
>  	return container_of(fence, struct xe_hw_fence, dma);
> diff --git a/drivers/gpu/drm/xe/xe_macros.h b/drivers/gpu/drm/xe/xe_macros.h
> index 0d24c124d202..0a42112eb247 100644
> --- a/drivers/gpu/drm/xe/xe_macros.h
> +++ b/drivers/gpu/drm/xe/xe_macros.h
> @@ -9,7 +9,6 @@
>  #include <linux/bug.h>
>  
>  #define XE_EXTRA_DEBUG 1
> -#define XE_WARN_ON WARN_ON
>  #define XE_BUG_ON BUG_ON
>  
>  #define XE_IOCTL_ERR(xe, cond) \
> diff --git a/drivers/gpu/drm/xe/xe_migrate.c b/drivers/gpu/drm/xe/xe_migrate.c
> index 11c8af9c6c92..a98e4bad39bf 100644
> --- a/drivers/gpu/drm/xe/xe_migrate.c
> +++ b/drivers/gpu/drm/xe/xe_migrate.c
> @@ -463,7 +463,7 @@ static void emit_pte(struct xe_migrate *m,
>  				/* Is this a 64K PTE entry? */
>  				if ((m->eng->vm->flags & XE_VM_FLAGS_64K) &&
>  				    !(cur_ofs & (16 * 8 - 1))) {
> -					XE_WARN_ON(!IS_ALIGNED(addr, SZ_64K));
> +					WARN_ON(!IS_ALIGNED(addr, SZ_64K));
>  					addr |= GEN12_PTE_PS64;
>  				}
>  
> diff --git a/drivers/gpu/drm/xe/xe_mocs.c b/drivers/gpu/drm/xe/xe_mocs.c
> index e09c6242aafc..1f0d9772e6d9 100644
> --- a/drivers/gpu/drm/xe/xe_mocs.c
> +++ b/drivers/gpu/drm/xe/xe_mocs.c
> @@ -437,9 +437,9 @@ static unsigned int get_mocs_settings(struct xe_device *xe,
>  	 * is still 0 at this point, we'll assume that it was omitted by
>  	 * mistake in the switch statement above.
>  	 */
> -	XE_WARN_ON(info->unused_entries_index == 0);
> +	WARN_ON(info->unused_entries_index == 0);
>  
> -	if (XE_WARN_ON(info->size > info->n_entries)) {
> +	if (WARN_ON(info->size > info->n_entries)) {
>  		info->table = NULL;
>  		return 0;
>  	}
> diff --git a/drivers/gpu/drm/xe/xe_pt.c b/drivers/gpu/drm/xe/xe_pt.c
> index 6b2943efcdbc..ffb0e6d8f9f7 100644
> --- a/drivers/gpu/drm/xe/xe_pt.c
> +++ b/drivers/gpu/drm/xe/xe_pt.c
> @@ -67,7 +67,7 @@ u64 gen8_pde_encode(struct xe_bo *bo, u64 bo_offset,
>  	pde = xe_bo_addr(bo, bo_offset, GEN8_PAGE_SIZE, &is_vram);
>  	pde |= GEN8_PAGE_PRESENT | GEN8_PAGE_RW;
>  
> -	XE_WARN_ON(IS_DGFX(xe_bo_device(bo)) && !is_vram);
> +	WARN_ON(IS_DGFX(xe_bo_device(bo)) && !is_vram);
>  
>  	/* FIXME: I don't think the PPAT handling is correct for MTL */
>  
> @@ -636,7 +636,7 @@ xe_pt_stage_bind_entry(struct drm_pt *parent, pgoff_t offset,
>  	if (level == 0 || xe_pt_hugepte_possible(addr, next, level, xe_walk)) {
>  		struct xe_res_cursor *curs = xe_walk->curs;
>  
> -		XE_WARN_ON(xe_walk->va_curs_start != addr);
> +		WARN_ON(xe_walk->va_curs_start != addr);
>  
>  		pte = __gen8_pte_encode(xe_res_dma(curs) + xe_walk->dma_offset,
>  					xe_walk->cache, xe_walk->pte_flags,
> @@ -650,7 +650,7 @@ xe_pt_stage_bind_entry(struct drm_pt *parent, pgoff_t offset,
>  		if (level == 0 && !xe_parent->is_compact) {
>  			if (xe_pt_is_pte_ps64K(addr, next, xe_walk))
>  				pte |= GEN12_PTE_PS64;
> -			else if (XE_WARN_ON(xe_walk->needs_64K))
> +			else if (WARN_ON(xe_walk->needs_64K))
>  				return -EINVAL;
>  		}
>  
> @@ -1248,7 +1248,7 @@ static int invalidation_fence_init(struct xe_gt *gt,
>  		dma_fence_put(&ifence->base.base);	/* Creation ref */
>  	}
>  
> -	XE_WARN_ON(ret && ret != -ENOENT);
> +	WARN_ON(ret && ret != -ENOENT);
>  
>  	return ret && ret != -ENOENT ? ret : 0;
>  }
> @@ -1677,7 +1677,7 @@ __xe_pt_unbind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
>  		list_del_init(&vma->rebind_link);
>  
>  	if (unbind_pt_update.locked) {
> -		XE_WARN_ON(!xe_vma_is_userptr(vma));
> +		WARN_ON(!xe_vma_is_userptr(vma));
>  
>  		if (!vma->gt_present) {
>  			spin_lock(&vm->userptr.invalidated_lock);
> diff --git a/drivers/gpu/drm/xe/xe_reg_sr.c b/drivers/gpu/drm/xe/xe_reg_sr.c
> index 9eaf1be27886..c1b240c4dba6 100644
> --- a/drivers/gpu/drm/xe/xe_reg_sr.c
> +++ b/drivers/gpu/drm/xe/xe_reg_sr.c
> @@ -183,7 +183,7 @@ void xe_reg_sr_apply_mmio(struct xe_reg_sr *sr, struct xe_gt *gt)
>  		apply_one_mmio(gt, reg, entry);
>  
>  	err = xe_force_wake_put(&gt->mmio.fw, XE_FORCEWAKE_ALL);
> -	XE_WARN_ON(err);
> +	WARN_ON(err);
>  
>  	return;
>  
> @@ -224,7 +224,7 @@ void xe_reg_sr_apply_whitelist(struct xe_reg_sr *sr, u32 mmio_base,
>  				RING_NOPID(mmio_base).reg);
>  
>  	err = xe_force_wake_put(&gt->mmio.fw, XE_FORCEWAKE_ALL);
> -	XE_WARN_ON(err);
> +	WARN_ON(err);
>  
>  	return;
>  
> diff --git a/drivers/gpu/drm/xe/xe_res_cursor.h b/drivers/gpu/drm/xe/xe_res_cursor.h
> index 4e99fae26b4c..b34eb906dbb0 100644
> --- a/drivers/gpu/drm/xe/xe_res_cursor.h
> +++ b/drivers/gpu/drm/xe/xe_res_cursor.h
> @@ -129,7 +129,7 @@ static inline void xe_res_first(struct ttm_resource *res,
>  	cur->remaining = size;
>  	cur->node = NULL;
>  	cur->mem_type = XE_PL_TT;
> -	XE_WARN_ON(res && start + size > res->size);
> +	WARN_ON(res && start + size > res->size);
>  	return;
>  }
>  
> diff --git a/drivers/gpu/drm/xe/xe_rtp.c b/drivers/gpu/drm/xe/xe_rtp.c
> index cb9dd894547d..bcaa111fd63f 100644
> --- a/drivers/gpu/drm/xe/xe_rtp.c
> +++ b/drivers/gpu/drm/xe/xe_rtp.c
> @@ -77,7 +77,7 @@ static bool rule_matches(struct xe_gt *gt,
>  			break;
>  
>  		default:
> -			XE_WARN_ON(r->match_type);
> +			WARN_ON(r->match_type);
>  		}
>  
>  		if (!match)
> diff --git a/drivers/gpu/drm/xe/xe_sync.c b/drivers/gpu/drm/xe/xe_sync.c
> index 99f1ed87196d..30a582c82689 100644
> --- a/drivers/gpu/drm/xe/xe_sync.c
> +++ b/drivers/gpu/drm/xe/xe_sync.c
> @@ -75,7 +75,7 @@ static void user_fence_worker(struct work_struct *w)
>  	if (mmget_not_zero(ufence->mm)) {
>  		kthread_use_mm(ufence->mm);
>  		if (copy_to_user(ufence->addr, &ufence->value, sizeof(ufence->value)))
> -			XE_WARN_ON("Copy to user failed");
> +			WARN_ON("Copy to user failed");
>  		kthread_unuse_mm(ufence->mm);
>  		mmput(ufence->mm);
>  	}
> @@ -246,7 +246,7 @@ bool xe_sync_entry_signal(struct xe_sync_entry *sync, struct xe_sched_job *job,
>  		if (err == -ENOENT) {
>  			kick_ufence(sync->ufence, fence);
>  		} else if (err) {
> -			XE_WARN_ON("failed to add user fence");
> +			WARN_ON("failed to add user fence");
>  			user_fence_put(sync->ufence);
>  			dma_fence_put(fence);
>  		}
> diff --git a/drivers/gpu/drm/xe/xe_uc.c b/drivers/gpu/drm/xe/xe_uc.c
> index 70eabf567156..cbc55ccc5918 100644
> --- a/drivers/gpu/drm/xe/xe_uc.c
> +++ b/drivers/gpu/drm/xe/xe_uc.c
> @@ -162,7 +162,7 @@ int xe_uc_init_hw(struct xe_uc *uc)
>  
>  	/* We don't fail the driver load if HuC fails to auth, but let's warn */
>  	ret = xe_huc_auth(&uc->huc);
> -	XE_WARN_ON(ret);
> +	WARN_ON(ret);
>  
>  	return 0;
>  }
> diff --git a/drivers/gpu/drm/xe/xe_uc_debugfs.c b/drivers/gpu/drm/xe/xe_uc_debugfs.c
> index 0a39ec5a6e99..2248fc07ab3d 100644
> --- a/drivers/gpu/drm/xe/xe_uc_debugfs.c
> +++ b/drivers/gpu/drm/xe/xe_uc_debugfs.c
> @@ -17,7 +17,7 @@ void xe_uc_debugfs_register(struct xe_uc *uc, struct dentry *parent)
>  
>  	root = debugfs_create_dir("uc", parent);
>  	if (IS_ERR(root)) {
> -		XE_WARN_ON("Create UC directory failed");
> +		WARN_ON("Create UC directory failed");
>  		return;
>  	}
>  
> diff --git a/drivers/gpu/drm/xe/xe_uc_fw.c b/drivers/gpu/drm/xe/xe_uc_fw.c
> index e9b30e620fd9..3ebe651b9a1b 100644
> --- a/drivers/gpu/drm/xe/xe_uc_fw.c
> +++ b/drivers/gpu/drm/xe/xe_uc_fw.c
> @@ -202,7 +202,7 @@ static void guc_read_css_info(struct xe_uc_fw *uc_fw, struct uc_css_header *css)
>  	struct xe_guc *guc = &gt->uc.guc;
>  
>  	XE_BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
> -	XE_WARN_ON(uc_fw->major_ver_found < 70);
> +	WARN_ON(uc_fw->major_ver_found < 70);
>  
>  	if (uc_fw->minor_ver_found >= 6) {
>  		/* v70.6.0 adds CSS header support */
> diff --git a/drivers/gpu/drm/xe/xe_vm.c b/drivers/gpu/drm/xe/xe_vm.c
> index bdf82d34eb66..fb6b563378ea 100644
> --- a/drivers/gpu/drm/xe/xe_vm.c
> +++ b/drivers/gpu/drm/xe/xe_vm.c
> @@ -501,7 +501,7 @@ void xe_vm_unlock_dma_resv(struct xe_vm *vm,
>  	 * and holding the dma_resv of an object is required for list
>  	 * addition, and we shouldn't add ourselves.
>  	 */
> -	XE_WARN_ON(!list_empty(&vm->notifier.rebind_list));
> +	WARN_ON(!list_empty(&vm->notifier.rebind_list));
>  
>  	ttm_eu_backoff_reservation(ww, objs);
>  	if (tv && tv != tv_onstack)
> @@ -641,7 +641,7 @@ static void preempt_rebind_work_func(struct work_struct *w)
>  
>  	free_preempt_fences(&preempt_fences);
>  
> -	XE_WARN_ON(err < 0);	/* TODO: Kill VM or put in error state */
> +	WARN_ON(err < 0);	/* TODO: Kill VM or put in error state */
>  	trace_xe_vm_rebind_worker_exit(vm);
>  }
>  
> @@ -703,11 +703,11 @@ static bool vma_userptr_invalidate(struct mmu_interval_notifier *mni,
>  	err = dma_resv_wait_timeout(&vm->resv,
>  				    DMA_RESV_USAGE_BOOKKEEP,
>  				    false, MAX_SCHEDULE_TIMEOUT);
> -	XE_WARN_ON(err <= 0);
> +	WARN_ON(err <= 0);
>  
>  	if (xe_vm_in_fault_mode(vm)) {
>  		err = xe_vm_invalidate_vma(vma);
> -		XE_WARN_ON(err);
> +		WARN_ON(err);
>  	}
>  
>  	trace_xe_vma_userptr_invalidate_complete(vma);
> @@ -797,7 +797,7 @@ struct dma_fence *xe_vm_rebind(struct xe_vm *vm, bool rebind_worker)
>  
>  	xe_vm_assert_held(vm);
>  	list_for_each_entry_safe(vma, next, &vm->rebind_list, rebind_link) {
> -		XE_WARN_ON(!vma->gt_present);
> +		WARN_ON(!vma->gt_present);
>  
>  		list_del_init(&vma->rebind_link);
>  		dma_fence_put(fence);
> @@ -948,7 +948,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
>  	XE_BUG_ON(!list_empty(&vma->unbind_link));
>  
>  	if (xe_vma_is_userptr(vma)) {
> -		XE_WARN_ON(!vma->destroyed);
> +		WARN_ON(!vma->destroyed);
>  		spin_lock(&vm->userptr.invalidated_lock);
>  		list_del_init(&vma->userptr.invalidate_link);
>  		spin_unlock(&vm->userptr.invalidated_lock);
> @@ -969,7 +969,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
>  						 vma_destroy_cb);
>  
>  		if (ret) {
> -			XE_WARN_ON(ret != -ENOENT);
> +			WARN_ON(ret != -ENOENT);
>  			xe_vma_destroy_late(vma);
>  		}
>  	} else {
> @@ -995,7 +995,7 @@ static void xe_vma_destroy_unlocked(struct xe_vma *vma)
>  		list_add(&tv[1].head, &objs);
>  	}
>  	err = ttm_eu_reserve_buffers(&ww, &objs, false, &dups);
> -	XE_WARN_ON(err);
> +	WARN_ON(err);
>  
>  	xe_vma_destroy(vma, NULL);
>  
> @@ -1271,7 +1271,7 @@ static void vm_error_capture(struct xe_vm *vm, int err,
>  	}
>  
>  	if (copy_to_user(address, &capture, sizeof(capture)))
> -		XE_WARN_ON("Copy to user failed");
> +		WARN_ON("Copy to user failed");
>  
>  	if (in_kthread) {
>  		kthread_unuse_mm(vm->async_ops.error_capture.mm);
> @@ -1366,7 +1366,7 @@ void xe_vm_close_and_put(struct xe_vm *vm)
>  	if (vm->async_ops.error_capture.addr)
>  		wake_up_all(&vm->async_ops.error_capture.wq);
>  
> -	XE_WARN_ON(!list_empty(&vm->extobj.list));
> +	WARN_ON(!list_empty(&vm->extobj.list));
>  	up_write(&vm->lock);
>  
>  	mutex_lock(&xe->usm.lock);
> @@ -1390,7 +1390,7 @@ static void vm_destroy_work_func(struct work_struct *w)
>  	void *lookup;
>  
>  	/* xe_vm_close_and_put was not called? */
> -	XE_WARN_ON(vm->size);
> +	WARN_ON(vm->size);
>  
>  	if (!(vm->flags & XE_VM_FLAG_MIGRATION)) {
>  		xe_device_mem_access_put(xe);
> @@ -1399,7 +1399,7 @@ static void vm_destroy_work_func(struct work_struct *w)
>  		if (xe->info.has_asid) {
>  			mutex_lock(&xe->usm.lock);
>  			lookup = xa_erase(&xe->usm.asid_to_vm, vm->usm.asid);
> -			XE_WARN_ON(lookup != vm);
> +			WARN_ON(lookup != vm);
>  			mutex_unlock(&xe->usm.lock);
>  		}
>  	}
> @@ -1660,7 +1660,7 @@ static void add_async_op_fence_cb(struct xe_vm *vm,
>  		dma_fence_put(afence->wait_fence);
>  		dma_fence_put(&afence->fence);
>  	}
> -	XE_WARN_ON(ret && ret != -ENOENT);
> +	WARN_ON(ret && ret != -ENOENT);
>  }
>  
>  int xe_vm_async_fence_wait_start(struct dma_fence *fence)
> diff --git a/drivers/gpu/drm/xe/xe_vm_madvise.c b/drivers/gpu/drm/xe/xe_vm_madvise.c
> index 29815852985a..267f16dd969c 100644
> --- a/drivers/gpu/drm/xe/xe_vm_madvise.c
> +++ b/drivers/gpu/drm/xe/xe_vm_madvise.c
> @@ -192,7 +192,7 @@ static int madvise_priority(struct xe_device *xe, struct xe_vm *vm,
>  static int madvise_pin(struct xe_device *xe, struct xe_vm *vm,
>  		       struct xe_vma **vmas, int num_vmas, u64 value)
>  {
> -	XE_WARN_ON("NIY");
> +	WARN_ON("NIY");
>  	return 0;
>  }
>  
> -- 
> 2.39.2
> 

^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON.
  2023-03-28 18:26   ` Matthew Brost
@ 2023-03-28 18:58     ` Rodrigo Vivi
  0 siblings, 0 replies; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-28 18:58 UTC (permalink / raw)
  To: Matthew Brost; +Cc: intel-xe

On Tue, Mar 28, 2023 at 06:26:42PM +0000, Matthew Brost wrote:
> On Tue, Mar 28, 2023 at 12:10:19PM -0400, Rodrigo Vivi wrote:
> > If that ever becomes useful for something we bring it
> > back with some written reasoning.
> > 
> 
> Isn't the idea that we hide XE_WARN_ON and XE_BUG_ON behind a Kconfig
> option so these are only built in debug kernels? If we are doing that
> then these are not useless.

Do we really want this for *every* warn?
Aren't there warns that are useful at production so regular users could
take the log and report it quickly without having to rebuild the code?

If that happens I believe we need some serious though on the name of
the macro so the objective is really clear. Because in the end, specially
if it has a generic name like this, the meaning would get lost.

People would get used to this macro and use this even on places where
we would want to live there for production. Btw, It looks the case for the
i915 GEM_BUG_ON for instance as well as it looks like the case for the
current XE_*_ON if we were compiling them out in production, no?!

> 
> Matt
>  
> > Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
> > ---
> >  drivers/gpu/drm/xe/display/xe_fb_pin.c      |  2 +-
> >  drivers/gpu/drm/xe/xe_bo.c                  | 12 +++++-----
> >  drivers/gpu/drm/xe/xe_debugfs.c             |  4 ++--
> >  drivers/gpu/drm/xe/xe_device.c              |  4 ++--
> >  drivers/gpu/drm/xe/xe_device.h              |  2 +-
> >  drivers/gpu/drm/xe/xe_dma_buf.c             |  2 +-
> >  drivers/gpu/drm/xe/xe_engine.c              |  2 +-
> >  drivers/gpu/drm/xe/xe_execlist.c            |  2 +-
> >  drivers/gpu/drm/xe/xe_ggtt.c                |  6 ++---
> >  drivers/gpu/drm/xe/xe_gt.c                  | 24 +++++++++----------
> >  drivers/gpu/drm/xe/xe_gt_debugfs.c          |  4 ++--
> >  drivers/gpu/drm/xe/xe_gt_pagefault.c        |  2 +-
> >  drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c |  2 +-
> >  drivers/gpu/drm/xe/xe_guc.c                 |  4 ++--
> >  drivers/gpu/drm/xe/xe_guc.h                 |  2 +-
> >  drivers/gpu/drm/xe/xe_guc_ads.c             |  2 +-
> >  drivers/gpu/drm/xe/xe_guc_ct.c              |  6 ++---
> >  drivers/gpu/drm/xe/xe_guc_debugfs.c         |  2 +-
> >  drivers/gpu/drm/xe/xe_guc_pc.c              | 18 +++++++-------
> >  drivers/gpu/drm/xe/xe_guc_submit.c          | 10 ++++----
> >  drivers/gpu/drm/xe/xe_huc_debugfs.c         |  2 +-
> >  drivers/gpu/drm/xe/xe_hw_fence.c            |  6 ++---
> >  drivers/gpu/drm/xe/xe_macros.h              |  1 -
> >  drivers/gpu/drm/xe/xe_migrate.c             |  2 +-
> >  drivers/gpu/drm/xe/xe_mocs.c                |  4 ++--
> >  drivers/gpu/drm/xe/xe_pt.c                  | 10 ++++----
> >  drivers/gpu/drm/xe/xe_reg_sr.c              |  4 ++--
> >  drivers/gpu/drm/xe/xe_res_cursor.h          |  2 +-
> >  drivers/gpu/drm/xe/xe_rtp.c                 |  2 +-
> >  drivers/gpu/drm/xe/xe_sync.c                |  4 ++--
> >  drivers/gpu/drm/xe/xe_uc.c                  |  2 +-
> >  drivers/gpu/drm/xe/xe_uc_debugfs.c          |  2 +-
> >  drivers/gpu/drm/xe/xe_uc_fw.c               |  2 +-
> >  drivers/gpu/drm/xe/xe_vm.c                  | 26 ++++++++++-----------
> >  drivers/gpu/drm/xe/xe_vm_madvise.c          |  2 +-
> >  35 files changed, 91 insertions(+), 92 deletions(-)
> > 
> > diff --git a/drivers/gpu/drm/xe/display/xe_fb_pin.c b/drivers/gpu/drm/xe/display/xe_fb_pin.c
> > index 65c0bc28a3d1..ef37dc1e9a5c 100644
> > --- a/drivers/gpu/drm/xe/display/xe_fb_pin.c
> > +++ b/drivers/gpu/drm/xe/display/xe_fb_pin.c
> > @@ -190,7 +190,7 @@ static struct i915_vma *__xe_pin_fb_vma(struct intel_framebuffer *fb,
> >  		return ERR_PTR(-ENODEV);
> >  
> >  	/* Remapped view is only required on ADL-P, which xe doesn't support. */
> > -	if (XE_WARN_ON(view->type == I915_GTT_VIEW_REMAPPED)) {
> > +	if (WARN_ON(view->type == I915_GTT_VIEW_REMAPPED)) {
> >  		ret = -ENODEV;
> >  		goto err;
> >  	}
> > diff --git a/drivers/gpu/drm/xe/xe_bo.c b/drivers/gpu/drm/xe/xe_bo.c
> > index e4d079b61d52..eb00b0a67abe 100644
> > --- a/drivers/gpu/drm/xe/xe_bo.c
> > +++ b/drivers/gpu/drm/xe/xe_bo.c
> > @@ -424,7 +424,7 @@ static int xe_bo_trigger_rebind(struct xe_device *xe, struct xe_bo *bo,
> >  							MAX_SCHEDULE_TIMEOUT);
> >  			if (timeout > 0) {
> >  				ret = xe_vm_invalidate_vma(vma);
> > -				XE_WARN_ON(ret);
> > +				WARN_ON(ret);
> >  			} else if (!timeout) {
> >  				ret = -ETIME;
> >  			} else {
> > @@ -661,7 +661,7 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
> >  				void *new_addr = gt->mem.vram.mapping +
> >  					(new_mem->start << PAGE_SHIFT);
> >  
> > -				if (XE_WARN_ON(new_mem->start == XE_BO_INVALID_OFFSET)) {
> > +				if (WARN_ON(new_mem->start == XE_BO_INVALID_OFFSET)) {
> >  					ret = -EINVAL;
> >  					xe_device_mem_access_put(xe);
> >  					goto out;
> > @@ -721,7 +721,7 @@ static bool xe_ttm_bo_lock_in_destructor(struct ttm_buffer_object *ttm_bo)
> >  {
> >  	bool locked;
> >  
> > -	XE_WARN_ON(kref_read(&ttm_bo->kref));
> > +	WARN_ON(kref_read(&ttm_bo->kref));
> >  
> >  	/*
> >  	 * We can typically only race with TTM trylocking under the
> > @@ -732,7 +732,7 @@ static bool xe_ttm_bo_lock_in_destructor(struct ttm_buffer_object *ttm_bo)
> >  	spin_lock(&ttm_bo->bdev->lru_lock);
> >  	locked = dma_resv_trylock(ttm_bo->base.resv);
> >  	spin_unlock(&ttm_bo->bdev->lru_lock);
> > -	XE_WARN_ON(!locked);
> > +	WARN_ON(!locked);
> >  
> >  	return locked;
> >  }
> > @@ -748,7 +748,7 @@ static void xe_ttm_bo_release_notify(struct ttm_buffer_object *ttm_bo)
> >  		return;
> >  
> >  	bo = ttm_to_xe_bo(ttm_bo);
> > -	XE_WARN_ON(bo->created && kref_read(&ttm_bo->base.refcount));
> > +	WARN_ON(bo->created && kref_read(&ttm_bo->base.refcount));
> >  
> >  	/*
> >  	 * Corner case where TTM fails to allocate memory and this BOs resv
> > @@ -966,7 +966,7 @@ struct xe_bo *__xe_bo_create_locked(struct xe_device *xe, struct xe_bo *bo,
> >  	/* Only kernel objects should set GT */
> >  	XE_BUG_ON(gt && type != ttm_bo_type_kernel);
> >  
> > -	if (XE_WARN_ON(!size))
> > +	if (WARN_ON(!size))
> >  		return ERR_PTR(-EINVAL);
> >  
> >  	if (!bo) {
> > diff --git a/drivers/gpu/drm/xe/xe_debugfs.c b/drivers/gpu/drm/xe/xe_debugfs.c
> > index 7827a785b020..fc88ee32a006 100644
> > --- a/drivers/gpu/drm/xe/xe_debugfs.c
> > +++ b/drivers/gpu/drm/xe/xe_debugfs.c
> > @@ -71,7 +71,7 @@ static int forcewake_open(struct inode *inode, struct file *file)
> >  	u8 id;
> >  
> >  	for_each_gt(gt, xe, id)
> > -		XE_WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +		WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  
> >  	return 0;
> >  }
> > @@ -83,7 +83,7 @@ static int forcewake_release(struct inode *inode, struct file *file)
> >  	u8 id;
> >  
> >  	for_each_gt(gt, xe, id)
> > -		XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +		WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  
> >  	return 0;
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_device.c b/drivers/gpu/drm/xe/xe_device.c
> > index a79f934e3d2d..49dec0b6516f 100644
> > --- a/drivers/gpu/drm/xe/xe_device.c
> > +++ b/drivers/gpu/drm/xe/xe_device.c
> > @@ -411,7 +411,7 @@ void xe_device_mem_access_get(struct xe_device *xe)
> >  	if (resumed)
> >  		xe_pm_runtime_put(xe);
> >  
> > -	XE_WARN_ON(ref == S32_MAX);
> > +	WARN_ON(ref == S32_MAX);
> >  }
> >  
> >  void xe_device_mem_access_put(struct xe_device *xe)
> > @@ -422,5 +422,5 @@ void xe_device_mem_access_put(struct xe_device *xe)
> >  	if (!ref && hold)
> >  		xe_pm_runtime_put(xe);
> >  
> > -	XE_WARN_ON(ref < 0);
> > +	WARN_ON(ref < 0);
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_device.h b/drivers/gpu/drm/xe/xe_device.h
> > index d277f8985f7b..5f725ed2ca6b 100644
> > --- a/drivers/gpu/drm/xe/xe_device.h
> > +++ b/drivers/gpu/drm/xe/xe_device.h
> > @@ -97,7 +97,7 @@ static inline bool xe_device_mem_access_ongoing(struct xe_device *xe)
> >  
> >  static inline void xe_device_assert_mem_access(struct xe_device *xe)
> >  {
> > -	XE_WARN_ON(!xe_device_mem_access_ongoing(xe));
> > +	WARN_ON(!xe_device_mem_access_ongoing(xe));
> >  }
> >  
> >  static inline bool xe_device_in_fault_mode(struct xe_device *xe)
> > diff --git a/drivers/gpu/drm/xe/xe_dma_buf.c b/drivers/gpu/drm/xe/xe_dma_buf.c
> > index 9b252cc782b7..485aa5ccbeca 100644
> > --- a/drivers/gpu/drm/xe/xe_dma_buf.c
> > +++ b/drivers/gpu/drm/xe/xe_dma_buf.c
> > @@ -219,7 +219,7 @@ static void xe_dma_buf_move_notify(struct dma_buf_attachment *attach)
> >  	struct drm_gem_object *obj = attach->importer_priv;
> >  	struct xe_bo *bo = gem_to_xe_bo(obj);
> >  
> > -	XE_WARN_ON(xe_bo_evict(bo, false));
> > +	WARN_ON(xe_bo_evict(bo, false));
> >  }
> >  
> >  static const struct dma_buf_attach_ops xe_dma_buf_attach_ops = {
> > diff --git a/drivers/gpu/drm/xe/xe_engine.c b/drivers/gpu/drm/xe/xe_engine.c
> > index 37209b13bcd6..cdd2349524d6 100644
> > --- a/drivers/gpu/drm/xe/xe_engine.c
> > +++ b/drivers/gpu/drm/xe/xe_engine.c
> > @@ -705,7 +705,7 @@ static void engine_kill_compute(struct xe_engine *e)
> >   */
> >  bool xe_engine_is_idle(struct xe_engine *engine)
> >  {
> > -	if (XE_WARN_ON(xe_engine_is_parallel(engine)))
> > +	if (WARN_ON(xe_engine_is_parallel(engine)))
> >  		return false;
> >  
> >  	return xe_lrc_seqno(&engine->lrc[0]) ==
> > diff --git a/drivers/gpu/drm/xe/xe_execlist.c b/drivers/gpu/drm/xe/xe_execlist.c
> > index 02021457b1f0..127ca8c6e279 100644
> > --- a/drivers/gpu/drm/xe/xe_execlist.c
> > +++ b/drivers/gpu/drm/xe/xe_execlist.c
> > @@ -373,7 +373,7 @@ static int execlist_engine_init(struct xe_engine *e)
> >  		sprintf(e->name, "ccs%d", ffs(e->logical_mask) - 1);
> >  		break;
> >  	default:
> > -		XE_WARN_ON(e->class);
> > +		WARN_ON(e->class);
> >  	}
> >  
> >  	return 0;
> > diff --git a/drivers/gpu/drm/xe/xe_ggtt.c b/drivers/gpu/drm/xe/xe_ggtt.c
> > index a430d1568890..bd079e823661 100644
> > --- a/drivers/gpu/drm/xe/xe_ggtt.c
> > +++ b/drivers/gpu/drm/xe/xe_ggtt.c
> > @@ -205,7 +205,7 @@ void xe_ggtt_invalidate(struct xe_gt *gt)
> >  		int seqno;
> >  
> >  		seqno = xe_gt_tlb_invalidation_guc(gt);
> > -		XE_WARN_ON(seqno <= 0);
> > +		WARN_ON(seqno <= 0);
> >  		if (seqno > 0)
> >  			xe_gt_tlb_invalidation_wait(gt, seqno);
> >  	} else if (xe_device_guc_submission_enabled(gt_to_xe(gt))) {
> > @@ -294,7 +294,7 @@ static int __xe_ggtt_insert_bo_at(struct xe_ggtt *ggtt, struct xe_bo *bo,
> >  	if (xe_bo_is_vram(bo) && ggtt->flags & XE_GGTT_FLAGS_64K)
> >  		alignment = SZ_64K;
> >  
> > -	if (XE_WARN_ON(bo->ggtt_node.size)) {
> > +	if (WARN_ON(bo->ggtt_node.size)) {
> >  		return 0;
> >  	}
> >  
> > @@ -351,7 +351,7 @@ void xe_ggtt_remove_node(struct xe_ggtt *ggtt, struct drm_mm_node *node)
> >  
> >  void xe_ggtt_remove_bo(struct xe_ggtt *ggtt, struct xe_bo *bo)
> >  {
> > -	if (XE_WARN_ON(!bo->ggtt_node.size))
> > +	if (WARN_ON(!bo->ggtt_node.size))
> >  		return;
> >  
> >  	xe_ggtt_remove_node(ggtt, &bo->ggtt_node);
> > diff --git a/drivers/gpu/drm/xe/xe_gt.c b/drivers/gpu/drm/xe/xe_gt.c
> > index 6322e0689a9e..7d8b4cffcc2d 100644
> > --- a/drivers/gpu/drm/xe/xe_gt.c
> > +++ b/drivers/gpu/drm/xe/xe_gt.c
> > @@ -356,7 +356,7 @@ int xe_gt_init_noalloc(struct xe_gt *gt)
> >  
> >  err_force_wake:
> >  	err2 = xe_force_wake_put(gt_to_fw(gt), XE_FW_GT);
> > -	XE_WARN_ON(err2);
> > +	WARN_ON(err2);
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  err:
> >  	return err;
> > @@ -401,7 +401,7 @@ static int gt_fw_domain_init(struct xe_gt *gt)
> >  		goto err_force_wake;
> >  
> >  	err = xe_force_wake_put(gt_to_fw(gt), XE_FW_GT);
> > -	XE_WARN_ON(err);
> > +	WARN_ON(err);
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  
> >  	return 0;
> > @@ -482,7 +482,7 @@ static int all_fw_domain_init(struct xe_gt *gt)
> >  		goto err_force_wake;
> >  
> >  	err = xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL);
> > -	XE_WARN_ON(err);
> > +	WARN_ON(err);
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  
> >  	return 0;
> > @@ -623,16 +623,16 @@ static int gt_reset(struct xe_gt *gt)
> >  
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  	err = xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL);
> > -	XE_WARN_ON(err);
> > +	WARN_ON(err);
> >  
> >  	drm_info(&xe->drm, "GT reset done\n");
> >  
> >  	return 0;
> >  
> >  err_out:
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  err_msg:
> > -	XE_WARN_ON(xe_uc_start(&gt->uc));
> > +	WARN_ON(xe_uc_start(&gt->uc));
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  	drm_err(&xe->drm, "GT reset failed, err=%d\n", err);
> >  
> > @@ -663,12 +663,12 @@ void xe_gt_reset_async(struct xe_gt *gt)
> >  void xe_gt_suspend_prepare(struct xe_gt *gt)
> >  {
> >  	xe_device_mem_access_get(gt_to_xe(gt));
> > -	XE_WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_get(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  
> >  	xe_uc_stop_prepare(&gt->uc);
> >  
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  }
> >  
> >  int xe_gt_suspend(struct xe_gt *gt)
> > @@ -692,13 +692,13 @@ int xe_gt_suspend(struct xe_gt *gt)
> >  		goto err_force_wake;
> >  
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	drm_info(&xe->drm, "GT suspended\n");
> >  
> >  	return 0;
> >  
> >  err_force_wake:
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  err_msg:
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  	drm_err(&xe->drm, "GT suspend failed: %d\n", err);
> > @@ -721,13 +721,13 @@ int xe_gt_resume(struct xe_gt *gt)
> >  		goto err_force_wake;
> >  
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	drm_info(&xe->drm, "GT resumed\n");
> >  
> >  	return 0;
> >  
> >  err_force_wake:
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  err_msg:
> >  	xe_device_mem_access_put(gt_to_xe(gt));
> >  	drm_err(&xe->drm, "GT resume failed: %d\n", err);
> > diff --git a/drivers/gpu/drm/xe/xe_gt_debugfs.c b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > index 9fab8017490f..639b2486803b 100644
> > --- a/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > +++ b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > @@ -150,7 +150,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
> >  	sprintf(name, "gt%d", gt->info.id);
> >  	root = debugfs_create_dir(name, minor->debugfs_root);
> >  	if (IS_ERR(root)) {
> > -		XE_WARN_ON("Create GT directory failed");
> > +		WARN_ON("Create GT directory failed");
> >  		return;
> >  	}
> >  
> > @@ -162,7 +162,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
> >  #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
> >  	local = drmm_kmalloc(&gt_to_xe(gt)->drm, DEBUGFS_SIZE, GFP_KERNEL);
> >  	if (!local) {
> > -		XE_WARN_ON("Couldn't allocate memory");
> > +		WARN_ON("Couldn't allocate memory");
> >  		return;
> >  	}
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_gt_pagefault.c b/drivers/gpu/drm/xe/xe_gt_pagefault.c
> > index 1677640e1075..de0abd322fce 100644
> > --- a/drivers/gpu/drm/xe/xe_gt_pagefault.c
> > +++ b/drivers/gpu/drm/xe/xe_gt_pagefault.c
> > @@ -346,7 +346,7 @@ int xe_guc_pagefault_handler(struct xe_guc *guc, u32 *msg, u32 len)
> >  		pf_queue->tail = (pf_queue->tail + len) % PF_QUEUE_NUM_DW;
> >  		queue_work(gt->usm.pf_wq, &pf_queue->worker);
> >  	} else {
> > -		XE_WARN_ON("PF Queue full, shouldn't be possible");
> > +		WARN_ON("PF Queue full, shouldn't be possible");
> >  	}
> >  	spin_unlock_irqrestore(&pf_queue->lock, flags);
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > index f279e21300aa..6c9a96cf3d5f 100644
> > --- a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > +++ b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > @@ -319,7 +319,7 @@ int xe_guc_tlb_invalidation_done_handler(struct xe_guc *guc, u32 *msg, u32 len)
> >  	/* Sanity check on seqno */
> >  	expected_seqno = (gt->tlb_invalidation.seqno_recv + 1) %
> >  		TLB_INVALIDATION_SEQNO_MAX;
> > -	XE_WARN_ON(expected_seqno != msg[0]);
> > +	WARN_ON(expected_seqno != msg[0]);
> >  
> >  	gt->tlb_invalidation.seqno_recv = msg[0];
> >  	smp_wmb();
> > diff --git a/drivers/gpu/drm/xe/xe_guc.c b/drivers/gpu/drm/xe/xe_guc.c
> > index 58b9841616e4..bccdfb914f08 100644
> > --- a/drivers/gpu/drm/xe/xe_guc.c
> > +++ b/drivers/gpu/drm/xe/xe_guc.c
> > @@ -805,7 +805,7 @@ void xe_guc_reset_wait(struct xe_guc *guc)
> >  
> >  void xe_guc_stop_prepare(struct xe_guc *guc)
> >  {
> > -	XE_WARN_ON(xe_guc_pc_stop(&guc->pc));
> > +	WARN_ON(xe_guc_pc_stop(&guc->pc));
> >  }
> >  
> >  int xe_guc_stop(struct xe_guc *guc)
> > @@ -830,7 +830,7 @@ int xe_guc_start(struct xe_guc *guc)
> >  		return ret;
> >  
> >  	ret = xe_guc_pc_start(&guc->pc);
> > -	XE_WARN_ON(ret);
> > +	WARN_ON(ret);
> >  
> >  	return 0;
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_guc.h b/drivers/gpu/drm/xe/xe_guc.h
> > index 74a74051f354..903069a07ca4 100644
> > --- a/drivers/gpu/drm/xe/xe_guc.h
> > +++ b/drivers/gpu/drm/xe/xe_guc.h
> > @@ -51,7 +51,7 @@ static inline u16 xe_engine_class_to_guc_class(enum xe_engine_class class)
> >  		return GUC_COMPUTE_CLASS;
> >  	case XE_ENGINE_CLASS_OTHER:
> >  	default:
> > -		XE_WARN_ON(class);
> > +		WARN_ON(class);
> >  		return -1;
> >  	}
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_guc_ads.c b/drivers/gpu/drm/xe/xe_guc_ads.c
> > index 304a9501b447..d5a089694f80 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_ads.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_ads.c
> > @@ -313,7 +313,7 @@ int xe_guc_ads_init_post_hwconfig(struct xe_guc_ads *ads)
> >  	ads->golden_lrc_size = calculate_golden_lrc_size(ads);
> >  	ads->regset_size = calculate_regset_size(gt);
> >  
> > -	XE_WARN_ON(ads->golden_lrc_size +
> > +	WARN_ON(ads->golden_lrc_size +
> >  		   (ads->regset_size - prev_regset_size) >
> >  		   MAX_GOLDEN_LRC_SIZE);
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_guc_ct.c b/drivers/gpu/drm/xe/xe_guc_ct.c
> > index 5e00b75d3ca2..fec09ba412a8 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_ct.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_ct.c
> > @@ -378,7 +378,7 @@ static void g2h_reserve_space(struct xe_guc_ct *ct, u32 g2h_len, u32 num_g2h)
> >  static void __g2h_release_space(struct xe_guc_ct *ct, u32 g2h_len)
> >  {
> >  	lockdep_assert_held(&ct->fast_lock);
> > -	XE_WARN_ON(ct->ctbs.g2h.space + g2h_len >
> > +	WARN_ON(ct->ctbs.g2h.space + g2h_len >
> >  		   ct->ctbs.g2h.size - ct->ctbs.g2h.resv_space);
> >  
> >  	ct->ctbs.g2h.space += g2h_len;
> > @@ -778,7 +778,7 @@ static int parse_g2h_response(struct xe_guc_ct *ct, u32 *msg, u32 len)
> >  		return 0;
> >  	}
> >  
> > -	XE_WARN_ON(fence != g2h_fence->seqno);
> > +	WARN_ON(fence != g2h_fence->seqno);
> >  
> >  	if (type == GUC_HXG_TYPE_RESPONSE_FAILURE) {
> >  		g2h_fence->fail = true;
> > @@ -1009,7 +1009,7 @@ static void g2h_fast_path(struct xe_guc_ct *ct, u32 *msg, u32 len)
> >  							   adj_len);
> >  		break;
> >  	default:
> > -		XE_WARN_ON("NOT_POSSIBLE");
> > +		WARN_ON("NOT_POSSIBLE");
> >  	}
> >  
> >  	if (ret)
> > diff --git a/drivers/gpu/drm/xe/xe_guc_debugfs.c b/drivers/gpu/drm/xe/xe_guc_debugfs.c
> > index 6b72db4d5bb2..5ee500b8c3f1 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_debugfs.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_debugfs.c
> > @@ -90,7 +90,7 @@ void xe_guc_debugfs_register(struct xe_guc *guc, struct dentry *parent)
> >  #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
> >  	local = drmm_kmalloc(&guc_to_xe(guc)->drm, DEBUGFS_SIZE, GFP_KERNEL);
> >  	if (!local) {
> > -		XE_WARN_ON("Couldn't allocate memory");
> > +		WARN_ON("Couldn't allocate memory");
> >  		return;
> >  	}
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_guc_pc.c b/drivers/gpu/drm/xe/xe_guc_pc.c
> > index 5a8d827ba770..9160bcd83206 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_pc.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_pc.c
> > @@ -392,7 +392,7 @@ static ssize_t freq_act_show(struct device *dev,
> >  
> >  	ret = sysfs_emit(buf, "%d\n", decode_freq(freq));
> >  
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	return ret;
> >  }
> >  static DEVICE_ATTR_RO(freq_act);
> > @@ -420,7 +420,7 @@ static ssize_t freq_cur_show(struct device *dev,
> >  	freq = REG_FIELD_GET(REQ_RATIO_MASK, freq);
> >  	ret = sysfs_emit(buf, "%d\n", decode_freq(freq));
> >  
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	return ret;
> >  }
> >  static DEVICE_ATTR_RO(freq_cur);
> > @@ -483,7 +483,7 @@ static ssize_t freq_min_show(struct device *dev,
> >  	ret = sysfs_emit(buf, "%d\n", pc_get_min_freq(pc));
> >  
> >  fw:
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  out:
> >  	mutex_unlock(&pc->freq_lock);
> >  	xe_device_mem_access_put(pc_to_xe(pc));
> > @@ -620,7 +620,7 @@ static ssize_t rc6_residency_show(struct device *dev,
> >  
> >  	ret = sysfs_emit(buff, "%u\n", reg);
> >  
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	return ret;
> >  }
> >  static DEVICE_ATTR_RO(rc6_residency);
> > @@ -749,7 +749,7 @@ static int pc_gucrc_disable(struct xe_guc_pc *pc)
> >  	xe_mmio_write32(gt, GEN6_RC_CONTROL.reg, 0);
> >  	xe_mmio_write32(gt, GEN6_RC_STATE.reg, 0);
> >  
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	return 0;
> >  }
> >  
> > @@ -758,7 +758,7 @@ static void pc_init_pcode_freq(struct xe_guc_pc *pc)
> >  	u32 min = DIV_ROUND_CLOSEST(pc->rpn_freq, GT_FREQUENCY_MULTIPLIER);
> >  	u32 max = DIV_ROUND_CLOSEST(pc->rp0_freq, GT_FREQUENCY_MULTIPLIER);
> >  
> > -	XE_WARN_ON(xe_pcode_init_min_freq_table(pc_to_gt(pc), min, max));
> > +	WARN_ON(xe_pcode_init_min_freq_table(pc_to_gt(pc), min, max));
> >  }
> >  
> >  static int pc_init_freqs(struct xe_guc_pc *pc)
> > @@ -801,7 +801,7 @@ int xe_guc_pc_start(struct xe_guc_pc *pc)
> >  	u32 size = PAGE_ALIGN(sizeof(struct slpc_shared_data));
> >  	int ret;
> >  
> > -	XE_WARN_ON(!xe_device_guc_submission_enabled(xe));
> > +	WARN_ON(!xe_device_guc_submission_enabled(xe));
> >  
> >  	xe_device_mem_access_get(pc_to_xe(pc));
> >  
> > @@ -836,7 +836,7 @@ int xe_guc_pc_start(struct xe_guc_pc *pc)
> >  
> >  out:
> >  	xe_device_mem_access_put(pc_to_xe(pc));
> > -	XE_WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> > +	WARN_ON(xe_force_wake_put(gt_to_fw(gt), XE_FORCEWAKE_ALL));
> >  	return ret;
> >  }
> >  
> > @@ -876,7 +876,7 @@ static void pc_fini(struct drm_device *drm, void *arg)
> >  {
> >  	struct xe_guc_pc *pc = arg;
> >  
> > -	XE_WARN_ON(xe_guc_pc_stop(pc));
> > +	WARN_ON(xe_guc_pc_stop(pc));
> >  	sysfs_remove_files(pc_to_gt(pc)->sysfs, pc_attrs);
> >  	xe_bo_unpin_map_no_vm(pc->bo);
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_guc_submit.c b/drivers/gpu/drm/xe/xe_guc_submit.c
> > index e857013070b9..8df5513796f1 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_submit.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_submit.c
> > @@ -715,7 +715,7 @@ static void disable_scheduling_deregister(struct xe_guc *guc,
> >  	if (!ret) {
> >  		struct drm_gpu_scheduler *sched = &e->guc->sched;
> >  
> > -		XE_WARN_ON("Pending enable failed to respond");
> > +		WARN_ON("Pending enable failed to respond");
> >  		sched->timeout = MIN_SCHED_TIMEOUT;
> >  		drm_sched_run_wq_start(sched);
> >  		xe_gt_reset_async(e->gt);
> > @@ -794,8 +794,8 @@ guc_engine_timedout_job(struct drm_sched_job *drm_job)
> >  	int i = 0;
> >  
> >  	if (!test_bit(DMA_FENCE_FLAG_SIGNALED_BIT, &job->fence->flags)) {
> > -		XE_WARN_ON(e->flags & ENGINE_FLAG_KERNEL);
> > -		XE_WARN_ON(e->flags & ENGINE_FLAG_VM && !engine_killed(e));
> > +		WARN_ON(e->flags & ENGINE_FLAG_KERNEL);
> > +		WARN_ON(e->flags & ENGINE_FLAG_VM && !engine_killed(e));
> >  
> >  		drm_warn(&xe->drm, "Timedout job: seqno=%u, guc_id=%d, flags=0x%lx",
> >  			 xe_sched_job_seqno(job), e->guc->id, e->flags);
> > @@ -847,7 +847,7 @@ guc_engine_timedout_job(struct drm_sched_job *drm_job)
> >  					 !engine_pending_disable(e) ||
> >  					 guc_read_stopped(guc), HZ * 5);
> >  		if (!ret) {
> > -			XE_WARN_ON("Schedule disable failed to respond");
> > +			WARN_ON("Schedule disable failed to respond");
> >  			sched->timeout = MIN_SCHED_TIMEOUT;
> >  			list_add(&drm_job->list, &sched->pending_list);
> >  			drm_sched_run_wq_start(sched);
> > @@ -1124,7 +1124,7 @@ static int guc_engine_init(struct xe_engine *e)
> >  		sprintf(e->name, "ccs%d", e->guc->id);
> >  		break;
> >  	default:
> > -		XE_WARN_ON(e->class);
> > +		WARN_ON(e->class);
> >  	}
> >  
> >  	trace_xe_engine_create(e);
> > diff --git a/drivers/gpu/drm/xe/xe_huc_debugfs.c b/drivers/gpu/drm/xe/xe_huc_debugfs.c
> > index ee3d8315036a..b88076242fcf 100644
> > --- a/drivers/gpu/drm/xe/xe_huc_debugfs.c
> > +++ b/drivers/gpu/drm/xe/xe_huc_debugfs.c
> > @@ -56,7 +56,7 @@ void xe_huc_debugfs_register(struct xe_huc *huc, struct dentry *parent)
> >  #define DEBUGFS_SIZE	ARRAY_SIZE(debugfs_list) * sizeof(struct drm_info_list)
> >  	local = drmm_kmalloc(&huc_to_xe(huc)->drm, DEBUGFS_SIZE, GFP_KERNEL);
> >  	if (!local) {
> > -		XE_WARN_ON("Couldn't allocate memory");
> > +		WARN_ON("Couldn't allocate memory");
> >  		return;
> >  	}
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_hw_fence.c b/drivers/gpu/drm/xe/xe_hw_fence.c
> > index ffe1a3992ef5..bbfce9f58cd6 100644
> > --- a/drivers/gpu/drm/xe/xe_hw_fence.c
> > +++ b/drivers/gpu/drm/xe/xe_hw_fence.c
> > @@ -88,14 +88,14 @@ void xe_hw_fence_irq_finish(struct xe_hw_fence_irq *irq)
> >  	int err;
> >  	bool tmp;
> >  
> > -	if (XE_WARN_ON(!list_empty(&irq->pending))) {
> > +	if (WARN_ON(!list_empty(&irq->pending))) {
> >  		tmp = dma_fence_begin_signalling();
> >  		spin_lock_irqsave(&irq->lock, flags);
> >  		list_for_each_entry_safe(fence, next, &irq->pending, irq_link) {
> >  			list_del_init(&fence->irq_link);
> >  			err = dma_fence_signal_locked(&fence->dma);
> >  			dma_fence_put(&fence->dma);
> > -			XE_WARN_ON(err);
> > +			WARN_ON(err);
> >  		}
> >  		spin_unlock_irqrestore(&irq->lock, flags);
> >  		dma_fence_end_signalling(tmp);
> > @@ -202,7 +202,7 @@ static const struct dma_fence_ops xe_hw_fence_ops = {
> >  
> >  static struct xe_hw_fence *to_xe_hw_fence(struct dma_fence *fence)
> >  {
> > -	if (XE_WARN_ON(fence->ops != &xe_hw_fence_ops))
> > +	if (WARN_ON(fence->ops != &xe_hw_fence_ops))
> >  		return NULL;
> >  
> >  	return container_of(fence, struct xe_hw_fence, dma);
> > diff --git a/drivers/gpu/drm/xe/xe_macros.h b/drivers/gpu/drm/xe/xe_macros.h
> > index 0d24c124d202..0a42112eb247 100644
> > --- a/drivers/gpu/drm/xe/xe_macros.h
> > +++ b/drivers/gpu/drm/xe/xe_macros.h
> > @@ -9,7 +9,6 @@
> >  #include <linux/bug.h>
> >  
> >  #define XE_EXTRA_DEBUG 1
> > -#define XE_WARN_ON WARN_ON
> >  #define XE_BUG_ON BUG_ON
> >  
> >  #define XE_IOCTL_ERR(xe, cond) \
> > diff --git a/drivers/gpu/drm/xe/xe_migrate.c b/drivers/gpu/drm/xe/xe_migrate.c
> > index 11c8af9c6c92..a98e4bad39bf 100644
> > --- a/drivers/gpu/drm/xe/xe_migrate.c
> > +++ b/drivers/gpu/drm/xe/xe_migrate.c
> > @@ -463,7 +463,7 @@ static void emit_pte(struct xe_migrate *m,
> >  				/* Is this a 64K PTE entry? */
> >  				if ((m->eng->vm->flags & XE_VM_FLAGS_64K) &&
> >  				    !(cur_ofs & (16 * 8 - 1))) {
> > -					XE_WARN_ON(!IS_ALIGNED(addr, SZ_64K));
> > +					WARN_ON(!IS_ALIGNED(addr, SZ_64K));
> >  					addr |= GEN12_PTE_PS64;
> >  				}
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_mocs.c b/drivers/gpu/drm/xe/xe_mocs.c
> > index e09c6242aafc..1f0d9772e6d9 100644
> > --- a/drivers/gpu/drm/xe/xe_mocs.c
> > +++ b/drivers/gpu/drm/xe/xe_mocs.c
> > @@ -437,9 +437,9 @@ static unsigned int get_mocs_settings(struct xe_device *xe,
> >  	 * is still 0 at this point, we'll assume that it was omitted by
> >  	 * mistake in the switch statement above.
> >  	 */
> > -	XE_WARN_ON(info->unused_entries_index == 0);
> > +	WARN_ON(info->unused_entries_index == 0);
> >  
> > -	if (XE_WARN_ON(info->size > info->n_entries)) {
> > +	if (WARN_ON(info->size > info->n_entries)) {
> >  		info->table = NULL;
> >  		return 0;
> >  	}
> > diff --git a/drivers/gpu/drm/xe/xe_pt.c b/drivers/gpu/drm/xe/xe_pt.c
> > index 6b2943efcdbc..ffb0e6d8f9f7 100644
> > --- a/drivers/gpu/drm/xe/xe_pt.c
> > +++ b/drivers/gpu/drm/xe/xe_pt.c
> > @@ -67,7 +67,7 @@ u64 gen8_pde_encode(struct xe_bo *bo, u64 bo_offset,
> >  	pde = xe_bo_addr(bo, bo_offset, GEN8_PAGE_SIZE, &is_vram);
> >  	pde |= GEN8_PAGE_PRESENT | GEN8_PAGE_RW;
> >  
> > -	XE_WARN_ON(IS_DGFX(xe_bo_device(bo)) && !is_vram);
> > +	WARN_ON(IS_DGFX(xe_bo_device(bo)) && !is_vram);
> >  
> >  	/* FIXME: I don't think the PPAT handling is correct for MTL */
> >  
> > @@ -636,7 +636,7 @@ xe_pt_stage_bind_entry(struct drm_pt *parent, pgoff_t offset,
> >  	if (level == 0 || xe_pt_hugepte_possible(addr, next, level, xe_walk)) {
> >  		struct xe_res_cursor *curs = xe_walk->curs;
> >  
> > -		XE_WARN_ON(xe_walk->va_curs_start != addr);
> > +		WARN_ON(xe_walk->va_curs_start != addr);
> >  
> >  		pte = __gen8_pte_encode(xe_res_dma(curs) + xe_walk->dma_offset,
> >  					xe_walk->cache, xe_walk->pte_flags,
> > @@ -650,7 +650,7 @@ xe_pt_stage_bind_entry(struct drm_pt *parent, pgoff_t offset,
> >  		if (level == 0 && !xe_parent->is_compact) {
> >  			if (xe_pt_is_pte_ps64K(addr, next, xe_walk))
> >  				pte |= GEN12_PTE_PS64;
> > -			else if (XE_WARN_ON(xe_walk->needs_64K))
> > +			else if (WARN_ON(xe_walk->needs_64K))
> >  				return -EINVAL;
> >  		}
> >  
> > @@ -1248,7 +1248,7 @@ static int invalidation_fence_init(struct xe_gt *gt,
> >  		dma_fence_put(&ifence->base.base);	/* Creation ref */
> >  	}
> >  
> > -	XE_WARN_ON(ret && ret != -ENOENT);
> > +	WARN_ON(ret && ret != -ENOENT);
> >  
> >  	return ret && ret != -ENOENT ? ret : 0;
> >  }
> > @@ -1677,7 +1677,7 @@ __xe_pt_unbind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
> >  		list_del_init(&vma->rebind_link);
> >  
> >  	if (unbind_pt_update.locked) {
> > -		XE_WARN_ON(!xe_vma_is_userptr(vma));
> > +		WARN_ON(!xe_vma_is_userptr(vma));
> >  
> >  		if (!vma->gt_present) {
> >  			spin_lock(&vm->userptr.invalidated_lock);
> > diff --git a/drivers/gpu/drm/xe/xe_reg_sr.c b/drivers/gpu/drm/xe/xe_reg_sr.c
> > index 9eaf1be27886..c1b240c4dba6 100644
> > --- a/drivers/gpu/drm/xe/xe_reg_sr.c
> > +++ b/drivers/gpu/drm/xe/xe_reg_sr.c
> > @@ -183,7 +183,7 @@ void xe_reg_sr_apply_mmio(struct xe_reg_sr *sr, struct xe_gt *gt)
> >  		apply_one_mmio(gt, reg, entry);
> >  
> >  	err = xe_force_wake_put(&gt->mmio.fw, XE_FORCEWAKE_ALL);
> > -	XE_WARN_ON(err);
> > +	WARN_ON(err);
> >  
> >  	return;
> >  
> > @@ -224,7 +224,7 @@ void xe_reg_sr_apply_whitelist(struct xe_reg_sr *sr, u32 mmio_base,
> >  				RING_NOPID(mmio_base).reg);
> >  
> >  	err = xe_force_wake_put(&gt->mmio.fw, XE_FORCEWAKE_ALL);
> > -	XE_WARN_ON(err);
> > +	WARN_ON(err);
> >  
> >  	return;
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_res_cursor.h b/drivers/gpu/drm/xe/xe_res_cursor.h
> > index 4e99fae26b4c..b34eb906dbb0 100644
> > --- a/drivers/gpu/drm/xe/xe_res_cursor.h
> > +++ b/drivers/gpu/drm/xe/xe_res_cursor.h
> > @@ -129,7 +129,7 @@ static inline void xe_res_first(struct ttm_resource *res,
> >  	cur->remaining = size;
> >  	cur->node = NULL;
> >  	cur->mem_type = XE_PL_TT;
> > -	XE_WARN_ON(res && start + size > res->size);
> > +	WARN_ON(res && start + size > res->size);
> >  	return;
> >  }
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_rtp.c b/drivers/gpu/drm/xe/xe_rtp.c
> > index cb9dd894547d..bcaa111fd63f 100644
> > --- a/drivers/gpu/drm/xe/xe_rtp.c
> > +++ b/drivers/gpu/drm/xe/xe_rtp.c
> > @@ -77,7 +77,7 @@ static bool rule_matches(struct xe_gt *gt,
> >  			break;
> >  
> >  		default:
> > -			XE_WARN_ON(r->match_type);
> > +			WARN_ON(r->match_type);
> >  		}
> >  
> >  		if (!match)
> > diff --git a/drivers/gpu/drm/xe/xe_sync.c b/drivers/gpu/drm/xe/xe_sync.c
> > index 99f1ed87196d..30a582c82689 100644
> > --- a/drivers/gpu/drm/xe/xe_sync.c
> > +++ b/drivers/gpu/drm/xe/xe_sync.c
> > @@ -75,7 +75,7 @@ static void user_fence_worker(struct work_struct *w)
> >  	if (mmget_not_zero(ufence->mm)) {
> >  		kthread_use_mm(ufence->mm);
> >  		if (copy_to_user(ufence->addr, &ufence->value, sizeof(ufence->value)))
> > -			XE_WARN_ON("Copy to user failed");
> > +			WARN_ON("Copy to user failed");
> >  		kthread_unuse_mm(ufence->mm);
> >  		mmput(ufence->mm);
> >  	}
> > @@ -246,7 +246,7 @@ bool xe_sync_entry_signal(struct xe_sync_entry *sync, struct xe_sched_job *job,
> >  		if (err == -ENOENT) {
> >  			kick_ufence(sync->ufence, fence);
> >  		} else if (err) {
> > -			XE_WARN_ON("failed to add user fence");
> > +			WARN_ON("failed to add user fence");
> >  			user_fence_put(sync->ufence);
> >  			dma_fence_put(fence);
> >  		}
> > diff --git a/drivers/gpu/drm/xe/xe_uc.c b/drivers/gpu/drm/xe/xe_uc.c
> > index 70eabf567156..cbc55ccc5918 100644
> > --- a/drivers/gpu/drm/xe/xe_uc.c
> > +++ b/drivers/gpu/drm/xe/xe_uc.c
> > @@ -162,7 +162,7 @@ int xe_uc_init_hw(struct xe_uc *uc)
> >  
> >  	/* We don't fail the driver load if HuC fails to auth, but let's warn */
> >  	ret = xe_huc_auth(&uc->huc);
> > -	XE_WARN_ON(ret);
> > +	WARN_ON(ret);
> >  
> >  	return 0;
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_uc_debugfs.c b/drivers/gpu/drm/xe/xe_uc_debugfs.c
> > index 0a39ec5a6e99..2248fc07ab3d 100644
> > --- a/drivers/gpu/drm/xe/xe_uc_debugfs.c
> > +++ b/drivers/gpu/drm/xe/xe_uc_debugfs.c
> > @@ -17,7 +17,7 @@ void xe_uc_debugfs_register(struct xe_uc *uc, struct dentry *parent)
> >  
> >  	root = debugfs_create_dir("uc", parent);
> >  	if (IS_ERR(root)) {
> > -		XE_WARN_ON("Create UC directory failed");
> > +		WARN_ON("Create UC directory failed");
> >  		return;
> >  	}
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_uc_fw.c b/drivers/gpu/drm/xe/xe_uc_fw.c
> > index e9b30e620fd9..3ebe651b9a1b 100644
> > --- a/drivers/gpu/drm/xe/xe_uc_fw.c
> > +++ b/drivers/gpu/drm/xe/xe_uc_fw.c
> > @@ -202,7 +202,7 @@ static void guc_read_css_info(struct xe_uc_fw *uc_fw, struct uc_css_header *css)
> >  	struct xe_guc *guc = &gt->uc.guc;
> >  
> >  	XE_BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
> > -	XE_WARN_ON(uc_fw->major_ver_found < 70);
> > +	WARN_ON(uc_fw->major_ver_found < 70);
> >  
> >  	if (uc_fw->minor_ver_found >= 6) {
> >  		/* v70.6.0 adds CSS header support */
> > diff --git a/drivers/gpu/drm/xe/xe_vm.c b/drivers/gpu/drm/xe/xe_vm.c
> > index bdf82d34eb66..fb6b563378ea 100644
> > --- a/drivers/gpu/drm/xe/xe_vm.c
> > +++ b/drivers/gpu/drm/xe/xe_vm.c
> > @@ -501,7 +501,7 @@ void xe_vm_unlock_dma_resv(struct xe_vm *vm,
> >  	 * and holding the dma_resv of an object is required for list
> >  	 * addition, and we shouldn't add ourselves.
> >  	 */
> > -	XE_WARN_ON(!list_empty(&vm->notifier.rebind_list));
> > +	WARN_ON(!list_empty(&vm->notifier.rebind_list));
> >  
> >  	ttm_eu_backoff_reservation(ww, objs);
> >  	if (tv && tv != tv_onstack)
> > @@ -641,7 +641,7 @@ static void preempt_rebind_work_func(struct work_struct *w)
> >  
> >  	free_preempt_fences(&preempt_fences);
> >  
> > -	XE_WARN_ON(err < 0);	/* TODO: Kill VM or put in error state */
> > +	WARN_ON(err < 0);	/* TODO: Kill VM or put in error state */
> >  	trace_xe_vm_rebind_worker_exit(vm);
> >  }
> >  
> > @@ -703,11 +703,11 @@ static bool vma_userptr_invalidate(struct mmu_interval_notifier *mni,
> >  	err = dma_resv_wait_timeout(&vm->resv,
> >  				    DMA_RESV_USAGE_BOOKKEEP,
> >  				    false, MAX_SCHEDULE_TIMEOUT);
> > -	XE_WARN_ON(err <= 0);
> > +	WARN_ON(err <= 0);
> >  
> >  	if (xe_vm_in_fault_mode(vm)) {
> >  		err = xe_vm_invalidate_vma(vma);
> > -		XE_WARN_ON(err);
> > +		WARN_ON(err);
> >  	}
> >  
> >  	trace_xe_vma_userptr_invalidate_complete(vma);
> > @@ -797,7 +797,7 @@ struct dma_fence *xe_vm_rebind(struct xe_vm *vm, bool rebind_worker)
> >  
> >  	xe_vm_assert_held(vm);
> >  	list_for_each_entry_safe(vma, next, &vm->rebind_list, rebind_link) {
> > -		XE_WARN_ON(!vma->gt_present);
> > +		WARN_ON(!vma->gt_present);
> >  
> >  		list_del_init(&vma->rebind_link);
> >  		dma_fence_put(fence);
> > @@ -948,7 +948,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
> >  	XE_BUG_ON(!list_empty(&vma->unbind_link));
> >  
> >  	if (xe_vma_is_userptr(vma)) {
> > -		XE_WARN_ON(!vma->destroyed);
> > +		WARN_ON(!vma->destroyed);
> >  		spin_lock(&vm->userptr.invalidated_lock);
> >  		list_del_init(&vma->userptr.invalidate_link);
> >  		spin_unlock(&vm->userptr.invalidated_lock);
> > @@ -969,7 +969,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
> >  						 vma_destroy_cb);
> >  
> >  		if (ret) {
> > -			XE_WARN_ON(ret != -ENOENT);
> > +			WARN_ON(ret != -ENOENT);
> >  			xe_vma_destroy_late(vma);
> >  		}
> >  	} else {
> > @@ -995,7 +995,7 @@ static void xe_vma_destroy_unlocked(struct xe_vma *vma)
> >  		list_add(&tv[1].head, &objs);
> >  	}
> >  	err = ttm_eu_reserve_buffers(&ww, &objs, false, &dups);
> > -	XE_WARN_ON(err);
> > +	WARN_ON(err);
> >  
> >  	xe_vma_destroy(vma, NULL);
> >  
> > @@ -1271,7 +1271,7 @@ static void vm_error_capture(struct xe_vm *vm, int err,
> >  	}
> >  
> >  	if (copy_to_user(address, &capture, sizeof(capture)))
> > -		XE_WARN_ON("Copy to user failed");
> > +		WARN_ON("Copy to user failed");
> >  
> >  	if (in_kthread) {
> >  		kthread_unuse_mm(vm->async_ops.error_capture.mm);
> > @@ -1366,7 +1366,7 @@ void xe_vm_close_and_put(struct xe_vm *vm)
> >  	if (vm->async_ops.error_capture.addr)
> >  		wake_up_all(&vm->async_ops.error_capture.wq);
> >  
> > -	XE_WARN_ON(!list_empty(&vm->extobj.list));
> > +	WARN_ON(!list_empty(&vm->extobj.list));
> >  	up_write(&vm->lock);
> >  
> >  	mutex_lock(&xe->usm.lock);
> > @@ -1390,7 +1390,7 @@ static void vm_destroy_work_func(struct work_struct *w)
> >  	void *lookup;
> >  
> >  	/* xe_vm_close_and_put was not called? */
> > -	XE_WARN_ON(vm->size);
> > +	WARN_ON(vm->size);
> >  
> >  	if (!(vm->flags & XE_VM_FLAG_MIGRATION)) {
> >  		xe_device_mem_access_put(xe);
> > @@ -1399,7 +1399,7 @@ static void vm_destroy_work_func(struct work_struct *w)
> >  		if (xe->info.has_asid) {
> >  			mutex_lock(&xe->usm.lock);
> >  			lookup = xa_erase(&xe->usm.asid_to_vm, vm->usm.asid);
> > -			XE_WARN_ON(lookup != vm);
> > +			WARN_ON(lookup != vm);
> >  			mutex_unlock(&xe->usm.lock);
> >  		}
> >  	}
> > @@ -1660,7 +1660,7 @@ static void add_async_op_fence_cb(struct xe_vm *vm,
> >  		dma_fence_put(afence->wait_fence);
> >  		dma_fence_put(&afence->fence);
> >  	}
> > -	XE_WARN_ON(ret && ret != -ENOENT);
> > +	WARN_ON(ret && ret != -ENOENT);
> >  }
> >  
> >  int xe_vm_async_fence_wait_start(struct dma_fence *fence)
> > diff --git a/drivers/gpu/drm/xe/xe_vm_madvise.c b/drivers/gpu/drm/xe/xe_vm_madvise.c
> > index 29815852985a..267f16dd969c 100644
> > --- a/drivers/gpu/drm/xe/xe_vm_madvise.c
> > +++ b/drivers/gpu/drm/xe/xe_vm_madvise.c
> > @@ -192,7 +192,7 @@ static int madvise_priority(struct xe_device *xe, struct xe_vm *vm,
> >  static int madvise_pin(struct xe_device *xe, struct xe_vm *vm,
> >  		       struct xe_vma **vmas, int num_vmas, u64 value)
> >  {
> > -	XE_WARN_ON("NIY");
> > +	WARN_ON("NIY");
> >  	return 0;
> >  }
> >  
> > -- 
> > 2.39.2
> > 

^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON.
  2023-03-28 16:10 ` [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON Rodrigo Vivi
@ 2023-03-28 20:24   ` Matt Roper
  2023-03-28 20:27     ` Vivi, Rodrigo
  0 siblings, 1 reply; 17+ messages in thread
From: Matt Roper @ 2023-03-28 20:24 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: intel-xe

On Tue, Mar 28, 2023 at 12:10:20PM -0400, Rodrigo Vivi wrote:
> If that becomes needed for some reason we bring it
> back with some written reasoning.

From a quick skim through this patch, most/all of these shouldn't be
BUG_ON either.  These are assertions that we don't expect to get
triggered, but if we do screw up somewhere we shouldn't be bringing down
the entire machine; a WARN (and possibly an early exit) would be more
appropriate for most of these.


Matt

> 
> Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
> ---
>  drivers/gpu/drm/xe/display/xe_de.h          |  2 +-
>  drivers/gpu/drm/xe/xe_bb.c                  |  4 +-
>  drivers/gpu/drm/xe/xe_bo.c                  | 52 +++++++++---------
>  drivers/gpu/drm/xe/xe_bo.h                  |  8 +--
>  drivers/gpu/drm/xe/xe_bo_evict.c            |  4 +-
>  drivers/gpu/drm/xe/xe_device.h              |  6 +--
>  drivers/gpu/drm/xe/xe_execlist.c            | 14 ++---
>  drivers/gpu/drm/xe/xe_force_wake.c          |  4 +-
>  drivers/gpu/drm/xe/xe_force_wake.h          |  4 +-
>  drivers/gpu/drm/xe/xe_ggtt.c                | 10 ++--
>  drivers/gpu/drm/xe/xe_gt.c                  |  6 +--
>  drivers/gpu/drm/xe/xe_gt_clock.c            |  4 +-
>  drivers/gpu/drm/xe/xe_gt_debugfs.c          |  2 +-
>  drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c | 12 ++---
>  drivers/gpu/drm/xe/xe_guc.c                 | 22 ++++----
>  drivers/gpu/drm/xe/xe_guc_ads.c             | 14 ++---
>  drivers/gpu/drm/xe/xe_guc_ct.c              | 22 ++++----
>  drivers/gpu/drm/xe/xe_guc_hwconfig.c        |  2 +-
>  drivers/gpu/drm/xe/xe_guc_log.c             |  4 +-
>  drivers/gpu/drm/xe/xe_guc_submit.c          | 44 +++++++--------
>  drivers/gpu/drm/xe/xe_huc.c                 |  2 +-
>  drivers/gpu/drm/xe/xe_hw_engine.c           | 10 ++--
>  drivers/gpu/drm/xe/xe_hw_fence.c            |  2 +-
>  drivers/gpu/drm/xe/xe_lrc.c                 |  8 +--
>  drivers/gpu/drm/xe/xe_macros.h              |  1 -
>  drivers/gpu/drm/xe/xe_migrate.c             | 32 +++++------
>  drivers/gpu/drm/xe/xe_mmio.c                |  2 +-
>  drivers/gpu/drm/xe/xe_pt.c                  | 32 +++++------
>  drivers/gpu/drm/xe/xe_res_cursor.h          | 10 ++--
>  drivers/gpu/drm/xe/xe_ring_ops.c            |  8 +--
>  drivers/gpu/drm/xe/xe_sched_job.c           |  2 +-
>  drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c         |  2 +-
>  drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c      |  4 +-
>  drivers/gpu/drm/xe/xe_ttm_vram_mgr.c        |  2 +-
>  drivers/gpu/drm/xe/xe_uc_fw.c               | 16 +++---
>  drivers/gpu/drm/xe/xe_uc_fw.h               |  2 +-
>  drivers/gpu/drm/xe/xe_vm.c                  | 60 ++++++++++-----------
>  drivers/gpu/drm/xe/xe_wait_user_fence.c     |  2 +-
>  drivers/gpu/drm/xe/xe_wopcm.c               | 18 +++----
>  39 files changed, 227 insertions(+), 228 deletions(-)
> 
> diff --git a/drivers/gpu/drm/xe/display/xe_de.h b/drivers/gpu/drm/xe/display/xe_de.h
> index 9f92fdb4159a..c4ed0e7d9997 100644
> --- a/drivers/gpu/drm/xe/display/xe_de.h
> +++ b/drivers/gpu/drm/xe/display/xe_de.h
> @@ -115,7 +115,7 @@ intel_de_write_samevalue(struct drm_i915_private *i915, i915_reg_t reg)
>  	 * Not implemented, requires lock on all reads/writes.
>  	 * only required for really old FBC. Not ever going to be needed.
>  	 */
> -	XE_BUG_ON(1);
> +	BUG_ON(1);
>  }
>  
>  static inline u32
> diff --git a/drivers/gpu/drm/xe/xe_bb.c b/drivers/gpu/drm/xe/xe_bb.c
> index 5b24018e2a80..5caefc03a431 100644
> --- a/drivers/gpu/drm/xe/xe_bb.c
> +++ b/drivers/gpu/drm/xe/xe_bb.c
> @@ -42,7 +42,7 @@ __xe_bb_create_job(struct xe_engine *kernel_eng, struct xe_bb *bb, u64 *addr)
>  {
>  	u32 size = drm_suballoc_size(bb->bo);
>  
> -	XE_BUG_ON((bb->len * 4 + 1) > size);
> +	BUG_ON((bb->len * 4 + 1) > size);
>  
>  	bb->cs[bb->len++] = MI_BATCH_BUFFER_END;
>  
> @@ -56,7 +56,7 @@ struct xe_sched_job *xe_bb_create_wa_job(struct xe_engine *wa_eng,
>  {
>  	u64 addr = batch_base_ofs + drm_suballoc_soffset(bb->bo);
>  
> -	XE_BUG_ON(!(wa_eng->vm->flags & XE_VM_FLAG_MIGRATION));
> +	BUG_ON(!(wa_eng->vm->flags & XE_VM_FLAG_MIGRATION));
>  
>  	return __xe_bb_create_job(wa_eng, bb, &addr);
>  }
> diff --git a/drivers/gpu/drm/xe/xe_bo.c b/drivers/gpu/drm/xe/xe_bo.c
> index eb00b0a67abe..db360c4e0382 100644
> --- a/drivers/gpu/drm/xe/xe_bo.c
> +++ b/drivers/gpu/drm/xe/xe_bo.c
> @@ -74,7 +74,7 @@ static bool xe_bo_is_user(struct xe_bo *bo)
>  static struct xe_gt *
>  mem_type_to_gt(struct xe_device *xe, u32 mem_type)
>  {
> -	XE_BUG_ON(mem_type != XE_PL_STOLEN && !mem_type_is_vram(mem_type));
> +	BUG_ON(mem_type != XE_PL_STOLEN && !mem_type_is_vram(mem_type));
>  
>  	return xe_device_get_gt(xe, mem_type == XE_PL_STOLEN ? 0 : (mem_type - XE_PL_VRAM0));
>  }
> @@ -111,7 +111,7 @@ static void add_vram(struct xe_device *xe, struct xe_bo *bo,
>  {
>  	struct xe_gt *gt = mem_type_to_gt(xe, mem_type);
>  
> -	XE_BUG_ON(!gt->mem.vram.size);
> +	BUG_ON(!gt->mem.vram.size);
>  
>  	places[*c] = (struct ttm_place) {
>  		.mem_type = mem_type,
> @@ -246,7 +246,7 @@ static int xe_tt_map_sg(struct ttm_tt *tt)
>  	unsigned long num_pages = tt->num_pages;
>  	int ret;
>  
> -	XE_BUG_ON(tt->page_flags & TTM_TT_FLAG_EXTERNAL);
> +	BUG_ON(tt->page_flags & TTM_TT_FLAG_EXTERNAL);
>  
>  	if (xe_tt->sg)
>  		return 0;
> @@ -481,8 +481,8 @@ static int xe_bo_move_dmabuf(struct ttm_buffer_object *ttm_bo,
>  					       ttm);
>  	struct sg_table *sg;
>  
> -	XE_BUG_ON(!attach);
> -	XE_BUG_ON(!ttm_bo->ttm);
> +	BUG_ON(!attach);
> +	BUG_ON(!ttm_bo->ttm);
>  
>  	if (new_res->mem_type == XE_PL_SYSTEM)
>  		goto out;
> @@ -636,8 +636,8 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
>  	else if (resource_is_vram(old_mem))
>  		gt = mem_type_to_gt(xe, old_mem->mem_type);
>  
> -	XE_BUG_ON(!gt);
> -	XE_BUG_ON(!gt->migrate);
> +	BUG_ON(!gt);
> +	BUG_ON(!gt->migrate);
>  
>  	trace_xe_bo_move(bo);
>  	xe_device_mem_access_get(xe);
> @@ -667,7 +667,7 @@ static int xe_bo_move(struct ttm_buffer_object *ttm_bo, bool evict,
>  					goto out;
>  				}
>  
> -				XE_BUG_ON(new_mem->start !=
> +				BUG_ON(new_mem->start !=
>  					  bo->placements->fpfn);
>  
>  				iosys_map_set_vaddr_iomem(&bo->vmap, new_addr);
> @@ -964,7 +964,7 @@ struct xe_bo *__xe_bo_create_locked(struct xe_device *xe, struct xe_bo *bo,
>  	int err;
>  
>  	/* Only kernel objects should set GT */
> -	XE_BUG_ON(gt && type != ttm_bo_type_kernel);
> +	BUG_ON(gt && type != ttm_bo_type_kernel);
>  
>  	if (WARN_ON(!size))
>  		return ERR_PTR(-EINVAL);
> @@ -1104,7 +1104,7 @@ xe_bo_create_locked_range(struct xe_device *xe,
>  		if (!gt && flags & XE_BO_CREATE_STOLEN_BIT)
>  			gt = xe_device_get_gt(xe, 0);
>  
> -		XE_BUG_ON(!gt);
> +		BUG_ON(!gt);
>  
>  		if (flags & XE_BO_FIXED_PLACEMENT_BIT) {
>  			err = xe_ggtt_insert_bo_at(gt->mem.ggtt, bo,
> @@ -1233,8 +1233,8 @@ int xe_bo_pin_external(struct xe_bo *bo)
>  	struct xe_device *xe = xe_bo_device(bo);
>  	int err;
>  
> -	XE_BUG_ON(bo->vm);
> -	XE_BUG_ON(!xe_bo_is_user(bo));
> +	BUG_ON(bo->vm);
> +	BUG_ON(!xe_bo_is_user(bo));
>  
>  	if (!xe_bo_is_pinned(bo)) {
>  		err = xe_bo_validate(bo, NULL, false);
> @@ -1266,20 +1266,20 @@ int xe_bo_pin(struct xe_bo *bo)
>  	int err;
>  
>  	/* We currently don't expect user BO to be pinned */
> -	XE_BUG_ON(xe_bo_is_user(bo));
> +	BUG_ON(xe_bo_is_user(bo));
>  
>  	/* Pinned object must be in GGTT or have pinned flag */
> -	XE_BUG_ON(!(bo->flags & (XE_BO_CREATE_PINNED_BIT |
> +	BUG_ON(!(bo->flags & (XE_BO_CREATE_PINNED_BIT |
>  				 XE_BO_CREATE_GGTT_BIT)));
>  
>  	/*
>  	 * No reason we can't support pinning imported dma-bufs we just don't
>  	 * expect to pin an imported dma-buf.
>  	 */
> -	XE_BUG_ON(bo->ttm.base.import_attach);
> +	BUG_ON(bo->ttm.base.import_attach);
>  
>  	/* We only expect at most 1 pin */
> -	XE_BUG_ON(xe_bo_is_pinned(bo));
> +	BUG_ON(xe_bo_is_pinned(bo));
>  
>  	err = xe_bo_validate(bo, NULL, false);
>  	if (err)
> @@ -1296,7 +1296,7 @@ int xe_bo_pin(struct xe_bo *bo)
>  		bool vram;
>  
>  		if (mem_type_is_vram(place->mem_type)) {
> -			XE_BUG_ON(!(place->flags & TTM_PL_FLAG_CONTIGUOUS));
> +			BUG_ON(!(place->flags & TTM_PL_FLAG_CONTIGUOUS));
>  
>  			place->fpfn = (xe_bo_addr(bo, 0, PAGE_SIZE, &vram) -
>  				       vram_region_io_offset(bo)) >> PAGE_SHIFT;
> @@ -1333,9 +1333,9 @@ void xe_bo_unpin_external(struct xe_bo *bo)
>  {
>  	struct xe_device *xe = xe_bo_device(bo);
>  
> -	XE_BUG_ON(bo->vm);
> -	XE_BUG_ON(!xe_bo_is_pinned(bo));
> -	XE_BUG_ON(!xe_bo_is_user(bo));
> +	BUG_ON(bo->vm);
> +	BUG_ON(!xe_bo_is_pinned(bo));
> +	BUG_ON(!xe_bo_is_user(bo));
>  
>  	if (bo->ttm.pin_count == 1 && !list_empty(&bo->pinned_link)) {
>  		spin_lock(&xe->pinned.lock);
> @@ -1356,15 +1356,15 @@ void xe_bo_unpin(struct xe_bo *bo)
>  {
>  	struct xe_device *xe = xe_bo_device(bo);
>  
> -	XE_BUG_ON(bo->ttm.base.import_attach);
> -	XE_BUG_ON(!xe_bo_is_pinned(bo));
> +	BUG_ON(bo->ttm.base.import_attach);
> +	BUG_ON(!xe_bo_is_pinned(bo));
>  
>  	if (IS_DGFX(xe) && !(IS_ENABLED(CONFIG_DRM_XE_DEBUG) &&
>  	    bo->flags & XE_BO_INTERNAL_TEST)) {
>  		struct ttm_place *place = &(bo->placements[0]);
>  
>  		if (mem_type_is_vram(place->mem_type)) {
> -			XE_BUG_ON(list_empty(&bo->pinned_link));
> +			BUG_ON(list_empty(&bo->pinned_link));
>  
>  			spin_lock(&xe->pinned.lock);
>  			list_del_init(&bo->pinned_link);
> @@ -1426,14 +1426,14 @@ dma_addr_t xe_bo_addr(struct xe_bo *bo, u64 offset,
>  	if (!READ_ONCE(bo->ttm.pin_count))
>  		xe_bo_assert_held(bo);
>  
> -	XE_BUG_ON(page_size > PAGE_SIZE);
> +	BUG_ON(page_size > PAGE_SIZE);
>  	page = offset >> PAGE_SHIFT;
>  	offset &= (PAGE_SIZE - 1);
>  
>  	*is_vram = xe_bo_is_vram(bo);
>  
>  	if (!*is_vram && !xe_bo_is_stolen(bo)) {
> -		XE_BUG_ON(!bo->ttm.ttm);
> +		BUG_ON(!bo->ttm.ttm);
>  
>  		xe_res_first_sg(xe_bo_get_sg(bo), page << PAGE_SHIFT,
>  				page_size, &cur);
> @@ -1599,7 +1599,7 @@ int xe_bo_lock(struct xe_bo *bo, struct ww_acquire_ctx *ww,
>  	LIST_HEAD(objs);
>  	LIST_HEAD(dups);
>  
> -	XE_BUG_ON(!ww);
> +	BUG_ON(!ww);
>  
>  	tv_bo.num_shared = num_resv;
>  	tv_bo.bo = &bo->ttm;;
> diff --git a/drivers/gpu/drm/xe/xe_bo.h b/drivers/gpu/drm/xe/xe_bo.h
> index 9b26049521de..169c80996b26 100644
> --- a/drivers/gpu/drm/xe/xe_bo.h
> +++ b/drivers/gpu/drm/xe/xe_bo.h
> @@ -149,7 +149,7 @@ void xe_bo_unlock(struct xe_bo *bo, struct ww_acquire_ctx *ww);
>  static inline void xe_bo_unlock_vm_held(struct xe_bo *bo)
>  {
>  	if (bo) {
> -		XE_BUG_ON(bo->vm && bo->ttm.base.resv != &bo->vm->resv);
> +		BUG_ON(bo->vm && bo->ttm.base.resv != &bo->vm->resv);
>  		if (bo->vm)
>  			xe_vm_assert_held(bo->vm);
>  		else
> @@ -161,7 +161,7 @@ static inline void xe_bo_lock_no_vm(struct xe_bo *bo,
>  				    struct ww_acquire_ctx *ctx)
>  {
>  	if (bo) {
> -		XE_BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
> +		BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
>  				     bo->ttm.base.resv != &bo->ttm.base._resv));
>  		dma_resv_lock(bo->ttm.base.resv, ctx);
>  	}
> @@ -170,7 +170,7 @@ static inline void xe_bo_lock_no_vm(struct xe_bo *bo,
>  static inline void xe_bo_unlock_no_vm(struct xe_bo *bo)
>  {
>  	if (bo) {
> -		XE_BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
> +		BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
>  				     bo->ttm.base.resv != &bo->ttm.base._resv));
>  		dma_resv_unlock(bo->ttm.base.resv);
>  	}
> @@ -213,7 +213,7 @@ xe_bo_main_addr(struct xe_bo *bo, size_t page_size)
>  static inline u32
>  xe_bo_ggtt_addr(struct xe_bo *bo)
>  {
> -	XE_BUG_ON(bo->ggtt_node.start + bo->ggtt_node.size > (1ull << 32));
> +	BUG_ON(bo->ggtt_node.start + bo->ggtt_node.size > (1ull << 32));
>  	return bo->ggtt_node.start;
>  }
>  
> diff --git a/drivers/gpu/drm/xe/xe_bo_evict.c b/drivers/gpu/drm/xe/xe_bo_evict.c
> index bbf89a58cdf5..b305d16a67ac 100644
> --- a/drivers/gpu/drm/xe/xe_bo_evict.c
> +++ b/drivers/gpu/drm/xe/xe_bo_evict.c
> @@ -158,8 +158,8 @@ int xe_bo_restore_kernel(struct xe_device *xe)
>  		 * We expect validate to trigger a move VRAM and our move code
>  		 * should setup the iosys map.
>  		 */
> -		XE_BUG_ON(iosys_map_is_null(&bo->vmap));
> -		XE_BUG_ON(!xe_bo_is_vram(bo));
> +		BUG_ON(iosys_map_is_null(&bo->vmap));
> +		BUG_ON(!xe_bo_is_vram(bo));
>  
>  		xe_bo_put(bo);
>  
> diff --git a/drivers/gpu/drm/xe/xe_device.h b/drivers/gpu/drm/xe/xe_device.h
> index 5f725ed2ca6b..518ce3f0bc36 100644
> --- a/drivers/gpu/drm/xe/xe_device.h
> +++ b/drivers/gpu/drm/xe/xe_device.h
> @@ -52,10 +52,10 @@ static inline struct xe_gt *xe_device_get_gt(struct xe_device *xe, u8 gt_id)
>  {
>  	struct xe_gt *gt;
>  
> -	XE_BUG_ON(gt_id > XE_MAX_GT);
> +	BUG_ON(gt_id > XE_MAX_GT);
>  	gt = xe->gt + gt_id;
> -	XE_BUG_ON(gt->info.id != gt_id);
> -	XE_BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
> +	BUG_ON(gt->info.id != gt_id);
> +	BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
>  
>  	return gt;
>  }
> diff --git a/drivers/gpu/drm/xe/xe_execlist.c b/drivers/gpu/drm/xe/xe_execlist.c
> index 127ca8c6e279..a1e49e858531 100644
> --- a/drivers/gpu/drm/xe/xe_execlist.c
> +++ b/drivers/gpu/drm/xe/xe_execlist.c
> @@ -52,10 +52,10 @@ static void __start_lrc(struct xe_hw_engine *hwe, struct xe_lrc *lrc,
>  	lrc_desc = xe_lrc_descriptor(lrc);
>  
>  	if (GRAPHICS_VERx100(xe) >= 1250) {
> -		XE_BUG_ON(!FIELD_FIT(XEHP_SW_CTX_ID, ctx_id));
> +		BUG_ON(!FIELD_FIT(XEHP_SW_CTX_ID, ctx_id));
>  		lrc_desc |= FIELD_PREP(XEHP_SW_CTX_ID, ctx_id);
>  	} else {
> -		XE_BUG_ON(!FIELD_FIT(GEN11_SW_CTX_ID, ctx_id));
> +		BUG_ON(!FIELD_FIT(GEN11_SW_CTX_ID, ctx_id));
>  		lrc_desc |= FIELD_PREP(GEN11_SW_CTX_ID, ctx_id);
>  	}
>  
> @@ -221,9 +221,9 @@ static void xe_execlist_make_active(struct xe_execlist_engine *exl)
>  	struct xe_execlist_port *port = exl->port;
>  	enum drm_sched_priority priority = exl->entity.priority;
>  
> -	XE_BUG_ON(priority == DRM_SCHED_PRIORITY_UNSET);
> -	XE_BUG_ON(priority < 0);
> -	XE_BUG_ON(priority >= ARRAY_SIZE(exl->port->active));
> +	BUG_ON(priority == DRM_SCHED_PRIORITY_UNSET);
> +	BUG_ON(priority < 0);
> +	BUG_ON(priority >= ARRAY_SIZE(exl->port->active));
>  
>  	spin_lock_irq(&port->lock);
>  
> @@ -328,7 +328,7 @@ static int execlist_engine_init(struct xe_engine *e)
>  	struct xe_execlist_engine *exl;
>  	int err;
>  
> -	XE_BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
> +	BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
>  
>  	exl = kzalloc(sizeof(*exl), GFP_KERNEL);
>  	if (!exl)
> @@ -393,7 +393,7 @@ static void execlist_engine_fini_async(struct work_struct *w)
>  	struct xe_execlist_engine *exl = e->execlist;
>  	unsigned long flags;
>  
> -	XE_BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
> +	BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
>  
>  	spin_lock_irqsave(&exl->port->lock, flags);
>  	if (WARN_ON(exl->active_priority != DRM_SCHED_PRIORITY_UNSET))
> diff --git a/drivers/gpu/drm/xe/xe_force_wake.c b/drivers/gpu/drm/xe/xe_force_wake.c
> index 77a210acfac3..7a3f477bd9a0 100644
> --- a/drivers/gpu/drm/xe/xe_force_wake.c
> +++ b/drivers/gpu/drm/xe/xe_force_wake.c
> @@ -44,7 +44,7 @@ void xe_force_wake_init_gt(struct xe_gt *gt, struct xe_force_wake *fw)
>  	mutex_init(&fw->lock);
>  
>  	/* Assuming gen11+ so assert this assumption is correct */
> -	XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> +	BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
>  
>  	if (xe->info.graphics_verx100 >= 1270) {
>  		domain_init(&fw->domains[XE_FW_DOMAIN_ID_GT],
> @@ -66,7 +66,7 @@ void xe_force_wake_init_engines(struct xe_gt *gt, struct xe_force_wake *fw)
>  	int i, j;
>  
>  	/* Assuming gen11+ so assert this assumption is correct */
> -	XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> +	BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
>  
>  	if (!xe_gt_is_media_type(gt))
>  		domain_init(&fw->domains[XE_FW_DOMAIN_ID_RENDER],
> diff --git a/drivers/gpu/drm/xe/xe_force_wake.h b/drivers/gpu/drm/xe/xe_force_wake.h
> index 7c534cdd5fe9..2f176e491daf 100644
> --- a/drivers/gpu/drm/xe/xe_force_wake.h
> +++ b/drivers/gpu/drm/xe/xe_force_wake.h
> @@ -24,7 +24,7 @@ static inline int
>  xe_force_wake_ref(struct xe_force_wake *fw,
>  		  enum xe_force_wake_domains domain)
>  {
> -	XE_BUG_ON(!domain);
> +	BUG_ON(!domain);
>  	return fw->domains[ffs(domain) - 1].ref;
>  }
>  
> @@ -32,7 +32,7 @@ static inline void
>  xe_force_wake_assert_held(struct xe_force_wake *fw,
>  			  enum xe_force_wake_domains domain)
>  {
> -	XE_BUG_ON(!(fw->awake_domains & domain));
> +	BUG_ON(!(fw->awake_domains & domain));
>  }
>  
>  #endif
> diff --git a/drivers/gpu/drm/xe/xe_ggtt.c b/drivers/gpu/drm/xe/xe_ggtt.c
> index bd079e823661..b52ad009c74e 100644
> --- a/drivers/gpu/drm/xe/xe_ggtt.c
> +++ b/drivers/gpu/drm/xe/xe_ggtt.c
> @@ -56,8 +56,8 @@ static unsigned int probe_gsm_size(struct pci_dev *pdev)
>  
>  void xe_ggtt_set_pte(struct xe_ggtt *ggtt, u64 addr, u64 pte)
>  {
> -	XE_BUG_ON(addr & GEN8_PTE_MASK);
> -	XE_BUG_ON(addr >= ggtt->size);
> +	BUG_ON(addr & GEN8_PTE_MASK);
> +	BUG_ON(addr >= ggtt->size);
>  
>  	writeq(pte, &ggtt->gsm[addr >> GEN8_PTE_SHIFT]);
>  }
> @@ -67,7 +67,7 @@ static void xe_ggtt_clear(struct xe_ggtt *ggtt, u64 start, u64 size)
>  	u64 end = start + size - 1;
>  	u64 scratch_pte;
>  
> -	XE_BUG_ON(start >= end);
> +	BUG_ON(start >= end);
>  
>  	if (ggtt->scratch)
>  		scratch_pte = xe_ggtt_pte_encode(ggtt->scratch, 0);
> @@ -96,7 +96,7 @@ int xe_ggtt_init_noalloc(struct xe_gt *gt, struct xe_ggtt *ggtt)
>  	struct pci_dev *pdev = to_pci_dev(xe->drm.dev);
>  	unsigned int gsm_size;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	ggtt->gt = gt;
>  
> @@ -232,7 +232,7 @@ void xe_ggtt_printk(struct xe_ggtt *ggtt, const char *prefix)
>  	for (addr = 0; addr < ggtt->size; addr += GEN8_PAGE_SIZE) {
>  		unsigned int i = addr / GEN8_PAGE_SIZE;
>  
> -		XE_BUG_ON(addr > U32_MAX);
> +		BUG_ON(addr > U32_MAX);
>  		if (ggtt->gsm[i] == scratch_pte)
>  			continue;
>  
> diff --git a/drivers/gpu/drm/xe/xe_gt.c b/drivers/gpu/drm/xe/xe_gt.c
> index 7d8b4cffcc2d..7771008b4fee 100644
> --- a/drivers/gpu/drm/xe/xe_gt.c
> +++ b/drivers/gpu/drm/xe/xe_gt.c
> @@ -48,14 +48,14 @@ struct xe_gt *xe_find_full_gt(struct xe_gt *gt)
>  	struct xe_gt *search;
>  	u8 id;
>  
> -	XE_BUG_ON(!xe_gt_is_media_type(gt));
> +	BUG_ON(!xe_gt_is_media_type(gt));
>  
>  	for_each_gt(search, gt_to_xe(gt), id) {
>  		if (search->info.vram_id == gt->info.vram_id)
>  			return search;
>  	}
>  
> -	XE_BUG_ON("NOT POSSIBLE");
> +	BUG_ON("NOT POSSIBLE");
>  	return NULL;
>  }
>  
> @@ -63,7 +63,7 @@ int xe_gt_alloc(struct xe_device *xe, struct xe_gt *gt)
>  {
>  	struct drm_device *drm = &xe->drm;
>  
> -	XE_BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
> +	BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
>  
>  	if (!xe_gt_is_media_type(gt)) {
>  		gt->mem.ggtt = drmm_kzalloc(drm, sizeof(*gt->mem.ggtt),
> diff --git a/drivers/gpu/drm/xe/xe_gt_clock.c b/drivers/gpu/drm/xe/xe_gt_clock.c
> index 60a2966bc1fd..92686359b315 100644
> --- a/drivers/gpu/drm/xe/xe_gt_clock.c
> +++ b/drivers/gpu/drm/xe/xe_gt_clock.c
> @@ -49,7 +49,7 @@ static u32 get_crystal_clock_freq(u32 rpm_config_reg)
>  	case GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ:
>  		return f25_mhz;
>  	default:
> -		XE_BUG_ON("NOT_POSSIBLE");
> +		BUG_ON("NOT_POSSIBLE");
>  		return 0;
>  	}
>  }
> @@ -60,7 +60,7 @@ int xe_gt_clock_init(struct xe_gt *gt)
>  	u32 freq = 0;
>  
>  	/* Assuming gen11+ so assert this assumption is correct */
> -	XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> +	BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
>  
>  	if ((ctc_reg & CTC_SOURCE_PARAMETER_MASK) == CTC_SOURCE_DIVIDE_LOGIC) {
>  		freq = read_reference_ts_freq(gt);
> diff --git a/drivers/gpu/drm/xe/xe_gt_debugfs.c b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> index 639b2486803b..aed49547622c 100644
> --- a/drivers/gpu/drm/xe/xe_gt_debugfs.c
> +++ b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> @@ -145,7 +145,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
>  	char name[8];
>  	int i;
>  
> -	XE_BUG_ON(!minor->debugfs_root);
> +	BUG_ON(!minor->debugfs_root);
>  
>  	sprintf(name, "gt%d", gt->info.id);
>  	root = debugfs_create_dir(name, minor->debugfs_root);
> diff --git a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> index 6c9a96cf3d5f..ef4a5fc66793 100644
> --- a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> +++ b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> @@ -194,7 +194,7 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt *gt,
>  	u32 action[MAX_TLB_INVALIDATION_LEN];
>  	int len = 0;
>  
> -	XE_BUG_ON(!vma);
> +	BUG_ON(!vma);
>  
>  	action[len++] = XE_GUC_ACTION_TLB_INVALIDATION;
>  	action[len++] = 0; /* seqno, replaced in send_tlb_invalidation */
> @@ -232,10 +232,10 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt *gt,
>  			start = ALIGN_DOWN(vma->start, length);
>  		}
>  
> -		XE_BUG_ON(length < SZ_4K);
> -		XE_BUG_ON(!is_power_of_2(length));
> -		XE_BUG_ON(length & GENMASK(ilog2(SZ_16M) - 1, ilog2(SZ_2M) + 1));
> -		XE_BUG_ON(!IS_ALIGNED(start, length));
> +		BUG_ON(length < SZ_4K);
> +		BUG_ON(!is_power_of_2(length));
> +		BUG_ON(length & GENMASK(ilog2(SZ_16M) - 1, ilog2(SZ_2M) + 1));
> +		BUG_ON(!IS_ALIGNED(start, length));
>  
>  		action[len++] = MAKE_INVAL_OP(XE_GUC_TLB_INVAL_PAGE_SELECTIVE);
>  		action[len++] = vma->vm->usm.asid;
> @@ -244,7 +244,7 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt *gt,
>  		action[len++] = ilog2(length) - ilog2(SZ_4K);
>  	}
>  
> -	XE_BUG_ON(len > MAX_TLB_INVALIDATION_LEN);
> +	BUG_ON(len > MAX_TLB_INVALIDATION_LEN);
>  
>  	return send_tlb_invalidation(&gt->uc.guc, fence, action, len);
>  }
> diff --git a/drivers/gpu/drm/xe/xe_guc.c b/drivers/gpu/drm/xe/xe_guc.c
> index bccdfb914f08..5c29e1c2de91 100644
> --- a/drivers/gpu/drm/xe/xe_guc.c
> +++ b/drivers/gpu/drm/xe/xe_guc.c
> @@ -41,9 +41,9 @@ static u32 guc_bo_ggtt_addr(struct xe_guc *guc,
>  {
>  	u32 addr = xe_bo_ggtt_addr(bo);
>  
> -	XE_BUG_ON(addr < xe_wopcm_size(guc_to_xe(guc)));
> -	XE_BUG_ON(addr >= GUC_GGTT_TOP);
> -	XE_BUG_ON(bo->size > GUC_GGTT_TOP - addr);
> +	BUG_ON(addr < xe_wopcm_size(guc_to_xe(guc)));
> +	BUG_ON(addr >= GUC_GGTT_TOP);
> +	BUG_ON(bo->size > GUC_GGTT_TOP - addr);
>  
>  	return addr;
>  }
> @@ -637,13 +637,13 @@ int xe_guc_mmio_send_recv(struct xe_guc *guc, const u32 *request,
>  	int i;
>  
>  	BUILD_BUG_ON(GEN11_SOFT_SCRATCH_COUNT != MEDIA_SOFT_SCRATCH_COUNT);
> -	XE_BUG_ON(guc->ct.enabled);
> -	XE_BUG_ON(!len);
> -	XE_BUG_ON(len > GEN11_SOFT_SCRATCH_COUNT);
> -	XE_BUG_ON(len > MEDIA_SOFT_SCRATCH_COUNT);
> -	XE_BUG_ON(FIELD_GET(GUC_HXG_MSG_0_ORIGIN, request[0]) !=
> +	BUG_ON(guc->ct.enabled);
> +	BUG_ON(!len);
> +	BUG_ON(len > GEN11_SOFT_SCRATCH_COUNT);
> +	BUG_ON(len > MEDIA_SOFT_SCRATCH_COUNT);
> +	BUG_ON(FIELD_GET(GUC_HXG_MSG_0_ORIGIN, request[0]) !=
>  		  GUC_HXG_ORIGIN_HOST);
> -	XE_BUG_ON(FIELD_GET(GUC_HXG_MSG_0_TYPE, request[0]) !=
> +	BUG_ON(FIELD_GET(GUC_HXG_MSG_0_TYPE, request[0]) !=
>  		  GUC_HXG_TYPE_REQUEST);
>  
>  retry:
> @@ -754,8 +754,8 @@ static int guc_self_cfg(struct xe_guc *guc, u16 key, u16 len, u64 val)
>  	};
>  	int ret;
>  
> -	XE_BUG_ON(len > 2);
> -	XE_BUG_ON(len == 1 && upper_32_bits(val));
> +	BUG_ON(len > 2);
> +	BUG_ON(len == 1 && upper_32_bits(val));
>  
>  	/* Self config must go over MMIO */
>  	ret = xe_guc_mmio_send(guc, request, ARRAY_SIZE(request));
> diff --git a/drivers/gpu/drm/xe/xe_guc_ads.c b/drivers/gpu/drm/xe/xe_guc_ads.c
> index d5a089694f80..cd8de752e660 100644
> --- a/drivers/gpu/drm/xe/xe_guc_ads.c
> +++ b/drivers/gpu/drm/xe/xe_guc_ads.c
> @@ -118,7 +118,7 @@ struct __guc_ads_blob {
>  
>  static size_t guc_ads_regset_size(struct xe_guc_ads *ads)
>  {
> -	XE_BUG_ON(!ads->regset_size);
> +	BUG_ON(!ads->regset_size);
>  
>  	return ads->regset_size;
>  }
> @@ -308,7 +308,7 @@ int xe_guc_ads_init_post_hwconfig(struct xe_guc_ads *ads)
>  	struct xe_gt *gt = ads_to_gt(ads);
>  	u32 prev_regset_size = ads->regset_size;
>  
> -	XE_BUG_ON(!ads->bo);
> +	BUG_ON(!ads->bo);
>  
>  	ads->golden_lrc_size = calculate_golden_lrc_size(ads);
>  	ads->regset_size = calculate_regset_size(gt);
> @@ -521,7 +521,7 @@ static void guc_mmio_reg_state_init(struct xe_guc_ads *ads)
>  		regset_used += count * sizeof(struct guc_mmio_reg);
>  	}
>  
> -	XE_BUG_ON(regset_used > ads->regset_size);
> +	BUG_ON(regset_used > ads->regset_size);
>  }
>  
>  static void guc_um_init_params(struct xe_guc_ads *ads)
> @@ -577,7 +577,7 @@ void xe_guc_ads_populate_minimal(struct xe_guc_ads *ads)
>  			offsetof(struct __guc_ads_blob, system_info));
>  	u32 base = xe_bo_ggtt_addr(ads->bo);
>  
> -	XE_BUG_ON(!ads->bo);
> +	BUG_ON(!ads->bo);
>  
>  	xe_map_memset(ads_to_xe(ads), ads_to_map(ads), 0, 0, ads->bo->size);
>  	guc_policies_init(ads);
> @@ -601,7 +601,7 @@ void xe_guc_ads_populate(struct xe_guc_ads *ads)
>  			offsetof(struct __guc_ads_blob, system_info));
>  	u32 base = xe_bo_ggtt_addr(ads->bo);
>  
> -	XE_BUG_ON(!ads->bo);
> +	BUG_ON(!ads->bo);
>  
>  	xe_map_memset(ads_to_xe(ads), ads_to_map(ads), 0, 0, ads->bo->size);
>  	guc_policies_init(ads);
> @@ -651,7 +651,7 @@ static void guc_populate_golden_lrc(struct xe_guc_ads *ads)
>  				   engine_enabled_masks[guc_class]))
>  			continue;
>  
> -		XE_BUG_ON(!gt->default_lrc[class]);
> +		BUG_ON(!gt->default_lrc[class]);
>  
>  		real_size = xe_lrc_size(xe, class);
>  		alloc_size = PAGE_ALIGN(real_size);
> @@ -680,7 +680,7 @@ static void guc_populate_golden_lrc(struct xe_guc_ads *ads)
>  		offset += alloc_size;
>  	}
>  
> -	XE_BUG_ON(total_size != ads->golden_lrc_size);
> +	BUG_ON(total_size != ads->golden_lrc_size);
>  }
>  
>  void xe_guc_ads_populate_post_load(struct xe_guc_ads *ads)
> diff --git a/drivers/gpu/drm/xe/xe_guc_ct.c b/drivers/gpu/drm/xe/xe_guc_ct.c
> index fec09ba412a8..70edffe1e377 100644
> --- a/drivers/gpu/drm/xe/xe_guc_ct.c
> +++ b/drivers/gpu/drm/xe/xe_guc_ct.c
> @@ -134,7 +134,7 @@ int xe_guc_ct_init(struct xe_guc_ct *ct)
>  	struct xe_bo *bo;
>  	int err;
>  
> -	XE_BUG_ON(guc_ct_size() % PAGE_SIZE);
> +	BUG_ON(guc_ct_size() % PAGE_SIZE);
>  
>  	mutex_init(&ct->lock);
>  	spin_lock_init(&ct->fast_lock);
> @@ -280,7 +280,7 @@ int xe_guc_ct_enable(struct xe_guc_ct *ct)
>  	struct xe_device *xe = ct_to_xe(ct);
>  	int err;
>  
> -	XE_BUG_ON(ct->enabled);
> +	BUG_ON(ct->enabled);
>  
>  	guc_ct_ctb_h2g_init(xe, &ct->ctbs.h2g, &ct->bo->vmap);
>  	guc_ct_ctb_g2h_init(xe, &ct->ctbs.g2h, &ct->bo->vmap);
> @@ -365,7 +365,7 @@ static void h2g_reserve_space(struct xe_guc_ct *ct, u32 cmd_len)
>  
>  static void g2h_reserve_space(struct xe_guc_ct *ct, u32 g2h_len, u32 num_g2h)
>  {
> -	XE_BUG_ON(g2h_len > ct->ctbs.g2h.space);
> +	BUG_ON(g2h_len > ct->ctbs.g2h.space);
>  
>  	if (g2h_len) {
>  		spin_lock_irq(&ct->fast_lock);
> @@ -405,8 +405,8 @@ static int h2g_write(struct xe_guc_ct *ct, const u32 *action, u32 len,
>  							 tail * sizeof(u32));
>  
>  	lockdep_assert_held(&ct->lock);
> -	XE_BUG_ON(len * sizeof(u32) > GUC_CTB_MSG_MAX_LEN);
> -	XE_BUG_ON(tail > h2g->size);
> +	BUG_ON(len * sizeof(u32) > GUC_CTB_MSG_MAX_LEN);
> +	BUG_ON(tail > h2g->size);
>  
>  	/* Command will wrap, zero fill (NOPs), return and check credits again */
>  	if (tail + cmd_len > h2g->size) {
> @@ -460,10 +460,10 @@ static int __guc_ct_send_locked(struct xe_guc_ct *ct, const u32 *action,
>  {
>  	int ret;
>  
> -	XE_BUG_ON(g2h_len && g2h_fence);
> -	XE_BUG_ON(num_g2h && g2h_fence);
> -	XE_BUG_ON(g2h_len && !num_g2h);
> -	XE_BUG_ON(!g2h_len && num_g2h);
> +	BUG_ON(g2h_len && g2h_fence);
> +	BUG_ON(num_g2h && g2h_fence);
> +	BUG_ON(g2h_len && !num_g2h);
> +	BUG_ON(!g2h_len && num_g2h);
>  	lockdep_assert_held(&ct->lock);
>  
>  	if (unlikely(ct->ctbs.h2g.broken)) {
> @@ -534,7 +534,7 @@ static int guc_ct_send_locked(struct xe_guc_ct *ct, const u32 *action, u32 len,
>  	unsigned int sleep_period_ms = 1;
>  	int ret;
>  
> -	XE_BUG_ON(g2h_len && g2h_fence);
> +	BUG_ON(g2h_len && g2h_fence);
>  	lockdep_assert_held(&ct->lock);
>  
>  try_again:
> @@ -601,7 +601,7 @@ static int guc_ct_send(struct xe_guc_ct *ct, const u32 *action, u32 len,
>  {
>  	int ret;
>  
> -	XE_BUG_ON(g2h_len && g2h_fence);
> +	BUG_ON(g2h_len && g2h_fence);
>  
>  	mutex_lock(&ct->lock);
>  	ret = guc_ct_send_locked(ct, action, len, g2h_len, num_g2h, g2h_fence);
> diff --git a/drivers/gpu/drm/xe/xe_guc_hwconfig.c b/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> index a6982f323ed1..bcd8f4e9e393 100644
> --- a/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> +++ b/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> @@ -119,7 +119,7 @@ void xe_guc_hwconfig_copy(struct xe_guc *guc, void *dst)
>  {
>  	struct xe_device *xe = guc_to_xe(guc);
>  
> -	XE_BUG_ON(!guc->hwconfig.bo);
> +	BUG_ON(!guc->hwconfig.bo);
>  
>  	xe_map_memcpy_from(xe, dst, &guc->hwconfig.bo->vmap, 0,
>  			   guc->hwconfig.size);
> diff --git a/drivers/gpu/drm/xe/xe_guc_log.c b/drivers/gpu/drm/xe/xe_guc_log.c
> index 9a7b5d5906c1..fbd74c27f352 100644
> --- a/drivers/gpu/drm/xe/xe_guc_log.c
> +++ b/drivers/gpu/drm/xe/xe_guc_log.c
> @@ -55,12 +55,12 @@ void xe_guc_log_print(struct xe_guc_log *log, struct drm_printer *p)
>  	size_t size;
>  	int i, j;
>  
> -	XE_BUG_ON(!log->bo);
> +	BUG_ON(!log->bo);
>  
>  	size = log->bo->size;
>  
>  #define DW_PER_READ		128
> -	XE_BUG_ON(size % (DW_PER_READ * sizeof(u32)));
> +	BUG_ON(size % (DW_PER_READ * sizeof(u32)));
>  	for (i = 0; i < size / sizeof(u32); i += DW_PER_READ) {
>  		u32 read[DW_PER_READ];
>  
> diff --git a/drivers/gpu/drm/xe/xe_guc_submit.c b/drivers/gpu/drm/xe/xe_guc_submit.c
> index 8df5513796f1..5a8659e99c8e 100644
> --- a/drivers/gpu/drm/xe/xe_guc_submit.c
> +++ b/drivers/gpu/drm/xe/xe_guc_submit.c
> @@ -326,7 +326,7 @@ static void __guc_engine_policy_start_klv(struct engine_policy *policy,
>  static void __guc_engine_policy_add_##func(struct engine_policy *policy, \
>  					   u32 data) \
>  { \
> -	XE_BUG_ON(policy->count >= GUC_CONTEXT_POLICIES_KLV_NUM_IDS); \
> +	BUG_ON(policy->count >= GUC_CONTEXT_POLICIES_KLV_NUM_IDS); \
>   \
>  	policy->h2g.klv[policy->count].kl = \
>  		FIELD_PREP(GUC_KLV_0_KEY, \
> @@ -355,7 +355,7 @@ static void init_policies(struct xe_guc *guc, struct xe_engine *e)
>  	u32 timeslice_us = e->sched_props.timeslice_us;
>  	u32 preempt_timeout_us = e->sched_props.preempt_timeout_us;
>  
> -	XE_BUG_ON(!engine_registered(e));
> +	BUG_ON(!engine_registered(e));
>  
>          __guc_engine_policy_start_klv(&policy, e->guc->id);
>          __guc_engine_policy_add_priority(&policy, drm_sched_prio_to_guc[prio]);
> @@ -413,7 +413,7 @@ static void __register_mlrc_engine(struct xe_guc *guc,
>  	int len = 0;
>  	int i;
>  
> -	XE_BUG_ON(!xe_engine_is_parallel(e));
> +	BUG_ON(!xe_engine_is_parallel(e));
>  
>  	action[len++] = XE_GUC_ACTION_REGISTER_CONTEXT_MULTI_LRC;
>  	action[len++] = info->flags;
> @@ -436,7 +436,7 @@ static void __register_mlrc_engine(struct xe_guc *guc,
>  		action[len++] = upper_32_bits(xe_lrc_descriptor(lrc));
>  	}
>  
> -	XE_BUG_ON(len > MAX_MLRC_REG_SIZE);
> +	BUG_ON(len > MAX_MLRC_REG_SIZE);
>  #undef MAX_MLRC_REG_SIZE
>  
>  	xe_guc_ct_send(&guc->ct, action, len, 0, 0);
> @@ -470,7 +470,7 @@ static void register_engine(struct xe_engine *e)
>  	struct xe_lrc *lrc = e->lrc;
>  	struct guc_ctxt_registration_info info;
>  
> -	XE_BUG_ON(engine_registered(e));
> +	BUG_ON(engine_registered(e));
>  
>  	memset(&info, 0, sizeof(info));
>  	info.context_idx = e->guc->id;
> @@ -552,7 +552,7 @@ static int wq_noop_append(struct xe_engine *e)
>  	if (wq_wait_for_space(e, wq_space_until_wrap(e)))
>  		return -ENODEV;
>  
> -	XE_BUG_ON(!FIELD_FIT(WQ_LEN_MASK, len_dw));
> +	BUG_ON(!FIELD_FIT(WQ_LEN_MASK, len_dw));
>  
>  	parallel_write(xe, map, wq[e->guc->wqi_tail / sizeof(u32)],
>  		       FIELD_PREP(WQ_TYPE_MASK, WQ_TYPE_NOOP) |
> @@ -591,13 +591,13 @@ static void wq_item_append(struct xe_engine *e)
>  		wqi[i++] = lrc->ring.tail / sizeof(u64);
>  	}
>  
> -	XE_BUG_ON(i != wqi_size / sizeof(u32));
> +	BUG_ON(i != wqi_size / sizeof(u32));
>  
>  	iosys_map_incr(&map, offsetof(struct parallel_scratch,
>  					wq[e->guc->wqi_tail / sizeof(u32)]));
>  	xe_map_memcpy_to(xe, &map, 0, wqi, wqi_size);
>  	e->guc->wqi_tail += wqi_size;
> -	XE_BUG_ON(e->guc->wqi_tail > WQ_SIZE);
> +	BUG_ON(e->guc->wqi_tail > WQ_SIZE);
>  
>  	xe_device_wmb(xe);
>  
> @@ -616,7 +616,7 @@ static void submit_engine(struct xe_engine *e)
>  	int len = 0;
>  	bool extra_submit = false;
>  
> -	XE_BUG_ON(!engine_registered(e));
> +	BUG_ON(!engine_registered(e));
>  
>  	if (xe_engine_is_parallel(e))
>  		wq_item_append(e);
> @@ -663,7 +663,7 @@ guc_engine_run_job(struct drm_sched_job *drm_job)
>  	struct xe_sched_job *job = to_xe_sched_job(drm_job);
>  	struct xe_engine *e = job->engine;
>  
> -	XE_BUG_ON((engine_destroyed(e) || engine_pending_disable(e)) &&
> +	BUG_ON((engine_destroyed(e) || engine_pending_disable(e)) &&
>  		  !engine_banned(e) && !engine_suspended(e));
>  
>  	trace_xe_sched_job_run(job);
> @@ -935,7 +935,7 @@ static void __guc_engine_process_msg_cleanup(struct drm_sched_msg *msg)
>  	struct xe_engine *e = msg->private_data;
>  	struct xe_guc *guc = engine_to_guc(e);
>  
> -	XE_BUG_ON(e->flags & ENGINE_FLAG_KERNEL);
> +	BUG_ON(e->flags & ENGINE_FLAG_KERNEL);
>  	trace_xe_engine_cleanup_entity(e);
>  
>  	if (engine_registered(e))
> @@ -963,9 +963,9 @@ static void suspend_fence_signal(struct xe_engine *e)
>  {
>  	struct xe_guc *guc = engine_to_guc(e);
>  
> -	XE_BUG_ON(!engine_suspended(e) && !engine_killed(e) &&
> +	BUG_ON(!engine_suspended(e) && !engine_killed(e) &&
>  		  !guc_read_stopped(guc));
> -	XE_BUG_ON(!e->guc->suspend_pending);
> +	BUG_ON(!e->guc->suspend_pending);
>  
>  	e->guc->suspend_pending = false;
>  	smp_wmb();
> @@ -1051,7 +1051,7 @@ static void guc_engine_process_msg(struct drm_sched_msg *msg)
>  		__guc_engine_process_msg_resume(msg);
>  		break;
>  	default:
> -		XE_BUG_ON("Unknown message type");
> +		BUG_ON("Unknown message type");
>  	}
>  }
>  
> @@ -1070,7 +1070,7 @@ static int guc_engine_init(struct xe_engine *e)
>  	long timeout;
>  	int err;
>  
> -	XE_BUG_ON(!xe_device_guc_submission_enabled(guc_to_xe(guc)));
> +	BUG_ON(!xe_device_guc_submission_enabled(guc_to_xe(guc)));
>  
>  	ge = kzalloc(sizeof(*ge), GFP_KERNEL);
>  	if (!ge)
> @@ -1231,9 +1231,9 @@ static int guc_engine_set_job_timeout(struct xe_engine *e, u32 job_timeout_ms)
>  {
>  	struct drm_gpu_scheduler *sched = &e->guc->sched;
>  
> -	XE_BUG_ON(engine_registered(e));
> -	XE_BUG_ON(engine_banned(e));
> -	XE_BUG_ON(engine_killed(e));
> +	BUG_ON(engine_registered(e));
> +	BUG_ON(engine_banned(e));
> +	BUG_ON(engine_killed(e));
>  
>  	sched->timeout = job_timeout_ms;
>  
> @@ -1265,7 +1265,7 @@ static void guc_engine_resume(struct xe_engine *e)
>  {
>  	struct drm_sched_msg *msg = e->guc->static_msgs + STATIC_MSG_RESUME;
>  
> -	XE_BUG_ON(e->guc->suspend_pending);
> +	BUG_ON(e->guc->suspend_pending);
>  
>  	guc_engine_add_msg(e, msg, RESUME);
>  }
> @@ -1364,7 +1364,7 @@ int xe_guc_submit_stop(struct xe_guc *guc)
>  	struct xe_engine *e;
>  	unsigned long index;
>  
> -	XE_BUG_ON(guc_read_stopped(guc) != 1);
> +	BUG_ON(guc_read_stopped(guc) != 1);
>  
>  	mutex_lock(&guc->submission_state.lock);
>  
> @@ -1403,7 +1403,7 @@ int xe_guc_submit_start(struct xe_guc *guc)
>  	struct xe_engine *e;
>  	unsigned long index;
>  
> -	XE_BUG_ON(guc_read_stopped(guc) != 1);
> +	BUG_ON(guc_read_stopped(guc) != 1);
>  
>  	mutex_lock(&guc->submission_state.lock);
>  	atomic_dec(&guc->submission_state.stopped);
> @@ -1433,7 +1433,7 @@ g2h_engine_lookup(struct xe_guc *guc, u32 guc_id)
>  		return NULL;
>  	}
>  
> -	XE_BUG_ON(e->guc->id != guc_id);
> +	BUG_ON(e->guc->id != guc_id);
>  
>  	return e;
>  }
> diff --git a/drivers/gpu/drm/xe/xe_huc.c b/drivers/gpu/drm/xe/xe_huc.c
> index a9448c6f6418..6b742fe01e1b 100644
> --- a/drivers/gpu/drm/xe/xe_huc.c
> +++ b/drivers/gpu/drm/xe/xe_huc.c
> @@ -71,7 +71,7 @@ int xe_huc_auth(struct xe_huc *huc)
>  	if (xe_uc_fw_is_disabled(&huc->fw))
>  		return 0;
>  
> -	XE_BUG_ON(xe_uc_fw_is_running(&huc->fw));
> +	BUG_ON(xe_uc_fw_is_running(&huc->fw));
>  
>  	if (!xe_uc_fw_is_loaded(&huc->fw))
>  		return -ENOEXEC;
> diff --git a/drivers/gpu/drm/xe/xe_hw_engine.c b/drivers/gpu/drm/xe/xe_hw_engine.c
> index 63a4efd5edcc..e3f967b8579f 100644
> --- a/drivers/gpu/drm/xe/xe_hw_engine.c
> +++ b/drivers/gpu/drm/xe/xe_hw_engine.c
> @@ -234,7 +234,7 @@ static void hw_engine_fini(struct drm_device *drm, void *arg)
>  
>  static void hw_engine_mmio_write32(struct xe_hw_engine *hwe, u32 reg, u32 val)
>  {
> -	XE_BUG_ON(reg & hwe->mmio_base);
> +	BUG_ON(reg & hwe->mmio_base);
>  	xe_force_wake_assert_held(gt_to_fw(hwe->gt), hwe->domain);
>  
>  	xe_mmio_write32(hwe->gt, reg + hwe->mmio_base, val);
> @@ -242,7 +242,7 @@ static void hw_engine_mmio_write32(struct xe_hw_engine *hwe, u32 reg, u32 val)
>  
>  static u32 hw_engine_mmio_read32(struct xe_hw_engine *hwe, u32 reg)
>  {
> -	XE_BUG_ON(reg & hwe->mmio_base);
> +	BUG_ON(reg & hwe->mmio_base);
>  	xe_force_wake_assert_held(gt_to_fw(hwe->gt), hwe->domain);
>  
>  	return xe_mmio_read32(hwe->gt, reg + hwe->mmio_base);
> @@ -280,7 +280,7 @@ static void hw_engine_init_early(struct xe_gt *gt, struct xe_hw_engine *hwe,
>  
>  	info = &engine_infos[id];
>  
> -	XE_BUG_ON(hwe->gt);
> +	BUG_ON(hwe->gt);
>  
>  	hwe->gt = gt;
>  	hwe->class = info->class;
> @@ -304,8 +304,8 @@ static int hw_engine_init(struct xe_gt *gt, struct xe_hw_engine *hwe,
>  	struct xe_device *xe = gt_to_xe(gt);
>  	int err;
>  
> -	XE_BUG_ON(id >= ARRAY_SIZE(engine_infos) || !engine_infos[id].name);
> -	XE_BUG_ON(!(gt->info.engine_mask & BIT(id)));
> +	BUG_ON(id >= ARRAY_SIZE(engine_infos) || !engine_infos[id].name);
> +	BUG_ON(!(gt->info.engine_mask & BIT(id)));
>  
>  	xe_reg_sr_apply_mmio(&hwe->reg_sr, gt);
>  	xe_reg_sr_apply_whitelist(&hwe->reg_whitelist, hwe->mmio_base, gt);
> diff --git a/drivers/gpu/drm/xe/xe_hw_fence.c b/drivers/gpu/drm/xe/xe_hw_fence.c
> index bbfce9f58cd6..2a082104137d 100644
> --- a/drivers/gpu/drm/xe/xe_hw_fence.c
> +++ b/drivers/gpu/drm/xe/xe_hw_fence.c
> @@ -188,7 +188,7 @@ static void xe_hw_fence_release(struct dma_fence *dma_fence)
>  	struct xe_hw_fence *fence = to_xe_hw_fence(dma_fence);
>  
>  	trace_xe_hw_fence_free(fence);
> -	XE_BUG_ON(!list_empty(&fence->irq_link));
> +	BUG_ON(!list_empty(&fence->irq_link));
>  	call_rcu(&dma_fence->rcu, fence_free);
>  }
>  
> diff --git a/drivers/gpu/drm/xe/xe_lrc.c b/drivers/gpu/drm/xe/xe_lrc.c
> index fb8c6f7d6528..7ae4a0b93249 100644
> --- a/drivers/gpu/drm/xe/xe_lrc.c
> +++ b/drivers/gpu/drm/xe/xe_lrc.c
> @@ -108,7 +108,7 @@ static void set_offsets(u32 *regs,
>  		*regs |= MI_LRI_LRM_CS_MMIO;
>  		regs++;
>  
> -		XE_BUG_ON(!count);
> +		BUG_ON(!count);
>  		do {
>  			u32 offset = 0;
>  			u8 v;
> @@ -528,7 +528,7 @@ static inline struct iosys_map __xe_lrc_##elem##_map(struct xe_lrc *lrc) \
>  { \
>  	struct iosys_map map = lrc->bo->vmap; \
>  \
> -	XE_BUG_ON(iosys_map_is_null(&map)); \
> +	BUG_ON(iosys_map_is_null(&map)); \
>  	iosys_map_incr(&map, __xe_lrc_##elem##_offset(lrc)); \
>  	return map; \
>  } \
> @@ -759,12 +759,12 @@ void xe_lrc_write_ring(struct xe_lrc *lrc, const void *data, size_t size)
>  	u32 rhs;
>  	size_t aligned_size;
>  
> -	XE_BUG_ON(!IS_ALIGNED(size, 4));
> +	BUG_ON(!IS_ALIGNED(size, 4));
>  	aligned_size = ALIGN(size, 8);
>  
>  	ring = __xe_lrc_ring_map(lrc);
>  
> -	XE_BUG_ON(lrc->ring.tail >= lrc->ring.size);
> +	BUG_ON(lrc->ring.tail >= lrc->ring.size);
>  	rhs = lrc->ring.size - lrc->ring.tail;
>  	if (size > rhs) {
>  		__xe_lrc_write_ring(lrc, ring, data, rhs);
> diff --git a/drivers/gpu/drm/xe/xe_macros.h b/drivers/gpu/drm/xe/xe_macros.h
> index 0a42112eb247..826759d0fcab 100644
> --- a/drivers/gpu/drm/xe/xe_macros.h
> +++ b/drivers/gpu/drm/xe/xe_macros.h
> @@ -9,7 +9,6 @@
>  #include <linux/bug.h>
>  
>  #define XE_EXTRA_DEBUG 1
> -#define XE_BUG_ON BUG_ON
>  
>  #define XE_IOCTL_ERR(xe, cond) \
>  	((cond) && (drm_info(&(xe)->drm, \
> diff --git a/drivers/gpu/drm/xe/xe_migrate.c b/drivers/gpu/drm/xe/xe_migrate.c
> index a98e4bad39bf..83014991fdde 100644
> --- a/drivers/gpu/drm/xe/xe_migrate.c
> +++ b/drivers/gpu/drm/xe/xe_migrate.c
> @@ -106,7 +106,7 @@ static void xe_migrate_fini(struct drm_device *dev, void *arg)
>  
>  static u64 xe_migrate_vm_addr(u64 slot, u32 level)
>  {
> -	XE_BUG_ON(slot >= NUM_PT_SLOTS);
> +	BUG_ON(slot >= NUM_PT_SLOTS);
>  
>  	/* First slot is reserved for mapping of PT bo and bb, start from 1 */
>  	return (slot + 1ULL) << xe_pt_shift(level + 1);
> @@ -148,7 +148,7 @@ static int xe_migrate_create_cleared_bo(struct xe_migrate *m, struct xe_vm *vm)
>  
>  	xe_map_memset(xe, &m->cleared_bo->vmap, 0, 0x00, cleared_size);
>  	vram_addr = xe_bo_addr(m->cleared_bo, 0, GEN8_PAGE_SIZE, &is_vram);
> -	XE_BUG_ON(!is_vram);
> +	BUG_ON(!is_vram);
>  	m->cleared_vram_ofs = xe_migrate_vram_ofs(vram_addr);
>  
>  	return 0;
> @@ -173,7 +173,7 @@ static int xe_migrate_prepare_vm(struct xe_gt *gt, struct xe_migrate *m,
>  	BUILD_BUG_ON(!(NUM_KERNEL_PDE & 1));
>  
>  	/* Need to be sure everything fits in the first PT, or create more */
> -	XE_BUG_ON(m->batch_base_ofs + batch->size >= SZ_2M);
> +	BUG_ON(m->batch_base_ofs + batch->size >= SZ_2M);
>  
>  	bo = xe_bo_create_pin_map(vm->xe, m->gt, vm,
>  				  num_entries * GEN8_PAGE_SIZE,
> @@ -208,7 +208,7 @@ static int xe_migrate_prepare_vm(struct xe_gt *gt, struct xe_migrate *m,
>  	}
>  
>  	if (!IS_DGFX(xe)) {
> -		XE_BUG_ON(xe->info.supports_usm);
> +		BUG_ON(xe->info.supports_usm);
>  
>  		/* Write out batch too */
>  		m->batch_base_ofs = NUM_PT_SLOTS * GEN8_PAGE_SIZE;
> @@ -318,7 +318,7 @@ struct xe_migrate *xe_migrate_init(struct xe_gt *gt)
>  	struct ww_acquire_ctx ww;
>  	int err;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	m = drmm_kzalloc(&xe->drm, sizeof(*m), GFP_KERNEL);
>  	if (!m)
> @@ -491,7 +491,7 @@ static void emit_copy_ccs(struct xe_gt *gt, struct xe_bb *bb,
>  
>  	num_ccs_blks = DIV_ROUND_UP(xe_device_ccs_bytes(gt_to_xe(gt), size),
>  				    NUM_CCS_BYTES_PER_BLOCK);
> -	XE_BUG_ON(num_ccs_blks > NUM_CCS_BLKS_PER_XFER);
> +	BUG_ON(num_ccs_blks > NUM_CCS_BLKS_PER_XFER);
>  	*cs++ = XY_CTRL_SURF_COPY_BLT |
>  		(src_is_indirect ? 0x0 : 0x1) << SRC_ACCESS_TYPE_SHIFT |
>  		(dst_is_indirect ? 0x0 : 0x1) << DST_ACCESS_TYPE_SHIFT |
> @@ -511,9 +511,9 @@ static void emit_copy(struct xe_gt *gt, struct xe_bb *bb,
>  		      u64 src_ofs, u64 dst_ofs, unsigned int size,
>  		      unsigned pitch)
>  {
> -	XE_BUG_ON(size / pitch > S16_MAX);
> -	XE_BUG_ON(pitch / 4 > S16_MAX);
> -	XE_BUG_ON(pitch > U16_MAX);
> +	BUG_ON(size / pitch > S16_MAX);
> +	BUG_ON(pitch / 4 > S16_MAX);
> +	BUG_ON(pitch > U16_MAX);
>  
>  	bb->cs[bb->len++] = GEN9_XY_FAST_COPY_BLT_CMD | (10 - 2);
>  	bb->cs[bb->len++] = BLT_DEPTH_32 | pitch;
> @@ -565,7 +565,7 @@ static u32 xe_migrate_ccs_copy(struct xe_migrate *m,
>  		 * At the moment, we don't support copying CCS metadata from
>  		 * system to system.
>  		 */
> -		XE_BUG_ON(!src_is_vram && !dst_is_vram);
> +		BUG_ON(!src_is_vram && !dst_is_vram);
>  
>  		emit_copy_ccs(gt, bb, dst_ofs, dst_is_vram, src_ofs,
>  			      src_is_vram, dst_size);
> @@ -764,7 +764,7 @@ static void emit_clear_link_copy(struct xe_gt *gt, struct xe_bb *bb, u64 src_ofs
>  	*cs++ = upper_32_bits(src_ofs);
>  	*cs++ = FIELD_PREP(PVC_MS_MOCS_INDEX_MASK, mocs);
>  
> -	XE_BUG_ON(cs - bb->cs != len + bb->len);
> +	BUG_ON(cs - bb->cs != len + bb->len);
>  
>  	bb->len += len;
>  }
> @@ -802,7 +802,7 @@ static void emit_clear_main_copy(struct xe_gt *gt, struct xe_bb *bb,
>  		*cs++ = 0;
>  	}
>  
> -	XE_BUG_ON(cs - bb->cs != len + bb->len);
> +	BUG_ON(cs - bb->cs != len + bb->len);
>  
>  	bb->len += len;
>  }
> @@ -977,14 +977,14 @@ static void write_pgtable(struct xe_gt *gt, struct xe_bb *bb, u64 ppgtt_ofs,
>  	 * This shouldn't be possible in practice.. might change when 16K
>  	 * pages are used. Hence the BUG_ON.
>  	 */
> -	XE_BUG_ON(update->qwords > 0x1ff);
> +	BUG_ON(update->qwords > 0x1ff);
>  	if (!ppgtt_ofs) {
>  		bool is_vram;
>  
>  		ppgtt_ofs = xe_migrate_vram_ofs(xe_bo_addr(update->pt_bo, 0,
>  							   GEN8_PAGE_SIZE,
>  							   &is_vram));
> -		XE_BUG_ON(!is_vram);
> +		BUG_ON(!is_vram);
>  	}
>  
>  	do {
> @@ -1166,7 +1166,7 @@ xe_migrate_update_pgtables(struct xe_migrate *m,
>  	 * Worst case: Sum(2 * (each lower level page size) + (top level page size))
>  	 * Should be reasonably bound..
>  	 */
> -	XE_BUG_ON(batch_size >= SZ_128K);
> +	BUG_ON(batch_size >= SZ_128K);
>  
>  	bb = xe_bb_new(gt, batch_size, !eng && xe->info.supports_usm);
>  	if (IS_ERR(bb))
> @@ -1176,7 +1176,7 @@ xe_migrate_update_pgtables(struct xe_migrate *m,
>  	if (!IS_DGFX(xe)) {
>  		ppgtt_ofs = NUM_KERNEL_PDE - 1;
>  		if (eng) {
> -			XE_BUG_ON(num_updates > NUM_VMUSA_WRITES_PER_UNIT);
> +			BUG_ON(num_updates > NUM_VMUSA_WRITES_PER_UNIT);
>  
>  			sa_bo = drm_suballoc_new(&m->vm_update_sa, 1,
>  						 GFP_KERNEL, true);
> diff --git a/drivers/gpu/drm/xe/xe_mmio.c b/drivers/gpu/drm/xe/xe_mmio.c
> index 5cacaa05759a..ef3dd0ffb001 100644
> --- a/drivers/gpu/drm/xe/xe_mmio.c
> +++ b/drivers/gpu/drm/xe/xe_mmio.c
> @@ -246,7 +246,7 @@ int xe_mmio_probe_vram(struct xe_device *xe)
>  			if (xe_gt_is_media_type(gt))
>  				--adj_tile_count;
>  
> -		XE_BUG_ON(!adj_tile_count);
> +		BUG_ON(!adj_tile_count);
>  
>  		size = xe->mem.vram.size / adj_tile_count;
>  		io_start = xe->mem.vram.io_start;
> diff --git a/drivers/gpu/drm/xe/xe_pt.c b/drivers/gpu/drm/xe/xe_pt.c
> index ffb0e6d8f9f7..4bde3684fe82 100644
> --- a/drivers/gpu/drm/xe/xe_pt.c
> +++ b/drivers/gpu/drm/xe/xe_pt.c
> @@ -126,7 +126,7 @@ static u64 __gen8_pte_encode(u64 pte, enum xe_cache_level cache, u32 flags,
>  		pte |= GEN8_PDPE_PS_1G;
>  
>  	/* XXX: Does hw support 1 GiB pages? */
> -	XE_BUG_ON(pt_level > 2);
> +	BUG_ON(pt_level > 2);
>  
>  	return pte;
>  }
> @@ -171,7 +171,7 @@ static u64 __xe_pt_empty_pte(struct xe_gt *gt, struct xe_vm *vm,
>  {
>  	u8 id = gt->info.id;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	if (!vm->scratch_bo[id])
>  		return 0;
> @@ -229,7 +229,7 @@ struct xe_pt *xe_pt_create(struct xe_vm *vm, struct xe_gt *gt,
>  	pt->level = level;
>  	pt->drm.dir = level ? &as_xe_pt_dir(pt)->dir : NULL;
>  
> -	XE_BUG_ON(level > XE_VM_MAX_LEVEL);
> +	BUG_ON(level > XE_VM_MAX_LEVEL);
>  
>  	return pt;
>  
> @@ -255,7 +255,7 @@ void xe_pt_populate_empty(struct xe_gt *gt, struct xe_vm *vm,
>  	u64 empty;
>  	int i;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	if (!vm->scratch_bo[gt->info.id]) {
>  		/*
> @@ -300,7 +300,7 @@ void xe_pt_destroy(struct xe_pt *pt, u32 flags, struct llist_head *deferred)
>  	if (!pt)
>  		return;
>  
> -	XE_BUG_ON(!list_empty(&pt->bo->vmas));
> +	BUG_ON(!list_empty(&pt->bo->vmas));
>  	xe_bo_unpin(pt->bo);
>  	xe_bo_put_deferred(pt->bo, deferred);
>  
> @@ -874,8 +874,8 @@ static int xe_pt_zap_ptes_entry(struct drm_pt *parent, pgoff_t offset,
>  	struct xe_pt *xe_child = container_of(*child, typeof(*xe_child), drm);
>  	pgoff_t end_offset;
>  
> -	XE_BUG_ON(!*child);
> -	XE_BUG_ON(!level && xe_child->is_compact);
> +	BUG_ON(!*child);
> +	BUG_ON(!level && xe_child->is_compact);
>  
>  	/*
>  	 * Note that we're called from an entry callback, and we're dealing
> @@ -944,7 +944,7 @@ xe_vm_populate_pgtable(struct xe_migrate_pt_update *pt_update, struct xe_gt *gt,
>  	u64 *ptr = data;
>  	u32 i;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	for (i = 0; i < num_qwords; i++) {
>  		if (map)
> @@ -1053,7 +1053,7 @@ static void xe_vm_dbg_print_entries(struct xe_device *xe,
>  		u64 end;
>  		u64 start;
>  
> -		XE_BUG_ON(entry->pt->is_compact);
> +		BUG_ON(entry->pt->is_compact);
>  		start = entry->ofs * page_size;
>  		end = start + page_size * entry->qwords;
>  		vm_dbg(&xe->drm,
> @@ -1297,7 +1297,7 @@ __xe_pt_bind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
>  	bind_pt_update.locked = false;
>  	xe_bo_assert_held(vma->bo);
>  	xe_vm_assert_held(vm);
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	vm_dbg(&vma->vm->xe->drm,
>  	       "Preparing bind, with range [%llx...%llx) engine %p.\n",
> @@ -1306,7 +1306,7 @@ __xe_pt_bind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
>  	err = xe_pt_prepare_bind(gt, vma, entries, &num_entries, rebind);
>  	if (err)
>  		goto err;
> -	XE_BUG_ON(num_entries > ARRAY_SIZE(entries));
> +	BUG_ON(num_entries > ARRAY_SIZE(entries));
>  
>  	xe_vm_dbg_print_entries(gt_to_xe(gt), entries, num_entries);
>  
> @@ -1436,8 +1436,8 @@ static int xe_pt_stage_unbind_entry(struct drm_pt *parent, pgoff_t offset,
>  {
>  	struct xe_pt *xe_child = container_of(*child, typeof(*xe_child), drm);
>  
> -	XE_BUG_ON(!*child);
> -	XE_BUG_ON(!level && xe_child->is_compact);
> +	BUG_ON(!*child);
> +	BUG_ON(!level && xe_child->is_compact);
>  
>  	xe_pt_check_kill(addr, next, level - 1, xe_child, action, walk);
>  
> @@ -1528,7 +1528,7 @@ xe_migrate_clear_pgtable_callback(struct xe_migrate_pt_update *pt_update,
>  	u64 empty = __xe_pt_empty_pte(gt, vma->vm, update->pt->level);
>  	int i;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	if (map && map->is_iomem)
>  		for (i = 0; i < num_qwords; ++i)
> @@ -1620,14 +1620,14 @@ __xe_pt_unbind_vma(struct xe_gt *gt, struct xe_vma *vma, struct xe_engine *e,
>  
>  	xe_bo_assert_held(vma->bo);
>  	xe_vm_assert_held(vm);
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	vm_dbg(&vma->vm->xe->drm,
>  	       "Preparing unbind, with range [%llx...%llx) engine %p.\n",
>  	       vma->start, vma->end, e);
>  
>  	num_entries = xe_pt_stage_unbind(gt, vma, entries);
> -	XE_BUG_ON(num_entries > ARRAY_SIZE(entries));
> +	BUG_ON(num_entries > ARRAY_SIZE(entries));
>  
>  	xe_vm_dbg_print_entries(gt_to_xe(gt), entries, num_entries);
>  
> diff --git a/drivers/gpu/drm/xe/xe_res_cursor.h b/drivers/gpu/drm/xe/xe_res_cursor.h
> index b34eb906dbb0..3b5bf90ff655 100644
> --- a/drivers/gpu/drm/xe/xe_res_cursor.h
> +++ b/drivers/gpu/drm/xe/xe_res_cursor.h
> @@ -80,7 +80,7 @@ static inline void xe_res_first(struct ttm_resource *res,
>  	if (!res)
>  		goto fallback;
>  
> -	XE_BUG_ON(start + size > res->size);
> +	BUG_ON(start + size > res->size);
>  
>  	cur->mem_type = res->mem_type;
>  
> @@ -141,7 +141,7 @@ static inline void __xe_res_sg_next(struct xe_res_cursor *cur)
>  	while (start >= sg_dma_len(sgl)) {
>  		start -= sg_dma_len(sgl);
>  		sgl = sg_next(sgl);
> -		XE_BUG_ON(!sgl);
> +		BUG_ON(!sgl);
>  	}
>  
>  	cur->start = start;
> @@ -163,8 +163,8 @@ static inline void xe_res_first_sg(const struct sg_table *sg,
>  				   u64 start, u64 size,
>  				   struct xe_res_cursor *cur)
>  {
> -	XE_BUG_ON(!sg);
> -	XE_BUG_ON(!IS_ALIGNED(start, PAGE_SIZE) ||
> +	BUG_ON(!sg);
> +	BUG_ON(!IS_ALIGNED(start, PAGE_SIZE) ||
>  		  !IS_ALIGNED(size, PAGE_SIZE));
>  	cur->node = NULL;
>  	cur->start = start;
> @@ -189,7 +189,7 @@ static inline void xe_res_next(struct xe_res_cursor *cur, u64 size)
>  	struct list_head *next;
>  	u64 start;
>  
> -	XE_BUG_ON(size > cur->remaining);
> +	BUG_ON(size > cur->remaining);
>  
>  	cur->remaining -= size;
>  	if (!cur->remaining)
> diff --git a/drivers/gpu/drm/xe/xe_ring_ops.c b/drivers/gpu/drm/xe/xe_ring_ops.c
> index 918e819e7c91..b610a4f0dfa4 100644
> --- a/drivers/gpu/drm/xe/xe_ring_ops.c
> +++ b/drivers/gpu/drm/xe/xe_ring_ops.c
> @@ -184,7 +184,7 @@ static void __emit_job_gen12_copy(struct xe_sched_job *job, struct xe_lrc *lrc,
>  
>  	i = emit_user_interrupt(dw, i);
>  
> -	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> +	BUG_ON(i > MAX_JOB_SIZE_DW);
>  
>  	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
>  }
> @@ -222,7 +222,7 @@ static void __emit_job_gen12_video(struct xe_sched_job *job, struct xe_lrc *lrc,
>  
>  	i = emit_user_interrupt(dw, i);
>  
> -	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> +	BUG_ON(i > MAX_JOB_SIZE_DW);
>  
>  	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
>  }
> @@ -263,7 +263,7 @@ static void __emit_job_gen12_render_compute(struct xe_sched_job *job,
>  
>  	i = emit_user_interrupt(dw, i);
>  
> -	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> +	BUG_ON(i > MAX_JOB_SIZE_DW);
>  
>  	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
>  }
> @@ -293,7 +293,7 @@ static void emit_migration_job_gen12(struct xe_sched_job *job,
>  
>  	i = emit_user_interrupt(dw, i);
>  
> -	XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> +	BUG_ON(i > MAX_JOB_SIZE_DW);
>  
>  	xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
>  }
> diff --git a/drivers/gpu/drm/xe/xe_sched_job.c b/drivers/gpu/drm/xe/xe_sched_job.c
> index 2985caa6097b..87854f3473db 100644
> --- a/drivers/gpu/drm/xe/xe_sched_job.c
> +++ b/drivers/gpu/drm/xe/xe_sched_job.c
> @@ -142,7 +142,7 @@ struct xe_sched_job *xe_sched_job_create(struct xe_engine *e,
>  
>  		/* Sanity check */
>  		for (j = 0; j < e->width; ++j)
> -			XE_BUG_ON(cf->base.seqno != fences[j]->seqno);
> +			BUG_ON(cf->base.seqno != fences[j]->seqno);
>  
>  		job->fence = &cf->base;
>  	}
> diff --git a/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c b/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> index 8075781070f2..c61d2fa658b5 100644
> --- a/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> +++ b/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> @@ -111,7 +111,7 @@ int xe_ttm_gtt_mgr_init(struct xe_gt *gt, struct xe_ttm_gtt_mgr *mgr,
>  	struct ttm_resource_manager *man = &mgr->manager;
>  	int err;
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	mgr->gt = gt;
>  	man->use_tt = true;
> diff --git a/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c b/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> index 31887fec1073..1343b3a862ce 100644
> --- a/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> +++ b/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> @@ -184,7 +184,7 @@ u64 xe_ttm_stolen_io_offset(struct xe_bo *bo, u32 offset)
>  	struct xe_ttm_stolen_mgr *mgr = to_stolen_mgr(ttm_mgr);
>  	struct xe_res_cursor cur;
>  
> -	XE_BUG_ON(!mgr->io_base);
> +	BUG_ON(!mgr->io_base);
>  
>  	if (xe_ttm_stolen_cpu_access_needs_ggtt(xe))
>  		return mgr->io_base + xe_bo_ggtt_addr(bo) + offset;
> @@ -224,7 +224,7 @@ static int __xe_ttm_stolen_io_mem_reserve_stolen(struct xe_device *xe,
>  #ifdef CONFIG_X86
>  	struct xe_bo *bo = ttm_to_xe_bo(mem->bo);
>  
> -	XE_BUG_ON(IS_DGFX(xe));
> +	BUG_ON(IS_DGFX(xe));
>  
>  	/* XXX: Require BO to be mapped to GGTT? */
>  	if (drm_WARN_ON(&xe->drm, !(bo->flags & XE_BO_CREATE_GGTT_BIT)))
> diff --git a/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c b/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> index 73836b9b7fed..aa50964214f8 100644
> --- a/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> +++ b/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> @@ -357,7 +357,7 @@ int xe_ttm_vram_mgr_init(struct xe_gt *gt, struct xe_ttm_vram_mgr *mgr)
>  {
>  	struct xe_device *xe = gt_to_xe(gt);
>  
> -	XE_BUG_ON(xe_gt_is_media_type(gt));
> +	BUG_ON(xe_gt_is_media_type(gt));
>  
>  	mgr->gt = gt;
>  
> diff --git a/drivers/gpu/drm/xe/xe_uc_fw.c b/drivers/gpu/drm/xe/xe_uc_fw.c
> index 3ebe651b9a1b..bbe9239e8145 100644
> --- a/drivers/gpu/drm/xe/xe_uc_fw.c
> +++ b/drivers/gpu/drm/xe/xe_uc_fw.c
> @@ -23,7 +23,7 @@ __uc_fw_to_gt(struct xe_uc_fw *uc_fw, enum xe_uc_fw_type type)
>  	if (type == XE_UC_FW_TYPE_GUC)
>  		return container_of(uc_fw, struct xe_gt, uc.guc.fw);
>  
> -	XE_BUG_ON(type != XE_UC_FW_TYPE_HUC);
> +	BUG_ON(type != XE_UC_FW_TYPE_HUC);
>  	return container_of(uc_fw, struct xe_gt, uc.huc.fw);
>  }
>  
> @@ -146,7 +146,7 @@ uc_fw_auto_select(struct xe_device *xe, struct xe_uc_fw *uc_fw)
>  	u8 rev = xe->info.revid;
>  	int i;
>  
> -	XE_BUG_ON(uc_fw->type >= ARRAY_SIZE(blobs_all));
> +	BUG_ON(uc_fw->type >= ARRAY_SIZE(blobs_all));
>  	fw_blobs = blobs_all[uc_fw->type].blobs;
>  	fw_count = blobs_all[uc_fw->type].count;
>  
> @@ -176,8 +176,8 @@ size_t xe_uc_fw_copy_rsa(struct xe_uc_fw *uc_fw, void *dst, u32 max_len)
>  	struct xe_device *xe = uc_fw_to_xe(uc_fw);
>  	u32 size = min_t(u32, uc_fw->rsa_size, max_len);
>  
> -	XE_BUG_ON(size % 4);
> -	XE_BUG_ON(!xe_uc_fw_is_available(uc_fw));
> +	BUG_ON(size % 4);
> +	BUG_ON(!xe_uc_fw_is_available(uc_fw));
>  
>  	xe_map_memcpy_from(xe, dst, &uc_fw->bo->vmap,
>  			   xe_uc_fw_rsa_offset(uc_fw), size);
> @@ -201,7 +201,7 @@ static void guc_read_css_info(struct xe_uc_fw *uc_fw, struct uc_css_header *css)
>  	struct xe_gt *gt = uc_fw_to_gt(uc_fw);
>  	struct xe_guc *guc = &gt->uc.guc;
>  
> -	XE_BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
> +	BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
>  	WARN_ON(uc_fw->major_ver_found < 70);
>  
>  	if (uc_fw->minor_ver_found >= 6) {
> @@ -246,8 +246,8 @@ int xe_uc_fw_init(struct xe_uc_fw *uc_fw)
>  	 * before we're looked at the HW caps to see if we have uc support
>  	 */
>  	BUILD_BUG_ON(XE_UC_FIRMWARE_UNINITIALIZED);
> -	XE_BUG_ON(uc_fw->status);
> -	XE_BUG_ON(uc_fw->path);
> +	BUG_ON(uc_fw->status);
> +	BUG_ON(uc_fw->path);
>  
>  	uc_fw_auto_select(xe, uc_fw);
>  	xe_uc_fw_change_status(uc_fw, uc_fw->path ? *uc_fw->path ?
> @@ -418,7 +418,7 @@ int xe_uc_fw_upload(struct xe_uc_fw *uc_fw, u32 offset, u32 dma_flags)
>  	int err;
>  
>  	/* make sure the status was cleared the last time we reset the uc */
> -	XE_BUG_ON(xe_uc_fw_is_loaded(uc_fw));
> +	BUG_ON(xe_uc_fw_is_loaded(uc_fw));
>  
>  	if (!xe_uc_fw_is_loadable(uc_fw))
>  		return -ENOEXEC;
> diff --git a/drivers/gpu/drm/xe/xe_uc_fw.h b/drivers/gpu/drm/xe/xe_uc_fw.h
> index bf31c3bb0e0f..2221f5fd3f54 100644
> --- a/drivers/gpu/drm/xe/xe_uc_fw.h
> +++ b/drivers/gpu/drm/xe/xe_uc_fw.h
> @@ -104,7 +104,7 @@ static inline enum xe_uc_fw_status
>  __xe_uc_fw_status(struct xe_uc_fw *uc_fw)
>  {
>  	/* shouldn't call this before checking hw/blob availability */
> -	XE_BUG_ON(uc_fw->status == XE_UC_FIRMWARE_UNINITIALIZED);
> +	BUG_ON(uc_fw->status == XE_UC_FIRMWARE_UNINITIALIZED);
>  	return uc_fw->status;
>  }
>  
> diff --git a/drivers/gpu/drm/xe/xe_vm.c b/drivers/gpu/drm/xe/xe_vm.c
> index fb6b563378ea..c7247348b5d6 100644
> --- a/drivers/gpu/drm/xe/xe_vm.c
> +++ b/drivers/gpu/drm/xe/xe_vm.c
> @@ -62,7 +62,7 @@ int xe_vma_userptr_pin_pages(struct xe_vma *vma)
>  	bool read_only = vma->pte_flags & PTE_READ_ONLY;
>  
>  	lockdep_assert_held(&vm->lock);
> -	XE_BUG_ON(!xe_vma_is_userptr(vma));
> +	BUG_ON(!xe_vma_is_userptr(vma));
>  retry:
>  	if (vma->destroyed)
>  		return 0;
> @@ -248,7 +248,7 @@ static void arm_preempt_fences(struct xe_vm *vm, struct list_head *list)
>  		struct dma_fence *fence;
>  
>  		link = list->next;
> -		XE_BUG_ON(link == list);
> +		BUG_ON(link == list);
>  
>  		fence = xe_preempt_fence_arm(to_preempt_fence_from_link(link),
>  					     e, e->compute.context,
> @@ -325,7 +325,7 @@ int xe_vm_add_compute_engine(struct xe_vm *vm, struct xe_engine *e)
>  	int err;
>  	bool wait;
>  
> -	XE_BUG_ON(!xe_vm_in_compute_mode(vm));
> +	BUG_ON(!xe_vm_in_compute_mode(vm));
>  
>  	down_write(&vm->lock);
>  
> @@ -523,7 +523,7 @@ static void preempt_rebind_work_func(struct work_struct *w)
>  	long wait;
>  	int __maybe_unused tries = 0;
>  
> -	XE_BUG_ON(!xe_vm_in_compute_mode(vm));
> +	BUG_ON(!xe_vm_in_compute_mode(vm));
>  	trace_xe_vm_rebind_worker_enter(vm);
>  
>  	if (xe_vm_is_closed(vm)) {
> @@ -660,7 +660,7 @@ static bool vma_userptr_invalidate(struct mmu_interval_notifier *mni,
>  	struct dma_fence *fence;
>  	long err;
>  
> -	XE_BUG_ON(!xe_vma_is_userptr(vma));
> +	BUG_ON(!xe_vma_is_userptr(vma));
>  	trace_xe_vma_userptr_invalidate(vma);
>  
>  	if (!mmu_notifier_range_blockable(range))
> @@ -824,8 +824,8 @@ static struct xe_vma *xe_vma_create(struct xe_vm *vm,
>  	struct xe_gt *gt;
>  	u8 id;
>  
> -	XE_BUG_ON(start >= end);
> -	XE_BUG_ON(end >= vm->size);
> +	BUG_ON(start >= end);
> +	BUG_ON(end >= vm->size);
>  
>  	vma = kzalloc(sizeof(*vma), GFP_KERNEL);
>  	if (!vma) {
> @@ -945,7 +945,7 @@ static void xe_vma_destroy(struct xe_vma *vma, struct dma_fence *fence)
>  	struct xe_vm *vm = vma->vm;
>  
>  	lockdep_assert_held_write(&vm->lock);
> -	XE_BUG_ON(!list_empty(&vma->unbind_link));
> +	BUG_ON(!list_empty(&vma->unbind_link));
>  
>  	if (xe_vma_is_userptr(vma)) {
>  		WARN_ON(!vma->destroyed);
> @@ -1048,7 +1048,7 @@ xe_vm_find_overlapping_vma(struct xe_vm *vm, const struct xe_vma *vma)
>  	if (xe_vm_is_closed(vm))
>  		return NULL;
>  
> -	XE_BUG_ON(vma->end >= vm->size);
> +	BUG_ON(vma->end >= vm->size);
>  	lockdep_assert_held(&vm->lock);
>  
>  	node = rb_find(vma, &vm->vmas, xe_vma_cmp_vma_cb);
> @@ -1058,7 +1058,7 @@ xe_vm_find_overlapping_vma(struct xe_vm *vm, const struct xe_vma *vma)
>  
>  static void xe_vm_insert_vma(struct xe_vm *vm, struct xe_vma *vma)
>  {
> -	XE_BUG_ON(vma->vm != vm);
> +	BUG_ON(vma->vm != vm);
>  	lockdep_assert_held(&vm->lock);
>  
>  	rb_add(&vma->vm_node, &vm->vmas, xe_vma_less_cb);
> @@ -1066,7 +1066,7 @@ static void xe_vm_insert_vma(struct xe_vm *vm, struct xe_vma *vma)
>  
>  static void xe_vm_remove_vma(struct xe_vm *vm, struct xe_vma *vma)
>  {
> -	XE_BUG_ON(vma->vm != vm);
> +	BUG_ON(vma->vm != vm);
>  	lockdep_assert_held(&vm->lock);
>  
>  	rb_erase(&vma->vm_node, &vm->vmas);
> @@ -1290,7 +1290,7 @@ void xe_vm_close_and_put(struct xe_vm *vm)
>  	struct xe_gt *gt;
>  	u8 id;
>  
> -	XE_BUG_ON(vm->preempt.num_engines);
> +	BUG_ON(vm->preempt.num_engines);
>  
>  	vm->size = 0;
>  	smp_mb();
> @@ -1448,7 +1448,7 @@ struct xe_vm *xe_vm_lookup(struct xe_file *xef, u32 id)
>  
>  u64 xe_vm_pdp4_descriptor(struct xe_vm *vm, struct xe_gt *full_gt)
>  {
> -	XE_BUG_ON(xe_gt_is_media_type(full_gt));
> +	BUG_ON(xe_gt_is_media_type(full_gt));
>  
>  	return gen8_pde_encode(vm->pt_root[full_gt->info.id]->bo, 0,
>  			       XE_CACHE_WB);
> @@ -1481,7 +1481,7 @@ xe_vm_unbind_vma(struct xe_vma *vma, struct xe_engine *e,
>  		if (!(vma->gt_present & BIT(id)))
>  			goto next;
>  
> -		XE_BUG_ON(xe_gt_is_media_type(gt));
> +		BUG_ON(xe_gt_is_media_type(gt));
>  
>  		fence = __xe_pt_unbind_vma(gt, vma, e, syncs, num_syncs);
>  		if (IS_ERR(fence)) {
> @@ -1553,7 +1553,7 @@ xe_vm_bind_vma(struct xe_vma *vma, struct xe_engine *e,
>  		if (!(vma->gt_mask & BIT(id)))
>  			goto next;
>  
> -		XE_BUG_ON(xe_gt_is_media_type(gt));
> +		BUG_ON(xe_gt_is_media_type(gt));
>  		fence = __xe_pt_bind_vma(gt, vma, e, syncs, num_syncs,
>  					 vma->gt_present & BIT(id));
>  		if (IS_ERR(fence)) {
> @@ -1669,7 +1669,7 @@ int xe_vm_async_fence_wait_start(struct dma_fence *fence)
>  		struct async_op_fence *afence =
>  			container_of(fence, struct async_op_fence, fence);
>  
> -		XE_BUG_ON(xe_vm_no_dma_fences(afence->vm));
> +		BUG_ON(xe_vm_no_dma_fences(afence->vm));
>  
>  		smp_rmb();
>  		return wait_event_interruptible(afence->wq, afence->started);
> @@ -1950,7 +1950,7 @@ static int xe_vm_prefetch(struct xe_vm *vm, struct xe_vma *vma,
>  {
>  	int err;
>  
> -	XE_BUG_ON(region > ARRAY_SIZE(region_to_mem_type));
> +	BUG_ON(region > ARRAY_SIZE(region_to_mem_type));
>  
>  	if (!xe_vma_is_userptr(vma)) {
>  		err = xe_bo_migrate(vma->bo, region_to_mem_type[region]);
> @@ -1994,7 +1994,7 @@ static int __vm_bind_ioctl(struct xe_vm *vm, struct xe_vma *vma,
>  				      afence);
>  		break;
>  	default:
> -		XE_BUG_ON("NOT POSSIBLE");
> +		BUG_ON("NOT POSSIBLE");
>  		return -EINVAL;
>  	}
>  }
> @@ -2040,7 +2040,7 @@ static int vm_bind_ioctl(struct xe_vm *vm, struct xe_vma *vma,
>  	int err, i;
>  
>  	lockdep_assert_held(&vm->lock);
> -	XE_BUG_ON(!list_empty(&vma->unbind_link));
> +	BUG_ON(!list_empty(&vma->unbind_link));
>  
>  	/* Binds deferred to faults, signal fences now */
>  	if (xe_vm_in_fault_mode(vm) && is_map_op(bind_op->op) &&
> @@ -2190,7 +2190,7 @@ static void async_op_work_func(struct work_struct *w)
>  
>  					spin_lock_irq(&vm->async_ops.lock);
>  					op = next_async_op(vm);
> -					XE_BUG_ON(!op);
> +					BUG_ON(!op);
>  					list_del_init(&op->link);
>  					spin_unlock_irq(&vm->async_ops.lock);
>  
> @@ -2332,7 +2332,7 @@ static int vm_bind_ioctl_async(struct xe_vm *vm, struct xe_vma *vma,
>  	 * ref count on each rebind.
>  	 */
>  
> -	XE_BUG_ON(VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP &&
> +	BUG_ON(VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP &&
>  		  VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP_ALL &&
>  		  VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_PREFETCH);
>  
> @@ -2511,7 +2511,7 @@ static int __vm_bind_ioctl_lookup_vma(struct xe_vm *vm, struct xe_bo *bo,
>  	case XE_VM_BIND_OP_UNMAP_ALL:
>  		break;
>  	default:
> -		XE_BUG_ON("NOT POSSIBLE");
> +		BUG_ON("NOT POSSIBLE");
>  		return -EINVAL;
>  	}
>  
> @@ -2557,7 +2557,7 @@ static struct xe_vma *vm_unbind_lookup_vmas(struct xe_vm *vm,
>  	bool first_munmap_rebind = false;
>  
>  	lockdep_assert_held(&vm->lock);
> -	XE_BUG_ON(!vma);
> +	BUG_ON(!vma);
>  
>  	node = &vma->vm_node;
>  	while ((node = rb_next(node))) {
> @@ -2774,7 +2774,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
>  
>  	switch (VM_BIND_OP(op)) {
>  	case XE_VM_BIND_OP_MAP:
> -		XE_BUG_ON(!bo);
> +		BUG_ON(!bo);
>  
>  		err = xe_bo_lock(bo, &ww, 0, true);
>  		if (err)
> @@ -2806,7 +2806,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
>  		vma = vm_prefetch_lookup_vmas(vm, &lookup, region);
>  		break;
>  	case XE_VM_BIND_OP_UNMAP_ALL:
> -		XE_BUG_ON(!bo);
> +		BUG_ON(!bo);
>  
>  		err = xe_bo_lock(bo, &ww, 0, true);
>  		if (err)
> @@ -2817,7 +2817,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
>  		xe_bo_unlock(bo, &ww);
>  		break;
>  	case XE_VM_BIND_OP_MAP_USERPTR:
> -		XE_BUG_ON(bo);
> +		BUG_ON(bo);
>  
>  		vma = xe_vma_create(vm, NULL, bo_offset_or_userptr, addr,
>  				    addr + range - 1,
> @@ -2837,7 +2837,7 @@ static struct xe_vma *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
>  		}
>  		break;
>  	default:
> -		XE_BUG_ON("NOT POSSIBLE");
> +		BUG_ON("NOT POSSIBLE");
>  		vma = ERR_PTR(-EINVAL);
>  	}
>  
> @@ -3213,7 +3213,7 @@ int xe_vm_bind_ioctl(struct drm_device *dev, void *data, struct drm_file *file)
>  			if (err)
>  				break;
>  		} else {
> -			XE_BUG_ON(j != 0);	/* Not supported */
> +			BUG_ON(j != 0);	/* Not supported */
>  			err = vm_bind_ioctl(vm, vmas[j], e, bos[j],
>  					    bind_ops + j, __syncs,
>  					    __num_syncs, NULL);
> @@ -3295,7 +3295,7 @@ int xe_vm_lock(struct xe_vm *vm, struct ww_acquire_ctx *ww,
>  	LIST_HEAD(objs);
>  	LIST_HEAD(dups);
>  
> -	XE_BUG_ON(!ww);
> +	BUG_ON(!ww);
>  
>  	tv_vm.num_shared = num_resv;
>  	tv_vm.bo = xe_vm_ttm_bo(vm);;
> @@ -3329,7 +3329,7 @@ int xe_vm_invalidate_vma(struct xe_vma *vma)
>  	u8 id;
>  	int ret;
>  
> -	XE_BUG_ON(!xe_vm_in_fault_mode(vma->vm));
> +	BUG_ON(!xe_vm_in_fault_mode(vma->vm));
>  	trace_xe_vma_usm_invalidate(vma);
>  
>  	/* Check that we don't race with page-table updates */
> diff --git a/drivers/gpu/drm/xe/xe_wait_user_fence.c b/drivers/gpu/drm/xe/xe_wait_user_fence.c
> index 15c2e5aa08d2..f85debe599df 100644
> --- a/drivers/gpu/drm/xe/xe_wait_user_fence.c
> +++ b/drivers/gpu/drm/xe/xe_wait_user_fence.c
> @@ -44,7 +44,7 @@ static int do_compare(u64 addr, u64 value, u64 mask, u16 op)
>  		passed = (rvalue & mask) <= (value & mask);
>  		break;
>  	default:
> -		XE_BUG_ON("Not possible");
> +		BUG_ON("Not possible");
>  	}
>  
>  	return passed ? 0 : 1;
> diff --git a/drivers/gpu/drm/xe/xe_wopcm.c b/drivers/gpu/drm/xe/xe_wopcm.c
> index c8cc3f5e6154..53be0c9fc374 100644
> --- a/drivers/gpu/drm/xe/xe_wopcm.c
> +++ b/drivers/gpu/drm/xe/xe_wopcm.c
> @@ -146,10 +146,10 @@ static int __wopcm_init_regs(struct xe_device *xe, struct xe_gt *gt,
>  	u32 mask;
>  	int err;
>  
> -	XE_BUG_ON(!(base & GUC_WOPCM_OFFSET_MASK));
> -	XE_BUG_ON(base & ~GUC_WOPCM_OFFSET_MASK);
> -	XE_BUG_ON(!(size & GUC_WOPCM_SIZE_MASK));
> -	XE_BUG_ON(size & ~GUC_WOPCM_SIZE_MASK);
> +	BUG_ON(!(base & GUC_WOPCM_OFFSET_MASK));
> +	BUG_ON(base & ~GUC_WOPCM_OFFSET_MASK);
> +	BUG_ON(!(size & GUC_WOPCM_SIZE_MASK));
> +	BUG_ON(size & ~GUC_WOPCM_SIZE_MASK);
>  
>  	mask = GUC_WOPCM_SIZE_MASK | GUC_WOPCM_SIZE_LOCKED;
>  	err = xe_mmio_write32_and_verify(gt, GUC_WOPCM_SIZE.reg, size, mask,
> @@ -215,9 +215,9 @@ int xe_wopcm_init(struct xe_wopcm *wopcm)
>  	drm_dbg(&xe->drm, "WOPCM: %uK\n", wopcm->size / SZ_1K);
>  
>  	xe_force_wake_assert_held(gt_to_fw(gt), XE_FW_GT);
> -	XE_BUG_ON(guc_fw_size >= wopcm->size);
> -	XE_BUG_ON(huc_fw_size >= wopcm->size);
> -	XE_BUG_ON(ctx_rsvd + WOPCM_RESERVED_SIZE >= wopcm->size);
> +	BUG_ON(guc_fw_size >= wopcm->size);
> +	BUG_ON(huc_fw_size >= wopcm->size);
> +	BUG_ON(ctx_rsvd + WOPCM_RESERVED_SIZE >= wopcm->size);
>  
>  	locked = __wopcm_regs_locked(gt, &guc_wopcm_base, &guc_wopcm_size);
>  	if (locked) {
> @@ -258,8 +258,8 @@ int xe_wopcm_init(struct xe_wopcm *wopcm)
>  			   guc_fw_size, huc_fw_size)) {
>  		wopcm->guc.base = guc_wopcm_base;
>  		wopcm->guc.size = guc_wopcm_size;
> -		XE_BUG_ON(!wopcm->guc.base);
> -		XE_BUG_ON(!wopcm->guc.size);
> +		BUG_ON(!wopcm->guc.base);
> +		BUG_ON(!wopcm->guc.size);
>  	} else {
>  		drm_notice(&xe->drm, "Unsuccessful WOPCM partitioning\n");
>  		return -E2BIG;
> -- 
> 2.39.2
> 

-- 
Matt Roper
Graphics Software Engineer
Linux GPU Platform Enablement
Intel Corporation

^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON.
  2023-03-28 20:24   ` Matt Roper
@ 2023-03-28 20:27     ` Vivi, Rodrigo
  2023-03-28 21:03       ` Michal Wajdeczko
  0 siblings, 1 reply; 17+ messages in thread
From: Vivi, Rodrigo @ 2023-03-28 20:27 UTC (permalink / raw)
  To: Roper, Matthew D; +Cc: intel-xe

On Tue, 2023-03-28 at 13:24 -0700, Matt Roper wrote:
> On Tue, Mar 28, 2023 at 12:10:20PM -0400, Rodrigo Vivi wrote:
> > If that becomes needed for some reason we bring it
> > back with some written reasoning.
> 
> From a quick skim through this patch, most/all of these shouldn't be
> BUG_ON either.  These are assertions that we don't expect to get
> triggered, but if we do screw up somewhere we shouldn't be bringing
> down
> the entire machine; a WARN (and possibly an early exit) would be more
> appropriate for most of these.

yeap! I fully agree on that. I get frustrated when I hit one of these
BUG_ONs that should be a graceful exit with a warn without a panic...


> 
> 
> Matt
> 
> > 
> > Signed-off-by: Rodrigo Vivi <rodrigo.vivi@intel.com>
> > ---
> >  drivers/gpu/drm/xe/display/xe_de.h          |  2 +-
> >  drivers/gpu/drm/xe/xe_bb.c                  |  4 +-
> >  drivers/gpu/drm/xe/xe_bo.c                  | 52 +++++++++--------
> > -
> >  drivers/gpu/drm/xe/xe_bo.h                  |  8 +--
> >  drivers/gpu/drm/xe/xe_bo_evict.c            |  4 +-
> >  drivers/gpu/drm/xe/xe_device.h              |  6 +--
> >  drivers/gpu/drm/xe/xe_execlist.c            | 14 ++---
> >  drivers/gpu/drm/xe/xe_force_wake.c          |  4 +-
> >  drivers/gpu/drm/xe/xe_force_wake.h          |  4 +-
> >  drivers/gpu/drm/xe/xe_ggtt.c                | 10 ++--
> >  drivers/gpu/drm/xe/xe_gt.c                  |  6 +--
> >  drivers/gpu/drm/xe/xe_gt_clock.c            |  4 +-
> >  drivers/gpu/drm/xe/xe_gt_debugfs.c          |  2 +-
> >  drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c | 12 ++---
> >  drivers/gpu/drm/xe/xe_guc.c                 | 22 ++++----
> >  drivers/gpu/drm/xe/xe_guc_ads.c             | 14 ++---
> >  drivers/gpu/drm/xe/xe_guc_ct.c              | 22 ++++----
> >  drivers/gpu/drm/xe/xe_guc_hwconfig.c        |  2 +-
> >  drivers/gpu/drm/xe/xe_guc_log.c             |  4 +-
> >  drivers/gpu/drm/xe/xe_guc_submit.c          | 44 +++++++--------
> >  drivers/gpu/drm/xe/xe_huc.c                 |  2 +-
> >  drivers/gpu/drm/xe/xe_hw_engine.c           | 10 ++--
> >  drivers/gpu/drm/xe/xe_hw_fence.c            |  2 +-
> >  drivers/gpu/drm/xe/xe_lrc.c                 |  8 +--
> >  drivers/gpu/drm/xe/xe_macros.h              |  1 -
> >  drivers/gpu/drm/xe/xe_migrate.c             | 32 +++++------
> >  drivers/gpu/drm/xe/xe_mmio.c                |  2 +-
> >  drivers/gpu/drm/xe/xe_pt.c                  | 32 +++++------
> >  drivers/gpu/drm/xe/xe_res_cursor.h          | 10 ++--
> >  drivers/gpu/drm/xe/xe_ring_ops.c            |  8 +--
> >  drivers/gpu/drm/xe/xe_sched_job.c           |  2 +-
> >  drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c         |  2 +-
> >  drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c      |  4 +-
> >  drivers/gpu/drm/xe/xe_ttm_vram_mgr.c        |  2 +-
> >  drivers/gpu/drm/xe/xe_uc_fw.c               | 16 +++---
> >  drivers/gpu/drm/xe/xe_uc_fw.h               |  2 +-
> >  drivers/gpu/drm/xe/xe_vm.c                  | 60 ++++++++++-------
> > ----
> >  drivers/gpu/drm/xe/xe_wait_user_fence.c     |  2 +-
> >  drivers/gpu/drm/xe/xe_wopcm.c               | 18 +++----
> >  39 files changed, 227 insertions(+), 228 deletions(-)
> > 
> > diff --git a/drivers/gpu/drm/xe/display/xe_de.h
> > b/drivers/gpu/drm/xe/display/xe_de.h
> > index 9f92fdb4159a..c4ed0e7d9997 100644
> > --- a/drivers/gpu/drm/xe/display/xe_de.h
> > +++ b/drivers/gpu/drm/xe/display/xe_de.h
> > @@ -115,7 +115,7 @@ intel_de_write_samevalue(struct
> > drm_i915_private *i915, i915_reg_t reg)
> >          * Not implemented, requires lock on all reads/writes.
> >          * only required for really old FBC. Not ever going to be
> > needed.
> >          */
> > -       XE_BUG_ON(1);
> > +       BUG_ON(1);
> >  }
> >  
> >  static inline u32
> > diff --git a/drivers/gpu/drm/xe/xe_bb.c
> > b/drivers/gpu/drm/xe/xe_bb.c
> > index 5b24018e2a80..5caefc03a431 100644
> > --- a/drivers/gpu/drm/xe/xe_bb.c
> > +++ b/drivers/gpu/drm/xe/xe_bb.c
> > @@ -42,7 +42,7 @@ __xe_bb_create_job(struct xe_engine *kernel_eng,
> > struct xe_bb *bb, u64 *addr)
> >  {
> >         u32 size = drm_suballoc_size(bb->bo);
> >  
> > -       XE_BUG_ON((bb->len * 4 + 1) > size);
> > +       BUG_ON((bb->len * 4 + 1) > size);
> >  
> >         bb->cs[bb->len++] = MI_BATCH_BUFFER_END;
> >  
> > @@ -56,7 +56,7 @@ struct xe_sched_job *xe_bb_create_wa_job(struct
> > xe_engine *wa_eng,
> >  {
> >         u64 addr = batch_base_ofs + drm_suballoc_soffset(bb->bo);
> >  
> > -       XE_BUG_ON(!(wa_eng->vm->flags & XE_VM_FLAG_MIGRATION));
> > +       BUG_ON(!(wa_eng->vm->flags & XE_VM_FLAG_MIGRATION));
> >  
> >         return __xe_bb_create_job(wa_eng, bb, &addr);
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_bo.c
> > b/drivers/gpu/drm/xe/xe_bo.c
> > index eb00b0a67abe..db360c4e0382 100644
> > --- a/drivers/gpu/drm/xe/xe_bo.c
> > +++ b/drivers/gpu/drm/xe/xe_bo.c
> > @@ -74,7 +74,7 @@ static bool xe_bo_is_user(struct xe_bo *bo)
> >  static struct xe_gt *
> >  mem_type_to_gt(struct xe_device *xe, u32 mem_type)
> >  {
> > -       XE_BUG_ON(mem_type != XE_PL_STOLEN &&
> > !mem_type_is_vram(mem_type));
> > +       BUG_ON(mem_type != XE_PL_STOLEN &&
> > !mem_type_is_vram(mem_type));
> >  
> >         return xe_device_get_gt(xe, mem_type == XE_PL_STOLEN ? 0 :
> > (mem_type - XE_PL_VRAM0));
> >  }
> > @@ -111,7 +111,7 @@ static void add_vram(struct xe_device *xe,
> > struct xe_bo *bo,
> >  {
> >         struct xe_gt *gt = mem_type_to_gt(xe, mem_type);
> >  
> > -       XE_BUG_ON(!gt->mem.vram.size);
> > +       BUG_ON(!gt->mem.vram.size);
> >  
> >         places[*c] = (struct ttm_place) {
> >                 .mem_type = mem_type,
> > @@ -246,7 +246,7 @@ static int xe_tt_map_sg(struct ttm_tt *tt)
> >         unsigned long num_pages = tt->num_pages;
> >         int ret;
> >  
> > -       XE_BUG_ON(tt->page_flags & TTM_TT_FLAG_EXTERNAL);
> > +       BUG_ON(tt->page_flags & TTM_TT_FLAG_EXTERNAL);
> >  
> >         if (xe_tt->sg)
> >                 return 0;
> > @@ -481,8 +481,8 @@ static int xe_bo_move_dmabuf(struct
> > ttm_buffer_object *ttm_bo,
> >                                                ttm);
> >         struct sg_table *sg;
> >  
> > -       XE_BUG_ON(!attach);
> > -       XE_BUG_ON(!ttm_bo->ttm);
> > +       BUG_ON(!attach);
> > +       BUG_ON(!ttm_bo->ttm);
> >  
> >         if (new_res->mem_type == XE_PL_SYSTEM)
> >                 goto out;
> > @@ -636,8 +636,8 @@ static int xe_bo_move(struct ttm_buffer_object
> > *ttm_bo, bool evict,
> >         else if (resource_is_vram(old_mem))
> >                 gt = mem_type_to_gt(xe, old_mem->mem_type);
> >  
> > -       XE_BUG_ON(!gt);
> > -       XE_BUG_ON(!gt->migrate);
> > +       BUG_ON(!gt);
> > +       BUG_ON(!gt->migrate);
> >  
> >         trace_xe_bo_move(bo);
> >         xe_device_mem_access_get(xe);
> > @@ -667,7 +667,7 @@ static int xe_bo_move(struct ttm_buffer_object
> > *ttm_bo, bool evict,
> >                                         goto out;
> >                                 }
> >  
> > -                               XE_BUG_ON(new_mem->start !=
> > +                               BUG_ON(new_mem->start !=
> >                                           bo->placements->fpfn);
> >  
> >                                 iosys_map_set_vaddr_iomem(&bo-
> > >vmap, new_addr);
> > @@ -964,7 +964,7 @@ struct xe_bo *__xe_bo_create_locked(struct
> > xe_device *xe, struct xe_bo *bo,
> >         int err;
> >  
> >         /* Only kernel objects should set GT */
> > -       XE_BUG_ON(gt && type != ttm_bo_type_kernel);
> > +       BUG_ON(gt && type != ttm_bo_type_kernel);
> >  
> >         if (WARN_ON(!size))
> >                 return ERR_PTR(-EINVAL);
> > @@ -1104,7 +1104,7 @@ xe_bo_create_locked_range(struct xe_device
> > *xe,
> >                 if (!gt && flags & XE_BO_CREATE_STOLEN_BIT)
> >                         gt = xe_device_get_gt(xe, 0);
> >  
> > -               XE_BUG_ON(!gt);
> > +               BUG_ON(!gt);
> >  
> >                 if (flags & XE_BO_FIXED_PLACEMENT_BIT) {
> >                         err = xe_ggtt_insert_bo_at(gt->mem.ggtt,
> > bo,
> > @@ -1233,8 +1233,8 @@ int xe_bo_pin_external(struct xe_bo *bo)
> >         struct xe_device *xe = xe_bo_device(bo);
> >         int err;
> >  
> > -       XE_BUG_ON(bo->vm);
> > -       XE_BUG_ON(!xe_bo_is_user(bo));
> > +       BUG_ON(bo->vm);
> > +       BUG_ON(!xe_bo_is_user(bo));
> >  
> >         if (!xe_bo_is_pinned(bo)) {
> >                 err = xe_bo_validate(bo, NULL, false);
> > @@ -1266,20 +1266,20 @@ int xe_bo_pin(struct xe_bo *bo)
> >         int err;
> >  
> >         /* We currently don't expect user BO to be pinned */
> > -       XE_BUG_ON(xe_bo_is_user(bo));
> > +       BUG_ON(xe_bo_is_user(bo));
> >  
> >         /* Pinned object must be in GGTT or have pinned flag */
> > -       XE_BUG_ON(!(bo->flags & (XE_BO_CREATE_PINNED_BIT |
> > +       BUG_ON(!(bo->flags & (XE_BO_CREATE_PINNED_BIT |
> >                                  XE_BO_CREATE_GGTT_BIT)));
> >  
> >         /*
> >          * No reason we can't support pinning imported dma-bufs we
> > just don't
> >          * expect to pin an imported dma-buf.
> >          */
> > -       XE_BUG_ON(bo->ttm.base.import_attach);
> > +       BUG_ON(bo->ttm.base.import_attach);
> >  
> >         /* We only expect at most 1 pin */
> > -       XE_BUG_ON(xe_bo_is_pinned(bo));
> > +       BUG_ON(xe_bo_is_pinned(bo));
> >  
> >         err = xe_bo_validate(bo, NULL, false);
> >         if (err)
> > @@ -1296,7 +1296,7 @@ int xe_bo_pin(struct xe_bo *bo)
> >                 bool vram;
> >  
> >                 if (mem_type_is_vram(place->mem_type)) {
> > -                       XE_BUG_ON(!(place->flags &
> > TTM_PL_FLAG_CONTIGUOUS));
> > +                       BUG_ON(!(place->flags &
> > TTM_PL_FLAG_CONTIGUOUS));
> >  
> >                         place->fpfn = (xe_bo_addr(bo, 0, PAGE_SIZE,
> > &vram) -
> >                                        vram_region_io_offset(bo))
> > >> PAGE_SHIFT;
> > @@ -1333,9 +1333,9 @@ void xe_bo_unpin_external(struct xe_bo *bo)
> >  {
> >         struct xe_device *xe = xe_bo_device(bo);
> >  
> > -       XE_BUG_ON(bo->vm);
> > -       XE_BUG_ON(!xe_bo_is_pinned(bo));
> > -       XE_BUG_ON(!xe_bo_is_user(bo));
> > +       BUG_ON(bo->vm);
> > +       BUG_ON(!xe_bo_is_pinned(bo));
> > +       BUG_ON(!xe_bo_is_user(bo));
> >  
> >         if (bo->ttm.pin_count == 1 && !list_empty(&bo-
> > >pinned_link)) {
> >                 spin_lock(&xe->pinned.lock);
> > @@ -1356,15 +1356,15 @@ void xe_bo_unpin(struct xe_bo *bo)
> >  {
> >         struct xe_device *xe = xe_bo_device(bo);
> >  
> > -       XE_BUG_ON(bo->ttm.base.import_attach);
> > -       XE_BUG_ON(!xe_bo_is_pinned(bo));
> > +       BUG_ON(bo->ttm.base.import_attach);
> > +       BUG_ON(!xe_bo_is_pinned(bo));
> >  
> >         if (IS_DGFX(xe) && !(IS_ENABLED(CONFIG_DRM_XE_DEBUG) &&
> >             bo->flags & XE_BO_INTERNAL_TEST)) {
> >                 struct ttm_place *place = &(bo->placements[0]);
> >  
> >                 if (mem_type_is_vram(place->mem_type)) {
> > -                       XE_BUG_ON(list_empty(&bo->pinned_link));
> > +                       BUG_ON(list_empty(&bo->pinned_link));
> >  
> >                         spin_lock(&xe->pinned.lock);
> >                         list_del_init(&bo->pinned_link);
> > @@ -1426,14 +1426,14 @@ dma_addr_t xe_bo_addr(struct xe_bo *bo, u64
> > offset,
> >         if (!READ_ONCE(bo->ttm.pin_count))
> >                 xe_bo_assert_held(bo);
> >  
> > -       XE_BUG_ON(page_size > PAGE_SIZE);
> > +       BUG_ON(page_size > PAGE_SIZE);
> >         page = offset >> PAGE_SHIFT;
> >         offset &= (PAGE_SIZE - 1);
> >  
> >         *is_vram = xe_bo_is_vram(bo);
> >  
> >         if (!*is_vram && !xe_bo_is_stolen(bo)) {
> > -               XE_BUG_ON(!bo->ttm.ttm);
> > +               BUG_ON(!bo->ttm.ttm);
> >  
> >                 xe_res_first_sg(xe_bo_get_sg(bo), page <<
> > PAGE_SHIFT,
> >                                 page_size, &cur);
> > @@ -1599,7 +1599,7 @@ int xe_bo_lock(struct xe_bo *bo, struct
> > ww_acquire_ctx *ww,
> >         LIST_HEAD(objs);
> >         LIST_HEAD(dups);
> >  
> > -       XE_BUG_ON(!ww);
> > +       BUG_ON(!ww);
> >  
> >         tv_bo.num_shared = num_resv;
> >         tv_bo.bo = &bo->ttm;;
> > diff --git a/drivers/gpu/drm/xe/xe_bo.h
> > b/drivers/gpu/drm/xe/xe_bo.h
> > index 9b26049521de..169c80996b26 100644
> > --- a/drivers/gpu/drm/xe/xe_bo.h
> > +++ b/drivers/gpu/drm/xe/xe_bo.h
> > @@ -149,7 +149,7 @@ void xe_bo_unlock(struct xe_bo *bo, struct
> > ww_acquire_ctx *ww);
> >  static inline void xe_bo_unlock_vm_held(struct xe_bo *bo)
> >  {
> >         if (bo) {
> > -               XE_BUG_ON(bo->vm && bo->ttm.base.resv != &bo->vm-
> > >resv);
> > +               BUG_ON(bo->vm && bo->ttm.base.resv != &bo->vm-
> > >resv);
> >                 if (bo->vm)
> >                         xe_vm_assert_held(bo->vm);
> >                 else
> > @@ -161,7 +161,7 @@ static inline void xe_bo_lock_no_vm(struct
> > xe_bo *bo,
> >                                     struct ww_acquire_ctx *ctx)
> >  {
> >         if (bo) {
> > -               XE_BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg
> > &&
> > +               BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
> >                                      bo->ttm.base.resv != &bo-
> > >ttm.base._resv));
> >                 dma_resv_lock(bo->ttm.base.resv, ctx);
> >         }
> > @@ -170,7 +170,7 @@ static inline void xe_bo_lock_no_vm(struct
> > xe_bo *bo,
> >  static inline void xe_bo_unlock_no_vm(struct xe_bo *bo)
> >  {
> >         if (bo) {
> > -               XE_BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg
> > &&
> > +               BUG_ON(bo->vm || (bo->ttm.type != ttm_bo_type_sg &&
> >                                      bo->ttm.base.resv != &bo-
> > >ttm.base._resv));
> >                 dma_resv_unlock(bo->ttm.base.resv);
> >         }
> > @@ -213,7 +213,7 @@ xe_bo_main_addr(struct xe_bo *bo, size_t
> > page_size)
> >  static inline u32
> >  xe_bo_ggtt_addr(struct xe_bo *bo)
> >  {
> > -       XE_BUG_ON(bo->ggtt_node.start + bo->ggtt_node.size > (1ull
> > << 32));
> > +       BUG_ON(bo->ggtt_node.start + bo->ggtt_node.size > (1ull <<
> > 32));
> >         return bo->ggtt_node.start;
> >  }
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_bo_evict.c
> > b/drivers/gpu/drm/xe/xe_bo_evict.c
> > index bbf89a58cdf5..b305d16a67ac 100644
> > --- a/drivers/gpu/drm/xe/xe_bo_evict.c
> > +++ b/drivers/gpu/drm/xe/xe_bo_evict.c
> > @@ -158,8 +158,8 @@ int xe_bo_restore_kernel(struct xe_device *xe)
> >                  * We expect validate to trigger a move VRAM and
> > our move code
> >                  * should setup the iosys map.
> >                  */
> > -               XE_BUG_ON(iosys_map_is_null(&bo->vmap));
> > -               XE_BUG_ON(!xe_bo_is_vram(bo));
> > +               BUG_ON(iosys_map_is_null(&bo->vmap));
> > +               BUG_ON(!xe_bo_is_vram(bo));
> >  
> >                 xe_bo_put(bo);
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_device.h
> > b/drivers/gpu/drm/xe/xe_device.h
> > index 5f725ed2ca6b..518ce3f0bc36 100644
> > --- a/drivers/gpu/drm/xe/xe_device.h
> > +++ b/drivers/gpu/drm/xe/xe_device.h
> > @@ -52,10 +52,10 @@ static inline struct xe_gt
> > *xe_device_get_gt(struct xe_device *xe, u8 gt_id)
> >  {
> >         struct xe_gt *gt;
> >  
> > -       XE_BUG_ON(gt_id > XE_MAX_GT);
> > +       BUG_ON(gt_id > XE_MAX_GT);
> >         gt = xe->gt + gt_id;
> > -       XE_BUG_ON(gt->info.id != gt_id);
> > -       XE_BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
> > +       BUG_ON(gt->info.id != gt_id);
> > +       BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
> >  
> >         return gt;
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_execlist.c
> > b/drivers/gpu/drm/xe/xe_execlist.c
> > index 127ca8c6e279..a1e49e858531 100644
> > --- a/drivers/gpu/drm/xe/xe_execlist.c
> > +++ b/drivers/gpu/drm/xe/xe_execlist.c
> > @@ -52,10 +52,10 @@ static void __start_lrc(struct xe_hw_engine
> > *hwe, struct xe_lrc *lrc,
> >         lrc_desc = xe_lrc_descriptor(lrc);
> >  
> >         if (GRAPHICS_VERx100(xe) >= 1250) {
> > -               XE_BUG_ON(!FIELD_FIT(XEHP_SW_CTX_ID, ctx_id));
> > +               BUG_ON(!FIELD_FIT(XEHP_SW_CTX_ID, ctx_id));
> >                 lrc_desc |= FIELD_PREP(XEHP_SW_CTX_ID, ctx_id);
> >         } else {
> > -               XE_BUG_ON(!FIELD_FIT(GEN11_SW_CTX_ID, ctx_id));
> > +               BUG_ON(!FIELD_FIT(GEN11_SW_CTX_ID, ctx_id));
> >                 lrc_desc |= FIELD_PREP(GEN11_SW_CTX_ID, ctx_id);
> >         }
> >  
> > @@ -221,9 +221,9 @@ static void xe_execlist_make_active(struct
> > xe_execlist_engine *exl)
> >         struct xe_execlist_port *port = exl->port;
> >         enum drm_sched_priority priority = exl->entity.priority;
> >  
> > -       XE_BUG_ON(priority == DRM_SCHED_PRIORITY_UNSET);
> > -       XE_BUG_ON(priority < 0);
> > -       XE_BUG_ON(priority >= ARRAY_SIZE(exl->port->active));
> > +       BUG_ON(priority == DRM_SCHED_PRIORITY_UNSET);
> > +       BUG_ON(priority < 0);
> > +       BUG_ON(priority >= ARRAY_SIZE(exl->port->active));
> >  
> >         spin_lock_irq(&port->lock);
> >  
> > @@ -328,7 +328,7 @@ static int execlist_engine_init(struct
> > xe_engine *e)
> >         struct xe_execlist_engine *exl;
> >         int err;
> >  
> > -       XE_BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e-
> > >gt)));
> > +       BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
> >  
> >         exl = kzalloc(sizeof(*exl), GFP_KERNEL);
> >         if (!exl)
> > @@ -393,7 +393,7 @@ static void execlist_engine_fini_async(struct
> > work_struct *w)
> >         struct xe_execlist_engine *exl = e->execlist;
> >         unsigned long flags;
> >  
> > -       XE_BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e-
> > >gt)));
> > +       BUG_ON(xe_device_guc_submission_enabled(gt_to_xe(e->gt)));
> >  
> >         spin_lock_irqsave(&exl->port->lock, flags);
> >         if (WARN_ON(exl->active_priority !=
> > DRM_SCHED_PRIORITY_UNSET))
> > diff --git a/drivers/gpu/drm/xe/xe_force_wake.c
> > b/drivers/gpu/drm/xe/xe_force_wake.c
> > index 77a210acfac3..7a3f477bd9a0 100644
> > --- a/drivers/gpu/drm/xe/xe_force_wake.c
> > +++ b/drivers/gpu/drm/xe/xe_force_wake.c
> > @@ -44,7 +44,7 @@ void xe_force_wake_init_gt(struct xe_gt *gt,
> > struct xe_force_wake *fw)
> >         mutex_init(&fw->lock);
> >  
> >         /* Assuming gen11+ so assert this assumption is correct */
> > -       XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> > +       BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> >  
> >         if (xe->info.graphics_verx100 >= 1270) {
> >                 domain_init(&fw->domains[XE_FW_DOMAIN_ID_GT],
> > @@ -66,7 +66,7 @@ void xe_force_wake_init_engines(struct xe_gt *gt,
> > struct xe_force_wake *fw)
> >         int i, j;
> >  
> >         /* Assuming gen11+ so assert this assumption is correct */
> > -       XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> > +       BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> >  
> >         if (!xe_gt_is_media_type(gt))
> >                 domain_init(&fw->domains[XE_FW_DOMAIN_ID_RENDER],
> > diff --git a/drivers/gpu/drm/xe/xe_force_wake.h
> > b/drivers/gpu/drm/xe/xe_force_wake.h
> > index 7c534cdd5fe9..2f176e491daf 100644
> > --- a/drivers/gpu/drm/xe/xe_force_wake.h
> > +++ b/drivers/gpu/drm/xe/xe_force_wake.h
> > @@ -24,7 +24,7 @@ static inline int
> >  xe_force_wake_ref(struct xe_force_wake *fw,
> >                   enum xe_force_wake_domains domain)
> >  {
> > -       XE_BUG_ON(!domain);
> > +       BUG_ON(!domain);
> >         return fw->domains[ffs(domain) - 1].ref;
> >  }
> >  
> > @@ -32,7 +32,7 @@ static inline void
> >  xe_force_wake_assert_held(struct xe_force_wake *fw,
> >                           enum xe_force_wake_domains domain)
> >  {
> > -       XE_BUG_ON(!(fw->awake_domains & domain));
> > +       BUG_ON(!(fw->awake_domains & domain));
> >  }
> >  
> >  #endif
> > diff --git a/drivers/gpu/drm/xe/xe_ggtt.c
> > b/drivers/gpu/drm/xe/xe_ggtt.c
> > index bd079e823661..b52ad009c74e 100644
> > --- a/drivers/gpu/drm/xe/xe_ggtt.c
> > +++ b/drivers/gpu/drm/xe/xe_ggtt.c
> > @@ -56,8 +56,8 @@ static unsigned int probe_gsm_size(struct pci_dev
> > *pdev)
> >  
> >  void xe_ggtt_set_pte(struct xe_ggtt *ggtt, u64 addr, u64 pte)
> >  {
> > -       XE_BUG_ON(addr & GEN8_PTE_MASK);
> > -       XE_BUG_ON(addr >= ggtt->size);
> > +       BUG_ON(addr & GEN8_PTE_MASK);
> > +       BUG_ON(addr >= ggtt->size);
> >  
> >         writeq(pte, &ggtt->gsm[addr >> GEN8_PTE_SHIFT]);
> >  }
> > @@ -67,7 +67,7 @@ static void xe_ggtt_clear(struct xe_ggtt *ggtt,
> > u64 start, u64 size)
> >         u64 end = start + size - 1;
> >         u64 scratch_pte;
> >  
> > -       XE_BUG_ON(start >= end);
> > +       BUG_ON(start >= end);
> >  
> >         if (ggtt->scratch)
> >                 scratch_pte = xe_ggtt_pte_encode(ggtt->scratch, 0);
> > @@ -96,7 +96,7 @@ int xe_ggtt_init_noalloc(struct xe_gt *gt, struct
> > xe_ggtt *ggtt)
> >         struct pci_dev *pdev = to_pci_dev(xe->drm.dev);
> >         unsigned int gsm_size;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         ggtt->gt = gt;
> >  
> > @@ -232,7 +232,7 @@ void xe_ggtt_printk(struct xe_ggtt *ggtt, const
> > char *prefix)
> >         for (addr = 0; addr < ggtt->size; addr += GEN8_PAGE_SIZE) {
> >                 unsigned int i = addr / GEN8_PAGE_SIZE;
> >  
> > -               XE_BUG_ON(addr > U32_MAX);
> > +               BUG_ON(addr > U32_MAX);
> >                 if (ggtt->gsm[i] == scratch_pte)
> >                         continue;
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_gt.c
> > b/drivers/gpu/drm/xe/xe_gt.c
> > index 7d8b4cffcc2d..7771008b4fee 100644
> > --- a/drivers/gpu/drm/xe/xe_gt.c
> > +++ b/drivers/gpu/drm/xe/xe_gt.c
> > @@ -48,14 +48,14 @@ struct xe_gt *xe_find_full_gt(struct xe_gt *gt)
> >         struct xe_gt *search;
> >         u8 id;
> >  
> > -       XE_BUG_ON(!xe_gt_is_media_type(gt));
> > +       BUG_ON(!xe_gt_is_media_type(gt));
> >  
> >         for_each_gt(search, gt_to_xe(gt), id) {
> >                 if (search->info.vram_id == gt->info.vram_id)
> >                         return search;
> >         }
> >  
> > -       XE_BUG_ON("NOT POSSIBLE");
> > +       BUG_ON("NOT POSSIBLE");
> >         return NULL;
> >  }
> >  
> > @@ -63,7 +63,7 @@ int xe_gt_alloc(struct xe_device *xe, struct
> > xe_gt *gt)
> >  {
> >         struct drm_device *drm = &xe->drm;
> >  
> > -       XE_BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
> > +       BUG_ON(gt->info.type == XE_GT_TYPE_UNINITIALIZED);
> >  
> >         if (!xe_gt_is_media_type(gt)) {
> >                 gt->mem.ggtt = drmm_kzalloc(drm, sizeof(*gt-
> > >mem.ggtt),
> > diff --git a/drivers/gpu/drm/xe/xe_gt_clock.c
> > b/drivers/gpu/drm/xe/xe_gt_clock.c
> > index 60a2966bc1fd..92686359b315 100644
> > --- a/drivers/gpu/drm/xe/xe_gt_clock.c
> > +++ b/drivers/gpu/drm/xe/xe_gt_clock.c
> > @@ -49,7 +49,7 @@ static u32 get_crystal_clock_freq(u32
> > rpm_config_reg)
> >         case GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ:
> >                 return f25_mhz;
> >         default:
> > -               XE_BUG_ON("NOT_POSSIBLE");
> > +               BUG_ON("NOT_POSSIBLE");
> >                 return 0;
> >         }
> >  }
> > @@ -60,7 +60,7 @@ int xe_gt_clock_init(struct xe_gt *gt)
> >         u32 freq = 0;
> >  
> >         /* Assuming gen11+ so assert this assumption is correct */
> > -       XE_BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> > +       BUG_ON(GRAPHICS_VER(gt_to_xe(gt)) < 11);
> >  
> >         if ((ctc_reg & CTC_SOURCE_PARAMETER_MASK) ==
> > CTC_SOURCE_DIVIDE_LOGIC) {
> >                 freq = read_reference_ts_freq(gt);
> > diff --git a/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > index 639b2486803b..aed49547622c 100644
> > --- a/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > +++ b/drivers/gpu/drm/xe/xe_gt_debugfs.c
> > @@ -145,7 +145,7 @@ void xe_gt_debugfs_register(struct xe_gt *gt)
> >         char name[8];
> >         int i;
> >  
> > -       XE_BUG_ON(!minor->debugfs_root);
> > +       BUG_ON(!minor->debugfs_root);
> >  
> >         sprintf(name, "gt%d", gt->info.id);
> >         root = debugfs_create_dir(name, minor->debugfs_root);
> > diff --git a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > index 6c9a96cf3d5f..ef4a5fc66793 100644
> > --- a/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > +++ b/drivers/gpu/drm/xe/xe_gt_tlb_invalidation.c
> > @@ -194,7 +194,7 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt
> > *gt,
> >         u32 action[MAX_TLB_INVALIDATION_LEN];
> >         int len = 0;
> >  
> > -       XE_BUG_ON(!vma);
> > +       BUG_ON(!vma);
> >  
> >         action[len++] = XE_GUC_ACTION_TLB_INVALIDATION;
> >         action[len++] = 0; /* seqno, replaced in
> > send_tlb_invalidation */
> > @@ -232,10 +232,10 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt
> > *gt,
> >                         start = ALIGN_DOWN(vma->start, length);
> >                 }
> >  
> > -               XE_BUG_ON(length < SZ_4K);
> > -               XE_BUG_ON(!is_power_of_2(length));
> > -               XE_BUG_ON(length & GENMASK(ilog2(SZ_16M) - 1,
> > ilog2(SZ_2M) + 1));
> > -               XE_BUG_ON(!IS_ALIGNED(start, length));
> > +               BUG_ON(length < SZ_4K);
> > +               BUG_ON(!is_power_of_2(length));
> > +               BUG_ON(length & GENMASK(ilog2(SZ_16M) - 1,
> > ilog2(SZ_2M) + 1));
> > +               BUG_ON(!IS_ALIGNED(start, length));
> >  
> >                 action[len++] =
> > MAKE_INVAL_OP(XE_GUC_TLB_INVAL_PAGE_SELECTIVE);
> >                 action[len++] = vma->vm->usm.asid;
> > @@ -244,7 +244,7 @@ int xe_gt_tlb_invalidation_vma(struct xe_gt
> > *gt,
> >                 action[len++] = ilog2(length) - ilog2(SZ_4K);
> >         }
> >  
> > -       XE_BUG_ON(len > MAX_TLB_INVALIDATION_LEN);
> > +       BUG_ON(len > MAX_TLB_INVALIDATION_LEN);
> >  
> >         return send_tlb_invalidation(&gt->uc.guc, fence, action,
> > len);
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_guc.c
> > b/drivers/gpu/drm/xe/xe_guc.c
> > index bccdfb914f08..5c29e1c2de91 100644
> > --- a/drivers/gpu/drm/xe/xe_guc.c
> > +++ b/drivers/gpu/drm/xe/xe_guc.c
> > @@ -41,9 +41,9 @@ static u32 guc_bo_ggtt_addr(struct xe_guc *guc,
> >  {
> >         u32 addr = xe_bo_ggtt_addr(bo);
> >  
> > -       XE_BUG_ON(addr < xe_wopcm_size(guc_to_xe(guc)));
> > -       XE_BUG_ON(addr >= GUC_GGTT_TOP);
> > -       XE_BUG_ON(bo->size > GUC_GGTT_TOP - addr);
> > +       BUG_ON(addr < xe_wopcm_size(guc_to_xe(guc)));
> > +       BUG_ON(addr >= GUC_GGTT_TOP);
> > +       BUG_ON(bo->size > GUC_GGTT_TOP - addr);
> >  
> >         return addr;
> >  }
> > @@ -637,13 +637,13 @@ int xe_guc_mmio_send_recv(struct xe_guc *guc,
> > const u32 *request,
> >         int i;
> >  
> >         BUILD_BUG_ON(GEN11_SOFT_SCRATCH_COUNT !=
> > MEDIA_SOFT_SCRATCH_COUNT);
> > -       XE_BUG_ON(guc->ct.enabled);
> > -       XE_BUG_ON(!len);
> > -       XE_BUG_ON(len > GEN11_SOFT_SCRATCH_COUNT);
> > -       XE_BUG_ON(len > MEDIA_SOFT_SCRATCH_COUNT);
> > -       XE_BUG_ON(FIELD_GET(GUC_HXG_MSG_0_ORIGIN, request[0]) !=
> > +       BUG_ON(guc->ct.enabled);
> > +       BUG_ON(!len);
> > +       BUG_ON(len > GEN11_SOFT_SCRATCH_COUNT);
> > +       BUG_ON(len > MEDIA_SOFT_SCRATCH_COUNT);
> > +       BUG_ON(FIELD_GET(GUC_HXG_MSG_0_ORIGIN, request[0]) !=
> >                   GUC_HXG_ORIGIN_HOST);
> > -       XE_BUG_ON(FIELD_GET(GUC_HXG_MSG_0_TYPE, request[0]) !=
> > +       BUG_ON(FIELD_GET(GUC_HXG_MSG_0_TYPE, request[0]) !=
> >                   GUC_HXG_TYPE_REQUEST);
> >  
> >  retry:
> > @@ -754,8 +754,8 @@ static int guc_self_cfg(struct xe_guc *guc, u16
> > key, u16 len, u64 val)
> >         };
> >         int ret;
> >  
> > -       XE_BUG_ON(len > 2);
> > -       XE_BUG_ON(len == 1 && upper_32_bits(val));
> > +       BUG_ON(len > 2);
> > +       BUG_ON(len == 1 && upper_32_bits(val));
> >  
> >         /* Self config must go over MMIO */
> >         ret = xe_guc_mmio_send(guc, request, ARRAY_SIZE(request));
> > diff --git a/drivers/gpu/drm/xe/xe_guc_ads.c
> > b/drivers/gpu/drm/xe/xe_guc_ads.c
> > index d5a089694f80..cd8de752e660 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_ads.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_ads.c
> > @@ -118,7 +118,7 @@ struct __guc_ads_blob {
> >  
> >  static size_t guc_ads_regset_size(struct xe_guc_ads *ads)
> >  {
> > -       XE_BUG_ON(!ads->regset_size);
> > +       BUG_ON(!ads->regset_size);
> >  
> >         return ads->regset_size;
> >  }
> > @@ -308,7 +308,7 @@ int xe_guc_ads_init_post_hwconfig(struct
> > xe_guc_ads *ads)
> >         struct xe_gt *gt = ads_to_gt(ads);
> >         u32 prev_regset_size = ads->regset_size;
> >  
> > -       XE_BUG_ON(!ads->bo);
> > +       BUG_ON(!ads->bo);
> >  
> >         ads->golden_lrc_size = calculate_golden_lrc_size(ads);
> >         ads->regset_size = calculate_regset_size(gt);
> > @@ -521,7 +521,7 @@ static void guc_mmio_reg_state_init(struct
> > xe_guc_ads *ads)
> >                 regset_used += count * sizeof(struct guc_mmio_reg);
> >         }
> >  
> > -       XE_BUG_ON(regset_used > ads->regset_size);
> > +       BUG_ON(regset_used > ads->regset_size);
> >  }
> >  
> >  static void guc_um_init_params(struct xe_guc_ads *ads)
> > @@ -577,7 +577,7 @@ void xe_guc_ads_populate_minimal(struct
> > xe_guc_ads *ads)
> >                         offsetof(struct __guc_ads_blob,
> > system_info));
> >         u32 base = xe_bo_ggtt_addr(ads->bo);
> >  
> > -       XE_BUG_ON(!ads->bo);
> > +       BUG_ON(!ads->bo);
> >  
> >         xe_map_memset(ads_to_xe(ads), ads_to_map(ads), 0, 0, ads-
> > >bo->size);
> >         guc_policies_init(ads);
> > @@ -601,7 +601,7 @@ void xe_guc_ads_populate(struct xe_guc_ads
> > *ads)
> >                         offsetof(struct __guc_ads_blob,
> > system_info));
> >         u32 base = xe_bo_ggtt_addr(ads->bo);
> >  
> > -       XE_BUG_ON(!ads->bo);
> > +       BUG_ON(!ads->bo);
> >  
> >         xe_map_memset(ads_to_xe(ads), ads_to_map(ads), 0, 0, ads-
> > >bo->size);
> >         guc_policies_init(ads);
> > @@ -651,7 +651,7 @@ static void guc_populate_golden_lrc(struct
> > xe_guc_ads *ads)
> >                                   
> > engine_enabled_masks[guc_class]))
> >                         continue;
> >  
> > -               XE_BUG_ON(!gt->default_lrc[class]);
> > +               BUG_ON(!gt->default_lrc[class]);
> >  
> >                 real_size = xe_lrc_size(xe, class);
> >                 alloc_size = PAGE_ALIGN(real_size);
> > @@ -680,7 +680,7 @@ static void guc_populate_golden_lrc(struct
> > xe_guc_ads *ads)
> >                 offset += alloc_size;
> >         }
> >  
> > -       XE_BUG_ON(total_size != ads->golden_lrc_size);
> > +       BUG_ON(total_size != ads->golden_lrc_size);
> >  }
> >  
> >  void xe_guc_ads_populate_post_load(struct xe_guc_ads *ads)
> > diff --git a/drivers/gpu/drm/xe/xe_guc_ct.c
> > b/drivers/gpu/drm/xe/xe_guc_ct.c
> > index fec09ba412a8..70edffe1e377 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_ct.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_ct.c
> > @@ -134,7 +134,7 @@ int xe_guc_ct_init(struct xe_guc_ct *ct)
> >         struct xe_bo *bo;
> >         int err;
> >  
> > -       XE_BUG_ON(guc_ct_size() % PAGE_SIZE);
> > +       BUG_ON(guc_ct_size() % PAGE_SIZE);
> >  
> >         mutex_init(&ct->lock);
> >         spin_lock_init(&ct->fast_lock);
> > @@ -280,7 +280,7 @@ int xe_guc_ct_enable(struct xe_guc_ct *ct)
> >         struct xe_device *xe = ct_to_xe(ct);
> >         int err;
> >  
> > -       XE_BUG_ON(ct->enabled);
> > +       BUG_ON(ct->enabled);
> >  
> >         guc_ct_ctb_h2g_init(xe, &ct->ctbs.h2g, &ct->bo->vmap);
> >         guc_ct_ctb_g2h_init(xe, &ct->ctbs.g2h, &ct->bo->vmap);
> > @@ -365,7 +365,7 @@ static void h2g_reserve_space(struct xe_guc_ct
> > *ct, u32 cmd_len)
> >  
> >  static void g2h_reserve_space(struct xe_guc_ct *ct, u32 g2h_len,
> > u32 num_g2h)
> >  {
> > -       XE_BUG_ON(g2h_len > ct->ctbs.g2h.space);
> > +       BUG_ON(g2h_len > ct->ctbs.g2h.space);
> >  
> >         if (g2h_len) {
> >                 spin_lock_irq(&ct->fast_lock);
> > @@ -405,8 +405,8 @@ static int h2g_write(struct xe_guc_ct *ct,
> > const u32 *action, u32 len,
> >                                                          tail *
> > sizeof(u32));
> >  
> >         lockdep_assert_held(&ct->lock);
> > -       XE_BUG_ON(len * sizeof(u32) > GUC_CTB_MSG_MAX_LEN);
> > -       XE_BUG_ON(tail > h2g->size);
> > +       BUG_ON(len * sizeof(u32) > GUC_CTB_MSG_MAX_LEN);
> > +       BUG_ON(tail > h2g->size);
> >  
> >         /* Command will wrap, zero fill (NOPs), return and check
> > credits again */
> >         if (tail + cmd_len > h2g->size) {
> > @@ -460,10 +460,10 @@ static int __guc_ct_send_locked(struct
> > xe_guc_ct *ct, const u32 *action,
> >  {
> >         int ret;
> >  
> > -       XE_BUG_ON(g2h_len && g2h_fence);
> > -       XE_BUG_ON(num_g2h && g2h_fence);
> > -       XE_BUG_ON(g2h_len && !num_g2h);
> > -       XE_BUG_ON(!g2h_len && num_g2h);
> > +       BUG_ON(g2h_len && g2h_fence);
> > +       BUG_ON(num_g2h && g2h_fence);
> > +       BUG_ON(g2h_len && !num_g2h);
> > +       BUG_ON(!g2h_len && num_g2h);
> >         lockdep_assert_held(&ct->lock);
> >  
> >         if (unlikely(ct->ctbs.h2g.broken)) {
> > @@ -534,7 +534,7 @@ static int guc_ct_send_locked(struct xe_guc_ct
> > *ct, const u32 *action, u32 len,
> >         unsigned int sleep_period_ms = 1;
> >         int ret;
> >  
> > -       XE_BUG_ON(g2h_len && g2h_fence);
> > +       BUG_ON(g2h_len && g2h_fence);
> >         lockdep_assert_held(&ct->lock);
> >  
> >  try_again:
> > @@ -601,7 +601,7 @@ static int guc_ct_send(struct xe_guc_ct *ct,
> > const u32 *action, u32 len,
> >  {
> >         int ret;
> >  
> > -       XE_BUG_ON(g2h_len && g2h_fence);
> > +       BUG_ON(g2h_len && g2h_fence);
> >  
> >         mutex_lock(&ct->lock);
> >         ret = guc_ct_send_locked(ct, action, len, g2h_len, num_g2h,
> > g2h_fence);
> > diff --git a/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> > b/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> > index a6982f323ed1..bcd8f4e9e393 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_hwconfig.c
> > @@ -119,7 +119,7 @@ void xe_guc_hwconfig_copy(struct xe_guc *guc,
> > void *dst)
> >  {
> >         struct xe_device *xe = guc_to_xe(guc);
> >  
> > -       XE_BUG_ON(!guc->hwconfig.bo);
> > +       BUG_ON(!guc->hwconfig.bo);
> >  
> >         xe_map_memcpy_from(xe, dst, &guc->hwconfig.bo->vmap, 0,
> >                            guc->hwconfig.size);
> > diff --git a/drivers/gpu/drm/xe/xe_guc_log.c
> > b/drivers/gpu/drm/xe/xe_guc_log.c
> > index 9a7b5d5906c1..fbd74c27f352 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_log.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_log.c
> > @@ -55,12 +55,12 @@ void xe_guc_log_print(struct xe_guc_log *log,
> > struct drm_printer *p)
> >         size_t size;
> >         int i, j;
> >  
> > -       XE_BUG_ON(!log->bo);
> > +       BUG_ON(!log->bo);
> >  
> >         size = log->bo->size;
> >  
> >  #define DW_PER_READ            128
> > -       XE_BUG_ON(size % (DW_PER_READ * sizeof(u32)));
> > +       BUG_ON(size % (DW_PER_READ * sizeof(u32)));
> >         for (i = 0; i < size / sizeof(u32); i += DW_PER_READ) {
> >                 u32 read[DW_PER_READ];
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_guc_submit.c
> > b/drivers/gpu/drm/xe/xe_guc_submit.c
> > index 8df5513796f1..5a8659e99c8e 100644
> > --- a/drivers/gpu/drm/xe/xe_guc_submit.c
> > +++ b/drivers/gpu/drm/xe/xe_guc_submit.c
> > @@ -326,7 +326,7 @@ static void
> > __guc_engine_policy_start_klv(struct engine_policy *policy,
> >  static void __guc_engine_policy_add_##func(struct engine_policy
> > *policy, \
> >                                            u32 data) \
> >  { \
> > -       XE_BUG_ON(policy->count >=
> > GUC_CONTEXT_POLICIES_KLV_NUM_IDS); \
> > +       BUG_ON(policy->count >= GUC_CONTEXT_POLICIES_KLV_NUM_IDS);
> > \
> >   \
> >         policy->h2g.klv[policy->count].kl = \
> >                 FIELD_PREP(GUC_KLV_0_KEY, \
> > @@ -355,7 +355,7 @@ static void init_policies(struct xe_guc *guc,
> > struct xe_engine *e)
> >         u32 timeslice_us = e->sched_props.timeslice_us;
> >         u32 preempt_timeout_us = e->sched_props.preempt_timeout_us;
> >  
> > -       XE_BUG_ON(!engine_registered(e));
> > +       BUG_ON(!engine_registered(e));
> >  
> >          __guc_engine_policy_start_klv(&policy, e->guc->id);
> >          __guc_engine_policy_add_priority(&policy,
> > drm_sched_prio_to_guc[prio]);
> > @@ -413,7 +413,7 @@ static void __register_mlrc_engine(struct
> > xe_guc *guc,
> >         int len = 0;
> >         int i;
> >  
> > -       XE_BUG_ON(!xe_engine_is_parallel(e));
> > +       BUG_ON(!xe_engine_is_parallel(e));
> >  
> >         action[len++] = XE_GUC_ACTION_REGISTER_CONTEXT_MULTI_LRC;
> >         action[len++] = info->flags;
> > @@ -436,7 +436,7 @@ static void __register_mlrc_engine(struct
> > xe_guc *guc,
> >                 action[len++] =
> > upper_32_bits(xe_lrc_descriptor(lrc));
> >         }
> >  
> > -       XE_BUG_ON(len > MAX_MLRC_REG_SIZE);
> > +       BUG_ON(len > MAX_MLRC_REG_SIZE);
> >  #undef MAX_MLRC_REG_SIZE
> >  
> >         xe_guc_ct_send(&guc->ct, action, len, 0, 0);
> > @@ -470,7 +470,7 @@ static void register_engine(struct xe_engine
> > *e)
> >         struct xe_lrc *lrc = e->lrc;
> >         struct guc_ctxt_registration_info info;
> >  
> > -       XE_BUG_ON(engine_registered(e));
> > +       BUG_ON(engine_registered(e));
> >  
> >         memset(&info, 0, sizeof(info));
> >         info.context_idx = e->guc->id;
> > @@ -552,7 +552,7 @@ static int wq_noop_append(struct xe_engine *e)
> >         if (wq_wait_for_space(e, wq_space_until_wrap(e)))
> >                 return -ENODEV;
> >  
> > -       XE_BUG_ON(!FIELD_FIT(WQ_LEN_MASK, len_dw));
> > +       BUG_ON(!FIELD_FIT(WQ_LEN_MASK, len_dw));
> >  
> >         parallel_write(xe, map, wq[e->guc->wqi_tail / sizeof(u32)],
> >                        FIELD_PREP(WQ_TYPE_MASK, WQ_TYPE_NOOP) |
> > @@ -591,13 +591,13 @@ static void wq_item_append(struct xe_engine
> > *e)
> >                 wqi[i++] = lrc->ring.tail / sizeof(u64);
> >         }
> >  
> > -       XE_BUG_ON(i != wqi_size / sizeof(u32));
> > +       BUG_ON(i != wqi_size / sizeof(u32));
> >  
> >         iosys_map_incr(&map, offsetof(struct parallel_scratch,
> >                                         wq[e->guc->wqi_tail /
> > sizeof(u32)]));
> >         xe_map_memcpy_to(xe, &map, 0, wqi, wqi_size);
> >         e->guc->wqi_tail += wqi_size;
> > -       XE_BUG_ON(e->guc->wqi_tail > WQ_SIZE);
> > +       BUG_ON(e->guc->wqi_tail > WQ_SIZE);
> >  
> >         xe_device_wmb(xe);
> >  
> > @@ -616,7 +616,7 @@ static void submit_engine(struct xe_engine *e)
> >         int len = 0;
> >         bool extra_submit = false;
> >  
> > -       XE_BUG_ON(!engine_registered(e));
> > +       BUG_ON(!engine_registered(e));
> >  
> >         if (xe_engine_is_parallel(e))
> >                 wq_item_append(e);
> > @@ -663,7 +663,7 @@ guc_engine_run_job(struct drm_sched_job
> > *drm_job)
> >         struct xe_sched_job *job = to_xe_sched_job(drm_job);
> >         struct xe_engine *e = job->engine;
> >  
> > -       XE_BUG_ON((engine_destroyed(e) ||
> > engine_pending_disable(e)) &&
> > +       BUG_ON((engine_destroyed(e) || engine_pending_disable(e))
> > &&
> >                   !engine_banned(e) && !engine_suspended(e));
> >  
> >         trace_xe_sched_job_run(job);
> > @@ -935,7 +935,7 @@ static void
> > __guc_engine_process_msg_cleanup(struct drm_sched_msg *msg)
> >         struct xe_engine *e = msg->private_data;
> >         struct xe_guc *guc = engine_to_guc(e);
> >  
> > -       XE_BUG_ON(e->flags & ENGINE_FLAG_KERNEL);
> > +       BUG_ON(e->flags & ENGINE_FLAG_KERNEL);
> >         trace_xe_engine_cleanup_entity(e);
> >  
> >         if (engine_registered(e))
> > @@ -963,9 +963,9 @@ static void suspend_fence_signal(struct
> > xe_engine *e)
> >  {
> >         struct xe_guc *guc = engine_to_guc(e);
> >  
> > -       XE_BUG_ON(!engine_suspended(e) && !engine_killed(e) &&
> > +       BUG_ON(!engine_suspended(e) && !engine_killed(e) &&
> >                   !guc_read_stopped(guc));
> > -       XE_BUG_ON(!e->guc->suspend_pending);
> > +       BUG_ON(!e->guc->suspend_pending);
> >  
> >         e->guc->suspend_pending = false;
> >         smp_wmb();
> > @@ -1051,7 +1051,7 @@ static void guc_engine_process_msg(struct
> > drm_sched_msg *msg)
> >                 __guc_engine_process_msg_resume(msg);
> >                 break;
> >         default:
> > -               XE_BUG_ON("Unknown message type");
> > +               BUG_ON("Unknown message type");
> >         }
> >  }
> >  
> > @@ -1070,7 +1070,7 @@ static int guc_engine_init(struct xe_engine
> > *e)
> >         long timeout;
> >         int err;
> >  
> > -
> >        XE_BUG_ON(!xe_device_guc_submission_enabled(guc_to_xe(guc)));
> > +       BUG_ON(!xe_device_guc_submission_enabled(guc_to_xe(guc)));
> >  
> >         ge = kzalloc(sizeof(*ge), GFP_KERNEL);
> >         if (!ge)
> > @@ -1231,9 +1231,9 @@ static int guc_engine_set_job_timeout(struct
> > xe_engine *e, u32 job_timeout_ms)
> >  {
> >         struct drm_gpu_scheduler *sched = &e->guc->sched;
> >  
> > -       XE_BUG_ON(engine_registered(e));
> > -       XE_BUG_ON(engine_banned(e));
> > -       XE_BUG_ON(engine_killed(e));
> > +       BUG_ON(engine_registered(e));
> > +       BUG_ON(engine_banned(e));
> > +       BUG_ON(engine_killed(e));
> >  
> >         sched->timeout = job_timeout_ms;
> >  
> > @@ -1265,7 +1265,7 @@ static void guc_engine_resume(struct
> > xe_engine *e)
> >  {
> >         struct drm_sched_msg *msg = e->guc->static_msgs +
> > STATIC_MSG_RESUME;
> >  
> > -       XE_BUG_ON(e->guc->suspend_pending);
> > +       BUG_ON(e->guc->suspend_pending);
> >  
> >         guc_engine_add_msg(e, msg, RESUME);
> >  }
> > @@ -1364,7 +1364,7 @@ int xe_guc_submit_stop(struct xe_guc *guc)
> >         struct xe_engine *e;
> >         unsigned long index;
> >  
> > -       XE_BUG_ON(guc_read_stopped(guc) != 1);
> > +       BUG_ON(guc_read_stopped(guc) != 1);
> >  
> >         mutex_lock(&guc->submission_state.lock);
> >  
> > @@ -1403,7 +1403,7 @@ int xe_guc_submit_start(struct xe_guc *guc)
> >         struct xe_engine *e;
> >         unsigned long index;
> >  
> > -       XE_BUG_ON(guc_read_stopped(guc) != 1);
> > +       BUG_ON(guc_read_stopped(guc) != 1);
> >  
> >         mutex_lock(&guc->submission_state.lock);
> >         atomic_dec(&guc->submission_state.stopped);
> > @@ -1433,7 +1433,7 @@ g2h_engine_lookup(struct xe_guc *guc, u32
> > guc_id)
> >                 return NULL;
> >         }
> >  
> > -       XE_BUG_ON(e->guc->id != guc_id);
> > +       BUG_ON(e->guc->id != guc_id);
> >  
> >         return e;
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_huc.c
> > b/drivers/gpu/drm/xe/xe_huc.c
> > index a9448c6f6418..6b742fe01e1b 100644
> > --- a/drivers/gpu/drm/xe/xe_huc.c
> > +++ b/drivers/gpu/drm/xe/xe_huc.c
> > @@ -71,7 +71,7 @@ int xe_huc_auth(struct xe_huc *huc)
> >         if (xe_uc_fw_is_disabled(&huc->fw))
> >                 return 0;
> >  
> > -       XE_BUG_ON(xe_uc_fw_is_running(&huc->fw));
> > +       BUG_ON(xe_uc_fw_is_running(&huc->fw));
> >  
> >         if (!xe_uc_fw_is_loaded(&huc->fw))
> >                 return -ENOEXEC;
> > diff --git a/drivers/gpu/drm/xe/xe_hw_engine.c
> > b/drivers/gpu/drm/xe/xe_hw_engine.c
> > index 63a4efd5edcc..e3f967b8579f 100644
> > --- a/drivers/gpu/drm/xe/xe_hw_engine.c
> > +++ b/drivers/gpu/drm/xe/xe_hw_engine.c
> > @@ -234,7 +234,7 @@ static void hw_engine_fini(struct drm_device
> > *drm, void *arg)
> >  
> >  static void hw_engine_mmio_write32(struct xe_hw_engine *hwe, u32
> > reg, u32 val)
> >  {
> > -       XE_BUG_ON(reg & hwe->mmio_base);
> > +       BUG_ON(reg & hwe->mmio_base);
> >         xe_force_wake_assert_held(gt_to_fw(hwe->gt), hwe->domain);
> >  
> >         xe_mmio_write32(hwe->gt, reg + hwe->mmio_base, val);
> > @@ -242,7 +242,7 @@ static void hw_engine_mmio_write32(struct
> > xe_hw_engine *hwe, u32 reg, u32 val)
> >  
> >  static u32 hw_engine_mmio_read32(struct xe_hw_engine *hwe, u32
> > reg)
> >  {
> > -       XE_BUG_ON(reg & hwe->mmio_base);
> > +       BUG_ON(reg & hwe->mmio_base);
> >         xe_force_wake_assert_held(gt_to_fw(hwe->gt), hwe->domain);
> >  
> >         return xe_mmio_read32(hwe->gt, reg + hwe->mmio_base);
> > @@ -280,7 +280,7 @@ static void hw_engine_init_early(struct xe_gt
> > *gt, struct xe_hw_engine *hwe,
> >  
> >         info = &engine_infos[id];
> >  
> > -       XE_BUG_ON(hwe->gt);
> > +       BUG_ON(hwe->gt);
> >  
> >         hwe->gt = gt;
> >         hwe->class = info->class;
> > @@ -304,8 +304,8 @@ static int hw_engine_init(struct xe_gt *gt,
> > struct xe_hw_engine *hwe,
> >         struct xe_device *xe = gt_to_xe(gt);
> >         int err;
> >  
> > -       XE_BUG_ON(id >= ARRAY_SIZE(engine_infos) ||
> > !engine_infos[id].name);
> > -       XE_BUG_ON(!(gt->info.engine_mask & BIT(id)));
> > +       BUG_ON(id >= ARRAY_SIZE(engine_infos) ||
> > !engine_infos[id].name);
> > +       BUG_ON(!(gt->info.engine_mask & BIT(id)));
> >  
> >         xe_reg_sr_apply_mmio(&hwe->reg_sr, gt);
> >         xe_reg_sr_apply_whitelist(&hwe->reg_whitelist, hwe-
> > >mmio_base, gt);
> > diff --git a/drivers/gpu/drm/xe/xe_hw_fence.c
> > b/drivers/gpu/drm/xe/xe_hw_fence.c
> > index bbfce9f58cd6..2a082104137d 100644
> > --- a/drivers/gpu/drm/xe/xe_hw_fence.c
> > +++ b/drivers/gpu/drm/xe/xe_hw_fence.c
> > @@ -188,7 +188,7 @@ static void xe_hw_fence_release(struct
> > dma_fence *dma_fence)
> >         struct xe_hw_fence *fence = to_xe_hw_fence(dma_fence);
> >  
> >         trace_xe_hw_fence_free(fence);
> > -       XE_BUG_ON(!list_empty(&fence->irq_link));
> > +       BUG_ON(!list_empty(&fence->irq_link));
> >         call_rcu(&dma_fence->rcu, fence_free);
> >  }
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_lrc.c
> > b/drivers/gpu/drm/xe/xe_lrc.c
> > index fb8c6f7d6528..7ae4a0b93249 100644
> > --- a/drivers/gpu/drm/xe/xe_lrc.c
> > +++ b/drivers/gpu/drm/xe/xe_lrc.c
> > @@ -108,7 +108,7 @@ static void set_offsets(u32 *regs,
> >                 *regs |= MI_LRI_LRM_CS_MMIO;
> >                 regs++;
> >  
> > -               XE_BUG_ON(!count);
> > +               BUG_ON(!count);
> >                 do {
> >                         u32 offset = 0;
> >                         u8 v;
> > @@ -528,7 +528,7 @@ static inline struct iosys_map
> > __xe_lrc_##elem##_map(struct xe_lrc *lrc) \
> >  { \
> >         struct iosys_map map = lrc->bo->vmap; \
> >  \
> > -       XE_BUG_ON(iosys_map_is_null(&map)); \
> > +       BUG_ON(iosys_map_is_null(&map)); \
> >         iosys_map_incr(&map, __xe_lrc_##elem##_offset(lrc)); \
> >         return map; \
> >  } \
> > @@ -759,12 +759,12 @@ void xe_lrc_write_ring(struct xe_lrc *lrc,
> > const void *data, size_t size)
> >         u32 rhs;
> >         size_t aligned_size;
> >  
> > -       XE_BUG_ON(!IS_ALIGNED(size, 4));
> > +       BUG_ON(!IS_ALIGNED(size, 4));
> >         aligned_size = ALIGN(size, 8);
> >  
> >         ring = __xe_lrc_ring_map(lrc);
> >  
> > -       XE_BUG_ON(lrc->ring.tail >= lrc->ring.size);
> > +       BUG_ON(lrc->ring.tail >= lrc->ring.size);
> >         rhs = lrc->ring.size - lrc->ring.tail;
> >         if (size > rhs) {
> >                 __xe_lrc_write_ring(lrc, ring, data, rhs);
> > diff --git a/drivers/gpu/drm/xe/xe_macros.h
> > b/drivers/gpu/drm/xe/xe_macros.h
> > index 0a42112eb247..826759d0fcab 100644
> > --- a/drivers/gpu/drm/xe/xe_macros.h
> > +++ b/drivers/gpu/drm/xe/xe_macros.h
> > @@ -9,7 +9,6 @@
> >  #include <linux/bug.h>
> >  
> >  #define XE_EXTRA_DEBUG 1
> > -#define XE_BUG_ON BUG_ON
> >  
> >  #define XE_IOCTL_ERR(xe, cond) \
> >         ((cond) && (drm_info(&(xe)->drm, \
> > diff --git a/drivers/gpu/drm/xe/xe_migrate.c
> > b/drivers/gpu/drm/xe/xe_migrate.c
> > index a98e4bad39bf..83014991fdde 100644
> > --- a/drivers/gpu/drm/xe/xe_migrate.c
> > +++ b/drivers/gpu/drm/xe/xe_migrate.c
> > @@ -106,7 +106,7 @@ static void xe_migrate_fini(struct drm_device
> > *dev, void *arg)
> >  
> >  static u64 xe_migrate_vm_addr(u64 slot, u32 level)
> >  {
> > -       XE_BUG_ON(slot >= NUM_PT_SLOTS);
> > +       BUG_ON(slot >= NUM_PT_SLOTS);
> >  
> >         /* First slot is reserved for mapping of PT bo and bb,
> > start from 1 */
> >         return (slot + 1ULL) << xe_pt_shift(level + 1);
> > @@ -148,7 +148,7 @@ static int xe_migrate_create_cleared_bo(struct
> > xe_migrate *m, struct xe_vm *vm)
> >  
> >         xe_map_memset(xe, &m->cleared_bo->vmap, 0, 0x00,
> > cleared_size);
> >         vram_addr = xe_bo_addr(m->cleared_bo, 0, GEN8_PAGE_SIZE,
> > &is_vram);
> > -       XE_BUG_ON(!is_vram);
> > +       BUG_ON(!is_vram);
> >         m->cleared_vram_ofs = xe_migrate_vram_ofs(vram_addr);
> >  
> >         return 0;
> > @@ -173,7 +173,7 @@ static int xe_migrate_prepare_vm(struct xe_gt
> > *gt, struct xe_migrate *m,
> >         BUILD_BUG_ON(!(NUM_KERNEL_PDE & 1));
> >  
> >         /* Need to be sure everything fits in the first PT, or
> > create more */
> > -       XE_BUG_ON(m->batch_base_ofs + batch->size >= SZ_2M);
> > +       BUG_ON(m->batch_base_ofs + batch->size >= SZ_2M);
> >  
> >         bo = xe_bo_create_pin_map(vm->xe, m->gt, vm,
> >                                   num_entries * GEN8_PAGE_SIZE,
> > @@ -208,7 +208,7 @@ static int xe_migrate_prepare_vm(struct xe_gt
> > *gt, struct xe_migrate *m,
> >         }
> >  
> >         if (!IS_DGFX(xe)) {
> > -               XE_BUG_ON(xe->info.supports_usm);
> > +               BUG_ON(xe->info.supports_usm);
> >  
> >                 /* Write out batch too */
> >                 m->batch_base_ofs = NUM_PT_SLOTS * GEN8_PAGE_SIZE;
> > @@ -318,7 +318,7 @@ struct xe_migrate *xe_migrate_init(struct xe_gt
> > *gt)
> >         struct ww_acquire_ctx ww;
> >         int err;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         m = drmm_kzalloc(&xe->drm, sizeof(*m), GFP_KERNEL);
> >         if (!m)
> > @@ -491,7 +491,7 @@ static void emit_copy_ccs(struct xe_gt *gt,
> > struct xe_bb *bb,
> >  
> >         num_ccs_blks =
> > DIV_ROUND_UP(xe_device_ccs_bytes(gt_to_xe(gt), size),
> >                                     NUM_CCS_BYTES_PER_BLOCK);
> > -       XE_BUG_ON(num_ccs_blks > NUM_CCS_BLKS_PER_XFER);
> > +       BUG_ON(num_ccs_blks > NUM_CCS_BLKS_PER_XFER);
> >         *cs++ = XY_CTRL_SURF_COPY_BLT |
> >                 (src_is_indirect ? 0x0 : 0x1) <<
> > SRC_ACCESS_TYPE_SHIFT |
> >                 (dst_is_indirect ? 0x0 : 0x1) <<
> > DST_ACCESS_TYPE_SHIFT |
> > @@ -511,9 +511,9 @@ static void emit_copy(struct xe_gt *gt, struct
> > xe_bb *bb,
> >                       u64 src_ofs, u64 dst_ofs, unsigned int size,
> >                       unsigned pitch)
> >  {
> > -       XE_BUG_ON(size / pitch > S16_MAX);
> > -       XE_BUG_ON(pitch / 4 > S16_MAX);
> > -       XE_BUG_ON(pitch > U16_MAX);
> > +       BUG_ON(size / pitch > S16_MAX);
> > +       BUG_ON(pitch / 4 > S16_MAX);
> > +       BUG_ON(pitch > U16_MAX);
> >  
> >         bb->cs[bb->len++] = GEN9_XY_FAST_COPY_BLT_CMD | (10 - 2);
> >         bb->cs[bb->len++] = BLT_DEPTH_32 | pitch;
> > @@ -565,7 +565,7 @@ static u32 xe_migrate_ccs_copy(struct
> > xe_migrate *m,
> >                  * At the moment, we don't support copying CCS
> > metadata from
> >                  * system to system.
> >                  */
> > -               XE_BUG_ON(!src_is_vram && !dst_is_vram);
> > +               BUG_ON(!src_is_vram && !dst_is_vram);
> >  
> >                 emit_copy_ccs(gt, bb, dst_ofs, dst_is_vram,
> > src_ofs,
> >                               src_is_vram, dst_size);
> > @@ -764,7 +764,7 @@ static void emit_clear_link_copy(struct xe_gt
> > *gt, struct xe_bb *bb, u64 src_ofs
> >         *cs++ = upper_32_bits(src_ofs);
> >         *cs++ = FIELD_PREP(PVC_MS_MOCS_INDEX_MASK, mocs);
> >  
> > -       XE_BUG_ON(cs - bb->cs != len + bb->len);
> > +       BUG_ON(cs - bb->cs != len + bb->len);
> >  
> >         bb->len += len;
> >  }
> > @@ -802,7 +802,7 @@ static void emit_clear_main_copy(struct xe_gt
> > *gt, struct xe_bb *bb,
> >                 *cs++ = 0;
> >         }
> >  
> > -       XE_BUG_ON(cs - bb->cs != len + bb->len);
> > +       BUG_ON(cs - bb->cs != len + bb->len);
> >  
> >         bb->len += len;
> >  }
> > @@ -977,14 +977,14 @@ static void write_pgtable(struct xe_gt *gt,
> > struct xe_bb *bb, u64 ppgtt_ofs,
> >          * This shouldn't be possible in practice.. might change
> > when 16K
> >          * pages are used. Hence the BUG_ON.
> >          */
> > -       XE_BUG_ON(update->qwords > 0x1ff);
> > +       BUG_ON(update->qwords > 0x1ff);
> >         if (!ppgtt_ofs) {
> >                 bool is_vram;
> >  
> >                 ppgtt_ofs = xe_migrate_vram_ofs(xe_bo_addr(update-
> > >pt_bo, 0,
> >                                                           
> > GEN8_PAGE_SIZE,
> >                                                           
> > &is_vram));
> > -               XE_BUG_ON(!is_vram);
> > +               BUG_ON(!is_vram);
> >         }
> >  
> >         do {
> > @@ -1166,7 +1166,7 @@ xe_migrate_update_pgtables(struct xe_migrate
> > *m,
> >          * Worst case: Sum(2 * (each lower level page size) + (top
> > level page size))
> >          * Should be reasonably bound..
> >          */
> > -       XE_BUG_ON(batch_size >= SZ_128K);
> > +       BUG_ON(batch_size >= SZ_128K);
> >  
> >         bb = xe_bb_new(gt, batch_size, !eng && xe-
> > >info.supports_usm);
> >         if (IS_ERR(bb))
> > @@ -1176,7 +1176,7 @@ xe_migrate_update_pgtables(struct xe_migrate
> > *m,
> >         if (!IS_DGFX(xe)) {
> >                 ppgtt_ofs = NUM_KERNEL_PDE - 1;
> >                 if (eng) {
> > -                       XE_BUG_ON(num_updates >
> > NUM_VMUSA_WRITES_PER_UNIT);
> > +                       BUG_ON(num_updates >
> > NUM_VMUSA_WRITES_PER_UNIT);
> >  
> >                         sa_bo = drm_suballoc_new(&m->vm_update_sa,
> > 1,
> >                                                  GFP_KERNEL, true);
> > diff --git a/drivers/gpu/drm/xe/xe_mmio.c
> > b/drivers/gpu/drm/xe/xe_mmio.c
> > index 5cacaa05759a..ef3dd0ffb001 100644
> > --- a/drivers/gpu/drm/xe/xe_mmio.c
> > +++ b/drivers/gpu/drm/xe/xe_mmio.c
> > @@ -246,7 +246,7 @@ int xe_mmio_probe_vram(struct xe_device *xe)
> >                         if (xe_gt_is_media_type(gt))
> >                                 --adj_tile_count;
> >  
> > -               XE_BUG_ON(!adj_tile_count);
> > +               BUG_ON(!adj_tile_count);
> >  
> >                 size = xe->mem.vram.size / adj_tile_count;
> >                 io_start = xe->mem.vram.io_start;
> > diff --git a/drivers/gpu/drm/xe/xe_pt.c
> > b/drivers/gpu/drm/xe/xe_pt.c
> > index ffb0e6d8f9f7..4bde3684fe82 100644
> > --- a/drivers/gpu/drm/xe/xe_pt.c
> > +++ b/drivers/gpu/drm/xe/xe_pt.c
> > @@ -126,7 +126,7 @@ static u64 __gen8_pte_encode(u64 pte, enum
> > xe_cache_level cache, u32 flags,
> >                 pte |= GEN8_PDPE_PS_1G;
> >  
> >         /* XXX: Does hw support 1 GiB pages? */
> > -       XE_BUG_ON(pt_level > 2);
> > +       BUG_ON(pt_level > 2);
> >  
> >         return pte;
> >  }
> > @@ -171,7 +171,7 @@ static u64 __xe_pt_empty_pte(struct xe_gt *gt,
> > struct xe_vm *vm,
> >  {
> >         u8 id = gt->info.id;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         if (!vm->scratch_bo[id])
> >                 return 0;
> > @@ -229,7 +229,7 @@ struct xe_pt *xe_pt_create(struct xe_vm *vm,
> > struct xe_gt *gt,
> >         pt->level = level;
> >         pt->drm.dir = level ? &as_xe_pt_dir(pt)->dir : NULL;
> >  
> > -       XE_BUG_ON(level > XE_VM_MAX_LEVEL);
> > +       BUG_ON(level > XE_VM_MAX_LEVEL);
> >  
> >         return pt;
> >  
> > @@ -255,7 +255,7 @@ void xe_pt_populate_empty(struct xe_gt *gt,
> > struct xe_vm *vm,
> >         u64 empty;
> >         int i;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         if (!vm->scratch_bo[gt->info.id]) {
> >                 /*
> > @@ -300,7 +300,7 @@ void xe_pt_destroy(struct xe_pt *pt, u32 flags,
> > struct llist_head *deferred)
> >         if (!pt)
> >                 return;
> >  
> > -       XE_BUG_ON(!list_empty(&pt->bo->vmas));
> > +       BUG_ON(!list_empty(&pt->bo->vmas));
> >         xe_bo_unpin(pt->bo);
> >         xe_bo_put_deferred(pt->bo, deferred);
> >  
> > @@ -874,8 +874,8 @@ static int xe_pt_zap_ptes_entry(struct drm_pt
> > *parent, pgoff_t offset,
> >         struct xe_pt *xe_child = container_of(*child,
> > typeof(*xe_child), drm);
> >         pgoff_t end_offset;
> >  
> > -       XE_BUG_ON(!*child);
> > -       XE_BUG_ON(!level && xe_child->is_compact);
> > +       BUG_ON(!*child);
> > +       BUG_ON(!level && xe_child->is_compact);
> >  
> >         /*
> >          * Note that we're called from an entry callback, and we're
> > dealing
> > @@ -944,7 +944,7 @@ xe_vm_populate_pgtable(struct
> > xe_migrate_pt_update *pt_update, struct xe_gt *gt,
> >         u64 *ptr = data;
> >         u32 i;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         for (i = 0; i < num_qwords; i++) {
> >                 if (map)
> > @@ -1053,7 +1053,7 @@ static void xe_vm_dbg_print_entries(struct
> > xe_device *xe,
> >                 u64 end;
> >                 u64 start;
> >  
> > -               XE_BUG_ON(entry->pt->is_compact);
> > +               BUG_ON(entry->pt->is_compact);
> >                 start = entry->ofs * page_size;
> >                 end = start + page_size * entry->qwords;
> >                 vm_dbg(&xe->drm,
> > @@ -1297,7 +1297,7 @@ __xe_pt_bind_vma(struct xe_gt *gt, struct
> > xe_vma *vma, struct xe_engine *e,
> >         bind_pt_update.locked = false;
> >         xe_bo_assert_held(vma->bo);
> >         xe_vm_assert_held(vm);
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         vm_dbg(&vma->vm->xe->drm,
> >                "Preparing bind, with range [%llx...%llx) engine
> > %p.\n",
> > @@ -1306,7 +1306,7 @@ __xe_pt_bind_vma(struct xe_gt *gt, struct
> > xe_vma *vma, struct xe_engine *e,
> >         err = xe_pt_prepare_bind(gt, vma, entries, &num_entries,
> > rebind);
> >         if (err)
> >                 goto err;
> > -       XE_BUG_ON(num_entries > ARRAY_SIZE(entries));
> > +       BUG_ON(num_entries > ARRAY_SIZE(entries));
> >  
> >         xe_vm_dbg_print_entries(gt_to_xe(gt), entries,
> > num_entries);
> >  
> > @@ -1436,8 +1436,8 @@ static int xe_pt_stage_unbind_entry(struct
> > drm_pt *parent, pgoff_t offset,
> >  {
> >         struct xe_pt *xe_child = container_of(*child,
> > typeof(*xe_child), drm);
> >  
> > -       XE_BUG_ON(!*child);
> > -       XE_BUG_ON(!level && xe_child->is_compact);
> > +       BUG_ON(!*child);
> > +       BUG_ON(!level && xe_child->is_compact);
> >  
> >         xe_pt_check_kill(addr, next, level - 1, xe_child, action,
> > walk);
> >  
> > @@ -1528,7 +1528,7 @@ xe_migrate_clear_pgtable_callback(struct
> > xe_migrate_pt_update *pt_update,
> >         u64 empty = __xe_pt_empty_pte(gt, vma->vm, update->pt-
> > >level);
> >         int i;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         if (map && map->is_iomem)
> >                 for (i = 0; i < num_qwords; ++i)
> > @@ -1620,14 +1620,14 @@ __xe_pt_unbind_vma(struct xe_gt *gt, struct
> > xe_vma *vma, struct xe_engine *e,
> >  
> >         xe_bo_assert_held(vma->bo);
> >         xe_vm_assert_held(vm);
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         vm_dbg(&vma->vm->xe->drm,
> >                "Preparing unbind, with range [%llx...%llx) engine
> > %p.\n",
> >                vma->start, vma->end, e);
> >  
> >         num_entries = xe_pt_stage_unbind(gt, vma, entries);
> > -       XE_BUG_ON(num_entries > ARRAY_SIZE(entries));
> > +       BUG_ON(num_entries > ARRAY_SIZE(entries));
> >  
> >         xe_vm_dbg_print_entries(gt_to_xe(gt), entries,
> > num_entries);
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_res_cursor.h
> > b/drivers/gpu/drm/xe/xe_res_cursor.h
> > index b34eb906dbb0..3b5bf90ff655 100644
> > --- a/drivers/gpu/drm/xe/xe_res_cursor.h
> > +++ b/drivers/gpu/drm/xe/xe_res_cursor.h
> > @@ -80,7 +80,7 @@ static inline void xe_res_first(struct
> > ttm_resource *res,
> >         if (!res)
> >                 goto fallback;
> >  
> > -       XE_BUG_ON(start + size > res->size);
> > +       BUG_ON(start + size > res->size);
> >  
> >         cur->mem_type = res->mem_type;
> >  
> > @@ -141,7 +141,7 @@ static inline void __xe_res_sg_next(struct
> > xe_res_cursor *cur)
> >         while (start >= sg_dma_len(sgl)) {
> >                 start -= sg_dma_len(sgl);
> >                 sgl = sg_next(sgl);
> > -               XE_BUG_ON(!sgl);
> > +               BUG_ON(!sgl);
> >         }
> >  
> >         cur->start = start;
> > @@ -163,8 +163,8 @@ static inline void xe_res_first_sg(const struct
> > sg_table *sg,
> >                                    u64 start, u64 size,
> >                                    struct xe_res_cursor *cur)
> >  {
> > -       XE_BUG_ON(!sg);
> > -       XE_BUG_ON(!IS_ALIGNED(start, PAGE_SIZE) ||
> > +       BUG_ON(!sg);
> > +       BUG_ON(!IS_ALIGNED(start, PAGE_SIZE) ||
> >                   !IS_ALIGNED(size, PAGE_SIZE));
> >         cur->node = NULL;
> >         cur->start = start;
> > @@ -189,7 +189,7 @@ static inline void xe_res_next(struct
> > xe_res_cursor *cur, u64 size)
> >         struct list_head *next;
> >         u64 start;
> >  
> > -       XE_BUG_ON(size > cur->remaining);
> > +       BUG_ON(size > cur->remaining);
> >  
> >         cur->remaining -= size;
> >         if (!cur->remaining)
> > diff --git a/drivers/gpu/drm/xe/xe_ring_ops.c
> > b/drivers/gpu/drm/xe/xe_ring_ops.c
> > index 918e819e7c91..b610a4f0dfa4 100644
> > --- a/drivers/gpu/drm/xe/xe_ring_ops.c
> > +++ b/drivers/gpu/drm/xe/xe_ring_ops.c
> > @@ -184,7 +184,7 @@ static void __emit_job_gen12_copy(struct
> > xe_sched_job *job, struct xe_lrc *lrc,
> >  
> >         i = emit_user_interrupt(dw, i);
> >  
> > -       XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> > +       BUG_ON(i > MAX_JOB_SIZE_DW);
> >  
> >         xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
> >  }
> > @@ -222,7 +222,7 @@ static void __emit_job_gen12_video(struct
> > xe_sched_job *job, struct xe_lrc *lrc,
> >  
> >         i = emit_user_interrupt(dw, i);
> >  
> > -       XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> > +       BUG_ON(i > MAX_JOB_SIZE_DW);
> >  
> >         xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
> >  }
> > @@ -263,7 +263,7 @@ static void
> > __emit_job_gen12_render_compute(struct xe_sched_job *job,
> >  
> >         i = emit_user_interrupt(dw, i);
> >  
> > -       XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> > +       BUG_ON(i > MAX_JOB_SIZE_DW);
> >  
> >         xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
> >  }
> > @@ -293,7 +293,7 @@ static void emit_migration_job_gen12(struct
> > xe_sched_job *job,
> >  
> >         i = emit_user_interrupt(dw, i);
> >  
> > -       XE_BUG_ON(i > MAX_JOB_SIZE_DW);
> > +       BUG_ON(i > MAX_JOB_SIZE_DW);
> >  
> >         xe_lrc_write_ring(lrc, dw, i * sizeof(*dw));
> >  }
> > diff --git a/drivers/gpu/drm/xe/xe_sched_job.c
> > b/drivers/gpu/drm/xe/xe_sched_job.c
> > index 2985caa6097b..87854f3473db 100644
> > --- a/drivers/gpu/drm/xe/xe_sched_job.c
> > +++ b/drivers/gpu/drm/xe/xe_sched_job.c
> > @@ -142,7 +142,7 @@ struct xe_sched_job *xe_sched_job_create(struct
> > xe_engine *e,
> >  
> >                 /* Sanity check */
> >                 for (j = 0; j < e->width; ++j)
> > -                       XE_BUG_ON(cf->base.seqno != fences[j]-
> > >seqno);
> > +                       BUG_ON(cf->base.seqno != fences[j]->seqno);
> >  
> >                 job->fence = &cf->base;
> >         }
> > diff --git a/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> > b/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> > index 8075781070f2..c61d2fa658b5 100644
> > --- a/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> > +++ b/drivers/gpu/drm/xe/xe_ttm_gtt_mgr.c
> > @@ -111,7 +111,7 @@ int xe_ttm_gtt_mgr_init(struct xe_gt *gt,
> > struct xe_ttm_gtt_mgr *mgr,
> >         struct ttm_resource_manager *man = &mgr->manager;
> >         int err;
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         mgr->gt = gt;
> >         man->use_tt = true;
> > diff --git a/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> > b/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> > index 31887fec1073..1343b3a862ce 100644
> > --- a/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> > +++ b/drivers/gpu/drm/xe/xe_ttm_stolen_mgr.c
> > @@ -184,7 +184,7 @@ u64 xe_ttm_stolen_io_offset(struct xe_bo *bo,
> > u32 offset)
> >         struct xe_ttm_stolen_mgr *mgr = to_stolen_mgr(ttm_mgr);
> >         struct xe_res_cursor cur;
> >  
> > -       XE_BUG_ON(!mgr->io_base);
> > +       BUG_ON(!mgr->io_base);
> >  
> >         if (xe_ttm_stolen_cpu_access_needs_ggtt(xe))
> >                 return mgr->io_base + xe_bo_ggtt_addr(bo) + offset;
> > @@ -224,7 +224,7 @@ static int
> > __xe_ttm_stolen_io_mem_reserve_stolen(struct xe_device *xe,
> >  #ifdef CONFIG_X86
> >         struct xe_bo *bo = ttm_to_xe_bo(mem->bo);
> >  
> > -       XE_BUG_ON(IS_DGFX(xe));
> > +       BUG_ON(IS_DGFX(xe));
> >  
> >         /* XXX: Require BO to be mapped to GGTT? */
> >         if (drm_WARN_ON(&xe->drm, !(bo->flags &
> > XE_BO_CREATE_GGTT_BIT)))
> > diff --git a/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> > b/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> > index 73836b9b7fed..aa50964214f8 100644
> > --- a/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> > +++ b/drivers/gpu/drm/xe/xe_ttm_vram_mgr.c
> > @@ -357,7 +357,7 @@ int xe_ttm_vram_mgr_init(struct xe_gt *gt,
> > struct xe_ttm_vram_mgr *mgr)
> >  {
> >         struct xe_device *xe = gt_to_xe(gt);
> >  
> > -       XE_BUG_ON(xe_gt_is_media_type(gt));
> > +       BUG_ON(xe_gt_is_media_type(gt));
> >  
> >         mgr->gt = gt;
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_uc_fw.c
> > b/drivers/gpu/drm/xe/xe_uc_fw.c
> > index 3ebe651b9a1b..bbe9239e8145 100644
> > --- a/drivers/gpu/drm/xe/xe_uc_fw.c
> > +++ b/drivers/gpu/drm/xe/xe_uc_fw.c
> > @@ -23,7 +23,7 @@ __uc_fw_to_gt(struct xe_uc_fw *uc_fw, enum
> > xe_uc_fw_type type)
> >         if (type == XE_UC_FW_TYPE_GUC)
> >                 return container_of(uc_fw, struct xe_gt,
> > uc.guc.fw);
> >  
> > -       XE_BUG_ON(type != XE_UC_FW_TYPE_HUC);
> > +       BUG_ON(type != XE_UC_FW_TYPE_HUC);
> >         return container_of(uc_fw, struct xe_gt, uc.huc.fw);
> >  }
> >  
> > @@ -146,7 +146,7 @@ uc_fw_auto_select(struct xe_device *xe, struct
> > xe_uc_fw *uc_fw)
> >         u8 rev = xe->info.revid;
> >         int i;
> >  
> > -       XE_BUG_ON(uc_fw->type >= ARRAY_SIZE(blobs_all));
> > +       BUG_ON(uc_fw->type >= ARRAY_SIZE(blobs_all));
> >         fw_blobs = blobs_all[uc_fw->type].blobs;
> >         fw_count = blobs_all[uc_fw->type].count;
> >  
> > @@ -176,8 +176,8 @@ size_t xe_uc_fw_copy_rsa(struct xe_uc_fw
> > *uc_fw, void *dst, u32 max_len)
> >         struct xe_device *xe = uc_fw_to_xe(uc_fw);
> >         u32 size = min_t(u32, uc_fw->rsa_size, max_len);
> >  
> > -       XE_BUG_ON(size % 4);
> > -       XE_BUG_ON(!xe_uc_fw_is_available(uc_fw));
> > +       BUG_ON(size % 4);
> > +       BUG_ON(!xe_uc_fw_is_available(uc_fw));
> >  
> >         xe_map_memcpy_from(xe, dst, &uc_fw->bo->vmap,
> >                            xe_uc_fw_rsa_offset(uc_fw), size);
> > @@ -201,7 +201,7 @@ static void guc_read_css_info(struct xe_uc_fw
> > *uc_fw, struct uc_css_header *css)
> >         struct xe_gt *gt = uc_fw_to_gt(uc_fw);
> >         struct xe_guc *guc = &gt->uc.guc;
> >  
> > -       XE_BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
> > +       BUG_ON(uc_fw->type != XE_UC_FW_TYPE_GUC);
> >         WARN_ON(uc_fw->major_ver_found < 70);
> >  
> >         if (uc_fw->minor_ver_found >= 6) {
> > @@ -246,8 +246,8 @@ int xe_uc_fw_init(struct xe_uc_fw *uc_fw)
> >          * before we're looked at the HW caps to see if we have uc
> > support
> >          */
> >         BUILD_BUG_ON(XE_UC_FIRMWARE_UNINITIALIZED);
> > -       XE_BUG_ON(uc_fw->status);
> > -       XE_BUG_ON(uc_fw->path);
> > +       BUG_ON(uc_fw->status);
> > +       BUG_ON(uc_fw->path);
> >  
> >         uc_fw_auto_select(xe, uc_fw);
> >         xe_uc_fw_change_status(uc_fw, uc_fw->path ? *uc_fw->path ?
> > @@ -418,7 +418,7 @@ int xe_uc_fw_upload(struct xe_uc_fw *uc_fw, u32
> > offset, u32 dma_flags)
> >         int err;
> >  
> >         /* make sure the status was cleared the last time we reset
> > the uc */
> > -       XE_BUG_ON(xe_uc_fw_is_loaded(uc_fw));
> > +       BUG_ON(xe_uc_fw_is_loaded(uc_fw));
> >  
> >         if (!xe_uc_fw_is_loadable(uc_fw))
> >                 return -ENOEXEC;
> > diff --git a/drivers/gpu/drm/xe/xe_uc_fw.h
> > b/drivers/gpu/drm/xe/xe_uc_fw.h
> > index bf31c3bb0e0f..2221f5fd3f54 100644
> > --- a/drivers/gpu/drm/xe/xe_uc_fw.h
> > +++ b/drivers/gpu/drm/xe/xe_uc_fw.h
> > @@ -104,7 +104,7 @@ static inline enum xe_uc_fw_status
> >  __xe_uc_fw_status(struct xe_uc_fw *uc_fw)
> >  {
> >         /* shouldn't call this before checking hw/blob availability
> > */
> > -       XE_BUG_ON(uc_fw->status == XE_UC_FIRMWARE_UNINITIALIZED);
> > +       BUG_ON(uc_fw->status == XE_UC_FIRMWARE_UNINITIALIZED);
> >         return uc_fw->status;
> >  }
> >  
> > diff --git a/drivers/gpu/drm/xe/xe_vm.c
> > b/drivers/gpu/drm/xe/xe_vm.c
> > index fb6b563378ea..c7247348b5d6 100644
> > --- a/drivers/gpu/drm/xe/xe_vm.c
> > +++ b/drivers/gpu/drm/xe/xe_vm.c
> > @@ -62,7 +62,7 @@ int xe_vma_userptr_pin_pages(struct xe_vma *vma)
> >         bool read_only = vma->pte_flags & PTE_READ_ONLY;
> >  
> >         lockdep_assert_held(&vm->lock);
> > -       XE_BUG_ON(!xe_vma_is_userptr(vma));
> > +       BUG_ON(!xe_vma_is_userptr(vma));
> >  retry:
> >         if (vma->destroyed)
> >                 return 0;
> > @@ -248,7 +248,7 @@ static void arm_preempt_fences(struct xe_vm
> > *vm, struct list_head *list)
> >                 struct dma_fence *fence;
> >  
> >                 link = list->next;
> > -               XE_BUG_ON(link == list);
> > +               BUG_ON(link == list);
> >  
> >                 fence =
> > xe_preempt_fence_arm(to_preempt_fence_from_link(link),
> >                                              e, e->compute.context,
> > @@ -325,7 +325,7 @@ int xe_vm_add_compute_engine(struct xe_vm *vm,
> > struct xe_engine *e)
> >         int err;
> >         bool wait;
> >  
> > -       XE_BUG_ON(!xe_vm_in_compute_mode(vm));
> > +       BUG_ON(!xe_vm_in_compute_mode(vm));
> >  
> >         down_write(&vm->lock);
> >  
> > @@ -523,7 +523,7 @@ static void preempt_rebind_work_func(struct
> > work_struct *w)
> >         long wait;
> >         int __maybe_unused tries = 0;
> >  
> > -       XE_BUG_ON(!xe_vm_in_compute_mode(vm));
> > +       BUG_ON(!xe_vm_in_compute_mode(vm));
> >         trace_xe_vm_rebind_worker_enter(vm);
> >  
> >         if (xe_vm_is_closed(vm)) {
> > @@ -660,7 +660,7 @@ static bool vma_userptr_invalidate(struct
> > mmu_interval_notifier *mni,
> >         struct dma_fence *fence;
> >         long err;
> >  
> > -       XE_BUG_ON(!xe_vma_is_userptr(vma));
> > +       BUG_ON(!xe_vma_is_userptr(vma));
> >         trace_xe_vma_userptr_invalidate(vma);
> >  
> >         if (!mmu_notifier_range_blockable(range))
> > @@ -824,8 +824,8 @@ static struct xe_vma *xe_vma_create(struct
> > xe_vm *vm,
> >         struct xe_gt *gt;
> >         u8 id;
> >  
> > -       XE_BUG_ON(start >= end);
> > -       XE_BUG_ON(end >= vm->size);
> > +       BUG_ON(start >= end);
> > +       BUG_ON(end >= vm->size);
> >  
> >         vma = kzalloc(sizeof(*vma), GFP_KERNEL);
> >         if (!vma) {
> > @@ -945,7 +945,7 @@ static void xe_vma_destroy(struct xe_vma *vma,
> > struct dma_fence *fence)
> >         struct xe_vm *vm = vma->vm;
> >  
> >         lockdep_assert_held_write(&vm->lock);
> > -       XE_BUG_ON(!list_empty(&vma->unbind_link));
> > +       BUG_ON(!list_empty(&vma->unbind_link));
> >  
> >         if (xe_vma_is_userptr(vma)) {
> >                 WARN_ON(!vma->destroyed);
> > @@ -1048,7 +1048,7 @@ xe_vm_find_overlapping_vma(struct xe_vm *vm,
> > const struct xe_vma *vma)
> >         if (xe_vm_is_closed(vm))
> >                 return NULL;
> >  
> > -       XE_BUG_ON(vma->end >= vm->size);
> > +       BUG_ON(vma->end >= vm->size);
> >         lockdep_assert_held(&vm->lock);
> >  
> >         node = rb_find(vma, &vm->vmas, xe_vma_cmp_vma_cb);
> > @@ -1058,7 +1058,7 @@ xe_vm_find_overlapping_vma(struct xe_vm *vm,
> > const struct xe_vma *vma)
> >  
> >  static void xe_vm_insert_vma(struct xe_vm *vm, struct xe_vma *vma)
> >  {
> > -       XE_BUG_ON(vma->vm != vm);
> > +       BUG_ON(vma->vm != vm);
> >         lockdep_assert_held(&vm->lock);
> >  
> >         rb_add(&vma->vm_node, &vm->vmas, xe_vma_less_cb);
> > @@ -1066,7 +1066,7 @@ static void xe_vm_insert_vma(struct xe_vm
> > *vm, struct xe_vma *vma)
> >  
> >  static void xe_vm_remove_vma(struct xe_vm *vm, struct xe_vma *vma)
> >  {
> > -       XE_BUG_ON(vma->vm != vm);
> > +       BUG_ON(vma->vm != vm);
> >         lockdep_assert_held(&vm->lock);
> >  
> >         rb_erase(&vma->vm_node, &vm->vmas);
> > @@ -1290,7 +1290,7 @@ void xe_vm_close_and_put(struct xe_vm *vm)
> >         struct xe_gt *gt;
> >         u8 id;
> >  
> > -       XE_BUG_ON(vm->preempt.num_engines);
> > +       BUG_ON(vm->preempt.num_engines);
> >  
> >         vm->size = 0;
> >         smp_mb();
> > @@ -1448,7 +1448,7 @@ struct xe_vm *xe_vm_lookup(struct xe_file
> > *xef, u32 id)
> >  
> >  u64 xe_vm_pdp4_descriptor(struct xe_vm *vm, struct xe_gt *full_gt)
> >  {
> > -       XE_BUG_ON(xe_gt_is_media_type(full_gt));
> > +       BUG_ON(xe_gt_is_media_type(full_gt));
> >  
> >         return gen8_pde_encode(vm->pt_root[full_gt->info.id]->bo,
> > 0,
> >                                XE_CACHE_WB);
> > @@ -1481,7 +1481,7 @@ xe_vm_unbind_vma(struct xe_vma *vma, struct
> > xe_engine *e,
> >                 if (!(vma->gt_present & BIT(id)))
> >                         goto next;
> >  
> > -               XE_BUG_ON(xe_gt_is_media_type(gt));
> > +               BUG_ON(xe_gt_is_media_type(gt));
> >  
> >                 fence = __xe_pt_unbind_vma(gt, vma, e, syncs,
> > num_syncs);
> >                 if (IS_ERR(fence)) {
> > @@ -1553,7 +1553,7 @@ xe_vm_bind_vma(struct xe_vma *vma, struct
> > xe_engine *e,
> >                 if (!(vma->gt_mask & BIT(id)))
> >                         goto next;
> >  
> > -               XE_BUG_ON(xe_gt_is_media_type(gt));
> > +               BUG_ON(xe_gt_is_media_type(gt));
> >                 fence = __xe_pt_bind_vma(gt, vma, e, syncs,
> > num_syncs,
> >                                          vma->gt_present &
> > BIT(id));
> >                 if (IS_ERR(fence)) {
> > @@ -1669,7 +1669,7 @@ int xe_vm_async_fence_wait_start(struct
> > dma_fence *fence)
> >                 struct async_op_fence *afence =
> >                         container_of(fence, struct async_op_fence,
> > fence);
> >  
> > -               XE_BUG_ON(xe_vm_no_dma_fences(afence->vm));
> > +               BUG_ON(xe_vm_no_dma_fences(afence->vm));
> >  
> >                 smp_rmb();
> >                 return wait_event_interruptible(afence->wq, afence-
> > >started);
> > @@ -1950,7 +1950,7 @@ static int xe_vm_prefetch(struct xe_vm *vm,
> > struct xe_vma *vma,
> >  {
> >         int err;
> >  
> > -       XE_BUG_ON(region > ARRAY_SIZE(region_to_mem_type));
> > +       BUG_ON(region > ARRAY_SIZE(region_to_mem_type));
> >  
> >         if (!xe_vma_is_userptr(vma)) {
> >                 err = xe_bo_migrate(vma->bo,
> > region_to_mem_type[region]);
> > @@ -1994,7 +1994,7 @@ static int __vm_bind_ioctl(struct xe_vm *vm,
> > struct xe_vma *vma,
> >                                       afence);
> >                 break;
> >         default:
> > -               XE_BUG_ON("NOT POSSIBLE");
> > +               BUG_ON("NOT POSSIBLE");
> >                 return -EINVAL;
> >         }
> >  }
> > @@ -2040,7 +2040,7 @@ static int vm_bind_ioctl(struct xe_vm *vm,
> > struct xe_vma *vma,
> >         int err, i;
> >  
> >         lockdep_assert_held(&vm->lock);
> > -       XE_BUG_ON(!list_empty(&vma->unbind_link));
> > +       BUG_ON(!list_empty(&vma->unbind_link));
> >  
> >         /* Binds deferred to faults, signal fences now */
> >         if (xe_vm_in_fault_mode(vm) && is_map_op(bind_op->op) &&
> > @@ -2190,7 +2190,7 @@ static void async_op_work_func(struct
> > work_struct *w)
> >  
> >                                         spin_lock_irq(&vm-
> > >async_ops.lock);
> >                                         op = next_async_op(vm);
> > -                                       XE_BUG_ON(!op);
> > +                                       BUG_ON(!op);
> >                                         list_del_init(&op->link);
> >                                         spin_unlock_irq(&vm-
> > >async_ops.lock);
> >  
> > @@ -2332,7 +2332,7 @@ static int vm_bind_ioctl_async(struct xe_vm
> > *vm, struct xe_vma *vma,
> >          * ref count on each rebind.
> >          */
> >  
> > -       XE_BUG_ON(VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP &&
> > +       BUG_ON(VM_BIND_OP(bind_op->op) != XE_VM_BIND_OP_UNMAP &&
> >                   VM_BIND_OP(bind_op->op) !=
> > XE_VM_BIND_OP_UNMAP_ALL &&
> >                   VM_BIND_OP(bind_op->op) !=
> > XE_VM_BIND_OP_PREFETCH);
> >  
> > @@ -2511,7 +2511,7 @@ static int __vm_bind_ioctl_lookup_vma(struct
> > xe_vm *vm, struct xe_bo *bo,
> >         case XE_VM_BIND_OP_UNMAP_ALL:
> >                 break;
> >         default:
> > -               XE_BUG_ON("NOT POSSIBLE");
> > +               BUG_ON("NOT POSSIBLE");
> >                 return -EINVAL;
> >         }
> >  
> > @@ -2557,7 +2557,7 @@ static struct xe_vma
> > *vm_unbind_lookup_vmas(struct xe_vm *vm,
> >         bool first_munmap_rebind = false;
> >  
> >         lockdep_assert_held(&vm->lock);
> > -       XE_BUG_ON(!vma);
> > +       BUG_ON(!vma);
> >  
> >         node = &vma->vm_node;
> >         while ((node = rb_next(node))) {
> > @@ -2774,7 +2774,7 @@ static struct xe_vma
> > *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
> >  
> >         switch (VM_BIND_OP(op)) {
> >         case XE_VM_BIND_OP_MAP:
> > -               XE_BUG_ON(!bo);
> > +               BUG_ON(!bo);
> >  
> >                 err = xe_bo_lock(bo, &ww, 0, true);
> >                 if (err)
> > @@ -2806,7 +2806,7 @@ static struct xe_vma
> > *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
> >                 vma = vm_prefetch_lookup_vmas(vm, &lookup, region);
> >                 break;
> >         case XE_VM_BIND_OP_UNMAP_ALL:
> > -               XE_BUG_ON(!bo);
> > +               BUG_ON(!bo);
> >  
> >                 err = xe_bo_lock(bo, &ww, 0, true);
> >                 if (err)
> > @@ -2817,7 +2817,7 @@ static struct xe_vma
> > *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
> >                 xe_bo_unlock(bo, &ww);
> >                 break;
> >         case XE_VM_BIND_OP_MAP_USERPTR:
> > -               XE_BUG_ON(bo);
> > +               BUG_ON(bo);
> >  
> >                 vma = xe_vma_create(vm, NULL, bo_offset_or_userptr,
> > addr,
> >                                     addr + range - 1,
> > @@ -2837,7 +2837,7 @@ static struct xe_vma
> > *vm_bind_ioctl_lookup_vma(struct xe_vm *vm,
> >                 }
> >                 break;
> >         default:
> > -               XE_BUG_ON("NOT POSSIBLE");
> > +               BUG_ON("NOT POSSIBLE");
> >                 vma = ERR_PTR(-EINVAL);
> >         }
> >  
> > @@ -3213,7 +3213,7 @@ int xe_vm_bind_ioctl(struct drm_device *dev,
> > void *data, struct drm_file *file)
> >                         if (err)
> >                                 break;
> >                 } else {
> > -                       XE_BUG_ON(j != 0);      /* Not supported */
> > +                       BUG_ON(j != 0); /* Not supported */
> >                         err = vm_bind_ioctl(vm, vmas[j], e, bos[j],
> >                                             bind_ops + j, __syncs,
> >                                             __num_syncs, NULL);
> > @@ -3295,7 +3295,7 @@ int xe_vm_lock(struct xe_vm *vm, struct
> > ww_acquire_ctx *ww,
> >         LIST_HEAD(objs);
> >         LIST_HEAD(dups);
> >  
> > -       XE_BUG_ON(!ww);
> > +       BUG_ON(!ww);
> >  
> >         tv_vm.num_shared = num_resv;
> >         tv_vm.bo = xe_vm_ttm_bo(vm);;
> > @@ -3329,7 +3329,7 @@ int xe_vm_invalidate_vma(struct xe_vma *vma)
> >         u8 id;
> >         int ret;
> >  
> > -       XE_BUG_ON(!xe_vm_in_fault_mode(vma->vm));
> > +       BUG_ON(!xe_vm_in_fault_mode(vma->vm));
> >         trace_xe_vma_usm_invalidate(vma);
> >  
> >         /* Check that we don't race with page-table updates */
> > diff --git a/drivers/gpu/drm/xe/xe_wait_user_fence.c
> > b/drivers/gpu/drm/xe/xe_wait_user_fence.c
> > index 15c2e5aa08d2..f85debe599df 100644
> > --- a/drivers/gpu/drm/xe/xe_wait_user_fence.c
> > +++ b/drivers/gpu/drm/xe/xe_wait_user_fence.c
> > @@ -44,7 +44,7 @@ static int do_compare(u64 addr, u64 value, u64
> > mask, u16 op)
> >                 passed = (rvalue & mask) <= (value & mask);
> >                 break;
> >         default:
> > -               XE_BUG_ON("Not possible");
> > +               BUG_ON("Not possible");
> >         }
> >  
> >         return passed ? 0 : 1;
> > diff --git a/drivers/gpu/drm/xe/xe_wopcm.c
> > b/drivers/gpu/drm/xe/xe_wopcm.c
> > index c8cc3f5e6154..53be0c9fc374 100644
> > --- a/drivers/gpu/drm/xe/xe_wopcm.c
> > +++ b/drivers/gpu/drm/xe/xe_wopcm.c
> > @@ -146,10 +146,10 @@ static int __wopcm_init_regs(struct xe_device
> > *xe, struct xe_gt *gt,
> >         u32 mask;
> >         int err;
> >  
> > -       XE_BUG_ON(!(base & GUC_WOPCM_OFFSET_MASK));
> > -       XE_BUG_ON(base & ~GUC_WOPCM_OFFSET_MASK);
> > -       XE_BUG_ON(!(size & GUC_WOPCM_SIZE_MASK));
> > -       XE_BUG_ON(size & ~GUC_WOPCM_SIZE_MASK);
> > +       BUG_ON(!(base & GUC_WOPCM_OFFSET_MASK));
> > +       BUG_ON(base & ~GUC_WOPCM_OFFSET_MASK);
> > +       BUG_ON(!(size & GUC_WOPCM_SIZE_MASK));
> > +       BUG_ON(size & ~GUC_WOPCM_SIZE_MASK);
> >  
> >         mask = GUC_WOPCM_SIZE_MASK | GUC_WOPCM_SIZE_LOCKED;
> >         err = xe_mmio_write32_and_verify(gt, GUC_WOPCM_SIZE.reg,
> > size, mask,
> > @@ -215,9 +215,9 @@ int xe_wopcm_init(struct xe_wopcm *wopcm)
> >         drm_dbg(&xe->drm, "WOPCM: %uK\n", wopcm->size / SZ_1K);
> >  
> >         xe_force_wake_assert_held(gt_to_fw(gt), XE_FW_GT);
> > -       XE_BUG_ON(guc_fw_size >= wopcm->size);
> > -       XE_BUG_ON(huc_fw_size >= wopcm->size);
> > -       XE_BUG_ON(ctx_rsvd + WOPCM_RESERVED_SIZE >= wopcm->size);
> > +       BUG_ON(guc_fw_size >= wopcm->size);
> > +       BUG_ON(huc_fw_size >= wopcm->size);
> > +       BUG_ON(ctx_rsvd + WOPCM_RESERVED_SIZE >= wopcm->size);
> >  
> >         locked = __wopcm_regs_locked(gt, &guc_wopcm_base,
> > &guc_wopcm_size);
> >         if (locked) {
> > @@ -258,8 +258,8 @@ int xe_wopcm_init(struct xe_wopcm *wopcm)
> >                            guc_fw_size, huc_fw_size)) {
> >                 wopcm->guc.base = guc_wopcm_base;
> >                 wopcm->guc.size = guc_wopcm_size;
> > -               XE_BUG_ON(!wopcm->guc.base);
> > -               XE_BUG_ON(!wopcm->guc.size);
> > +               BUG_ON(!wopcm->guc.base);
> > +               BUG_ON(!wopcm->guc.size);
> >         } else {
> >                 drm_notice(&xe->drm, "Unsuccessful WOPCM
> > partitioning\n");
> >                 return -E2BIG;
> > -- 
> > 2.39.2
> > 
> 


^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON.
  2023-03-28 20:27     ` Vivi, Rodrigo
@ 2023-03-28 21:03       ` Michal Wajdeczko
  2023-03-29  9:31         ` Jani Nikula
  0 siblings, 1 reply; 17+ messages in thread
From: Michal Wajdeczko @ 2023-03-28 21:03 UTC (permalink / raw)
  To: Vivi, Rodrigo, Roper, Matthew D, Jani Nikula; +Cc: intel-xe



On 28.03.2023 22:27, Vivi, Rodrigo wrote:
> On Tue, 2023-03-28 at 13:24 -0700, Matt Roper wrote:
>> On Tue, Mar 28, 2023 at 12:10:20PM -0400, Rodrigo Vivi wrote:
>>> If that becomes needed for some reason we bring it
>>> back with some written reasoning.
>>
>> From a quick skim through this patch, most/all of these shouldn't be
>> BUG_ON either.  These are assertions that we don't expect to get
>> triggered, but if we do screw up somewhere we shouldn't be bringing
>> down
>> the entire machine; a WARN (and possibly an early exit) would be more
>> appropriate for most of these.
> 
> yeap! I fully agree on that. I get frustrated when I hit one of these
> BUG_ONs that should be a graceful exit with a warn without a panic...

Recently there was another discussion with proposal to introduce
XE_ASSERT as a replacement of XE_BUG_ON - is this still considered ?

We likely don't want to pollute production driver with too many
redundant BUG_ON/WARN_ON, but still want be paranoid on debug builds
(with just WARNs and continuing until the unavoidable crash).

Michal

^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON.
  2023-03-28 21:03       ` Michal Wajdeczko
@ 2023-03-29  9:31         ` Jani Nikula
  2023-03-29 19:25           ` Rodrigo Vivi
  0 siblings, 1 reply; 17+ messages in thread
From: Jani Nikula @ 2023-03-29  9:31 UTC (permalink / raw)
  To: Michal Wajdeczko, Vivi, Rodrigo, Roper, Matthew D; +Cc: intel-xe

On Tue, 28 Mar 2023, Michal Wajdeczko <michal.wajdeczko@intel.com> wrote:
> On 28.03.2023 22:27, Vivi, Rodrigo wrote:
>> On Tue, 2023-03-28 at 13:24 -0700, Matt Roper wrote:
>>> On Tue, Mar 28, 2023 at 12:10:20PM -0400, Rodrigo Vivi wrote:
>>>> If that becomes needed for some reason we bring it
>>>> back with some written reasoning.
>>>
>>> From a quick skim through this patch, most/all of these shouldn't be
>>> BUG_ON either.  These are assertions that we don't expect to get
>>> triggered, but if we do screw up somewhere we shouldn't be bringing
>>> down
>>> the entire machine; a WARN (and possibly an early exit) would be more
>>> appropriate for most of these.
>> 
>> yeap! I fully agree on that. I get frustrated when I hit one of these
>> BUG_ONs that should be a graceful exit with a warn without a panic...
>
> Recently there was another discussion with proposal to introduce
> XE_ASSERT as a replacement of XE_BUG_ON - is this still considered ?
>
> We likely don't want to pollute production driver with too many
> redundant BUG_ON/WARN_ON, but still want be paranoid on debug builds
> (with just WARNs and continuing until the unavoidable crash).

There are a number of related factors here. From least subjective to
most subjective:

First, the trend in kernel is to pretty much never use BUG_ON. The idea
is that you WARN_ON, and it's the userspace policy to set panic_on_warn
to oops. This includes the CI.

Second, each of the macros could use a comment describing what it does,
what it does not, what it should be used for, and what not. Currently
there is zero, neither in xe or i915. Everyone just figures it out for
themselves or cargo-cults.

Third, I think having *BUG_ON/*WARN_ON in the name of a local macro that
behaves differently from the originals is misleading. To this end I
suggested naming it ASSERT something or other to model it after C
standard library assert(3) that generates no code for NDEBUG. IMO it
implies debug build behaviour better than *BUG_ON. I think the current
*BUG_ON/*WARN_ON give a false sense of security regarding input
validation.

(I understand the need for asserts that generate no code for non-debug
builds when the asserts have a performance impact.)

Fourth, I do think the current *BUG_ONs are being used too
liberally. They're everywhere, so more is added everywhere. That's the
example being followed. Shouldn't happen so no harm in adding a check,
right? Well, I'm not so sure about that. There are 1300+ GEM_BUG_ON's
and GEM_WARN_ON's in i915. (Of which only 4 under display, but that's
probably due to the "GEM" naming as well as my opinion of them.)


BR,
Jani.



-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 17+ messages in thread

* Re: [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON.
  2023-03-29  9:31         ` Jani Nikula
@ 2023-03-29 19:25           ` Rodrigo Vivi
  0 siblings, 0 replies; 17+ messages in thread
From: Rodrigo Vivi @ 2023-03-29 19:25 UTC (permalink / raw)
  To: Jani Nikula; +Cc: Roper, Matthew D, intel-xe

On Wed, Mar 29, 2023 at 12:31:01PM +0300, Jani Nikula wrote:
> On Tue, 28 Mar 2023, Michal Wajdeczko <michal.wajdeczko@intel.com> wrote:
> > On 28.03.2023 22:27, Vivi, Rodrigo wrote:
> >> On Tue, 2023-03-28 at 13:24 -0700, Matt Roper wrote:
> >>> On Tue, Mar 28, 2023 at 12:10:20PM -0400, Rodrigo Vivi wrote:
> >>>> If that becomes needed for some reason we bring it
> >>>> back with some written reasoning.
> >>>
> >>> From a quick skim through this patch, most/all of these shouldn't be
> >>> BUG_ON either.  These are assertions that we don't expect to get
> >>> triggered, but if we do screw up somewhere we shouldn't be bringing
> >>> down
> >>> the entire machine; a WARN (and possibly an early exit) would be more
> >>> appropriate for most of these.
> >> 
> >> yeap! I fully agree on that. I get frustrated when I hit one of these
> >> BUG_ONs that should be a graceful exit with a warn without a panic...
> >
> > Recently there was another discussion with proposal to introduce
> > XE_ASSERT as a replacement of XE_BUG_ON - is this still considered ?
> >
> > We likely don't want to pollute production driver with too many
> > redundant BUG_ON/WARN_ON, but still want be paranoid on debug builds
> > (with just WARNs and continuing until the unavoidable crash).
> 
> There are a number of related factors here. From least subjective to
> most subjective:
> 
> First, the trend in kernel is to pretty much never use BUG_ON. The idea
> is that you WARN_ON, and it's the userspace policy to set panic_on_warn
> to oops. This includes the CI.
> 
> Second, each of the macros could use a comment describing what it does,
> what it does not, what it should be used for, and what not. Currently
> there is zero, neither in xe or i915. Everyone just figures it out for
> themselves or cargo-cults.
> 
> Third, I think having *BUG_ON/*WARN_ON in the name of a local macro that
> behaves differently from the originals is misleading. To this end I
> suggested naming it ASSERT something or other to model it after C
> standard library assert(3) that generates no code for NDEBUG. IMO it
> implies debug build behaviour better than *BUG_ON. I think the current
> *BUG_ON/*WARN_ON give a false sense of security regarding input
> validation.
> 
> (I understand the need for asserts that generate no code for non-debug
> builds when the asserts have a performance impact.)

But is this a problem only for i915 and xe? how other drivers are dealing
with this?

> 
> Fourth, I do think the current *BUG_ONs are being used too
> liberally. They're everywhere, so more is added everywhere. That's the
> example being followed. Shouldn't happen so no harm in adding a check,
> right? Well, I'm not so sure about that. There are 1300+ GEM_BUG_ON's
> and GEM_WARN_ON's in i915. (Of which only 4 under display, but that's
> probably due to the "GEM" naming as well as my opinion of them.)

should we already scrutinize all the XE_BUG_ON and move most of them
to XE_WARN_ON? then do the renaming? and probably create the assert?
or the other way around?



> 
> 
> BR,
> Jani.
> 
> 
> 
> -- 
> Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 17+ messages in thread

end of thread, other threads:[~2023-03-29 19:25 UTC | newest]

Thread overview: 17+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2023-03-28 16:10 [Intel-xe] [RFC 0/5] Start killing xe_macros Rodrigo Vivi
2023-03-28 16:10 ` [Intel-xe] [RFC 1/5] !fixup: drm/i915/display: Remaining changes to make xe compile Rodrigo Vivi
2023-03-28 16:10 ` [Intel-xe] [RFC 2/5] !fixup: drm/xe: Allow fbdev to allocate stolen memory Rodrigo Vivi
2023-03-28 16:10 ` [Intel-xe] [RFC 3/5] drm/xe: Remove useless XE_WARN_ON Rodrigo Vivi
2023-03-28 18:26   ` Matthew Brost
2023-03-28 18:58     ` Rodrigo Vivi
2023-03-28 16:10 ` [Intel-xe] [RFC 4/5] drm/xe: Remove useless XE_BUG_ON Rodrigo Vivi
2023-03-28 20:24   ` Matt Roper
2023-03-28 20:27     ` Vivi, Rodrigo
2023-03-28 21:03       ` Michal Wajdeczko
2023-03-29  9:31         ` Jani Nikula
2023-03-29 19:25           ` Rodrigo Vivi
2023-03-28 16:10 ` [Intel-xe] [RFC 5/5] drm/xe/xe_macro: Remove unused stuff Rodrigo Vivi
2023-03-28 16:16 ` [Intel-xe] ✓ CI.Patch_applied: success for Start killing xe_macros Patchwork
2023-03-28 16:17 ` [Intel-xe] ✓ CI.KUnit: " Patchwork
2023-03-28 16:21 ` [Intel-xe] ✓ CI.Build: " Patchwork
2023-03-28 16:43 ` [Intel-xe] ○ CI.BAT: info " Patchwork

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for NNTP newsgroup(s).