linux-fpga.vger.kernel.org archive mirror
 help / color / mirror / Atom feed
 messages from 2021-06-24 01:22:34 to 2021-07-09 13:43:01 UTC [more...]

[PATCH v2 0/4] fpga: fpga-mgr: move compat_id from fpga_mgr to dfl
 2021-07-09 13:42 UTC  (4+ messages)
` [PATCH v2 1/4] fpga: region: introduce fpga_region_ops
` [PATCH v2 2/4] fpga: region: introduce compat_id_show op
` [PATCH v2 3/4] fpga: dfl: implement the compat_id_show region op

[RFC v2 0/4]Fpga: adds support to load the user-key encrypted FPGA Image loading
 2021-07-09  9:49 UTC  (10+ messages)
` [RFC v2 1/4] drivers: firmware: Add user encrypted key load API support
` [RFC v2 2/4] fpga: Add new property to support user-key encrypted bitstream loading

[PATCH v2] fpga: dfl: fme: Fix cpu hotplug issue in performance reporting
 2021-07-09  8:43 UTC 

[PATCH v10 0/3] fpga: Use standard class dev_release function
 2021-07-09  0:42 UTC  (4+ messages)
` [PATCH v10 1/3] fpga: mgr: Use standard dev_release for class driver
` [PATCH v10 2/3] fpga: bridge: "
` [PATCH v10 3/3] fpga: region: "

[PATCH v2 0/4] bus: Make remove callback return void
 2021-07-08 12:41 UTC  (16+ messages)
` [PATCH v2 4/4] "

[PATCH v8 0/5]Add Bitstream configuration support for Versal
 2021-07-08 11:57 UTC  (12+ messages)
` [PATCH v8 1/5] drivers: firmware: Add PDI load API support
` [PATCH v8 2/5] dt-bindings: fpga: Add binding doc for versal fpga manager
` [PATCH v8 3/5] dt-bindings: firmware: Add bindings for xilinx firmware
` [PATCH v8 4/5] dt-bindings: firmware: Remove xlnx,zynqmp-firmware.txt file
` [PATCH v8 5/5] fpga: versal-fpga: Add versal fpga manager driver

[PATCH] bus: Make remove callback return void
 2021-07-08  5:32 UTC  (24+ messages)

[PATCH] fpga: fpga-mgr: move compat_id from fpga_mgr to dfl
 2021-07-07 21:26 UTC  (3+ messages)

[PATCH v9 0/3] fpga: Use standard class dev_release function
 2021-07-07 13:29 UTC  (22+ messages)
` [PATCH v9 1/3] fpga: mgr: Use standard dev_release for class driver
` [PATCH v9 2/3] fpga: bridge: "
` [PATCH v9 3/3] fpga: region: "

[QUESTION] fpga: machxo2-spi: adapting for machxo3
 2021-07-07 10:12 UTC 

[PATCH 0/4] FPGA Manager address unused variable warnings
 2021-07-07  2:03 UTC  (7+ messages)
` [PATCH 1/4] fpga: altera-freeze-bridge: Address warning about unused variable
` [PATCH 2/4] fpga: xiilnx-spi: "
` [PATCH 3/4] fpga: xilinx-pr-decoupler: "
` [PATCH 4/4] fpga: zynqmp-fpga: "

[PATCH v4 0/4] fpga/mfd/hwmon: Initial support for Silicom N5010 PAC
 2021-07-06 14:56 UTC  (7+ messages)
` [PATCH v4 1/4] fpga: dfl: expose feature revision from struct dfl_device
` [PATCH v4 2/4] spi: spi-altera-dfl: support n5010 feature revision
` [PATCH v4 3/4] mfd: intel-m10-bmc: add n5010 variant
` [PATCH v4 4/4] hwmon: intel-m10-bmc-hwmon: add n5010 sensors

[PATCH V7 XRT Alveo 00/20] XRT Alveo driver overview
 2021-07-01 20:32 UTC  (2+ messages)

[PATCH v8 0/3] fpga: Use standard class dev_release function
 2021-06-30 22:12 UTC  (10+ messages)
` [PATCH v8 1/3] fpga: mgr: Use standard dev_release for class driver
` [PATCH v8 2/3] fpga: bridge: "
` [PATCH v8 3/3] fpga: region: "

[PATCH v3 0/4] fpga/mfd/hwmon: Initial support for Silicom N5010 PAC
 2021-06-30 18:48 UTC  (10+ messages)
` [PATCH v3 1/4] fpga: dfl: expose feature revision from struct dfl_device
` [PATCH v3 2/4] spi: spi-altera-dfl: support n5010 feature revision
` [PATCH v3 3/4] mfd: intel-m10-bmc: add n5010 variant
` [PATCH v3 4/4] hwmon: intel-m10-bmc-hwmon: add n5010 sensors

[PATCH v2 0/5] fpga/mfd/hwmon: Initial support for Silicom N5010 PAC
 2021-06-30 10:57 UTC  (25+ messages)
` [PATCH v2 1/5] fpga: dfl: pci: add device IDs for Silicom N501x PAC cards
` [PATCH v2 2/5] fpga: dfl: expose feature revision from struct dfl_device
` [PATCH v2 3/5] spi: spi-altera-dfl: support n5010 feature revision
` [PATCH v2 4/5] mfd: intel-m10-bmc: add n5010 variant
` [PATCH v2 5/5] hwmon: intel-m10-bmc-hwmon: add n5010 sensors

[PATCH] fpga: dfl: fme: Fix cpu hotplug issue in performance reporting
 2021-06-29  8:08 UTC  (4+ messages)

[RFC] fpga: dfl: fme: Fix cpu hotplug code
 2021-06-29  7:14 UTC  (5+ messages)

[PATCH v4 0/7] wrappers for fpga_manager_ops
 2021-06-28 21:03 UTC  (10+ messages)
` [PATCH v4 1/7] fpga-mgr: wrap the write_init() op
` [PATCH v4 2/7] fpga-mgr: make write_complete() op optional
` [PATCH v4 3/7] fpga-mgr: wrap the write() op
` [PATCH v4 4/7] fpga-mgr: wrap the status() op
` [PATCH v4 5/7] fpga-mgr: wrap the state() op
` [PATCH v4 6/7] fpga-mgr: wrap the fpga_remove() op
` [PATCH v4 7/7] fpga-mgr: wrap the write_sg() op

[PATCH v5 0/4] generalize fpga_mgr_load
 2021-06-28 20:55 UTC  (10+ messages)
` [PATCH v5 1/4] fpga: generalize updating the card
` [PATCH v5 2/4] fpga: add FPGA_MGR_REIMAGE flag
` [PATCH v5 3/4] fpga: pass fpga_manager_update_ops to the fpga_manager_write functions
` [PATCH v5 4/4] fpga: use reimage ops in fpga_mgr_load()

[GIT PULL] FPGA Manager late cleanups for 5.14-rc1
 2021-06-27 10:48 UTC  (2+ messages)

[PATCH v7 0/4]Add Bitstream configuration support for Versal
 2021-06-26 15:38 UTC  (4+ messages)
` [PATCH v7 3/4] dt-bindings: firmware: Add bindings for xilinx firmware

[PATCH 0/4] fpga/mfd/hwmon: Initial support for Silicom N5010 PAC
 2021-06-25  7:11 UTC  (10+ messages)
` [PATCH 2/4] fpga: dfl: Move DFH header register macros to linux/dfl.h

[PATCH v4 0/5] generalize fpga_mgr_load
 2021-06-24 21:17 UTC  (6+ messages)
` [PATCH v4 1/5] fpga: generalize updating the card
` [PATCH v4 2/5] fpga: add FPGA_MGR_REIMAGE flag
` [PATCH v4 3/5] fpga: pass fpga_manager_update_ops to the fpga_manager_write functions
` [PATCH v4 4/5] fpga: use reimage ops in fpga_mgr_load()
` [PATCH v4 5/5] fpga: fpga-mgr: simplify mops check in wrappers

[PATCH] dt-bindings: fpga: zynq: convert bindings to YAML
 2021-06-24 20:27 UTC  (2+ messages)

[PATCH v7 0/3] fpga: Use standard class dev_release function
 2021-06-24 16:13 UTC  (9+ messages)
` [PATCH v7 1/3] fpga: mgr: Use standard dev_release for class driver
` [PATCH v7 2/3] fpga: bridge: "
` [PATCH v7 3/3] fpga: region: "

[PATCH v3 0/7] wrappers for fpga_manager_ops
 2021-06-24 14:37 UTC  (4+ messages)
` [PATCH v3 1/7] fpga-mgr: wrap the write_init() op


This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for NNTP newsgroup(s).