On Wed, Sep 19, 2012 at 05:00:29PM +0530, Kishon Vijay Abraham I wrote: > "usb_otg_ss_refclk960m" is needed by usb2 phy present in omap5. For > omap4, the clk_get of this clock will fail since it does not have this > clock. > > Signed-off-by: Kishon Vijay Abraham I > --- > Documentation/devicetree/bindings/usb/usb-phy.txt | 3 +++ > drivers/usb/phy/omap-usb2.c | 28 ++++++++++++++++++++- > 2 files changed, 30 insertions(+), 1 deletion(-) > > diff --git a/Documentation/devicetree/bindings/usb/usb-phy.txt b/Documentation/devicetree/bindings/usb/usb-phy.txt > index 7c5fd89..d5626de 100644 > --- a/Documentation/devicetree/bindings/usb/usb-phy.txt > +++ b/Documentation/devicetree/bindings/usb/usb-phy.txt > @@ -24,6 +24,9 @@ Required properties: > add the address of control module phy power register until a driver for > control module is added > > +Optional properties: > + - has960mhzclk: should be added if the phy needs 960mhz clock > + > This is usually a subnode of ocp2scp to which it is connected. > > usb3phy@4a084400 { > diff --git a/drivers/usb/phy/omap-usb2.c b/drivers/usb/phy/omap-usb2.c > index d36c282..d6612ba 100644 > --- a/drivers/usb/phy/omap-usb2.c > +++ b/drivers/usb/phy/omap-usb2.c > @@ -146,6 +146,7 @@ static int __devinit omap_usb2_probe(struct platform_device *pdev) > struct omap_usb *phy; > struct usb_otg *otg; > struct resource *res; > + struct device_node *np = pdev->dev.of_node; > > phy = devm_kzalloc(&pdev->dev, sizeof(*phy), GFP_KERNEL); > if (!phy) { > @@ -190,6 +191,15 @@ static int __devinit omap_usb2_probe(struct platform_device *pdev) > } > clk_prepare(phy->wkupclk); > > + if (of_property_read_bool(np, "has960mhzclk")) { > + phy->optclk = devm_clk_get(phy->dev, "usb_otg_ss_refclk960m"); > + if (IS_ERR(phy->optclk)) { > + dev_err(&pdev->dev, "unable to get refclk960m\n"); > + return PTR_ERR(phy->optclk); > + } > + clk_prepare(phy->optclk); > + } instead, can't you just always try to get the clock but ignore the error if it fails ? If it works you can set a flag to let you know that you can use that optional clock. -- balbi