From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-7.2 required=3.0 tests=HEADER_FROM_DIFFERENT_DOMAINS, MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING,SPF_HELO_NONE,SPF_PASS,URIBL_BLOCKED, USER_AGENT_SANE_1 autolearn=unavailable autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id E92F1C433E0 for ; Wed, 3 Jun 2020 14:24:30 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id BABD320679 for ; Wed, 3 Jun 2020 14:24:30 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S1726108AbgFCOYa (ORCPT ); Wed, 3 Jun 2020 10:24:30 -0400 Received: from mga17.intel.com ([192.55.52.151]:13080 "EHLO mga17.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1725854AbgFCOY2 (ORCPT ); Wed, 3 Jun 2020 10:24:28 -0400 IronPort-SDR: F4gD44sdHjOTY1VdMxKfBPEy9vqUrSGnoaXjNOZDI9b0PiENkhddfVxUMUE97fE7AxpFJC3FjS v9xZZRd66/0g== X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from fmsmga004.fm.intel.com ([10.253.24.48]) by fmsmga107.fm.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 03 Jun 2020 06:31:23 -0700 IronPort-SDR: TCCgFHa4h9paQf0NYe/e81SbK+KZE+HFbVx8t3fk41zveJIouMp99Csrus09D5W8iaF9t/rBc0 lQ+u73VV/ruw== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.73,467,1583222400"; d="gz'50?scan'50,208,50";a="293967061" Received: from lkp-server01.sh.intel.com (HELO dad89584b564) ([10.239.97.150]) by fmsmga004.fm.intel.com with ESMTP; 03 Jun 2020 06:31:20 -0700 Received: from kbuild by dad89584b564 with local (Exim 4.92) (envelope-from ) id 1jgTUF-0000AK-AU; Wed, 03 Jun 2020 13:31:19 +0000 Date: Wed, 3 Jun 2020 21:30:40 +0800 From: kernel test robot To: Piotr Stankiewicz , Bjorn Helgaas , linux-pci@vger.kernel.org Cc: kbuild-all@lists.01.org, Piotr Stankiewicz , Antoine Tenart , Herbert Xu , Pascal van Leeuwen , Arnd Bergmann , linux-crypto@vger.kernel.org, linux-kernel@vger.kernel.org Subject: Re: [PATCH v2 05/15] crypto: inside-secure - Use PCI_IRQ_MSI_TYPES where appropriate Message-ID: <202006032105.h3Ie4ISc%lkp@intel.com> References: <20200603114729.13128-1-piotr.stankiewicz@intel.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="uAKRQypu60I7Lcqm" Content-Disposition: inline In-Reply-To: <20200603114729.13128-1-piotr.stankiewicz@intel.com> User-Agent: Mutt/1.10.1 (2018-07-13) Sender: linux-kernel-owner@vger.kernel.org Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --uAKRQypu60I7Lcqm Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Piotr, Thank you for the patch! Yet something to improve: [auto build test ERROR on pci/next] [also build test ERROR on mkp-scsi/for-next scsi/for-next linus/master v5.7 next-20200603] [if your patch is applied to the wrong git tree, please drop us a note to help improve the system. BTW, we also suggest to use '--base' option to specify the base tree in git format-patch, please see https://stackoverflow.com/a/37406982] url: https://github.com/0day-ci/linux/commits/Piotr-Stankiewicz/Forward-MSI-X-vector-enable-error-code-in-pci_alloc_irq_vectors_affinity/20200603-195246 base: https://git.kernel.org/pub/scm/linux/kernel/git/helgaas/pci.git next config: sh-allmodconfig (attached as .config) compiler: sh4-linux-gcc (GCC) 9.3.0 reproduce (this is a W=1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=gcc-9.3.0 make.cross ARCH=sh If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All errors (new ones prefixed by >>, old ones prefixed by <<): drivers/crypto/inside-secure/safexcel.c:649:11: note: in expansion of macro 'GENMASK' 649 | GENMASK(priv->config.rings - 1, 0), | ^~~~~~~ include/linux/bits.h:26:28: warning: comparison of unsigned expression < 0 is always false [-Wtype-limits] 26 | __builtin_constant_p((l) > (h)), (l) > (h), 0))) | ^ arch/sh/include/asm/io.h:32:77: note: in definition of macro '__raw_writel' 32 | #define __raw_writel(v,a) (__chk_io_ptr(a), *(volatile u32 __force *)(a) = (v)) | ^ arch/sh/include/asm/io.h:47:62: note: in expansion of macro 'ioswabl' 47 | #define writel_relaxed(v,c) ((void)__raw_writel((__force u32)ioswabl(v),c)) | ^~~~~~~ arch/sh/include/asm/io.h:57:32: note: in expansion of macro 'writel_relaxed' 57 | #define writel(v,a) ({ wmb(); writel_relaxed((v),(a)); }) | ^~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:757:3: note: in expansion of macro 'writel' 757 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~ include/linux/bits.h:25:3: note: in expansion of macro 'BUILD_BUG_ON_ZERO' 25 | (BUILD_BUG_ON_ZERO(__builtin_choose_expr( | ^~~~~~~~~~~~~~~~~ include/linux/bits.h:39:3: note: in expansion of macro 'GENMASK_INPUT_CHECK' 39 | (GENMASK_INPUT_CHECK(h, l) + __GENMASK(h, l)) | ^~~~~~~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:757:35: note: in expansion of macro 'GENMASK' 757 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~~ include/linux/bits.h:26:40: warning: comparison of unsigned expression < 0 is always false [-Wtype-limits] 26 | __builtin_constant_p((l) > (h)), (l) > (h), 0))) | ^ arch/sh/include/asm/io.h:32:77: note: in definition of macro '__raw_writel' 32 | #define __raw_writel(v,a) (__chk_io_ptr(a), *(volatile u32 __force *)(a) = (v)) | ^ arch/sh/include/asm/io.h:47:62: note: in expansion of macro 'ioswabl' 47 | #define writel_relaxed(v,c) ((void)__raw_writel((__force u32)ioswabl(v),c)) | ^~~~~~~ arch/sh/include/asm/io.h:57:32: note: in expansion of macro 'writel_relaxed' 57 | #define writel(v,a) ({ wmb(); writel_relaxed((v),(a)); }) | ^~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:757:3: note: in expansion of macro 'writel' 757 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~ include/linux/bits.h:25:3: note: in expansion of macro 'BUILD_BUG_ON_ZERO' 25 | (BUILD_BUG_ON_ZERO(__builtin_choose_expr( | ^~~~~~~~~~~~~~~~~ include/linux/bits.h:39:3: note: in expansion of macro 'GENMASK_INPUT_CHECK' 39 | (GENMASK_INPUT_CHECK(h, l) + __GENMASK(h, l)) | ^~~~~~~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:757:35: note: in expansion of macro 'GENMASK' 757 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~~ include/linux/bits.h:26:28: warning: comparison of unsigned expression < 0 is always false [-Wtype-limits] 26 | __builtin_constant_p((l) > (h)), (l) > (h), 0))) | ^ arch/sh/include/asm/io.h:32:77: note: in definition of macro '__raw_writel' 32 | #define __raw_writel(v,a) (__chk_io_ptr(a), *(volatile u32 __force *)(a) = (v)) | ^ arch/sh/include/asm/io.h:47:62: note: in expansion of macro 'ioswabl' 47 | #define writel_relaxed(v,c) ((void)__raw_writel((__force u32)ioswabl(v),c)) | ^~~~~~~ arch/sh/include/asm/io.h:57:32: note: in expansion of macro 'writel_relaxed' 57 | #define writel(v,a) ({ wmb(); writel_relaxed((v),(a)); }) | ^~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:761:3: note: in expansion of macro 'writel' 761 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~ include/linux/bits.h:25:3: note: in expansion of macro 'BUILD_BUG_ON_ZERO' 25 | (BUILD_BUG_ON_ZERO(__builtin_choose_expr( | ^~~~~~~~~~~~~~~~~ include/linux/bits.h:39:3: note: in expansion of macro 'GENMASK_INPUT_CHECK' 39 | (GENMASK_INPUT_CHECK(h, l) + __GENMASK(h, l)) | ^~~~~~~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:761:35: note: in expansion of macro 'GENMASK' 761 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~~ include/linux/bits.h:26:40: warning: comparison of unsigned expression < 0 is always false [-Wtype-limits] 26 | __builtin_constant_p((l) > (h)), (l) > (h), 0))) | ^ arch/sh/include/asm/io.h:32:77: note: in definition of macro '__raw_writel' 32 | #define __raw_writel(v,a) (__chk_io_ptr(a), *(volatile u32 __force *)(a) = (v)) | ^ arch/sh/include/asm/io.h:47:62: note: in expansion of macro 'ioswabl' 47 | #define writel_relaxed(v,c) ((void)__raw_writel((__force u32)ioswabl(v),c)) | ^~~~~~~ arch/sh/include/asm/io.h:57:32: note: in expansion of macro 'writel_relaxed' 57 | #define writel(v,a) ({ wmb(); writel_relaxed((v),(a)); }) | ^~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:761:3: note: in expansion of macro 'writel' 761 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~ include/linux/bits.h:25:3: note: in expansion of macro 'BUILD_BUG_ON_ZERO' 25 | (BUILD_BUG_ON_ZERO(__builtin_choose_expr( | ^~~~~~~~~~~~~~~~~ include/linux/bits.h:39:3: note: in expansion of macro 'GENMASK_INPUT_CHECK' 39 | (GENMASK_INPUT_CHECK(h, l) + __GENMASK(h, l)) | ^~~~~~~~~~~~~~~~~~~ drivers/crypto/inside-secure/safexcel.c:761:35: note: in expansion of macro 'GENMASK' 761 | writel(EIP197_DxE_THR_CTRL_EN | GENMASK(priv->config.rings - 1, 0), | ^~~~~~~ drivers/crypto/inside-secure/safexcel.c: In function 'safexcel_probe_generic': << from drivers/crypto/inside-secure/safexcel.c:10: >> drivers/crypto/inside-secure/safexcel.c:1570:10: error: 'PCI_IRQ_MSI_TYPES' undeclared (first use in this function); did you mean 'PCI_IRQ_MSIX'? 1570 | PCI_IRQ_MSI_TYPES); | ^~~~~~~~~~~~~~~~~ | PCI_IRQ_MSIX drivers/crypto/inside-secure/safexcel.c:1570:10: note: each undeclared identifier is reported only once for each function it appears in vim +1570 drivers/crypto/inside-secure/safexcel.c 1382 1383 /* 1384 * Generic part of probe routine, shared by platform and PCI driver 1385 * 1386 * Assumes IO resources have been mapped, private data mem has been allocated, 1387 * clocks have been enabled, device pointer has been assigned etc. 1388 * 1389 */ 1390 static int safexcel_probe_generic(void *pdev, 1391 struct safexcel_crypto_priv *priv, 1392 int is_pci_dev) 1393 { 1394 struct device *dev = priv->dev; 1395 u32 peid, version, mask, val, hiaopt, hwopt, peopt; 1396 int i, ret, hwctg; 1397 1398 priv->context_pool = dmam_pool_create("safexcel-context", dev, 1399 sizeof(struct safexcel_context_record), 1400 1, 0); 1401 if (!priv->context_pool) 1402 return -ENOMEM; 1403 1404 /* 1405 * First try the EIP97 HIA version regs 1406 * For the EIP197, this is guaranteed to NOT return any of the test 1407 * values 1408 */ 1409 version = readl(priv->base + EIP97_HIA_AIC_BASE + EIP197_HIA_VERSION); 1410 1411 mask = 0; /* do not swap */ 1412 if (EIP197_REG_LO16(version) == EIP197_HIA_VERSION_LE) { 1413 priv->hwconfig.hiaver = EIP197_VERSION_MASK(version); 1414 } else if (EIP197_REG_HI16(version) == EIP197_HIA_VERSION_BE) { 1415 /* read back byte-swapped, so complement byte swap bits */ 1416 mask = EIP197_MST_CTRL_BYTE_SWAP_BITS; 1417 priv->hwconfig.hiaver = EIP197_VERSION_SWAP(version); 1418 } else { 1419 /* So it wasn't an EIP97 ... maybe it's an EIP197? */ 1420 version = readl(priv->base + EIP197_HIA_AIC_BASE + 1421 EIP197_HIA_VERSION); 1422 if (EIP197_REG_LO16(version) == EIP197_HIA_VERSION_LE) { 1423 priv->hwconfig.hiaver = EIP197_VERSION_MASK(version); 1424 priv->flags |= SAFEXCEL_HW_EIP197; 1425 } else if (EIP197_REG_HI16(version) == 1426 EIP197_HIA_VERSION_BE) { 1427 /* read back byte-swapped, so complement swap bits */ 1428 mask = EIP197_MST_CTRL_BYTE_SWAP_BITS; 1429 priv->hwconfig.hiaver = EIP197_VERSION_SWAP(version); 1430 priv->flags |= SAFEXCEL_HW_EIP197; 1431 } else { 1432 return -ENODEV; 1433 } 1434 } 1435 1436 /* Now initialize the reg offsets based on the probing info so far */ 1437 safexcel_init_register_offsets(priv); 1438 1439 /* 1440 * If the version was read byte-swapped, we need to flip the device 1441 * swapping Keep in mind here, though, that what we write will also be 1442 * byte-swapped ... 1443 */ 1444 if (mask) { 1445 val = readl(EIP197_HIA_AIC(priv) + EIP197_HIA_MST_CTRL); 1446 val = val ^ (mask >> 24); /* toggle byte swap bits */ 1447 writel(val, EIP197_HIA_AIC(priv) + EIP197_HIA_MST_CTRL); 1448 } 1449 1450 /* 1451 * We're not done probing yet! We may fall through to here if no HIA 1452 * was found at all. So, with the endianness presumably correct now and 1453 * the offsets setup, *really* probe for the EIP97/EIP197. 1454 */ 1455 version = readl(EIP197_GLOBAL(priv) + EIP197_VERSION); 1456 if (((priv->flags & SAFEXCEL_HW_EIP197) && 1457 (EIP197_REG_LO16(version) != EIP197_VERSION_LE) && 1458 (EIP197_REG_LO16(version) != EIP196_VERSION_LE)) || 1459 ((!(priv->flags & SAFEXCEL_HW_EIP197) && 1460 (EIP197_REG_LO16(version) != EIP97_VERSION_LE)))) { 1461 /* 1462 * We did not find the device that matched our initial probing 1463 * (or our initial probing failed) Report appropriate error. 1464 */ 1465 dev_err(priv->dev, "Probing for EIP97/EIP19x failed - no such device (read %08x)\n", 1466 version); 1467 return -ENODEV; 1468 } 1469 1470 priv->hwconfig.hwver = EIP197_VERSION_MASK(version); 1471 hwctg = version >> 28; 1472 peid = version & 255; 1473 1474 /* Detect EIP206 processing pipe */ 1475 version = readl(EIP197_PE(priv) + + EIP197_PE_VERSION(0)); 1476 if (EIP197_REG_LO16(version) != EIP206_VERSION_LE) { 1477 dev_err(priv->dev, "EIP%d: EIP206 not detected\n", peid); 1478 return -ENODEV; 1479 } 1480 priv->hwconfig.ppver = EIP197_VERSION_MASK(version); 1481 1482 /* Detect EIP96 packet engine and version */ 1483 version = readl(EIP197_PE(priv) + EIP197_PE_EIP96_VERSION(0)); 1484 if (EIP197_REG_LO16(version) != EIP96_VERSION_LE) { 1485 dev_err(dev, "EIP%d: EIP96 not detected.\n", peid); 1486 return -ENODEV; 1487 } 1488 priv->hwconfig.pever = EIP197_VERSION_MASK(version); 1489 1490 hwopt = readl(EIP197_GLOBAL(priv) + EIP197_OPTIONS); 1491 hiaopt = readl(EIP197_HIA_AIC(priv) + EIP197_HIA_OPTIONS); 1492 1493 if (priv->flags & SAFEXCEL_HW_EIP197) { 1494 /* EIP197 */ 1495 peopt = readl(EIP197_PE(priv) + EIP197_PE_OPTIONS(0)); 1496 1497 priv->hwconfig.hwdataw = (hiaopt >> EIP197_HWDATAW_OFFSET) & 1498 EIP197_HWDATAW_MASK; 1499 priv->hwconfig.hwcfsize = ((hiaopt >> EIP197_CFSIZE_OFFSET) & 1500 EIP197_CFSIZE_MASK) + 1501 EIP197_CFSIZE_ADJUST; 1502 priv->hwconfig.hwrfsize = ((hiaopt >> EIP197_RFSIZE_OFFSET) & 1503 EIP197_RFSIZE_MASK) + 1504 EIP197_RFSIZE_ADJUST; 1505 priv->hwconfig.hwnumpes = (hiaopt >> EIP197_N_PES_OFFSET) & 1506 EIP197_N_PES_MASK; 1507 priv->hwconfig.hwnumrings = (hiaopt >> EIP197_N_RINGS_OFFSET) & 1508 EIP197_N_RINGS_MASK; 1509 if (hiaopt & EIP197_HIA_OPT_HAS_PE_ARB) 1510 priv->flags |= EIP197_PE_ARB; 1511 if (EIP206_OPT_ICE_TYPE(peopt) == 1) 1512 priv->flags |= EIP197_ICE; 1513 /* If not a full TRC, then assume simple TRC */ 1514 if (!(hwopt & EIP197_OPT_HAS_TRC)) 1515 priv->flags |= EIP197_SIMPLE_TRC; 1516 /* EIP197 always has SOME form of TRC */ 1517 priv->flags |= EIP197_TRC_CACHE; 1518 } else { 1519 /* EIP97 */ 1520 priv->hwconfig.hwdataw = (hiaopt >> EIP197_HWDATAW_OFFSET) & 1521 EIP97_HWDATAW_MASK; 1522 priv->hwconfig.hwcfsize = (hiaopt >> EIP97_CFSIZE_OFFSET) & 1523 EIP97_CFSIZE_MASK; 1524 priv->hwconfig.hwrfsize = (hiaopt >> EIP97_RFSIZE_OFFSET) & 1525 EIP97_RFSIZE_MASK; 1526 priv->hwconfig.hwnumpes = 1; /* by definition */ 1527 priv->hwconfig.hwnumrings = (hiaopt >> EIP197_N_RINGS_OFFSET) & 1528 EIP197_N_RINGS_MASK; 1529 } 1530 1531 /* Scan for ring AIC's */ 1532 for (i = 0; i < EIP197_MAX_RING_AIC; i++) { 1533 version = readl(EIP197_HIA_AIC_R(priv) + 1534 EIP197_HIA_AIC_R_VERSION(i)); 1535 if (EIP197_REG_LO16(version) != EIP201_VERSION_LE) 1536 break; 1537 } 1538 priv->hwconfig.hwnumraic = i; 1539 /* Low-end EIP196 may not have any ring AIC's ... */ 1540 if (!priv->hwconfig.hwnumraic) { 1541 dev_err(priv->dev, "No ring interrupt controller present!\n"); 1542 return -ENODEV; 1543 } 1544 1545 /* Get supported algorithms from EIP96 transform engine */ 1546 priv->hwconfig.algo_flags = readl(EIP197_PE(priv) + 1547 EIP197_PE_EIP96_OPTIONS(0)); 1548 1549 /* Print single info line describing what we just detected */ 1550 dev_info(priv->dev, "EIP%d:%x(%d,%d,%d,%d)-HIA:%x(%d,%d,%d),PE:%x/%x,alg:%08x\n", 1551 peid, priv->hwconfig.hwver, hwctg, priv->hwconfig.hwnumpes, 1552 priv->hwconfig.hwnumrings, priv->hwconfig.hwnumraic, 1553 priv->hwconfig.hiaver, priv->hwconfig.hwdataw, 1554 priv->hwconfig.hwcfsize, priv->hwconfig.hwrfsize, 1555 priv->hwconfig.ppver, priv->hwconfig.pever, 1556 priv->hwconfig.algo_flags); 1557 1558 safexcel_configure(priv); 1559 1560 if (IS_ENABLED(CONFIG_PCI) && priv->version == EIP197_DEVBRD) { 1561 /* 1562 * Request MSI vectors for global + 1 per ring - 1563 * or just 1 for older dev images 1564 */ 1565 struct pci_dev *pci_pdev = pdev; 1566 1567 ret = pci_alloc_irq_vectors(pci_pdev, 1568 priv->config.rings + 1, 1569 priv->config.rings + 1, > 1570 PCI_IRQ_MSI_TYPES); 1571 if (ret < 0) { 1572 dev_err(dev, "Failed to allocate PCI MSI interrupts\n"); 1573 return ret; 1574 } 1575 } 1576 1577 /* Register the ring IRQ handlers and configure the rings */ 1578 priv->ring = devm_kcalloc(dev, priv->config.rings, 1579 sizeof(*priv->ring), 1580 GFP_KERNEL); 1581 if (!priv->ring) 1582 return -ENOMEM; 1583 1584 for (i = 0; i < priv->config.rings; i++) { 1585 char wq_name[9] = {0}; 1586 int irq; 1587 struct safexcel_ring_irq_data *ring_irq; 1588 1589 ret = safexcel_init_ring_descriptors(priv, 1590 &priv->ring[i].cdr, 1591 &priv->ring[i].rdr); 1592 if (ret) { 1593 dev_err(dev, "Failed to initialize rings\n"); 1594 return ret; 1595 } 1596 1597 priv->ring[i].rdr_req = devm_kcalloc(dev, 1598 EIP197_DEFAULT_RING_SIZE, 1599 sizeof(priv->ring[i].rdr_req), 1600 GFP_KERNEL); 1601 if (!priv->ring[i].rdr_req) 1602 return -ENOMEM; 1603 1604 ring_irq = devm_kzalloc(dev, sizeof(*ring_irq), GFP_KERNEL); 1605 if (!ring_irq) 1606 return -ENOMEM; 1607 1608 ring_irq->priv = priv; 1609 ring_irq->ring = i; 1610 1611 irq = safexcel_request_ring_irq(pdev, 1612 EIP197_IRQ_NUMBER(i, is_pci_dev), 1613 is_pci_dev, 1614 safexcel_irq_ring, 1615 safexcel_irq_ring_thread, 1616 ring_irq); 1617 if (irq < 0) { 1618 dev_err(dev, "Failed to get IRQ ID for ring %d\n", i); 1619 return irq; 1620 } 1621 1622 priv->ring[i].work_data.priv = priv; 1623 priv->ring[i].work_data.ring = i; 1624 INIT_WORK(&priv->ring[i].work_data.work, 1625 safexcel_dequeue_work); 1626 1627 snprintf(wq_name, 9, "wq_ring%d", i); 1628 priv->ring[i].workqueue = 1629 create_singlethread_workqueue(wq_name); 1630 if (!priv->ring[i].workqueue) 1631 return -ENOMEM; 1632 1633 priv->ring[i].requests = 0; 1634 priv->ring[i].busy = false; 1635 1636 crypto_init_queue(&priv->ring[i].queue, 1637 EIP197_DEFAULT_RING_SIZE); 1638 1639 spin_lock_init(&priv->ring[i].lock); 1640 spin_lock_init(&priv->ring[i].queue_lock); 1641 } 1642 1643 atomic_set(&priv->ring_used, 0); 1644 1645 ret = safexcel_hw_init(priv); 1646 if (ret) { 1647 dev_err(dev, "HW init failed (%d)\n", ret); 1648 return ret; 1649 } 1650 1651 ret = safexcel_register_algorithms(priv); 1652 if (ret) { 1653 dev_err(dev, "Failed to register algorithms (%d)\n", ret); 1654 return ret; 1655 } 1656 1657 return 0; 1658 } 1659 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --uAKRQypu60I7Lcqm Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICFee114AAy5jb25maWcAjFxbc9u2tn7vr9CkL+3MSSvZjpPsM3oASVBCRRIMAeriF45i K4mntuUjyd3Nvz9rgTcABCl1OtPy+xbuC1gXQP71l19H5O20f96eHu+3T08/R993L7vD9rR7 GH17fNr97yjgo4TLEQ2Y/AOEo8eXt3//PP4Yffjj4x/j94f7yWixO7zsnkb+/uXb4/c3KPu4 f/nl11/g318BfH6Fag7/GR1/3Lx/wsLvv9/fj36b+f7vo89/XP8xBjmfJyGbFb5fMFEAM/1Z Q/BRLGkmGE+mn8fX43FNREGDX13fjNU/TT0RSWYNPdaqnxNREBEXMy5524hGsCRiCe1QK5Il RUw2Hi3yhCVMMhKxOxpogjwRMst9yTPRoiz7Uqx4tgBETcdMTe7T6Lg7vb22A/cyvqBJwZNC xKlWGhoqaLIsSAYDZjGT0+urtsE4ZREtJBWyLRJxn0T1yN+9axrIGUyYIJHUwDlZ0mJBs4RG xeyOaQ3rjAfMlZuK7mLiZtZ3fSW0aTebBl0xYNXu6PE4etmfcL46Atj6EL++Gy7NdboiAxqS PJLFnAuZkJhO3/32sn/Z/d7MmdiIJUs1Ba0A/K8voxZPuWDrIv6S05y60U6RXNCIee03yWHP WfNIMn9eEliaRJEl3qJK30D/Rse3r8efx9PuudU30OSyOpGSTFBUU23L0YRmzFe6K+Z85WZY 8hf1JWqZk/bnuj4hEvCYsMTEBItdQsWc0QxHujHZkGc+DQo5zygJWDLTVuHMOALq5bNQKDXb vTyM9t+sqbEL+bCRFnRJEynquZSPz7vD0TWdkvkL2LwUZktbr4QX8zvcprGapEYBAUyhDR4w 36GBZSkWRNSqSVMENpsXGRXQbkwzY1CdPjaKl1EapxKqUqdb05kaX/IoTyTJNs49U0k5uluX 9zkUr2fKT/M/5fb49+gE3RltoWvH0/Z0HG3v7/dvL6fHl+/W3EGBgviqDmNZPRFAC9ynQiAv +5lied2SkoiFkEQKEwItiEDxzYoUsXZgjDu7lApmfDRHRsAE8SJlEprluGAimuMcpoAJHpFq T6mJzPx8JFz6lmwK4NqOwEdB16BW2iiEIaHKWBBOU1VP02WzSdN+eCy50o4+tij/Z/psI2pp dME5bFhU1UYy4lhpCAcMC+V08rHVJ5bIBViqkNoy1/YeFf4cTgO1U+sJE/c/dg9v4G+Mvu22 p7fD7qjgamwOtpn+WcbzVFOYlMxoqdU0a9GYxv7M+iwW8B9NM6NFVZvmHKjvYpUxST2iumsy aigtGhKWFU7GD0XhkSRYsUDOtfWXPeIlmrJAdMAs0K13BYawn+/0EVd4QJfMpx0YtNbcOnWD NAs7oJd2MXUwazrL/UVDEan1D60xnPKw4TWDKUWR6N4W2GH9GwxqZgAwD8Z3QqXxDZPnL1IO KojnK7hy2ohLbSO55NbigsmFRQkoHIU+kfrs20yx1BypDA8jU21gkpXPl2l1qG8SQz2C52D+ NP8tCyy3DQDLWwPEdNIA0H0zxXPr+0brFed4tqtdrvvEPAXbAw4wmmS12DyLSeIbpsUWE/A/ Dgtie0HKL8lZMLnVuqFrjn3OWbIxHMYMV15bhxmVMZ7pHZ+pXKEOHM5hi0Udv62xt8ZhZX8X SayZCEO9aRTCbOpa5RFwW8LcaDyXdG19guZaM1TCfpyu/bneQsqN8bFZQqJQ0yc1Bh1QTo4O EKYpBFjBPDMMIAmWTNB6zrTZgFPQI1nG9JlfoMgmFl2kMCa8QdV84NaQbEkNBeiuErRHg0Df cGpmUB2LxnWrlwZB0IpiGUMdunFK/cn4prYfVSib7g7f9ofn7cv9bkT/2b2AySZgQnw02uBf tZbY2ZY601wtNobowmbqCpdx2UZtj7S2RJR7nUMUsdI0lfqtO+kYNhIJEedC36siIp5rb0JN phh3ixFsMAOLWXlDemeAQ6sSMQGnKuwrHvexc5IFYNv1E3SehyEEucoaq2kkcCprOheTVOGr vrgcZkDSWBkTzAiwkPnEDFvAVwlZZOg4nLg+VXbA8K7N6L1pIYel1mxx+X2tncK1w2KsUg3O VxT8eX3GJHgIqgdYVcozM7xfgD3pEhAiMI4QxHaaRQATjy6/z+c0o4kmn84keqtFBIoFm/iq cp+U1zc6/XzdaVkZ8EzFXBuOAnJPblLo4fzj7eSzcexr7F/uAN6q4Go8uUzs+jKx24vEbi+r 7fbmMrHPZ8Xi9eySqj6OP1wmdtEwP44/Xib26TKx88NEscn4MrGL1ANW9DKxi7To44eLaht/ vrS27EI5cZnchc1OLmv29pLB3hRX4wtX4qI98/Hqoj3z8foysQ+XafBl+xlU+CKxTxeKXbZX P12yV9cXDeD65sI1uGhFr2+NnikjEO+e94efI3BLtt93z+CVjPavmNLX3R40xzwMBZXT8b/j sZl+V2k6sEPr4o4nlINNz6aTG81N5NkGrVymCn8yC9c0WHFkrcz+9ZWnp0RVNjQEZxFKFTRB i2aRZWLwArrjuJQ8jagv607FPKB6ihdnATta3CwMN6klPi085zK0EpPbsyK3N7ZI5Y/0r1SZ htve/9iN7q1rmVYVCES0bU7C4ddpEnIOQe9sbhh6xYIWOPvmaly1nh7297vjcW+kaDTtjJiU 4JjQJGAksR0LD91+xbjcUNAFkKGxkdBytKf64e23h4fR8e31dX84tV0QPMrRP4RmZsZNENSO ro5DoGnKrLJNGqvM3/3T/v7vzmq0lad+tEBX+Mv0enL1QVd6IJHz05nRmwoDF25G/M3UzgL3 NlqnaEfhYfd/b7uX+5+j4/32qczKDpLaQqiO/rSRYsaXBZESgn0qe+gmIW6TmLF1wHV+Fcv2 ZRqcsnwFkRIEhL3nYKcIZg1UjunyIjwJKPQnuLwEcNDMUgW4rj2nz5U5XqdEPco2tWrwzZB6 +Lr/PbTeWRBptOObrR2jh8PjP0ZoDGLl2KVRd4UVKZzaAV2aGl0r1rORQnfp4jCt+gmxj7a9 mxI63FxRb19gZ4z8H4+vRsLYphRHHh4ecSNBICjeXneH+SjY/fMIIXxgT8Gcgo3zqK7WaQ7j FCsm/bk+yvN1NjlsLUTTUxZGvrtu/66YjMcOJQMCjpipeSl1PXb7PGUt7mqmUI2ZIJ1neKOj aWtGYMRBrt9rp/ONgDA86nUCBPUxT6EFy7kgTY6/nKA/R2L+Pt5/fXyqZ2nEbT8FWoYY3q9L MkyrHN5eT3ging77J7wK6Dg3WELtG4Y5Qz0TCzhE1ylLZk3KpV2X872ysj+2Odo7HK07mnGH tzXR5kqlaCOWLHSRT8Z0QuQP3ktvDX4c4KOHgi9ppoy9cbZWJF1Lah5zpsD0Hczpcf+0m55O P4U/+Z/J5MPVePxOt457y0Hx3o7akFtBDS5dhv1/YR67bs7oN5ULZjEMkES/a/6plmJKYzs/ BggJlnioBjYVALcisDkD3oOqBCrP5XRyNdYqBGNsNFBnd8oLbS1ht/pSntkFDUPmM8zqdVzP bnlYvGl7uTpiD09Wgsa8MK4RdYZHJAiMGx2dhKnLeyhJ+dS8y6zabTyrC5fFePmyPdz/eDzt 7lH13z/sXqEuZ4jBy7ScZrdUcreB29QxIJ5+K7TIqLSx8g2KG+0TN9L47eMLlZmbc66td3Mh Gafl9JUvFLoCisQMPfpH+h2SqlkFN7hNC/vVR0ZnogArXeYG8V5a3Xt3LgUMLVTIfFV40Jfy UsviYraGHdDSQrVjdWpFQEPxYq18YVE/LTJrUt2CSZTUN9Kz1espk67fINRndE9Zq5CQGddT tOUIeFDHcdTH1K6WGeZBHlGhMvB47YJ3Ci3L8TUUm4kcCiZBByfWy5YqaV4uEB4A5pZJuLab w1CbQszX6rn75jHJzOfL91+3x93D6O/SHLwe9t8eTUcbhar3Staq4KwqttoO5m2KYpTjKIub 4qORwh5q185zn9mrdXOYdMbbKH0HqXscgTcf7fu7cklwfqvOdVbLBqpUQsT1zVRReeKEyxIN 2dgxoCvddSfi6s5lfiWGU+owd+0gOk2LOvfhZIwV0nAxJxOroxp11ZNLs6Q+uBNMptT1p0vq +mBmZbsyoHvz6bvjj+3kncXiNsngZOqMsyY6b/1s3nyzZwqV1zwxEwIdsOY9QMFivPfQr/0T 2PSwjzexx6NOZwSc4BR1ii/0E9irnpE0n4si+1JeOVk7HinhCwZHypfceHzZPv0ospUZztbX +56YOUHj4V/7FkDSGThkzmcCFVXIybi1kTWNibegWwqzOFKad11dDuZmZQ2qcgKVDchMbuW5 Z4DhWyaa+Jse1uf21EFNRfzF7hnepepHqo66xolLz1PSPIFMt4eTiq1GEmImI4kJoYrK5NTe oHbI+jxLWoleovBziJ5JP0+p4Ot+mvminyRBOMAqLxKMY79ExoTP9MbZ2jUkLkLnSGOwg04C gjbmImLiO2ERcOEi8A1fwMQiIp5u4GKWQEdF7jmK4AM5GFax/nTrqjGHkiuSUVe1URC7iiBs 35/PnMMDFz1zz6DInbqyIGDkXAQNnQ3gU+LbTy5G238N1brhloLrmyH+UiwZlOHmHgHYfP2F oAqayjiZt0/ltA0DpRgvo/wA3FvzobxGLjYeHBLto8AK9sIv2kEVfinqk8B6s4aU9TqsfbRr 9KzRSJFMDCVQj/jBKWSJ8gT0g7194KaGSv/d3b+dtl8hXMefO4zUe4yTNmiPJWEslQsZBqnu YQJkveUpRYWfsVRLejUOW8XjfUWnUC+ILmmHuHOKg/HOYJ6dHJhNX8vDQb+rlEwztX0zod8J xQN3Qu6rksbS17c0cFzmxOVYtVcxpYi2L2rG9v7LptBzMN42tDWhDdaXrC6mjD247QE1n0uI NAIHP5WKBs9eTD+rfxolL1v00C0wnpBghiaj6IcYtjXhcZwX1bsU8DtYXNA1xm7TSSNCYckg WlaBxEIboh9RMEp4x9JidynnUbuMd16uJW7vrkPUledW08FFgoDNDKugKXUHaD6PnuHzTDDW 85hk2mZpVDeVtIyxSKTrTL9atMPTH6lQCCWTmekiIkgdGGgoy6j+tlQsvDINpbz4egcnu9N/ 94e/MQftuKf0F1TbiuU3WAeiPVpGo2F+wRaOjfNkbRWRkTA+Os9jEZNcA9ZhFptfGOibEYxC STTjbd0KUo8ZTQjdvyw00voKB6uJ+QWme12KAGOeEWl1qNwfQhpeSFl/qvKvz/qCLOimA3Tr FbF2zsCHNXPrIFWPfKmufRpoiTNDf1havu70iTDRJp0HVsN4rw1cyDzcedRW+bqyFPM1eDVs cqqmSoLoT60bDuJEjwvqYPyIQJASGEyapPZ3Ecz9Loj53C6akSy1NlLKrAVi6QxdHxrna5so ZJ5gFqEr76rCy0AvO5McV4Oz7u8axiU8NMMpi0VcLCcuUHttJjbgZUOwxqiwJ2Apmdn9PHCP NOR5B2hnRe8WkmRuKmABgWYXafZvh8GLgtSq095QClRbze6vYpxgd2sU0JALxnlwwBlZuWCE QG0ww6YdG1g1/O/MER01lMe0zd6gfu7GV9DEivPAQc1xxhyw6ME3XkQc+JLOiHDgydIB4mti 9SSkS0WuRpc04Q54Q3V9aWAWgQ/Kmas3ge8elR/MHKjnaYd/fZGcYV9+2mhdZvrusHvZv9Or ioMPRsoKNs+t+VWdnehOhS4GdCXkFlG+70cDUgQkMFX+trOPbrsb6bZ/J9129ww2GbP01oKY rgtl0d6dddtFsQrjJFGIYLKLFLfGTzMQTSDU9JUriW+qLNLZlnHoKsQ4nmrEXXjgQMUu5h4m t2y4ez434JkKu8dx2Q6d3RbRquqhgwM/0nfhxg85St1KI0dNsFJ2ViA1DlX1aWlxiWHT1o+i oTb8ETZeK5v+LZ5+qUwrgx1uukXS+Ual/8B5iFPTt6cyZJHhbTSQ48z0MhZAkNCWql9T7A87 9GEh5jrtDp0f0XdqdvnPFYWTxpKFYekqKiQxizZVJ1xlKwHbyzBrLn866ai+5stfOw8IRHw2 RHMRajT+ViZJ8L5tYaD4u8DKC7FhqAgflTiawKrKH6k6GygsxdCprtroLKYgRQ+HP4MM+0j7 ZyMGWd8y97NKI3t4tXesqiX2RnKwPn7qZmZ6MkMnhC97ioCjETFJe7pB8GUR6ZnwUKY9zPz6 6rqHYpnfw7Q+q5sHTfAYV78XdAuIJO7rUJr29lWQhPZRrK+Q7IxdOjavDjf60EPPaZTqQWJ3 a82iHHx3U6ESYlYI3641Q9juMWL2YiBmDxqxznAR7Ib3FRETAcdIRgLnOQXRAGjeemPUV5mu LmTFjy1enRMaA3OZxzNqHCmyMI67EDNyfNV1V5Rk9VNhC0yS8u92GLB5CiLQlcFpMBE1YyZk LWA3bkCMe3+hS2dg9kGtIC6J3SL+jQcXVk6sNVa8IzcxdVVoTiDzOoCjMpUuMZAyP2CNTFjD kh3dkG6NCfK0aytAuA8PV4Ebh9538VJNyh9Y2WPTONd2XTe6rLyDtUrIHkf3++evjy+7h9Hz HnPfR5dnsJalEXPWqlRxgBaql0abp+3h++7U15Qk2QxjZfU3Stx1ViLqR9Uij89I1S7YsNTw KDSp2mgPC57peiD8dFhiHp3hz3cCHwWpX+UOi+GflhgWcPtWrcBAV8yDxFE2wV9Qn5mLJDzb hSTsdRE1IW77fA4hzDpScabXjZE5My+NxRmUgwbPCNgHjUsmM7K2LpGLVBdCnViIszIQoQuZ KaNsbO7n7en+x8A5IvHPDAVBpoJadyOlEEZ0Q3z1pzAGRaJcyF71r2TA36dJ30LWMknibSTt m5VWqowtz0pZVtktNbBUrdCQQldSaT7IK7d9UIAuz0/1wIFWClA/GebFcHm0+Ofnrd9dbUWG 18dxQdEVyUgyG9Zeli6HtSW6ksOtRDSZyfmwyNn5wGzJMH9Gx8osDv4ifEgqCfsC+EbEdKkc /Co5s3DV9dOgyHwjesL0VmYhz549tsvalRi2EpUMJVGfc1JL+OfOHhUiDwrY/qtDROJN2jkJ lW49I6X+YseQyKD1qETw0duQQH59NdV/qDOUyKqrYWnlaRrf+FvR6dWHWwv1GPocBUs78g1j bByTNHdDxeHx5Kqwws19ZnJD9amL/95akU0co24a7Y5BUb0EVDZY5xAxxPUPEUhmXjdXrPq7 HfaS6meq+uxcNyBmvboqQQh/cAHFdFL9SQo8oUenw/bliL/YwtfKp/39/mn0tN8+jL5un7Yv 93j13/kdZ1ldmaWS1jVrQ+RBD0FKS+fkegkyd+NV+qwdzrF+wmR3N8vsiVt1ocjvCHWhkNsI X4admrxuQcQ6TQZzGxEdJO7K6BFLCSVfakdUTYSY988FaF2jDJ+0MvFAmbgsw5KArk0N2r6+ Pj3eq8No9GP39NotaySpqt6GvuwsKa1yXFXd/7kgeR/iDV1G1I3HjZEMKK1CFy8jCQdepbUQ N5JXdVrGKlBmNLqoyrr0VG7eAZjJDLuIq3aViMdKbKwj2NPpMpGYxCn+ioB1c4yddCyCZtIY 1gpwltqZwRKvwpu5GzdcYJ3I0ubqxsFKGdmEW7yJTc3kmkF2k1YlbcTpRglXEGsI2BG81Rk7 UK6HlsyivhqruI31VeqYyDow7c5VRlY2BHFwrl6/WzjolntdSd8KAdEO5f85u7bmtnEl/VdU 87B1TtXJjiVZiv2QBxAkRUS8maBkeV5YOh5n4hrHycbOmZ1/v2iAl26g6Znah0Tm94Eg7mgA je5JmfSNztv37v9s/17/nvrxlnapsR9vua5Gp0Xaj8kLYz/20L4f08hph6UcF83cR4dOS87b t3MdazvXsxCRHNT2coaDAXKGgk2MGSrLZwhIt7M6OhOgmEsk14gw3c4QugljZHYJe2bmG7OD A2a50WHLd9ct07e2c51rywwx+Lv8GINDlFbxGfWwtzoQOz9uh6k1TuTzw+vf6H4mYGm3Frtd I6JDbi3EoUT8VURht+yPyUlP68/vi8Q/JOmJ8KzE2a8NoiJnlpQcdATSLon8DtZzhoCjzkMb vgZUG7QrQpK6RczVxapbs4woKryUxAye4RGu5uAti3ubI4ihizFEBFsDiNMt//ljLsq5bDRJ nd+xZDxXYJC2jqfCqRQnby5CsnOOcG9PPRrGJiyV0q1Bp9InJ8VA15sMsJBSxS9z3aiPqINA K2ZxNpLrGXjunTZtZEfutxEmuOMxm9QpI70Fhex8/zu5MDtEzMfpvYVeors38NTF0Q5OTiW5 WGCJXtnO6aQ6daMi3uC7DrPh4K4newVz9g24K81dloDwYQrm2P6OKW4h7otEGbSJNXlwd4QI QhQXAfDqvAXfCF/wkxkxzVc6XP0IJgtwi8vmrsa+OSxI0ynagjwYQRQPOgNibWJKrCMDTE4U NgAp6kpQJGpW26tLDjONxe+AdIcYnkZvAxTFFuotoPz3EryRTEayHRlti3DoDQYPtTPrJ11W FdVa61kYDvupQgW36u0AorHt7R744gFmvtzB3LG84SnRXK/XS56LGlmEWlxegDdehVE7KWM+ xE7f+srxAzWbj2SWKdo9T+z1LzxRySSvWp67kTOfMVVyvb5Y86T+KJbLiw1PGmlC5XjSt9Xr VcyEdbsjXuIjoiCEE6ymGHpBy79jkeNNJPOwwh1H5HscwbETdZ0nFFZ1HNfeY5eUEt/MOq1Q 3nNRIy2SOqtIMrdm+VPj2b4HkBMQjygzGYY2oFWK5xkQV+mBJGazquYJuprCTFFFKifyOGah zMmePiYPMfO1nSGSk1l6xA2fnN1bb8K4yaUUx8oXDg5Bl3RcCE+SVUmSQEvcXHJYV+b9H9i+ DJrXppD+aQuiguZhJkj/m26CdLdSrdRx8+Phx4MRGn7ub58SqaMP3cnoJoiiy9qIAVMtQ5TM awNYN6oKUXvex3yt8ZRELKhTJgk6ZV5vk5ucQaM0BGWkQzBpmZCt4POwYxMb6+Cw0+LmN2GK J24apnRu+C/qfcQTMqv2SQjfcGUk7c3WAIZLyzwjBRc3F3WWMcVXK/ZtHh+0w8NY8sOOqy8m 6GSOahRPB8k0vWGl10lwNQXwZoihlN4MpOlnPNYIYGnVpeR22sD1Wfjw07dPj5++dp/OL68/ 9Wr2T+eXl8dP/REA7bsy926WGSDYeu7hVrrDhYCwI9lliKe3IeZOTnuwB3yXKT0a3lewH9PH mkmCQbdMCsCcR4Ayejku354+zxiFd+xvcbvxBYZtCJNY2LvhOx5gyz1yYoco6d837XGr0sMy pBgR7u3RTERrph2WkKJUMcuoWif8O+SO/1AgQnrXnQWoyoNGhJcFwMHMFBbxnVZ9FEZQqCYY KwHXoqhzJuIgaQD6Kn4uaYmvvukiVn5lWHQf8cGlr93pUl3nOkTpRsyABq3ORstpVzmmtZfR uBQWFVNQKmVKyelKh9ea3QcoZiKwkQep6YlwWukJdrxo5XCXnda1HdkVvmUXS9Qc4hIstOkK /Dui9Z4RG4S1YcNhw59I1x2T2CYawmNiQWLCS8nCBb0rjCPyRW6fYxnrtYRlYN+ULFgrswg8 jvZUQ5DetsPE8URaInknKRNsUfc43FgPEG9nYoRzs+6OiMqfM8PCRUUJbk1sL3DQL9nORRoP IGbhW9Ew4crBomaEYK5Jl/hUP9O+ZGULh16bAA2QNZwLgGYQoW6aFr0PT50uYg8xifBSILF7 PXjqqqQA+zedO4DAxjxuI2wuw1mMgUhsZ+SI4F6+Xc6euuig7zrqOCm6wQ/gfahtElFMFrCw 7YnF68PLa7AkqPctvU8CK/amqs1Sr1TeGUUQkUdg6xZj/kXRiNhmtTd0df/7w+uiOf/6+HXU k0EavoKsoeHJ9PNCgA+eI71r01RoOG/AxkG/iyxO/73aLJ77xP7qTBQHlp+LvcIi6LYmHSGq b5I2oyPYnWn0HThrS+MTi2cMbqoiwJIazVt3osBl/Gbix9aCxwTzQM/OAIjwthQAOy/Ax+X1 +nooMQPMmoeGwMfgg8dTAOk8gIj6JABS5BKUZeBCNh4ggRPt9ZKGTvMk/MyuCb98KC+V96Gw jCxkLXqDXUePk+/fXzBQp/B22wTzsahUwW8aU7gI01K8kRbHtea/y9Pm5OX0owCbyBRMCt3V spBKsIHDPAwE/31dpXQsRqARonCb0bVaPIK56k/n+wevzWRqvVx6yS9kvdpYcFLIDKMZoz/o aDb6K9imMwHCoghBHQO48toRE3J/FNCPA7yQkQjROhH7ED24yiYZ9DJCuwgYCXSWeYgbL6ZP jsMIPp2Dk9YkxuYOzWyRwvxMAjmoa4mZRvNumdQ0MgOY/Hb+AcJAOWVBhpVFS2PKVOwBmryA zSObx2DHywaJ6Ts6yVN6ax6BXSLjjGeIw3I4Mh0lPmfi++nHw+vXr6+fZ2cLOBsuWyyKQIFI r4xbypNNdCgAqaKWNBgEWqedgalfHCDC9p4wUWD3jphosMvKgdAxXgU49CCalsNgWiMCE6Ky SxYuq70Ksm2ZSOqafUW02TrIgWXyIP0WXt+qJmEZV0kcw5SexaGS2ETttqcTyxTNMSxWWawu 1qegZmsz0oZoyjSCuM2XYcNYywDLD4kUTezjR/OPYDaZPtAFte8Kn4Rr90EogwVt5MaMKERa dglptMLj32zfGmW81Ei3DT6RHRBP82yCrVd3s3zBFiZG1luxNac9Nvpigu1xt/Ul5h4GlbWG GnuGNpcToxYDQtfIt4m9yIobqIWot2kL6fouCKRQb5PpDjb98eGkPVxYWtMhYL0wDAtzSZJX YKzvVjSlmbQ1E0gmZj03eJnsqvLABQLTwSaL1j8rmC1LdnHEBAPj5c7+twsCmxVcdCZ/jZiC wD3xyU0w+qh5SPL8kAsjUStifIIEAlvpJ3t83rCl0G/Ecq8HC/6pXJpYhH4rR/qW1DSB4biH esFUkVd5A+LUB8xb9SwnyUajR7Z7xZFew+9PjND3B8QaS2xkGNSAYNgW+kTOs0Ox/q1QH376 8vj88vr94an7/PpTELBIdMa8Tyf9EQ7qDMejwbRlsO1C3/W8SIxkWTlLrAzVG8+bK9muyIt5 UrdilsvaWaqSgavckVORDpRZRrKep4o6f4MzM8A8m90Wgf9zUoOg5xkMujSE1PMlYQO8kfQ2 zudJV6+hv2FSB/0tpVPvrW8avOE+1xfy2Edo3c1+uBpnkHSv8OmBe/baaQ+qssb2cHp0V/sb r9e1/zyYQPZhqt7Ug16BSKHQzjQ8cSHgZW9FrlJvAZPUmdWCCxBQZTGLBz/agYU5gOz8Tjs1 KbkbAWpSOwUn4gQssfDSA2AaOQSpGAJo5r+rszgf3SmVD+fvi/Tx4QlcVn/58uN5uGDzDxP0 n71Qgq+YmwjaJn1//f5CeNGqggIw3i/xahzAFK96eqBTK68Q6nJzeclAbMj1moFoxU0wG8GK KbZCyaayHmB4OIyJSpQDEibEoeEHAWYjDWtat6ul+fVroEfDWHQbNiGHzYVlWtepZtqhA5lY 1ultU25YkPvm9caem6M907/VLodIau4YjZwYhfbpBoQatItN/j2LzbumsjIXdtkOxqWPIlex aJPuVCj/FAj4QlNTcyB7WvtQI2htTlt70JNoLVRekcOhpM1aE2Q4RBh67tyOZC3p+sff+3LP 1h9LJ9W4yK/lu3vwfPnv74+//mZ7/OTy6fF+1jvbwTnG6Y0B/MnCnTW+OwmzphjaosbCyoB0 hbXuNhVzC4ascuJryIy0Nu5UNYX1ExAdVD4q+aSP37/8cf7+YO+W4guC6a3NMlnFDJCth9hE hNqBE8eHj6DUT28d7K62l3OWNrWa5/YsiQmHPK6Mzd/PxjgPC+tr7Iitv/eUc63Cc3Oo3Vwz ayqcgXHLrUm0j9rdIveCmcuKCp85WE44cceFsB650FqyknBKg6b/ZEcst7vnTsjr90iccCAZ MnpM56qACAMc+9QasUIFAW+XAVQU+Nxp+HhzE0ZoWmpsN1qCz0sZhenHWxUxnNg4Q/+mzaWk 9A2VJqVMeiMz2O0T3xVHL3zBXF1UpxYrPmRKq1yZhy6v0fLmxh66RArba1YwmoIPO1K+RaZ6 gPj58wdj81M6i/Xjm7sSnybBE+ydKSznWLBo9zyhVZPyzCE6BUTRxuTBNs5xb35ytvHt/P2F Hnu14IzsvXXSoWkUkSy269OJo7BrD4+qUg51+ymdEap3SUuOhCeybU4UhxZT65yLz7Qk60Ly DcpdaLGeD6wzjXfL2Qi6Q2l9JpmpDfvmCoKBGFSVOXFbHJatLfKD+XNROLtnC2GCtmAN4MlN 6fn5z6ASonxvhiC/CmzKQ6hr0MIgbantPO+pa5BfJEX5Jo3p61qnMTGFT2lbwVUdVq5z8GK6 tztVHyanRhQ/N1Xxc/p0fvm8uP/8+I05eIX2lCoa5cckTqQ3nAK+S0p/lO3ft5oWYNq5wo4r B7Ks9K2gTrx6JjLz6R04ijA872isD5jPBPSC7ZKqSNrmjqYBRsRIlHuzrozN8nr5Jrt6k718 k716+7vbN+n1Kiw5tWQwLtwlg3mpIT4CxkCwRU903MYaLYxsGoe4EZJEiB5a5bXURhQeUHmA iLRThx+78xst1vmUOX/7hjxHg8MZF+p8Dw7bvWZdwaxyGvzveu0SDAqRy+0IHAxTci+MDog9 /8M4SJ6UH1gCattW9ocVR1cp/0lwLyha4sIU07sE/F/NcLWqrFU2Smu5WV3I2Mu+Efkt4U1m erO58LDBo33v0J4WorcCmLBOlFV5Z4Ruvy5y0TZU8+Kvatq5dX54+vQO/DKfraFLE9W8gon5 jFk8iTQn9kUJ3FlPxlDaxK43DRP0okJm9Wq9X222XhGZ9fHG6xM6D3pFnQWQ+edj5rlrqxb8 X8O+2eXF9dZjk8a6zwR2ubrC0dk5a+VkFLeUe3z5/V31/A4clM+u62yuK7nDd3ydZTojdxcf lpch2n64RM6t/7JuSMsDT7X2mIbOdqaBEU/zCOzrqRvcTzMheh+6/OtmCa8P5Y4ng1oeiNUJ ZsAd1M+fQQYSKc0EBVpWhfJjZgJYxzdU4BG3XZhh/GpktaTd9H7+42cj9Zyfnh6eFhBm8ckN m6Ojcq86bTyxyUeumA84ootbhjNFZfi8FQxXmWFmNYP3yZ2j+rV0+K5Zh2OXRiPey6RcCtsi 4fBCNMck5xidS1iYrFenE/femyxcJ5ypJyO3X74/nUpmoHF5P5VCM/jOrBjn6j41YrhKJcMc 0+3ygu7qTlk4cagZwtJc+mKlawHiqMiW21Qfp9N1GacFF+HHXy7fX10whGnhSakktFymDcBr lxeW5ONcbSLbfOa+OEOmmk2l6eonLmewSN1cXDIMrFO5Um33bFn7w4wrt8SMFFxq2mK96kx5 ch2nSDRW5kUtRHF9ItQbmwZUEcPafhj3i8eXe2ZEgP/IbvrUIJTeV6XMlC8nUNKtCRgHFm+F je0e1MVfB83UjhtDULgoaplJQNdjf7K5z2vzzcV/ud/Vwkgkiy/OFx0rLNhgNNs3cINgXACN M91fRxwkq/Ji7kF7cHNpvUeYpTPe/zW80DX4/aPO0mo1VHJ3cxAx2UUHEpp3p1PvFdhGN7/+ su8QhUB3m1vX9DoDR4Ge3GEDREnUW+RYXfgcXLkie24DAa4FuK95LpUBzu7qpCH7QllUSDMl bfH1y7hFgwyWo6sUvPG1VE/NgCLPzUuRJiB4nARvOARMRJPf8dS+ij4SIL4rRaEk/VLf1jFG tvgqexhIngui8lOBvSWdmJkMRoeChOzP+AgGG/q5QCKsdcpYmI7Uuuv6tfW6SzUkBuCLB3RY GWjCvFsniNAHuGnLc8HpQE+J09XV++ttSBhZ9jKMqaxsska8d0gdAF15MNUc4ZvjPtM5FQqn xUQ96MZkxWq+reJxLK0Hwctgi8+Pv31+9/TwH/MYjCXuta6O/ZhMBhgsDaE2hHZsMkZDl4HF //49cK4dRBbVeJMLgdsApXqsPRhrfGujB1PVrjhwHYAJ8QCBQHlF6t3BXtuxsTb4VvMI1rcB uCc+5gawxX68erAq8SJ4ArdhO4JrOzwKajlOHWLSXhh4Z7KEfzduItQw4Gm+jY6tGb8ygGQR icA+UcstxwXrS9sN4GaKjI9Ylx7D/bmCnjJK6VvviNOspu0gRc2X9Nea2O7qysTpEByLZKF9 462AeitICzHuOC2e3RKXlBZLRdQoqb0YPJ0PG1B6gLNlxoJeC8EME3PPzHzA4POxOUM705E2 LqZR/guPbXRSaiNsgFnedX68WKE6FvFmtTl1cY0NkyCQHpNhgggi8aEo7uyUN0KmlK/XK315 gY7E7BKu09jMgRFs8kofQOvRzH5WKX/k7DmSrMyKhazvLAxyB1VirWN9fXWxEvh+qdL56voC m09xCO77Q+m0htlsGCLKluS2yoDbL15jdeOskNv1Bg2LsV5ur9AzSBgmj2ZNVK87h6F4yZbD SeWqPHU6ThO87gC/gk2r0UfrYy1KPOzJVT/LO1/miRFni9AUssNNlayQjDWBmwDMk53AJtx7 uBCn7dX7MPj1Wp62DHo6XYawitvu6jqrE5yxnkuS5YVdvk1OyWmWbDbbh/89vywUqD/+AJ/T L4uXz+fvD78iK9FPj88Pi19ND3n8Bn9ORdHCljf+wP8jMq6v0T5CGNet3PU5sD54XqT1Tiw+ Daf0v37949kas3YT/eIf3x/+58fj9weTqpX8J7q+B/dCBOxY1/kQoXp+NeKCkVHNiuX7w9P5 1SQ8qP6jmb2IyH2syNjyViRjBcmsYppmr6A0bfTiQcnt6kqthr3CIGVAduTCdiMUbP+0DUou hKJPcNKN1lOATAouGAXF8G66jGIT06di8frnN1PYpl5//9fi9fzt4V8LGb8zjQ0V+TBfaTxV Zo3DsMb+EK5hwu0YDG922ISOY6OHS9iLFURT2+J5tdsRhVyLanvZD9QoSI7boSm/eEVvV6Jh YZuJiYWV/Z9jtNCzeK4iLfgX/EoENKv8S0WOaurxC9O2tJc7r4hunbbpdGJrcWLCzkH2XNpd OqfJdCvuIPWHVGdY3kcgs4MzsEaMKvVbfHwrwTTAGyEgPQxsRrWP71dLv/EAFWE9MlMVWBax j5X/VhpXhVClh9a18FtD4adQ/aJquIGLj0YnQoOKkWwbj3NqrzQiX1+X1Oew7JzWE/1xVCaW mxWeLR0e5KfHSyOBC29w6akb073I6sLB+q7YrCU5PnNZyPw8ZUYaxM4bBjQzxXAbwknBhBX5 QQSN3RtJR2nE7gOAID42Hiyeo8ghDHQxKr4P2vhJ01QNpUxkEgn7NoK6GP0ZyOl8YvHH4+vn xfPX53c6TRfP51ezeJ7uZqKhB6IQmVRMS7ewKk4eIpOj8KATnAd52E1Flo/2Q/156hecJ5O+ cYA0Sb3383D/4+X165eFmWW49EMMUeGmIBeHQfiIbDAv56aXe0mEfl/lsTerDYynFz7iR46A /VU4l/a+UBw9oJFi9EBc/93k2wYmGqHhsnY6vq6qd1+fn/70o/DeCzeLcGulMCg+TQzRJf10 fnr69/n+98XPi6eH38733IZvHC6p8V26Iu5A4wpbDyhiK3lcBMgyRMJAl+S0OEarVIzaZf8d gQLHZ5FbWnvPgaUUh/YSQ3CHY9x6KOyRXKuYLYYYFbkJ58Vg30zxCDyE6XWmClGKnVnxwwMR Q7xw1txTeHsI4lew+a7I4YiB66TRypQJaKqSgctwh9J6ssOGkAxqN18IoktR66yiYJspq+50 NDNoVZIDXYiEFvuAGDnkhqD2ZCIMnDQ0pdJqHWMELDjhcwIDgXVwUPPVNfGrYxhoUwT4JWlo XTAtDKMdtuJHCN16dQo7ywQ5eEGcNjapuzQXxGiSgeD4vv0/xq5k2XEb2f5KLd9bdDySmqiF FxBJSShxugQl8d4No9pVEXZEu91RtiPcf/+QAIdMICF7UfbVOSDmIQEkMjlovtjvtIhlngsp STvCFAy2vhh2TfpMFWYaQBEYlJ4uXurglRtV4uIYFEvYfaa/dvQCATvLssCdH7CWCgqzfR/v rMh8j53qWJnTCaVO7YrZnVxRFJ/izXH76X/OevP31P/+198wnWVXUL3hGYEoEwa2llHXvd6r ZOaP7WOmyWrCPFtJ/HqjcJ/hnpo6p4MKjonWn5CXy528LVggd/Yp3u6ilB/EOYJrwbIvROUj sJcsWE/gJEAH6tddc5J1MISo8yaYgMh6+Sig+V0DemsY0M8/iVLUeGxXIqPmzwDoqVMWY423 3KCqtxgJQ75xDFm5xqtOoiuIndcLtiahc6DwqZMuhf5LNc57mAnzr8Rq8BqGbQkYy0caga1r 3+k/sIY6sfdECqGZ8WH6VdcoRSxYPLhzZWLxty49K9KPDl2+GNtaJIjoqGlj+3uME3KGOYHR zgeJWaAJy3CBZqypjtGff4ZwPM3MMUs9K3Hhk4gcZjrEiI+6wWC5fUiBn/EDSIclQGQ/bB84 ul8atMczrEHg+MAaj2Lwd2wrzsBXJZ2Ayz5v1mT7/fvP//wDzp+Ulj9//OmT+P7jTz///u3H 3//4zlkO2WF9tp05hJufpBAcLmB5AnSXOEJ14sQTYLXDMWsIZrhPet5X58QnnCP+GRV1L99C dsqr/rDbRAz+SNNiH+05Ct4WGgWKm/oI2lUnoY7bw+FvBHFe3AWD0Ud/XLD0cGQMmHtBAjGZ sg/D8IIaL2WjJ92EzkY0SIuVAWc6ZKg+aHV9IvjYZrIXyiffMpEy5uTBCWlfaIm5YsquKpWF zcNjlm8sEoJqI8xBHiBXqUJPldlhw1WyE4BvJDcQ2sWtrjj+5jBfxAEwFkdUKsz8XugVuhs3 oNflngRtst0B3XSsaHp0FgkbiV6mMyPYo3Oc6bS9VwX/SSU+yI0jpnIvR3WVkTVahxmHC356 MSPU4idE6xx0LND4SPisafFJTy6Czxy2TaF/gDHbzBGLZ3hFTCA9SG9UewzHe9fbG5Sk/T3W pzSNIvYLK6Xh1jvhZ9t6PoVC4kP2C8mT+QnBhIsx56fvektZee6R56zMmnWkwjJRDkUudF27 zpnXzx7yXrHVnIE/2BrVhz2FWvvyKifXrnnhKYriw1T2KhWb32Pdqmn3DdbvxyL0+Vl0Isda Q+del4M8qT/3FxfCEXRFoXQloGoh15OgxnqucKcGpH1z5hcATRU6+EWK+iw6Pun7Z9mruzeK ztXjc5wO7DeXprmUBdsYy9PFlb3KYXfNk5G2rTntPxcO1kZbqtlwlfFmiO23a4y1ckqoEfID JsgzRYKtd72LZyHZ0sg02WHrWZiiFroQMytOr9u5x34LEzQpWPWgJahAIIezTp1R8EbmMkxI DLV4Q9oOIt6nND2cQZ07UTdQrvW1WDmop5mb+Mdk5XB+Mq/HcKxatMA1clNpukWZgt9Ytre/ dcwln8lZUkGjss6S9DMWyGbEHlW4z080OyRbTfODzqSg9FyBWkpl2eRPxjsU8TnW88wUeS16 GjXmwOhr3VT8CMLvkWpz9P635qB0c4z8a5qBbrxcXcEJmJQK3K9bum1TPVGHKNvMyY3ubw0/ e7dFrWCXzxYYTiCMftxCarHtQMyDTgCVg2aQWuiwj6TJtNJVoUrrdAEUFhzVlY6aTjxO/Jdg QLpjyzM/j1kjNbJGaDSqonjj42lK0Z1L0fH9BORMlEaVHWP/Ps7A2RENQ4PgkBAPRUgeMnir hq2FKd0pyTYUAHj/VvBtr3oz0FAEfQVLlON/y2CzoUvlhfbljPwJOFzOvDWKxmYp7w2ThfVY 6iQ5CTewbN/SaD+4sO7lehX0YONQTW8hXNz2vv6qs+RSvkhncV3FoKPiwVi5coYq7IRhAunz jAVMJd8a73XTKmzXDmpwKIOC1wMLt/rHCFb5MnICjEI/5QfZPdjf43NHJJ8F3Rh0WWUm/HRX 0yt4di1CoWTth/NDifqdz5G/r5qKYZXEPKUxMUhnapmIshz7IlSDg+y4jRPACXmSbg5OzFmw A1LbDoDYJw1uMDhiN+YZffxeS5I/S8j+JMhzuym1sboPPBpOZOKdtzaYApMdXRFIbro3KYuh 6JwQk+xPQSYdTqQ0BNmnW8SM9q2DVs1A1hILwrpfSelmoHoQg1EGa7K+IC+QAHTMehvM2Wda rMWniu313ehYUQAlqJ4aQeomRT72nbzATaElrOaqlJ/0z+DrXnXGx6g53Ntd8ZlllTvAtOF1 UCtHnCi6GOJwwMPAgOmBAcfs/VLr/uHh5uDbqZB5k+tHvU3TmKKZ1PtQpxDTPpKC8LLPizNv 002aJD7YZ2kcM2G3KQPuDxx4pOBZ6o0xhWTWlm6dmN3IODzFO8VLUHfr4yiOM4cYegpMuxYe jKOLQ8BLu/EyuOHNHsDH7JFjAO5jhgHhmcK1sfEqnNjhQVYPR4Bu7xF9Gm0c7M2PdT4KdEAj FjrgtH5T1Jz2UaQv4mjAty1FJ3R/lZkT4Xx+R8BpQbnocZt0F3IROFWu3jcdjzt8EtMSj6xt S3+MJwWjwgHzAp5lFRR0zaEDVrWtE8pM1I55tLZtiEM9AMhnPU2/oY5cIVqrSkkgY6OKXIUo UlRVYl+SwC02uvBjSkOAp7vewczlIfy1n6fL66+//f6P337++s3Yup+1V0G6+Pbt67evxpgC MLMLEfH1y3/Aybl3eQxmy81B7XS98wsmMtFnFLmJJ5FgAWuLi1B359OuL9MYa9OvYEJBvcU/ EMkVQP2P7IXmbMIEHh+GEHEc40MqfDbLM8e9CGLGAvsQxESdMYQ9hgnzQFQnyTB5ddzjq8QZ V93xEEUsnrK4HsuHnVtlM3NkmUu5TyKmZmqYdVMmEZi7Tz5cZeqQbpjwnRZxraIuXyXqflJF 7x0a+UEoBwYLqt0eW84xcJ0ckohip6K8YbUmE66r9AxwHyhatHpVSNI0pfAtS+KjEynk7UPc O7d/mzwPabKJo9EbEUDeRFlJpsLf9Mz+fOIjUmCu2EXTHFQvlrt4cDoMVJTr3BZw2V69fChZ dHDg7oZ9lHuuX2XXY8Lh4i2LsV3rJ1xboI3KZJX9ie3zQpjlHiCvYAuK7pyv3mUkCY+fcjHW kgEyxuzahtorBwJMlU8KCdZgIgDXvxEOTLQbs25EK00HPd7GK77XN4ibf4wy+dXcqc+aYkDG zpeNouGZreGUNp6DF8i3z01yoLddma6iEieTia48xoeIT2l/K0ky+rfjvGACybQwYX6BAfVU /CYcTNJb9Wt067TbJXCNiSsljrhaeWb1Zo+nuAlgaySObySz+jeT2QX1v/YLQXtqhY+SHRMl 8+EmRUV/2Ge7aKAViWPlbsGwUsN2Y6+4MD0qdaKA3q8WygQcjUEKwy/VS0Ow5xdrEAX+dLyG MKnm+Bn4nLOxdVEfuL6PFx+qfahsfezaU8xxXKOR67Ornfhdjdjtxn3StkB+hBPuRzsRocip /vYKuxWyhjat1Zpdfl44TYZCARtqtjWNF8G6rNKyZhYkzw7JdNRMqgwVQ0iwa6z4Tu3cQ7lU pyRiQYzAelP292o8978BYqwf5BHlROM8aSmwKrzfRu0Yf2hRq/B7fo56SpU1tsncdLJusoYO 4na39RYGwLxA5DxvAhZfD/Z5I9q0aJ72R1x53i1eKU96JcPPk2aE5mNB6Sy/wjiPC+r08wWn ziUWGDSsoXGYmGYqGOUSYH5POAWonvIsi+Ev+uZy8r1emumJN4rvaKOqAc8kmYYcjxgA0SM0 jfwZJdRw/wwyIb0+YWEnJ38mfLjkzg8ovbrbve1SMV2fDBG3vJPP7EEC/U5vy9ID86FmQGzI sUlkCHxMsjuBnsTUzATQuphB11/QFJ9XeCCGYbj7yAj+JxSxJdv1Ty3N8/WEnYfqHyO5f+rm 12Z46QeQjgpAaGnMO8xi4AclfkqVPWMiVdvfNjhNhDB49OGoe4mTjJMdEczht/utxUhKABIR qqSXR8+SDgv7243YYjRic+Cy3ILZ9xpsFX285/hCE/YaHzlVtYXfcdw9fcTtRDhic/Bb1LX/ GLAT7+Tk2qLPcrOLWK89T8Xt4u1G90lUt0BndZzGgDmfef5cieETaNL/69tvv306ff/1y9d/ fvn3V984g3WEIpNtFFW4HlfUERQxQ/2nLNp0f5n6EhneyBkvHr/gX1SheUYcxRdArSBAsXPn AOTAzyDE9ywoBd2zzMmGKvX+LFfJfpfgK8USG7GDX2CHYLVNUor25Bz4gGdbofBRdFEU0NB6 dfUOvxB3FreiPLGU6NN9d07waQjH+vMLClXpINvPWz6KLEuIrVYSO+kVmMnPhwQrtODUso6c AiHK6e21ee7hQowzCaly1IfgF6i8o0kKfi22591gYyXzvCyoUFeZOH8hP3UfaF2ojBtzympG 3C8Affrpy/ev1oCC9wDQfHI9Z9R9ygPr6j2qsSW2aWZkmW8mAwv/+eP3oP0CxyWR+WlFil8o dj6DqS/j4s5h4KkE8RxkYWUMud+ITWPLVKLv5DAxi330f8GQ5xy6Th81enPHJDPj4AMFn5w5 rMq6oqjH4Yc4Sravw7z/cNinNMjn5p1JuniwoH0Mjuo+ZNLWfnAr3k8NPCtadbwmRA8ONLcg tN3tsPzgMEeO6W/YItOCv/VxhM+9CXHgiSTec0RWtupANF4WKp+8v3f7dMfQ5Y3PXNEeiR73 QtBbagKb3lhwsfWZ2G/jPc+k25irUNtTuSxX6SbZBIgNR+gZ/7DZcW1T4WV+RdtOSw8MoeqH 3gA+O/J+cWHr4tljuXQhmraoQQTi0mormaUDW9WeVeK1tpsyP0tQ7YLXlVy0qm+e4im4bCrT 7xVxfb2S95rvEDox8xUbYYVv1BZcvql9whUMbP5uuc5QJWPf3LMrX79DYCDB5epYcDnTCwfc ozIM8RC8Nnx/Mw3CTnRo2YGfetLDFltnaBQl9my54qf3nIPBVoT+f9typHqvRQv3rC/JUVXE uc0aJHtvqbnJlYJ19maOxTm2gBdG5EWDz4WTBVP+RYnf+aF0TftKNtVzk8GWk0+WTc3zvmJQ 0bZlYRJyGd3suyN+3WHh7F1gAyUWhHI6ijYEN9x/Axyb24fSA114CTmKP7ZgS+MyOVhJKtrN 66XSHDrOmBFQFNTdbf1gJTY5h+aSQbPmhN+rL/jlnNw4uMP32wQeK5a5S72KVFgLeeHM+Z/I OErJvHjKOscS50L2FV7N1+isdZIQQWvXJROsubiQWj7tZMPlARzulGQvuOYd3vA3HZeYoU4C q5SvHFxA8eV9ylz/YJiPa1Ff71z75acj1xqiKrKGy3R/705g/P48cF1H6Z1yzBAgzd3Zdh9a wXVCgMfzmenNhqEncKgZypvuKVqM4jLRKvMtOaRgSD7Zdui4vnRWUuy9wdjDHTaa6+xve+Gc FZkgNgZWSrZEExdRlx5vnxFxFfWTaD4i7nbSP1jG08iYODuv6mrMmmrrFQpmViuwo5KtIBjK aMGNNbYAgHmRq0OKjftR8pDil6Ued3zF0emS4UmjUz70Yaf3LfGLiI2tygr7zWHpsd8cAvVx 17KzHDLZ8VGc7kkcxZsXZBKoFFDvaupilFmdbrCYTQK9p1lfXWJsm4byfa9a1/qFHyBYQxMf rHrLb/8yhe1fJbENp5GLY4QViggH6ym2kYLJq6hadZWhnBVFH0hRD60SOzL2OU98IUGGbEOe jGByfuPGkpemyWUg4ateJrF3c8zJUuquFPjQ0ZDGlNqr98M+DmTmXn+Equ7Wn5M4CYz1gqyV lAk0lZmuxmcaRYHM2ADBTqT3iXGchj7We8VdsEGqSsXxNsAV5RkuvmQbCuDIqqTeq2F/L8de BfIs62KQgfqoboc40OX1jtQ6POVrOO/Hc78bosAcXclLE5irzN8dmJd/wT9loGl78DW22eyG cIHv2Snehprh1Sz6zHujkh1s/mel58hA939Wx8Pwgot2/NQOXJy84DY8ZxS4mqptlOwDw6ca 1Fh2wWWrImfmtCPHm0MaWE6M1puduYIZa0X9Ge/gXH5ThTnZvyALI1SGeTuZBOm8yqDfxNGL 5Ds71sIB8uXaM5QJeIWlhaO/iOjS9E0bpj+De8bsRVWUL+qhSGSY/HiHx5jyVdw9WAjf7u5Y E8gNZOeVcBxCvb+oAfO37JOQ1NKrbRoaxLoJzcoYmNU0nUTR8EJasCECk60lA0PDkoEVaSJH GaqXlpjwwUxXjfiYjqyesiSu3imnwtOV6mOyB6VcdQ4mSI/rCEUf9lCq2wbaS1NnvZvZhIUv NaTEQwup1Vbtd9EhMLd+FP0+SQKd6MPZvxOBsCnlqZPj47wLZLtrrtUkPQfil2+KqEhPh4ES P1O1WJq2Var7ZFOTo0tL6p1HvPWisShtXsKQ2pyYTn40tdAyqT0VdGmz1dCd0JEnLHuqBNGz n+5MNkOka6EnJ9dTQVU1PnQlCuJPebp4qtLjNvbOwhcSHkSFv7VH3oGv4bT+oLsEX5mWPW6m OvBou7ZB1IFCVSLd+tVwaRPhY/CYT4vLhVcEQ+VF1uQBzpTdZTKYIMJZE1r6AdfmfZG4FBy9 61V3oj126D8fvVpunkVXCT/0eyHoO7wpc1UceZGAjb0S2jBQ3Z1escMFMkM7idMXRR7aRA+b tvCyc7e3o26hMj2c9xvdvtWd4VJiuWeCn1WgEYFh26m7pWCcie2dpnW7phfdO5hj4DqA3Wry 3Re4/YbnrPw5+rVE15V5khjKDTerGJifVizFzCuyUjoRr0azStAtKIG5NPLukex1gwYmKEPv d6/pQ4g2r2FNt2YqrwMb1urF6NKL92GelFauq6R77mAgUjaDkGqzSHVykHOExPkZcWUZgyf5 5ObBDR/HHpK4yCbykK2L7HxkN6slXGfdB/l/zSfXsj/NrPkJ/6Xmjyzcio7cyFlUr7vkasyi REHIQpORLCawhuBNnvdBl3GhRcsl2IA5D9FiZZCpMCDkcPHYO2xFXp3R2oDTcFoRMzLWardL GbwkDkm4ml8daTDKItZK+k9fvn/5EV7leUph8JZwaecHViacTG72nahVKRxv7I9+DoC0up4+ psOt8HiS1kzrqotXy+Gop/cem1+YdcoD4ORxKtktXqXKHByCiDs4wRL53EnVt+8/f2GcqE1H 08YTX4atMk1EmlDXPAuo1+u2KzK9Iua+e3ocLt7vdpEYH1racnxnoEBnuIu68Ry1xI4IPKdh vDK78hNP1p0xCKN+2HJspytTVsWrIMXQF3VO3o/itEWt26XpQgWdfE0+qFEaHAI88RbUjSGt dr3R7cN8pwK1lT9Bb5qlTlmVpJudwEYd6Kc83vVJmg58nJ5hFEzqnt5eJV7rMTu5t+VJx/Hr RDFG6utf//0P+OLTb7brm5e6vtcb+73zoAij/jAmbJtnAUZPJtjT/cTdLvlprLHlp4nwtY4m wlNcobjtq+PWi5DwXl/Wkv+GGF0huJ8L4gdiwiDmkpyoOcQ62mI3c1ctQki/TAZGn0V8AG5O uCrfr/Rct8Q+NgL9xp1nZ2pIefrEmO+BzumlsDDB7qLkWT78qnrzIZVl9dAycLyXCqQuKmG5 9IsPiY6Fx6rW75V6NjwVXS5KP8HJfIeHT4LI515c2Flu4v+Kg55oJ1K36+JAJ3HPO9iWxfEu idzeIs/DftgznXxQeoXkMjDZV2gVn78KdGdMwqFmXkL4s0LnT2kgg+nObsvpjhHQzC5bNh+G kvW5LAaWz8AolwDHDfIiMy0J+FOt0nsY5ecIFs+PeLNjwhPrUnPwR3G68+W1VKiemmfpRab7 mRdOY+G6luWpELC9Va6U7bLj3JVWFz5UInI/zvqutLpEbqq1dQOWE03X2tGfX3QKiXmKerwo rNkNnnJJAKOvDTbxiScSiypyzHB9ZLNNbDeDoAFMTELpJOChXY0drK/Y9I5hESANipMvW78F 2pZoDE+G4DPXWr38f86+rDlyW1nzryhiIibsmHPCXIrbgx9YJKuKLW4iWYv0wtDplm3F7ZY6 JPlc9/31gwS4AJnJ8pl56Jb0fQCIJQEkgESiKXMwpkgLY2EPKMzX6J6KwmP5tL350IXGwLsj utYsKeUWS1k07YwnPCStOztXgBiNEXSO++SQ6gZd6qOwQq53OPRt0g1b/aWoUbEDXAYwyKqR Xo1W2DHqtmc4gWyvlE4sJ/DzCDMEYzcsuMqMZfG7XgsjpvqhrfYJx6FRYCGkxx+W0KVugbPL faU7wVsYqCwOh127Xr3lot46kveIbj6vL+fAP4w02NZXCnCvTmjpw8bYi1lQfV++S1rH2BVq Jq8L+jJ0NSNTNNF+xuPi4u9bA4DbPdjBPVw3knh26vT1XZ+If41+7AdA3pH3VCRKAHSssIBD 0noWTRXML9FNep2Cq6KV4dNMZ6vjqe4xeRK5B6Omyz2Tj951Hxr9aVTMoBMczBqlE5N5cW+M hBMCD9lrLUi3BJaWUV2pPYr5Ep4mhEW1HHPVtQgnYW6iGDt5ohqkObSoKW0uydXNy0ZfIUhM rP7MuxgCVN72lL+2P79+PH//+vSXyCt8PPnj+TubA6FabNUejEiyKDKxpiKJIlvZBTXc+01w 0ScbV7dhmIgmiSNvY68RfzFEXsH8SgnD/R+AaXY1fFlckqZI9ba8WkN6/ENWNFkrd0rMNlDW xsa34mJfb/OegqKIU9PAx+Ydqe2f73yzjN6u9UjvP94/nr7d/EtEGbWRm5++vb5/fP1x8/Tt X09fwLHUL2Oof4pV7WdRop9RY0uVGGUP+YBUPTmyKaIeDhFDsqiPHNwIx6iq48slR6kzfh4n +LaucGBwetBvTTCBfkglEDzqVfrSUIlBl+8r6WrAHOYQSd3AogDqiRSjuRkVGeBsZ8x0Eiqz E4bkNOaZIC2U7Ij6W/P6VrUSi/2hiE2Dazm+lnsMiJ7YkCEmrxtjLQbYp4dNoLuYAuw2K1V/ 0TCxataNzWXf6n0PJwe34h3cy0/+5kICXlDvqdF1HYmZ1+kAOSOpE31rpUGbUsgTit5UKBvN JSYA1/7Moh7gNs9RHXdu4mxsVKFCxS/F0FAgmezyss9w/Fx/B0kiPf5byNxuw4EBBo+uhbNy rHyhsDpnVBKhBt0dhdqIRAvtpM3QsG1KVLd0v05HB1QquNMb96RKziUq7ehF18SKFgNNhAVM fzAz+0tM2y9iISeIX8TILQbRx9HpHtnsVr29hlslR9yB0qJCXbuJ0TGN/HS9rfvd8eFhqM0l BNReDDenTkhW+7y6RzdLoI7yBp55VY+wyYLUH3+oGWsshTYdmCVY5jx9MFW3tuDpripD/Wgn lz/LycjaPIXkC+WY6TnjtKH8pqARFy7Im9tuCw4TJ4erSz5GRkneXK3d5BvOAhG6svl0Z3pm YXODqyE+MQAa45iY1NXVOUqT35SP7yBey2u79EKsfMwbzckSayPjmFk9+n3QrfBVsBLcz7qG e0IV1tDEFSQm8GNn7gIBflFvigvNL9d9CQM2bvizoHkKoHC0z7eAw6EzNO6RGu4oin1JS/DY w5K2uDfh6Q0YE6T747IFp6kd4WfpThqBRh+XlYMu5sp7Kl2OAdiHIyUCWIyrKSHUQ+U70clJ 2uCOFjbtSBxTZQBEzPzi5y7HKErxE9oMFlBRBtZQFA1CmzDc2EOru7WbS2c4jh5BtsC0tMrV r/hthxLGOoTCTB1CYbdDVbeoohr59ueRQWlLjA+4dR3KQa1GXwQKxUMs6lHG+pyRWQg62JZ1 i2DzfQCAmjxxHQYaujuUplBCHPxx6vpfoiQ/3PEDPO/nJj4pUJfYYd75FsoVqCtdXu8wSkKZ pzMKO5AckUON6RVC0apOQPLU6G+OToh5M1KiaKt5gpgmEqty0ewbBJqGlyPkY4iqRVIcLzkS I6kVGfcRZtSxREcvYlx/M2eahknqckGDPXMYKtCLfPLEhJC+JDHczeF0uovFD/PRCKAeRIGZ KgS4bIb9yCzTnLaQpuemUFPLtgSEb95eP14/v34d50c0G4p/xr6G7Lfzw71Zh2avvsh852Ix kmVOzUrYYKeTE0L11tj0+qkeoszNv6R5JphSwr7JQhnPZIo/jK0cZf3T5eih9QX++vz0olsD QQKwwbMk2egPPIg/TIcnApgSoS0AoZMih0d/buVOr5nQSElrEJYh6q3GjbPRnInf4cH3x4/X Nz0fiu0bkcXXz//FZLAXg6cXhvACt/6qs4kPqeFl3OTuxFCrP/rdhK6/sUyP6CiK0HW6VbLR 7XhxxLQPnUb3eEEDJMbjibTsc8xxv2oW1fGtmYkY9m191B0bCLzUfb5o4WGba3cU0UwTG0hJ /MZ/wiCUbk2yNGVFGo1qQ9KMlykFt6UdhhZNJI1DT7TLsWHiSCNNh+KThQhJrEwax+2skEZp H2Kbhheow6EVE7bLq72+MJ3xvtSvXk/wZIpCUwejVhp+fPGLBIe9DZoXUO8pGnHouJm3gg/7 zTrlrVM+peQqwOaaZVo0EEJuA6Kz0okbH+gwhHvisDgrrFlJqeqctWQanthmbaE7O15KLxZW a8GH7X6TMC04HuFRAraZONDxGHkCPGDwUneCOucTP0JjECFD5M3dxrKZzkzeszGIgCd8y2b6 oMhq6Os2FToRsQQ44LeZ3gIxLtzHZVK6hyODCNaIaC2paDUGU8C7pNtYTEpS+5a6gunUxuS7 7RrfJYEdMtXTpSVbnwIPN0ytiXwbN09mHL8rNxHjUesKDpsN1zifGVrkTijXGaalCCUOQ7Nj xlGFr3R5QcLMt8JCPLUjz1JtGAduzGR+IoMNMwgspHuNvJosM0QuJDfyLCw3vS3s9iqbXEs5 CK+R0RUyupZsdC1H0ZWWCaJr9Rtdq9/Iu5oj72qW/Ktx/etxrzVsdLVhI05pWtjrdRytfLc7 BI61Uo3AcT135laaXHBuvJIbwRmPfxBupb0lt57PwFnPZ+Be4bxgnQvX6ywIGbVHcRcml+am hY6KET0K2ZFb7l/QlNTpjsNU/UhxrTIe/2yYTI/UaqwDO4pJqmxsrvr6fMjrNCt0V3cTN+9T kFjzQVCRMs01s0JNvEZ3RcoMUnpspk0X+tIxVa7lzN9epW2m62s0J/f6t91pzV4+fXl+7J/+ 6+b788vnjzfmykWWixU2WEXRhc8KOJS1cZKiU2IZnzNzO2y/WUyR5AYsIxQSZ+So7EOb0/kB dxgBgu/aTEOUvR9w4yfgEZuOyA+bTmgHbP5DO+Rxz2a6jviuK7+72I2sNRyJCgZAMe0fQm0M CpspoyS4SpQEN1JJgpsUFMHUS3Z3zOUVbf0hzLhNDsMBNsKSY9fD3jGYIWg+BuBv41bICAy7 uOsbeK6nyMu8/9WznSlEvUPq2BQlb+/M57jVvgMNDLtyuldmiU2P8ZqodDVqLcZPT99e337c fHv8/v3pyw2EoL1LxguEDorOfySOj+QUiOxkNHDomOyj8zp1hVWEF+vH9h7OlHTbfXXreTKK +UHgy77DZjSKwxYzypQLH4wplJyMqQvV57jBCWRgQGtMaAouEbDr4Yel+/7Qm4kxy1B0a55j KXkrzvh7eY2rCBx3JidcC+QS0YSatzyUrGxDvwsImlUPhlMkhTbKSyySNnU4hcALEcoLFl65 b7xStaOtggGlWBLE0i32Ukf05np7RKHHIxYUIa9xSbsK9m/BgA4FpXkSfVu+BUr7ZaIfbElQ WYn8oJgd+jgo8kIiQXq6IeFzkpon4RLFJxwKLLCwPOCWg2dod3JvVxvOV8eK2fBOok9/fX98 +ULHEOKcekQrnJv9eTDMMbSRC1eGRB1cQGkm6VIULuBjtG/yxAltnLCo+mh8K1sznkDlU2Po Lv2bciuvGHg8SiMvsMvzCeHYEZwCjXN2CWG7s7Eju5H+wtYIhgGpDAA93yPVmdLhfHJ4QWQe /LQgOZbOUqgcj44WODiyccn6u/JCkiButZTQI5dYE6g2rhbRpU00H7JdbTox7dn6Jt9UH64d kc8qAbUxmrhuGOJ8N3lXd7gHX8QQsLFw65X1pZfPHi73bGiulaf8bnu9NIaJ1JwcEw1lILk9 al30rL/TYsNR4KSp2//87+fRAoqcWIqQyhAI3sAQXctIQ2NCh2NgzmAj2OeSI8xJc8G7vWG4 xWRYL0j39fHfT2YZxtNReFXLSH88HTVuhswwlEs/2zCJcJWAJ45SOM5depkRQndeZUb1Vwhn JUa4mj3XWiPsNWItV64rZtNkpSzuSjV4+s1ZnTAMcU1iJWdhpm9Cm4wdMHIxtv+8MoCLS0N8 0pQVuUOdNPpJsQzUZp3uVlcDpR5qqq6YBS2VJfdZmVfaBSo+kLm1ixj4tTfuFuoh1GHbtdwX feJEnsOTsAQ0lsIad/W780Uklh21qCvc31RJi+2JdfJBf0Mrgwsp6r3CGRw/wXJGVhLTPqeC K0rXosEDqsU9zrJCsSFlk8aK12aHceUQp8mwjcHsT9tiGn31wOBhjN0KRimBsQjGwKpiD+Iu lDZLd546fmqIkz6MNl5MmcT0BzTB0DX1vT0dD9dw5sMSdyheZHux7jq5lAFXKxQlThEmott2 tB4MsIyrmIBT9O0dyMFllTBvM2HykN6tk2k/HIUkiPYy3/uZqwbpjlPmBW4ccGnhDXxudOn2 imlzhE/usUzRATQMh90xK4Z9fNSvSU0JgSfbwLgYiBimfSXj6GrXlN3J6xZlkChOcN418BFK iG+EkcUkBOqyvuidcFPRWJKR8sEk07u+/s6d9l174wXMB5R3knoM4ns+Gxnp5yYTMeVRR6vl dkspIWwb22OqWRIR8xkgHI/JPBCBbhWtEV7IJSWy5G6YlMYVREDFQkqYmpc2zGgxXRunTNt7 FiczbS+GNSbP8kKAUJZ1i5w522Ls1xWiRfbJtDBFOSadbenGpIdzad73heevT3mKofEmgNoZ VI5ZHj/EOpxzRQQevDpw2OgaxpYLvlnFQw4vwdX8GuGtEf4aEa0Q7so3bL2HaETkGHeMZ6IP LvYK4a4Rm3WCzZUgdFssgwjWkgq4upJGNAycIAvvibjkwy6uGFvMOaa5DTvj/aVh0pPXpftM v6k0U53vMFkTyy82Z6ODQcP188TtwGTD2/FE6Oz2HOO5gddRYnKmyX+oFyu+Yw+TJSX3hWeH uuMIjXAslhC6S8zCTOOPlxMryhzyg2+7TF3m2zLOmO8KvMkuDA77wOaIMVN9yHSTT8mGyamY ulvb4Rq3yKss3mcMIYdaRoAVwXx6JEzFB5OmFbVORlzu+kRMUozsAeHYfO42jsNUgSRWyrNx /JWPOz7zcemgnxsmgPAtn/mIZGxmIJSEz4zCQERMLcttqYAroWI4qROMz3ZhSbh8tnyfkyRJ eGvfWM8w17pl0rjsRFMWlzbb812rTwwfznOUrNo59rZM1rqLGD0uTAcrSt/lUG6MFigflpOq kpvEBMo0dVGG7NdC9msh+zVuLChKtk+JeZRF2a9FnuMy1S2JDdcxJcFksUnCwOW6GRAbh8l+ 1SdqCy7vetMP08gnveg5TK6BCLhGEYRYgzKlByKymHJO1quU6GKXG0/rJBmakB8DJReJ5SQz 3AqOq5pd6OmOBxrTw8IcjodBl3K4etiC/70dkwsxDQ3JbtcwieVV1xzFmqrpWLZ1PYfryoIw DWgXoum8jcVF6Qo/FFM+J1yOWAEyeqacQNiupYjFg/SymtaCuCE3lYyjOTfYxBfHWhtpBcPN WGoY5DovMJsNp9rCOtUPmWI1l0xMJ0wMsYDaiGU1I+KC8Vw/YMb6Y5JGlsUkBoTDEZe0yWzu Iw+Fb3MRwMc1O5rr5/8rA3d36LnWETAnbwJ2/2LhhFNhy0zMmIykZULpNA5pNMKxVwj/7HDy 3JVdsgnKKww3ICtu63JTapccPF/6Myz5KgOeG1Il4TIdqOv7jhXbrix9TqER06nthGnILyC7 IHTWiIBb5IjKC9nho4qNSzY6zg3LAnfZcahPAqYj94cy4ZSZvmxsbp6QONP4EmcKLHB2iAOc zWXZeDaT/qm3HU7hPIduELjMYgqI0GZWhUBEq4SzRjB5kjgjGQqH7g4GVnS8FXwhxsGemUUU 5Vd8gYREH5gVpWIylsJPKYE2EWt5GgEh/nGfd+YTtxOXlVm7zypwGz0ePwzS0HMou18tHLje 0QTObS7fMxz6Nm+YD6SZcnCzr08iI1kznHP5zO//urkScBfnrXJ5fPP8fvPy+nHz/vRxPQq4 EVcveepRUAQzbZpZnEmGBncF8j+eXrKx8ElzpI0D4K7N7ngmT4uMMml24qMsrXlUbsgpZdq9 SWcEUzIzCl6EODAsS4rLW5gU7posbhn4WIXMF6c77gyTcMlIVMirS6nbvL0913VKmbQ+ZRQd vWnQ0PJiIsXBrHYBlbXQy8fT1xvw0PLN8KIuyThp8pu86t2NdWHCzOe018Mtjuu5T8l0tm+v j18+v35jPjJmHe7oBbZNyzRe3mMIdYTLxhCrAx7v9Aabc76aPZn5/umvx3dRuvePtz+/ySvN q6Xo86GrE/rpPqcdAnw0uDy84WGP6W5tHHiOhs9l+vtcK9Ocx2/vf778vl6k8T4VU2trUedC ixGopnWhn6ciYb378/GraIYrYiLPU3qYXrRePl9vg13VIS7i1rjtvJrqlMDDxYn8gOZ0NpNn RpCW6cSzI9YfGEEOhWa4qs/xfX3sGUr5npWeG4esgukrZULVjXwtscwgEYvQk8GyrN3z48fn P768/n7TvD19PH97ev3z42b/Kmri5dWwIJoiN202pgzTBvNxM4CY9Jm6wIGqWregXQslHebK NrwSUJ9aIVlmUv27aOo7uH5S9fYG9Y1U73rG264Ba1/SeqnaqKdRJeGtEL67RnBJKZM8Ai/b ciz3YPkRw8iue2GI0bCBEqP7cUo85Ll8k4cy01M9TMaKCzzTSSZCF1wR0+BxV0aOb3FMH9lt CWvoFbKLy4hLUtk1bxhmtGRnmF0v8mzZ3KdGB3xce54ZUDl3Ygjp14fCTXXZWFbIiov0Sckw t+7Q9hzRVl7v21xiQkG6cDEmJ9FMDLGecsGiou05AVR21ywROGyCsMnNV406g3e41IR66Jjy JJDgWDQmKB8xYxKuL+A23wgKDhFhoudKDFb+XJGkh0KKy9nLSFz5pdpftlu2zwLJ4Wke99kt JwOTm1CGG+8psL2jiLuAkw8xf3dxh+tOge1DbHZcdRuFpjLPrcwH+tS29V65rGBh2mXEX965 5xoj8UAg9Awpc24TE4rhRsovAqXeiUF5H2YdxQZlggssN8Tit2+E9mO2egOZVbmdY0svpb6F 5aMaYsdGEnkw/z6WhV4hk+HyP//1+P70ZZnqkse3L9oMB2YXCVOP8Nhv3XX51njLQHcNCUE6 6U5R54ctOKAxniKApKTb8UMtreGYVLUAJt6leX0l2kSbqHJPjuw1RbPETCoAG+0a0xJIVOZC jAAIHr9VGtsM6lvK2ZYJdhxYceBUiDJOhqSsVlhaRMMrk/SL9dufL58/nl9fphfGiIpd7lKk rgJCzRABVW+o7RvDMkAGX3w7msnIB4bAkWCie95cqEOR0LSA6MrETEqUz4ssfQ9SovS6h0wD WdQtmHlSJAs/eiQ1vH4BgW9tLBhNZMSN03aZOL5rOYMuB4YcqN+vXEDdWBiudY1GikbIURE1 3IlOuG5gMWMuwQxDRokZd2YAGZeMRRN3HaqVxHYvuMlGkNbVRNDKpU+eK9gRS+SO4Ifc34jx 0nRuMhKed0HEoQcPul2eoLLnd53voKzjy0GAqTeALQ70sIxga8QRRWaGC6pf11nQyCVoGFk4 WXVv2MSmxYGmej5c1DujpoSZ9p0AGZdcNBy0KBOhZqPz861GU82oaew53khC7tNlwvJ9YTQi UTc3MlfICFFit6F+ZCAhpfuiJPNN4OO3qyRRevrZwgyhgVjit/ehaGvUUca3SM3sxtuLNxXX TGO8CKb2bfry+fPb69PXp88fb68vz5/fbyQvd+Hefntk168QYOz8yy7Of54QGvnBZXeblCiT 6BIBYGKZEZeuK3pa3yWkd+K7dGOMokRiJNc+8OS8OcWDxapt6Xa06nKcfjhL3xaXHyGX6GbU sICdMoSu92mwccFPSyRkUOMeno7SYW5myMh4LmwncBmRLErXw3KO7/nJuW+8K/mDAWlGJoKf zXQvKDJzpQdndwSzLYyFke5BYcZCgsEhEoPRieyMnGmpfnPehDYeJ6Rb1qJBDigXShIdYXYo HXIdeNrVGNvGfN1jTfmaI1MrieXpbbSyWIhdfoGXOeuiNwwJlwDwYtJRPa7WHY3yLmHgVEge Cl0NJeaxfehfVihz3lsoUB5DvY+YlKlXalzqubqfM42pxI+GZUZRLdLavsaLIRduALFBkK64 MFTl1DiqeC4kmj+1NkU3SUzGX2fcFcax2RaQDFshu7jyXM9jG8eciLVH4KVCtc6cPJfNhdK3 OCbvisi12EyANZIT2KyEiOHOd9kEYVYJ2CxKhq1YeflkJTVz7DcZvvLIxKBRfeJ6YbRG+bqf wIWi6qLJeeFaNKRPGlzob9iMSMpfjWXol4jiBVpSASu3VLnFXLQez7An1Lhx8YAedTf4IOST FVQYraTa2KIuea7xNjZfhiYMPb6WBcMPp2VzF0QOX/9Clec783gzdIUJV1OL2MZstnncscTK aEY1fY3bHR8ym58fmlMYWrysSYrPuKQintKvqy+w3Gttm/KwSnZlCgHWecP/9kKitYRG4BWF RqE1ycLga04aQ9YRGlfsheLF17DSabZ1bb4rggOc2my3Pe7WAzRnVjUZVazhVOq7NBovcm35 7BAuqNB4qnChwGLS9l22sFTtNznH5eVJKf18H6HLBMzxQ5Tk7PV8mssJwrHCobjVekHrCE2N I15rNDVQ2oMxBDbTMhhDn06yBI2ogFR1n+8MB3stDtbCozbaoFHkuouCFnbbkjoFjXsG83ao splYogq8TbwV3GfxTyc+na6u7nkiru5rnjnEbcMypdCdb7cpy11KPk6ubhpyJSlLSsh6gidV O6PuYrEObbOy1h3SizSyyvx7ecHPzADNURufcdHMh6BEuF6sFHIz0zt46PXWjGm+rgpIb4Yg T21C6TN4G9s1K15ffMLffZvF5YPxGJsQ2Lza1lVKspbv67YpjntSjP0xNh79E92rF4FQ9Pai 2+bKatrjv2Wt/UDYgUJCqAkmBJRgIJwUBPGjKIgrQUUvYTDfEJ3pJQujMMo5G6oC5TPoYmBg Vq5DLXoZrlVnxiYi33pmIHgtuurKvDeesQIa5URaJxgfvWzry5CeUiOY7nFCHo9Knw/q5Yjl POQbeE+8+fz69kQfglCxkriUW/lj5B8mK6SnqPdDf1oLAMevPZRuNUQbp+DniSe7tF2jYOi9 QukD7IiqK6yFXr+YEdW4vcK22d0R3FjE+s7LKU+zekDvagN02hSOyOIWHvZmYgDNRoEdKBQ2 Tk94B0QRavejzCtQqYRk6GOjCtEfK30QlV8os9IBvyFmpoGRx29DIdJMCuMAQ7HnynAxIr8g VCYwa2PQUxkXhe4jcWbSUtVrrp/Un7Zo2gSkLPXteEAq3W1M3zdJTt6ykxHji6i2uOlhWrV9 nUrvqxgOiWS1dWbq6uXaLpMPd4gBouvAyaEZ5lhk6GxRdiN6mCjlB3ZtF0FVxlZP//r8+I2+ fA1BVauh2kfEkFfNsR+yEzTgDz3QvlNP22pQ6RnPScns9CfL13dyZNTC8Io8pzZss+qOwwWQ 4TQU0eSxzRFpn3SG1r9QWV+XHUfAQ9VNzn7nUwZ2V59YqnAsy9smKUfeiiSTnmXqKsf1p5gy btnslW0E1/zZONU5tNiM1ydPv41rEPp9R0QMbJwmThx9P8JgAhe3vUbZbCN1mXFpRCOqSHxJ v1mDObawYibPL9tVhm0++M+zWGlUFJ9BSXnrlL9O8aUCyl/9lu2tVMZdtJILIJIVxl2pvv7W slmZEIxtu/yHoIOHfP0dK6EKsrIs1ups3+xrMbzyxLExdF6NOoWey4reKbEMZ5oaI/peyRGX HN54uRVaGdtrHxIXD2bNOSEAnkEnmB1Mx9FWjGSoEA+taz7bpwbU23O2JbnvHEffHlVpCqI/ TVpY/PL49fX3m/4kPSSSCUHFaE6tYImyMMLYMbNJGgoNoqA68h1RNg6pCIE/JoXNt8ilP4PF 8L4OLH1o0lHzcV2DKerYWPjhaLJercF4h1dV5C9fnn9//nj8+jcVGh8t44agjiq9DOtfimpJ XSUXx7V1aTDg9QhDXHTxWixoM0T1pW9sbukom9ZIqaRkDaV/UzVSs9HbZARwt5nhfOuKT+j2 ExMVG0dhWgSpj3CfmCj12vo9+zUZgvmaoKyA++Cx7AfjKHwikgtbUAmPaxqaA7CkvnBfFyuc E8VPTWDpngh03GHS2Tdh091SvKpPYjQdzAFgIuVqncHTvhf6z5ESdSNWczbTYrvIspjcKpzs r0x0k/SnjecwTHp2jDuscx0L3avd3w89m+uTZ3MNGT8IFTZgip8lhyrv4rXqOTEYlMheKanL 4dV9lzEFjI++z8kW5NVi8ppkvuMy4bPE1h2wzOIgtHGmnYoyczzus+WlsG2721Gm7QsnvFwY YRA/u9t7ij+ktuFjuCs7Fb5Fcr51Eme0Z2zo2IFZbiCJOyUl2rLoHzBC/fRojOc/XxvNxWI2 pEOwQtlV9khxw+ZIMSPwyLTJlNvu9bcP+Rj7l6ffnl+evty8PX55fuUzKgUjb7tGq23ADnFy 2+5MrOxyR+m+s8PlQ1rmN0mW3Dx+efxuujyWvfBYdFkI2x5mSm2cV90hTuuzyYk6mZ8CGM1n if4wvVnAw0MiMtnSaU9je8JO1zFOTb4Tw2bXGO/VMGESsXo/tni/YUhLf7Pxh8SwlZ0o1/PW GN8b8i7frX9ym61lC3s5G7Wew3Cqjxg95QQqj6Qy5JOCf2FUufqNS2PnRX3LTYCg2VdHU2mi H80pZrqWkGQkQ3G5cQPROQw3LIrCzvx1dOib/Qpz6kmVy7vAIAosISqd5EraOucdKUkPj8sX pgDPe1gr8lunpHPD9elTWrN4oz//MbbadKvkU5ORYs/kqaHNPXFlup7oCU43SJ0tO3NwmtAW cUIaaHwwcOi8Ztg7VCg1msu4zpc7moGLI4a6Mm5akvUp5mjhvO9I5E401Ba6EEccTqTiR1hN DHQNA3SaFT0bTxJDKYu4Fm8Ujl+1u5RLz82OzJ1Js+fsUt2Hocl9ou0+R0tIBUzUqWNSnO7Y t3uqxsO4RERAofyOsBxCTll1JEOIjJWW3DdoU0KX69CcIV04r/S3U16SNE654VlUA+V8RFIA ArZtxUK8+9XfkA84JU0M9SLQKdanNrnFHMLmrhr4ZqmAEwMcjZEOOI74u4lTDm6C2816gjpY EQpCWSa/wDUdZhoHFQsoU8dSZyPzLvYPE++z2AsMIwB1lJJvAryVhLHcSQi2xMa7QBibqwAT U7I6tiTro0yVbYi3+NJu25Koh7i9ZUG0M3ObGWe+SgOClUuFNq/KONLVW602dXdb44fiOAgs /0CD7/zQMFGUsLJNnpqeuiEAPvzrZleOpwU3P3X9jbyW9vMiDEtS4eXXb9e8GlxLTu+5KkWx UqJSO1O4KKDM9Rhs+9Y4L9VRUhnxAyzQMLrPSmPPcKznne3vDPMiDW5J0qI/tGIaTQjeHjuS 6f6+OdT6ppWCH+qib/P5mbKln+6e357O8DbDT3mWZTe2G21+volJn4XRZJe3WYqX/yOoNhbp cSJsoImF+PQyvPw4uGgAy2jViq/fwU6aLHRgf2hjE92sP+Hzr+S+abOug4yU55ho0NvjzkFH bQvOLJgkLrSSusFzimS4wzwtvbVDQBWxQyeA+qLxynISTX1y+MzjSugvRmssuL4Tt6Arioc8 7FS6rna+9/jy+fnr18e3H9NJ381PH3++iJ//EHPEy/sr/PLsfBZ/fX/+x81vb68vH6Ljvv+M DwThSLg9DfGxr7usyBJ6qt73cXLAmQIbBmdefcJDUdnL59cv8vtfnqbfxpyIzIohA3x+3Pzx 9PW7+PH5j+fvi++bP2GpusT6/vYq1qtzxG/PfxmSPslZfEx1m+gRTuNg4xIlX8BRuKFblmls R1FAhTiL/Y3tUT0EcIckU3aNu6EboknnuhbZ2E06z92QfXhAC9eh6lBxch0rzhPHJZsAR5F7 d0PKei5Dw+PngurebUfZapygKxtSAdIaa9vvBsXJZmrTbm4k3BpiYvLVQ2cy6On5y9PrauA4 PZmvmOuwy8GbkOQQYF93U2rAnEoHVEira4S5GNs+tEmVCVB/kmAGfQLedpbxbOAoLEXoizz6 hIDJ3bZJtSiYiijYrQcbUl0TzpWnPzWevWGGbAF7tHPA5rBFu9LZCWm99+fIeEVCQ0m9AErL eWournLLrYkQ9P9HY3hgJC+waQ8Ws5OnOryW2tPLlTRoS0k4JD1JymnAiy/tdwC7tJkkHLGw Z5NF2QjzUh25YUTGhvg2DBmhOXShs+zmJY/fnt4ex1F69XhK6AZVLNTsgtRPmcdNwzGH3KN9 BHx/2ERwJEo6GaAeGToBDdgUItIcAnXZdF16CFqfHJ9ODoB6JAVA6dglUSZdj01XoHxYIoL1 yfQwvoSlAihRNt2IQQPHI2ImUOPWzYyypQjYPAQBFzZkxsz6FLHpRmyJbTekAnHqfN8hAlH2 UWlZpHQSpqoBwDbtcgJujFc5Zrjn0+5tm0v7ZLFpn/icnJicdK3lWk3ikkqpxDLCslmq9Mq6 IHsu7SdvU9H0vVs/prtagJLxSaCbLNlTfcG79bYx3Q6WIwRGsz7Mbklbdl4SuOW8Wi3EoESN 2KYxzwupFhbfBi6V//QcBXTUEWhoBcMpKafv7b4+vv+xOgamcNeI1AZc8aV2BnATbuObM8/z N6HU/vsJ1smz7mvqck0qOoNrk3ZQRDjXi1SWf1GpinXa9zehKcM1VjZVUMsCzzl087IybW/k MgGHh80kcNitZjC1znh+//wklhgvT69/vmPFHU8rgUtn/9JzAmZgdpidWvDTkqdS2TBen/3/ WFTMz5xey/G+s33f+BqJoa21gKMr7uSSOmFogTX8uFFmvgNvRjMXVZMdrJqG/3z/eP32/D9P cNaoFnF4lSbDi2Vi2eiP+ukcLGVCx/BVYbKhMUkS0riST9LV728iNgr19xYMUm5ircWU5ErM ssuNQdbgesd0NYM4f6WUknNXOUfX3xFnuyt5uettw6RD5y7IPNHkPMOAxuQ2q1x5KURE/WEg ygb9CptsNl1ordUA9H3DdwKRAXulMLvEMuY4wjlXuJXsjF9ciZmt19AuEXrjWu2FYduBIdJK DfXHOFoVuy53bG9FXPM+st0VkWzFTLXWIpfCtWz9xN2QrdJObVFFm5VKkPxWlMZ495kbS/RB 5v3pJj1tb3bTftC0ByMvYLx/iDH18e3LzU/vjx9i6H/+ePp52Toy9xq7fmuFkaYej6BPbGbA /DOy/mJAbDoiQF+sgGlQ31CLpEG9kHV9FJBYGKadq5zSc4X6/Pivr083/+dGjMdi1vx4ewZT jpXipe0FmT9NA2HipCnKYG52HZmXKgw3gcOBc/YE9M/uP6lrsZjd2LiyJKjfnpRf6F0bffSh EC2iv3OwgLj1vINt7G5NDeXo725M7Wxx7exQiZBNykmEReo3tEKXVrpl3PWcgjrYIOmUdfYl wvHH/pnaJLuKUlVLvyrSv+DwMZVtFd3nwIBrLlwRQnKwFPedmDdQOCHWJP/lNvRj/GlVX3K2 nkWsv/npP5H4rhETOc4fYBdSEIcYOCrQYeTJRaDoWKj7FGLdG9pcOTbo09Wlp2InRN5jRN71 UKNOFqJbHk4IHADMog1BIypeqgSo40h7P5SxLGGHTNcnEiT0TcdqGXRjZwiWdnbYwk+BDgvC CoAZ1nD+wUJu2CELRGWiB7eVatS2yo6URBhVZ11Kk3F8XpVP6N8h7hiqlh1WevDYqManYF5I 9Z34ZvX69vHHTfzt6e358+PLL7evb0+PLzf90l9+SeSskfan1ZwJsXQsbI1bt575TskE2rgB tolYRuIhstinveviREfUY1H9Ur+CHcMKfu6SFhqj42PoOQ6HDeQ0ccRPm4JJ2J7HnbxL//OB J8LtJzpUyI93jtUZnzCnz//9//TdPgGHP9wUvXHnQ4/JTl1L8Ob15euPUbf6pSkKM1VjN3SZ Z8As3MLDq0ZFc2foskQs7F8+3l6/TtsRN7+9viltgSgpbnS5/4TavdoeHCwigEUEa3DNSwxV CXj92WCZkyCOrUDU7WDh6WLJ7MJ9QaRYgHgyjPut0OrwOCb6t+97SE3ML2L16yFxlSq/Q2RJ mlejTB3q9ti5qA/FXVL32KL8kBXKtkMp1uqwfPHR91NWeZbj2D9Pzfj16Y3uZE3DoEU0pmY2 Qe5fX7++33zA4ce/n76+fr95efrvVYX1WJb3w85wdLam88vE92+P3/8AH4PkrjVYIObN8YS9 2qVtafwhN22GdJtzaKddMQY0bcTYcZFvQRtXmyQn33fusmIHRl1mardlBxXeGBPciO+2E8Uk Jz5Ydj1cF6uLen8/tJl+tA7hdvIyNPMKzkLWp6xVFgNiQqF0kcW3Q3O4h7fBstJMAO4NDWK9 li6GD7hCjOMcwPZZOUifxkypoMBrHMTrDmCqObPzufx46HXzSg7ftQTAVio5CN3GN2tZ2VAV tm6KNOHVpZH7P5F+OEtIuSNl7OmtZUjNym2pbcIuz95osP6p0z5DMnm61W/uAnJMCxNQRnHn AQzZGaY4pSiFJq6yYqrT9Pn9+9fHHzfN48vTV1SNMiA8kTCAWZOQqiJjUhq2dTYccvDC5QRR uhaiP9mWfT6WQ1X4XJiVfJJdwoXJijyNh9vU9XrbGP7mELssv+TVcCu+LIYBZxsbOr0e7B5e ttrdiznN2aS548euxZYkL3IwMM6LyHXYtOYAeRSGdsIGqaq6EINHYwXRg34jegnyKc2Hohe5 KTPL3Ftbwtzm1X40qReVYEVBam3Yis3iFLJU9LciqUMq1M6IrejRBLlII2vDfrEQ5FYsRe74 agR6v/ECtinA4U5VhGIJcSgMPXIJUZ+k8XYlVkCmAskFEQsPVozqIi+zy1AkKfxaHUX712y4 Nu8yMKEb6h68S0ZsO9RdCv+E/PSOFwaD5/askIr/Y7hxnQyn08W2dpa7qfhW05/F7OtjcuiS NtM9POhB79NcdJi29AM7YutMCxI6Kx+sk1tZzk8HywsqC21VaOGqbT20cN0vddkQs3W7n9p+ +jdBMvcQs1KiBfHdT9bFYsXFCFX+3bfCMLYG8Sdcl9tZbE3poeOYTzDLb+th455PO3vPBpAe moo7IQ6t3V1WPqQCdZYbnIL0/DeBNm5vF9lKoLxv4Ra/WOoFwX8QJIxObBgwfoqTy8bZxLfN tRCe78W3JReib8C6zHLCXogSm5MxxMYt+yxeD9Hsbb5r9+2xuFd9PwqG891lz3ZI0Z2bTDTj pWksz0ucwDj1QpOZHn3b5uke6Szj5DQxxny4KMDbt+cvvz+hqTFJq05qhUYep+FYQOAFo0ZK HkxxAzaqBxUz28dwSQEea02bCziX3GfDNvQsobTuzmZgUEWavnI3PqnHNk6zoelCn05NM4VH dqEOiX+5iEOIPDIv046g8Wq4AmGGnurRoPpDXsHzg4nvisLbloOi9nV3yLfxaOaF1TLEBlfZ ELFieN01GyxscB+j8j3RcqFPIzSp7XTmDVbBqEvLopPF1cU3jB0xGxh3JQ02RT0PtEpiHoWI QdmE/lijicLNaoEjOMSH7YCMTHU6d7prtLrkSHoa7SZGZkusS8NtsBjWIKLjkfuAU4gi3VKQ Fixuk2Z/NLF9aTtH4/n2Pq/ugTlcQtcLUkqAquboOww64W5sntjo8jMRZS6GSPeup0ybNbGx 6JsIMXB7XFIwoLseGkDGR4/2uwuW3bRDekl2AadIw/9l7Eqa48aV9F/RaW4zUSRrfRM+gGvR xc0EWFXyhaG21d2Oka0Oyx3v+d9PJsANQILui+z6PhA7EoktM0WrjrAK5ZTkAx0oqYRcLfYf ury9GHEUOb7yqGLpe0ddK/n+9PX54be/f/8d1jmxebsEFrBRGYPWtZCzaaiMOD4uoTmZcTEp l5baV1GKl/2LotXMCQ1EVDeP8BWzCFiGZElY5PYnLSxwm/yeFGjhqQ8fhZ5J/sjp5JAgk0OC Tg4qPcmzqk+qOGeVlkxYi/OMT2+kkIF/FEG6/YUQkIwAOWsHMkqhPSVI8Wl4Cgon9JulLMEU WXQp8uysZ76E+WlYd3MtOC7/sKjQQzOyP/z59P2zerRt7g5hExQN1y/+ytbSf7M20n5314Tr ld5cl+9VUml0ocJ9Hb3I3IsNfzAYOz6B1WO7M+1MAKCbdnqBUZ2hSkIoe687EMIa0dwKDwAo UlFSFHrnCvQP8cGx2tZpkwydSBt9UXf/IREedaleHG0jACszBPF3F9udUYCsLuI052e9T7Cj UTuDKX+9LySoXtZloqFhW7OYn5PEGCgcz00OeuuUrPFtZNwiM00MTnzV4Z4UfxfYX0oLbTn1 kSYDtQ+MFy02l3IHG6Fxwkj0eftBuhJ3hYuXNgg15gr900GpiVUZ/TFDbKcQFrVzUypeHrsY bR9TY0oQiml06WHY9010mV2+6jEXSdL0LBUQCgsG/Zcnk+k9DJeGSvuW99mG+262w5gpUhy8 MURWNyzYUz1lDGAqc3YAW3mbwkz6eB9f81Ve1zKIAJNVTiKUmlXjhoph4Dg0eOmki6w5g3IB C4HFPsukc/2yesdYS7QJrL0UHxHaUOdI6k5PAJ0WbudrxnRKTuJT1ki9QDnofvr0fy9f/vjz x8N/PYAAHf2TWLv0uGGj7C8qO8Rz3pEptukGFg++WO4WSKLkoHFl6fJAR+LiGuw2H646qlS9 uw1qGiOCIq79balj1yzzt4HPtjo8vtjVUVbyYH9Ks+UW9JBhEO6X1CyIUk91rEbzE/7Shck0 ZTvqauaVvQc5Zf202cFVNvWh6ehnZjTb9zNsehqZGeWBtFga95hJ0zT4Iusx+i7YOKkDSdku ArQy7YMNWY+SOpFMc9R8isyMbSt/5myz7Ita17yeLFK67vzNoWgoLoz33oaMDZSle1RVFDW4 CiLTkq0xO6hfH57j9/KaMa0ZDvPQcHj47e31BRTAYXU4PLm1Brs63YMfvF46ydRgnHq7suLv jhuab+sbf+fvJlHashKm8jTFa1BmzAQJY0fgzN60oMS3j+th21qMh2rzceR6YaeBXGcLtRt/ 9XJfupdv5ykCZK23J5mo6IS/9IolOVCjkvZMxTcwVIQDNcc4lcs6SR2/43VXLYay/NnXUkla ngbqOLotB1mVL923arFUcW+4ykKoWc6SA9AnRazFIsE8iU67o47HJUuqDLeerHjOtzhpdIgn HyxBinjLbmUe5zoIIk29/67TFM9EdfY9PuD/aSKDFUztoJirOsLjWh0sYY3aImWX3wX2aH8+ r7hdOapmNfjcEtXtstosM8Sg47E2Bm3c16pNae89LC90E9wy8baO+tSI6Yp+HXkiSTeXV8Ko Q/NB+giNH9nlvrddRX0WiaK/Mjw31I/IZQ5KxoVZWxytkleRWV+yy6A0smAV2m4q/GKoelyQ oyVGK6Ueu1ufgGIt7I/trogorNpsomy67cbrO9Ya8VzvuKejYyw6HcxNaVnDpjELCdplZmjo 30iGzJRo2NWE+HLLV5VJGuzvvP1u+fhjLpUxAKADlqzy71uiUE19w5vuMBfqhTDIqTk2ahI7 x/8tXyUtXhPhsFmavBqAQZj8NGGQeBKwGSUIwoT6aubkHsw7zwzQoPvs0YCr9blsQkiaFZoF EJ0e7G86WJ5nJRPLPRKdv+ZEHShKXzfpXJS3bcedLFo6Z2aPX/Bsox0Z2ezyBiLFwqqLqO4h hHyD4K6QYLPb2qylPk9NRPWqaWadepadWpvYkUG2na2d3IXjqwa7QFFj5j8mC0tPcrjcmX8n ZAA3xTcThyDyl1d7l2gvWJsl0FdzgYZi3m3xeqMxNYByoUeJJixNwDxw0GB0HLniXmIM2zHP lArSJCjL2QcHbBqPmaLinu8X9kd7NDpjw+c8ZabOEEaxfj9vDIy74nsbbuqYBM8ELGCkDF5G DObKQGredRzzfMtbQ/aNqN0HYkv/qe/Ls0dEcq5vF08x1trZgayIJKxDOkfSrK92w1hjBeOa sW+NLOulE+iRstsBlIAoZ8YEf2/q6JIY+W9i2dui1BgSdWQBauYIO2NSRGaQCIbmaQUbtUeb GS/22Qyz5n0F9uwuT+3cJG/i3C5Wz0qcA00leCCij7CgP/jeqbyfcEcC1L+lmSkjaCvw8T8R Rm0/WJU4wVDtkSlyRgrN6Dkozp0RAiUjXaE1+3yKPnmKZeUp8zfKrIznigN9lm1MTWMZxX33 ixjkrk3srpPSnFRmkmzpMr+0tVSohSFGy+jcjN/BDyPaMCp9aF13xNFjVplzNny0D2D6wBhv 55yLwlSLk+aEAaxmjxMQHJU857NSW3BqyAwGgKPBkBJeFk+/Pz+/fXqCxXbUdNMjv+Gq8hx0 MO1FfPIvXZnjcnFS9Iy3xChHhjNi0CFRfiBqS8bVQevdHbFxR2yOEYpU4s5CHqV5YXPycB0W P1Y3H0nMYmdkEXHVXka9D6t/ozK//E95f/jt9en7Z6pOMbKEH4PlQ+ElxzNR7KzpcWLdlcFk n1QuCRwFyzVzfqv9Rys/dOZzvve9jd0133/cHrYbepBc8vZyq2tiolgyeHmWxSw4bPrY1Llk 3jNb3qMzNczV0oavydWduUIcyOlyhTOErGVn5Ip1Rw+jHq8q1b00oQurCZgtiCGELHZ7eWG9 gBVtQcxrUZMPAUtc2bhiKTV7bTqHvsX7FK8xxMUjKMtV1lesTIj5VYUP45ucs3Ybx7ymBzu4 pr8hGB6E3pKicIQqxaUPRXTlsycN7JfLkcW+vrz+8eXTw18vTz/g99c3fVBJJ4c9yw2dZ4Dv eH8iNQX/zLVx3LpIUa+RcYmXGKBZhCni9UCyF9jalxbI7GoaafW0mVX7iPagX4TAzroWA/Lu 5GG6pShMse9EXnCSlQvDrOjIImf3X2Q783x07cOIDRctAK6nBTGbqEBicLMwPyj4db8i1oGk jouHNjZaNHjcFDWdi7JPwXQ+bz4cN3uiRIpmSHt7m+aCjHQI3/PQUQTLmc5EwrJ6/0vWXO/N HEvXKBCHxKw90GZ/m6kWejFeq3F9yZ1fArWSJtGBODrepSo6Lo/Lu40jPlrQdjO0Bjmx1jDT WMekP/Elg3WI5ozbCqIWIUSACygix+HyI7HxNYQJTqc+a7vpeGNFD2qfvz2/Pb0h+2ZrP/y8 BWUlp9UQZzRWLHlL1Aei1G6JzvX29sAUoONEE/I6XZmhkcVZmv6uprIJuNqahyVJSM3DKgQk h95y7BtAy2BVTUhJg1yPgQtYk4uehXkfnZPo4syPdVAwUiDSomRKTO7LuqNQxw4gsZq1QONJ R95Ea8FUyhAIGpXn9nGFHjqpWDh6zkxBUIM+sprTIfx0/RKNTK9+gBlJC1Rr5aPGlZBtIlhe yd1LCCOSOx2ablbU5tc7pFK9/kkYd9dV/BmUA1iyyoZYCcYEzCZD2LVwrikFQ4TsEWoYr9qv ddcxlCOOSdtcj2QMRsdyF0nFifUhb6jFFaJ9GcWUUBH5JCxF+eXT99fnl+dPP76/fsOzY+k5 5QHCDfZOrSsAczToYoWcQRQlJ4iWUBwG5ywpl/PLLHL/eWaUSv7y8u8v39CGnCWsjdx21Tan TsqAOP6KoCegrtptfhFgS+3xSZiaOWWCLJbHAHi3tJROzGc1caWsC9vVy7nKtotPT34Chgfa HLcO3AeSr5HdTDps+4OKs8wWsfcwuhpi1Dw3kmW0Sl8jShfBe3e9vTU3UWUUUpEOnFJ/HLWr dlIe/v3lx5//uKZlvMN529yy/7ThzNi6Km/OuXW+vWBg+UkoHRNbxJ63Qjd37q/QIMMZOXQg 0OD9iJQNA6e0HsdSdRHOoWXeRdpkjE5BPrTB/zeTnJP5tG+3T2uSolBF4e8W7k9G9nhsyuN+ c6dcn4wRtPnHuiKE8w0moC4kMgkEi6nOx/D12MZVs64zf8nF3jEg1geAnwJCDCt8qCaa02xk Lrkjodaz+BAEVJdiMeuodfnIecEhcDAH84RwZu5OZr/CuIo0sI7KQPbojPW4GutxLdbT4eBm 1r9zp6lbS9cYzyM2eEemP99WSFdy16N5IDgTdJVdNeuPM8E9zYD6RFy2nnl4M+JkcS7b7Y7G dwGx2kTcvCsw4HvzIH3Et1TJEKcqHvADGX4XHKnxetntyPwX0W7vUxlCwrxLgUQY+0fyi1D0 PCLmhqiJGCGTog+bzSm4Eu0ftTXv5V0QUiRFPNgVVM4UQeRMEURrKIJoPkUQ9RjxrV9QDSKJ HdEiA0F3dUU6o3NlgBJtSOzJomz9AyFZJe7I72EluweH6EHufie62EA4Ywy8gM5eQA0IiZ9I /FB4dPkPhU82PhB04wNxdBHU5pMiyGZEzyfUF3d/syX7ERCanfqRGI6fHIMCWX8XrtEH58cF 0Z3ksT+RcYm7whOtr64PkHhAFVO+HyDqnta4hzdTZKkSfvCoQQ+4T/UsPKqk9pxdR5gKp7v1 wJEDJUOH4UT655hRN+cWFHWQK8cDJQ3RrgxuaG4oMZZzFiZFQexdF+X2tJXmJS2dtaijc8Uy 1oKcX9FbS7yjRmRV7d0eiZp07+oODNEfJBPsDq6EAkq2SWZHzfuS2RN6kyROvisHJ5/aVVeM KzZSMx2y5soZReDevbfvb/i+yLGhvQwj3aczYiMIltrentJEkTgcicE7EHTfl+SJGNoDsfoV PWSQPFLHRQPhjhJJV5TBZkN0RklQ9T0QzrQk6UwLapjoqiPjjlSyrlh33sanY915/n+chDM1 SZKJ4ckIJQTbAnRBousAHmypwdkKzcvNAqbUVoBPVKpomp5KFXHq7Ed4mmFRDafjB7znMbF2 acVu55ElQNxRe2K3p6YWxMnaE7pvHQ0ny7HbU7qnxInxizjVxSVOCCeJO9Ldk/Wn+/DRcEIs DrcrnHV3JOY3hbva6EBdK5Kw8wu6QwHs/oKsEoDpL9z3nUyfrTOelfSOzsjQQ3lip01fK4A0 0sPgb56S+32LY0TXuRu9i8Z56ZODDYkdpSIisad2FwaC7hcjSVcAL7c7ajrngpFqJ+LU7Av4 zidGEF58Oh325BWFvOeM2JUSjPs7aq0nib2DOFDjCIjdhpKXSBw8onyS8Omo9ltqeSSdTVKa u0jZ6XigiNmd4ypJN9kyANngcwCq4CMZKJvzlvI6B/DvW8wBaVeFDo2+btz67hyWqndJgvpO 7UsMX8bR3aOkveAB8/0DoaQLrhbVDma3JWvgVmw3wWa93Ldiv9luVkor/XJSyyrlsJPIkiSo vV1QSk9BsKPyKqnt2u745P7ZxNE7GpVY6fm7TZ9cCSl/K+1XGgPu0/jOc+LEOEbc25DlLGEN s94kEGS7WWsRCLCjS3zcUSNR4kQDIk42U3kk50bEqTWOxAkxT92Fn3BHPNQ6HXFKVEucLi8p RCVOiBLEKYUD8CO1dFQ4LdQGjpRn8v0Ana8TtZdNvTcYcUp8IE7tpCBOKX8Sp+v7RM1OiFOL bIk78nmg+8Xp6CgvtQsncUc81B6CxB35PDnSPTnyT+1E3BxX6CRO9+sTtai5lacNtQpHnC7X 6UDpWYh7ZHsBTpWXM92d6kh8lCeqp71mNH8ki3J73Dl2OA7UmkMS1GJBbnBQq4Iy8oID1TPK wt97lAgrxT6g1kESp5IWe3IdVKEnCGpMIXGkhK0kqHpSBJFXRRDtJxq2h+Un06z96IfN2idK lXfdU17QOqF0+6xlzdlgp3dtw0H3OY/tOzAAzl/Ajz6UZ+6PeMsuqTKxuKQPbMtu8+/O+nZ+ QatuEP31/Al9UWDC1vk6hmdbtGGsx8GiqJMmlE24XT5ymaA+TbUc9qzRDItPUN4aIF++hJJI h49sjdpIisvyrrnCRN1gujqaZ2FSWXB0RrPQJpbDLxOsW87MTEZ1lzEDK1nEisL4umnrOL8k j0aRzIfQEmt8zQusxKDkIkfzMuFGGzCSfFSvGzUQukJWV2hue8ZnzGqVBD0hGFWTFKwykUS7 p66w2gA+QjnNfleGeWt2xrQ1osqKus1rs9nPtf62Xv22SpDVdQYD8MxKzc6GpMT+GBgY5JHo xZdHo2t2ERqSjXTwxgqxtL6A2DVPbtIWuZH0Y6uMXmhoHrHYSAitEWrAexa2Rs8Qt7w6m21y SSqegyAw0ygi+SzeAJPYBKr6ajQgltge9yPax+8dBPxY+umd8GVLIdh2ZVgkDYt9i8pAw7LA 2zlB+6Fmg5cMGqaE7mJUXAmt05q1UbLHtGDcKFObqCFhhM3xZLxOhQHjtdrW7NplV4ic6EmV yE2gzTMdqlu9Y6OcYJUAiQQDYdFQC9CqhSapoA4qI69NIljxWBkCuQGxVkQxCaJpuZ8UPtsr JWmMjyaSmNNMlLcGAYJGWlSPjKEvrUTdzTaDoOboaesoYkYdgLS2qnewR2+AmqyXZtnNWpYW gIu8MqMTCSstCDorzLKJURZItylM2daWRi/J0C0B48s5YYLsXJWsFe/rRz3eJWp9ApOIMdpB kvHEFAtoQTwrTaztuBjM9UzMErVS61Ah6Rse6DF1fvoxaY183Jg1tdzyvKxNuXjPocPrEEam 18GIWDn6+BiDWmKOeA4yFM1QdiGJR1DCuhx+GTpJ0RhNWsL87UunVvPlaELPkgpYx0Na61OG LqyRuhhqQwhl3UqLLHx9/fHQfH/98foJvX+Zeh1+eAkXUSMwitEpy7+IzAymXWfGTT+yVHi5 U5VK8+WjhZ2stixjXeS0Pke5bpNZrxPrlr60P2I8EpCmQRLo0u3SXJA0RlI0+aCTa99XlWFH UBpMaXHWY7w/R3rLGMGqCiQ0PmhJboPJMz42mu4fHatzeE6vN9hg1gbtxPKcG6VzmRGT1SUy C0AzAiIprHiQCgsp7rmQg8Gi0+WTuKEWuazGDIY/APpTKGVVRtSgysM8hVYH0PK8r/e8alyO yM70+vYDbfyNLs8sG7ayOfaH+2Yja11L6o59g0bjMMPbcT8twn5BOccE1RASeCkuFHpNwo7A 0R2UDidkNiXa1rWs+V4YbSNZIbALcViyxASb8oKIsbxHdOp91UTlYbm3rbGoiVcODhrTVabh gQrFoM0OguJnoizJ/bGqOVWcqzEyK44WxyVJxHMmLcXK3nzvfG9zbuyGyHnjefs7TQR73yZS GBpoysAiQHkJtr5nEzXZBeqVCq6dFTwzQeRr1po1tmjwkOXuYO3GmSh8+RA4uOEJhytD3BQh VIPXrgYf27a22rZeb9sOzY9ZtcuLo0c0xQRD+9bGXCKpyMhWe0THkaeDHVWbVAmH6QD+f+Y2 jWmE0dJMyIhyc8pAEF/8GW8frUSWolMZgH6IXp7e3uhpn0VGRUmzj4nR026xEUqU045RBerY vx5k3Ygalk7Jw+fnv9Ad5AOahIl4/vDb3z8ewuKC81zP44evTz9HwzFPL2+vD789P3x7fv78 /Pl/H96en7WYzs8vf8k3NF9fvz8/fPn2+6ue+yGc0XoKNB+TLinLON8AyJmpKemPYiZYykI6 sRQ0ck1ZXZI5j7XDrCUH/2eCpngct0ufuia3PGFYcu+7suHn2hErK1gXM5qrq8RYty7ZC9pQ oalhvwlkBoscNQR9tO/Cvb8zKqJjWpfNvz798eXbHwtnjUvhGUdHsyLl0lxrTEDzxjAdoLAr JWNnXL7a5u+OBFnBUgBGvadT55oLK64ujkyM6Irot8oQoRLqMxZniamsSkamRuCm9Feo5tJD VpTotLulIybjJc9BpxAqT8RB6BQi7hh6risMyaQ4u/SllGhxG1kZksRqhvDPeoakBrzIkOxc zWCA4yF7+fv5oXj6+fzd6FxSsMGf/cacMVWMvOEE3N13Vpf8f8qupLlxXEn/FUef+kVMT4mk SFGHPnCTxBA3E6SWujD8bHW1o9x2jeyK155fP0iACxJI2j2XculLrIkECCQSmeIfUONKuZTb erEg5wFfyx4uU80iLT9G8LmXnbVN/DHSJAQQcR75/R0zRRA+ZJtI8SHbRIpP2Cb33jeMOpyK /CWyeRph6lsuCKD/BneKBEmbWhK8NRZZDtu6FAFmsENGJ757+HZ5+xL/vHv67QpOw2E0bq6X //n5eL3I05ZMMj7hfBNfqMszhGt/6F8f4or4CSytdhDQd56z9twMkTRzhgjccKs8UsBNwJ6v fYwloK3asLlSRevKOI20lWOXVmmcaMv5gCKXEojQxjMFEasTbI5XnjY3etA4H/cEq68BcXnM w6sQLJyV8iGlFHQjLZHSEHgQATHw5H6pZQzZcokvnHCVTGHj7do7QdPj6SqkIOVHxHCOWO8d SzVpVWj63ZdCinboUY9CEWf/XWJsQyQVbNdlKKXEPMkPZVf8rHOiSf3OIPdJcpJXyZakbJqY Hwx0/UpPPKRI7aZQ0kr1NqsS6PQJF5TZfg1E4xM7tNG3bPUBCCa5Ds2SLd9HzQxSWh1pvG1J HJbPKijAd+pHdJqWMbpXe4iy1bGI5kkeNV0712sRp4qmlGw1M3MkzXLBZ56pqFPS+MuZ/Kd2 dgiL4JDPMKDKbGfhkKSyST3fpUX2NgpaemBv+VoCekWSyKqo8k/6lr2nIV9WGoGzJY519c64 hiR1HYBD3gxd96pJznlY0qvTjFRH5zCpRQAFinria5Nx0OkXkuMMp8uqMVRHAykv0iKhxw6y RTP5TqB65/tLuiEp24XGrmJgCGst4zTWD2BDi3VbxSt/s1g5dDb5+VYOMViFS35Ikjz1tMo4 ZGvLehC3jSlsB6avmVmyLRt8tytgXd8wrMbReRV5+vHjDDeK2simsXadCqBYmrEpgGgs2GwY sUQF2uWbtNsErIl24LFc61DK+J/DVl/CBhh07Vj6M61bfDdURMkhDeug0b8LaXkMar4F0mDh PAmzf8f4lkGoWDbpqWm142Pvc3ujLdBnnk5XmH4VTDppwws6XP7Xdq2TrtphaQT/cVx9ORoo S081PxQsSIt9xxmd1ERXOJdLhkwuxPg0+rSFK0ziwB+dwE5HO6YnwTZLjCJOLegvclX4qz/f Xx/v757kGYuW/mqnnHWGM8BIGWsoykrWEiWpouUNcsdxT4Mzekhh0HgxGIdi4LqmO6CrnCbY HUqccoTkfjM8m2FChg2ks9B2VPlBXK9okratA9wvwdCs0lSY4qIJjEbwR7B/QCwLQNdsM5xG XZbahL9MjDp09BTy2KHmggCtCfuIThOB952wSLMJ6qApgpiTMuwTU9KNX6cxpNQkcZfr448/ L1fOiemeCAscqerewJzTPwWD5l5X43Tb2sQGxa+GIqWvmWkia9Md3IGudLXNwSwBMEdXWheE zkugPLvQimtlQMO1JSqMo74yfPYnz/v8q23LsO8miF3HK2Ms3QBpLRFXIgTH+yjLB3QJDwQZ f0wq8vCMICUBr5sh+P4HT376V81Uhm/4ZqHLtMoHSdTRBD6fOqh5iuwLJfJvujLUPySbrjBb lJhQtSuNLRRPmJi9aUNmJqwL/tHWwRycwZL69Q3Mbg1pg8iiMNiYBNGZINkGdoiMNqDYRRJD JhB996kri03X6IyS/9UbP6DDqLyTxCDKZyhi2GhSMZsp+YgyDBOdQI7WTOZkrtheRGgiGms6 yYZPg47N1bsxFnyFJGTjI+IgJB+ksWeJQkbmiDvdPEYt9aBrsibaIFFz9GaKj9BOGsMf18v9 y18/Xl4vDzf3L89/PH77eb0jLDewodOAdLuiwh49xRKI149+FcUsVUCSlXxh0jaozY4SI4AN Cdqaa5Csz1gE2iKCU948LhryPkMj2qNQST3a/BLVc0RGR9JI5Oor4r2ReyV6dYliGUKG+IzA rnWfBjrIF5AuZzoqTEVJkGLIQIp0JezWXBa3YN8ifU4aaB/bb0Yz2qehlsNtd0xCFBNI7GeC 48Q79Dn+fGKMm+5zpT50Fj/5NKtyAlONCyRYN9bKsnY6LPd3tg63EVJ8RRAKOtrqqXaxw5hj qyqrvgUQWHbtn9QzT/P+4/JbdJP/fHp7/PF0+fty/RJflF837D+Pb/d/mvZvssi85SeW1BHN dR1bZ+P/t3S9WcHT2+X6fPd2ucnhtsM4kclGxFUXZE2ODGklpTikEPxrolKtm6kECQpEcGXH tFHjSOS5Mu7VsYZgigkFsthf+SsT1tToPGsXZqWqvRqhwR5uvOFlIrwZCs8IifsTtby3y6Mv LP4CKT83RYPM2rkKIBbvVKEdoY7XDqp1xpCV3kSvsmaTUxnBIbjYHc8RkfHORIJXBkWUUCR+ +Dg4cwSbImzgr6oTm0h5moVJ0DZkpyHsKCZIV6oMg9syizepaqAvyqg0Tja5cJRQm50yWZ52 7MzgcBIRpCmSikE3nbOKkT7qv6kB42iYtckmTbLYoOgXoz28S53V2o8OyGykp+31QdrBH9Uf BKCHFh9tRS/YTu8XdNzj81JLOdjDIMUIEKJbQ5J37BYDfUwrDCILyUkWTkmhKngVGUYXyRMe 5J7q3FEIzzGjUianaTiVuZXkrEnR6tAj48SV0/7y18v1nb093n83F8wxS1sIDX2dsDZXts45 4yJurEJsRIwaPl9YhhrJkQErYvy4QhjhiiBnU6oJ67SHL4IS1qDfLEA9vDuCCrHYilsH0Vie wmSDyBYEjWWrj2QlWvAPr7sOdJg53tLVURHPTH23PqGujmouLSVWLxbW0lJdAwk8ySzXXjjI wYAgZLnjOiRoU6Bjgsgz6AiuVf8mI7qwdBQexdp6qbxja7MBPSpNzfHwYutzWV3lrJc6GwB0 jeZWrns6GWbwI822KNDgBAc9s2jfXZjZfeRKbeqcq3OnR6kuA8lz9AzgysE6gWOYptXlXTg1 1FsY86OSvWQL9Y27LP+Ya0idbNsM3ypI6Yxtf2H0vHHctc4j4y21NJCPAs9drHQ0i9y1dTLk JTitVp6rs0/CRoUgs+7fGlg2tjEN8qTY2Fao7rUEvm9i21vrnUuZY20yx1rrresJttFsFtkr LmNh1owqxWkdkW7Xnx6fv/9q/UtsJOttKOj8WPLz+QG2teYjmZtfp7dI/9JWohDuRPTxq3J/ YSwieXaq1Ss0AUIIM70D8PLjrJ7w5CilnMftzNyBZUAfVgCR7zVZDD9IWAv3pPKmuT5++2Yu sv1zCn2BH15ZaIHkEa3kKzoyBkVUfsbczxSaN/EMZZfwHXOIDEYQfXofSNMhehVdcsAP/Ie0 Oc9kJFa8sSP9c5jp7cjjjzew2Xq9eZM8neSquLz98QjHlf40evMrsP7t7soPq7pQjSyug4Kl KCA87lOQI9ebiFgFhaq8QLQiaeDF1lxGeNmvy9jILawckieJNEwz4OBYW2BZZ/5xD9IMnBGM tyc9NeX/FmkYFMredMLEpAC3oiQxiOOeMVR5CnlSvY7paog0wdIjWXBalWpUZJ3SqdpTg6id v2i6sOYmE7G6ImvmeEM3Ca0bGkHJUjeRCPb8rgJyi4agXdSU/JRCgv1bsd9/ub7dL35REzC4 Q91FOFcPzufSeAVQcciTUbvJgZvHZz5T/rhDxtiQkB+XNlDDRmuqwMURz4Tl20QC7do04cf+ NsPkuD6gwzi8DYQ2GVvRIbEI7qCaog2EIAzdr4lqcj1RkvLrmsJPZElhHeXoFdhAiJnlqF90 jHcRXzza+mx2EOjqxwHj3TFuyDyeevc24Ltz7rse0Uu+V/CQzyOF4K+pZsvdherqbqDUe191 3TnCzI0cqlEpyyybyiEJ9mwWm6j8xHHXhKtog31uIcKCYomgOLOUWYJPsXdpNT7FXYHTYxje OvaeYGPkNp5FCCTjR5H1IjAJmxw7ch9L4gJs0birujtS09sEb5OcH+YICakPHKcE4eCjkBBj B9ycAGM+OfxhgoO/vw8nODB0PTMA65lJtCAETOBEXwFfEuULfGZyr+lp5a0tavKsURCUiffL mTHxLHIMYbItCebLiU70mMuubVEzJI+q1VpjBRF0B4bm7vnh8zU4Zg6yD8V4tzvmqj0Xbt6c lK0jokBJGQvENgufNNGyqZWN465FjALgLi0Vnu92myBPVfc+mKyasyPKmrRjV5KsbN/9NM3y H6TxcRqqFHLA7OWCmlPamVrFqVWTNXtr1QSUsC79hhoHwB1idgLuEktjznLPproQ3i59ajLU lRtR0xAkiphtUsNA9EyccAkcv9ZVZBw+RQSLvp6L27wy8T4gyzAHX55/44enj2U7YPna9ohO GC9zR0K6BT8sJdFiiPm+aXJ4NVgTi7eIgjwDd4e6iUwaVuxO3zYiaVKtHZK7O2Lg6qVFpYWL kJozhNr6AI0FOSFPk1c0vZrGd6miWFucCM42p+XaoeT1QLRGRqj3iU4Ytzbj8DT8f+Q3Pip3 64XlOISMs4aSNKxXnb4NFjy4NgkyJoqJZ1VkL6kMhgXeWHHukzUIu0mi9cWBEe0sT4F+2BJ4 YyOPjBPuOWtq09usPGo/egKJIJaRlUOtIiLOJTEmNI/rJrZAq2Z8EscbvtEXILs8v0Lc4o/m v+KlBvRChHAb92oxBA4ZnJAYmH5KVCgHdGcCrxtj/d1uwM5FxCfCEOkWLhaKJDPugUEfkBTb tEgwdkjrphXvl0Q+3EJ4qDYpOrImqQP+LdjG6jvl4JRqN3oh2FiFQVcHqtVEP2MsH9cAgq7u 7IXeIrCsk461haesAPGRqFguaPhCClbYBDU4zbfw0rnDoAhtm3LMWxpoWUFMcyX13sG582ij VTJc0ELYG3TbOeAn/RZUBBBXb9I40mCEz5NSsZrKTwz3tQirTc+VqeQ+fKyaboTy9qSjOU4J cXFxcY5YgCTnx3RiMbEXXVCFOLkkWAuNgXzmaAnHSJk5ZsyIawwTKwYu4utJG5Vm3+2YAUW3 CIInrzCpuYzlW/XBy0RAYgfN0O7De1Rh0kYO5rQ29DbJiLngp0bLqNgua5Q+7iyeFPhj34iR F3saPv1qddmInh4hNCqxbKAW8R/4tcO0asjZPBUZthvTcZIoFIzXFQk6ClQxdJKZUaX8N//E HCAAeZNuzuqOvqeyJNtA0xixz+6T7JKgYkaxAhWaOqF2G01rtC6MfGlPwxObsaRdvMRr1J7x PYGv/5ZB6Rd/OytfI2hOmGABCliUpvgB0a6xvL26ee3f64F6O8lUGNb34THfQoPrUvDfxbC8 boaNI0NGqJIagg+kgfbLLxPz4TmR8EiY8S/BhjwGqUkKYnAUurwVx3Ur3weZcALgy8Q/qOkB XcwAqt5byt9w19Ya4CGuAlweB8Mgy0p1V93jaVGp9jRDubl6BaCAXZSDe8KkM77sWq38l946 gFiq7PcP4ilMWjaqMbwE61R1nnjALjFkEq10gSGDdQkxZJcnsQNDNhQ9iDsgMLGo9O7fJivY 3qHa/fXl9eWPt5vd+4/L9bfDzbefl9c3xRhvnHSfJR3q3NbJGb0j6oEuQUGWm2AL3Jkkqk5Z bmPbDb6IJ6qZu/yt77lGVN5yiRUn/Zp0+/B3e7H0P0iWByc15UJLmqcsMoW4J4ZlERstwwtw Dw6zXccZ48fLojLwlAWztVZRhqIeKLDqzVuFPRJWdaIT7KvnARUmC/HVsDkjnDtUUyCmD2dm WvLTJvRwJgE/ITnex3TPIel8ciP/NypsdioOIhJllpeb7OU4/xJQtYocFEq1BRLP4N6Sak5j o7jCCkzIgIBNxgvYpeEVCauGOgOc8+1lYIrwJnMJiQnAdDMtLbsz5QNoaVqXHcG2FMQntRf7 yCBF3gk0MaVByKvIo8QtvrVsYyXpCk5pOr6ndc1R6GlmFYKQE3UPBMszVwJOy4Kwikip4ZMk MLNwNA7ICZhTtXO4pRgCZvC3joEzl1wJ8iidVhuD66EUcOTUDc0JglAA7baDmGbzVFgIljN0 yTeaJj7eJuW2DaSz7eC2ouhiSz7TybhZU8teIXJ5LjEBOR635iSRMLzkniGJ+GcG7ZDv/cXJ LM63XVOuOWjOZQA7Qsz28m+WmhNBXY4/WorpYZ8dNYrQ0DOnLtsGbY/qJkMtlb/55uVcNXzQ I6yYU2nNPp2lHRNM8le2E6pKMn9l2a362/L9RAHgFz8aa64Fy6hJykK+a8TbtcbzRIBseSef ljevb73XtlEpJUjB/f3l6XJ9+evyhlRVAT/CWJ6t3hH20FLGauq3Y1p+Webz3dPLN3DL9PD4 7fHt7gmMeHileg0r9EHnv20fl/1ROWpNA/nfj789PF4v93Aem6mzWTm4UgFgk/UBlEGL9OZ8 Vpl0QHX34+6eJ3u+v/wDPqDvAP+9WnpqxZ8XJk/UojX8jySz9+e3Py+vj6iqta9qPcXvpVrV bBnSkeTl7T8v1++CE+//e7n+103614/Lg2hYRHbNXTuOWv4/LKEXzTcuqjzn5frt/UYIGAhw GqkVJCtfXZ96AMebGkA5yIrozpUvDWsury9PYAX56fjZzLItJLmf5R0daRMTcwjycvf95w/I 9Ao+0F5/XC73fypakioJ9q0au1ICoChpdl0QFY26EptUdZHUqFWZqdFBNGobV009Rw0LNkeK k6jJ9h9Qk1PzAXW+vfEHxe6T83zG7IOMOJCERqv2ZTtLbU5VPd8ReBX/O3YyT42zdjyVngpV 3USc8L1txg/RfAsbH5DOAUg7EZqBRsHtpJ/rhfW0mp/lwV+cTuZ5uiHqjTTd/O/85H7xvqxu 8svD490N+/lv0yHolBfrDQZ41eMjOz4qFefu7y9R7FVJAYXmUgfl5d87AXZREtfI34hwBnIQ r+hEV19f7rv7u78u17ubV3m5Y1zsgC+TgXVdLH6plw+yujEB+CXRiXxrdkhZOtnGBs8P15fH B1X1MUC6dIQlRKiabFebpNvGOT/+Kru5TVon4ITKeFu7OTbNGVQQXVM24HJLOFj1liZdBNGS ZGdURA43VcYzaNZtqm0AasEJbIuUnRmrAuUGYhN2jTrX5O8u2OaW7S33/Gxn0MLYg/jZS4Ow O/HP2SIsaMIqJnHXmcGJ9HwTu7ZU+wkFd1SrBIS7NL6cSa/6AFTwpT+HewZeRTH/4JkMqgPf X5nNYV68sAOzeI5blk3gScXPcUQ5O8tamK1hLLZsf03iyMIL4XQ56LpcxV0Cb1Yrx61J3F8f DJwfBM5IfTzgGfPthcnNNrI8y6yWw8h+bICrmCdfEeUchQl52Siz4JhmkYVeRQ2IeBBLweoO dkR3x64sQ7iEVC/9hDYW3sYXCd8nTNkkAdm654YmWCCsbFW9o8DEQqZhcZrbGoS2ZgJBytY9 WyFriUFtq68vPQwLTK06uxsIfMHLj4F6xTZQ0EP8AdQeQ4xwuaXAsgqR872BogXvGmBwp2SA pi+0sU91Gm+TGDukGoj4gcWAIqaOrTkSfGEkG5H0DCB+dT2i6miNo1NHO4XVcH0vxAFfcvbP TrsD/wwqL1Ih4KLxIlV+Fg24SpfiRNG7Cn79fnlT9h3jp1KjDLlPaQZ3/iAdG4UL4uGvcHyl iv4uh0eS0D2Gg8vwzp56yuDhLEMx23hGcYmG5s1xo3yORwOPdx3hPazUd9KbWLEw68Fox0U+ GeMoqPp5I6kEsIAMYF3lbGvCSBgGkHeoKY2KxJUb4tpAEBMqVE3sBsohJJoiLlNUxyRjY4Sd DPIvNZLEywYD1hxVCJgLbSWC3m0TvUWS1N8aT3xPsiwoytMUrGJaPsXTtG5XNlXWKuzrcXV6 lVkVwXC8I+BUWiuXwvDIZXt4dcEXGzjoKdfZ8lEb0Lksb+XCSNya7o58HAvxnPndxLR7f4WA /XcrBJbWG5pQoSiRCgEbWe1Ykndtb50n1SRPL/ffb9jLz+s95fQC3swh+yGJcFEMFSUYZwSr I3nNOoLDUiPf3alwty+LQMd7w0sDHswuDcJR2Klo6KZp8pp/vXQ8PVVg76Kh4gjj6Wh5zHSo jo328qPL0mitPLlo4P+1diXNbSNL+q8ofHovorvNXeShDyAAkrCwCQVSlC4ItcS2FW0tI8kz 9vz6yawqgJlZBdovYg7tFr/MWlFLVlUuRh1SojaMkIStYqqEbQ9HS3SkD90fZltKLNX5cOjm VaeBOncavVcS0vECR04NYRTBcUX2ZK4bCdsmXob6q1kmcDCCHaZwKHXSoD2HhHOq89GOplIR j1aBTpyxp9Aj1swmy6SmlMyOVFViUHRK2J1nWpMkodMyqDPUu2B5aIj6mrIVs8EQ9ebONNRQ B1iOpX0egPRROl2Odmo25ppC7xRhRgpCBSfJjzpc/t7+hFs8rztkaJrPsu3QrN6Srm3VlUAQ zDzMNR1qcdevdeJUBF9VgpopDrUDYk/uTjbzMU6HrJp7sOHMAakhrCkc7zOwA8Pa7Q2QZmE1 p58xhK4ZuhNQx0TRtwFAh/FD9Yq8q2KXMEjSZUF07vTVDCJHGcluWU222VKpBZWXmzFO++oK BgtP1N1OZCz3VmOT8W6S8QxWCQnORiMJ2toKjQStFheUIcitpVD6LKNQZoH6dll0KWCtzIma pAxNYG/cwr+77qKqOjw+vx9eXp/vPPq4MUaqtIaQ5PLWSWFyenl8++zJhAtR+qcWiySmW73W XoFzHRf6BENF3Wo5VJXFfrLKIolbZSd6Oc3a0fUnnujwhqjtOBh/T/dXD68HV2G4422FBZOg CM/+pX68vR8ez4qns/DLw8u/8ULz7uHvhzvXXwpudGXWRCCIJDmcyuK0lPvgkdwqCgWPX58/ Q27q2aNebe4EwyDfBfRQa1CQlrI4UOgzmu/AzXqPkeWTfFV4KKwKjBjHJ4gZzfN4geepvWkW 3vve+1uFUe+tmjnZp7XbVRQcYRUiN2SEoPKChru2lHIUtEmO1XJLP65fi6GuAXXT2IFqVbUf f/n6fHt/9/zob0MrjZnz8Q/atNZWl3STNy/zALUvP65eD4e3u9uvh7PL59fk0l/g5TYJQ0dZ fQuYSosrjuincoocf1zGqD9NxL4yAEkltBb69F3rJxXr7sz91cU1fF2Gu5F3SOn+t5f27Krc LQIlze/fewoxUuhltqbG8AbMS9YcTzbWIdL9w219+Kdn/tmVmq/dMAmqIFxRf2uAlhj79Kpi HqQAVmFpDOuP+n6+InVlLr/dfoVR0jPk9HqIRyW0kYyITb9ZR+M8aajTfIOqZSKgNA1DAZVR ZVcrJSiXWdJDgbV4I6qAUBkJkK/s7ZrOt4OOUbvTiZ0cylHpMCsnvV2dOHoV5kqJJcVu1hUd H96up8PVSnVM4gzRF/f5+WTsRade9HzghYOhF1764dCbyfnChy68vAtvxouRF514UW/7FjN/ cTN/eTN/Jv5OWsz9cE8LaQUrVBoOg0oyeqAMI9GQMdiJketq5UH7lrc2xPtR1Nde92DX2vkw FGYd3MS5cmBvkfrlT1VBxqvR2qDsirTWQROLbZnKHUszjX/GRF0e62Nzt4vqxWv/8PXhqWeh Nh7Ym124pXPOk4IWeENXgpv9aDE7500/vjn/kpzWHSYyvBVdVfFlW3X782z9DIxPz7TmltSs i531+tkUeRRnzHcNZYKlEk8qATOdZAwoMahg10NG5zeqDHpTB0oZQZvV3JFF8cRuh4u9BtYN fnQ7oYl36GHohyxNw20eeRGWboUYS1lm2z6W40vyimxH8b4Oj0b08ff3u+enNnys0yDD3ARw muJhglpCldwUeeDgKxUsJtROxeL81cGCWbAfTqbn5z7CeEw1BI+48IZmCWWdT5kSlMXNVgWy glaCd8hVPV+cj91WqGw6pYrMFt7aACM+QuhencMOW1BnMFFEr/pU2iQrIioaI8QmjzMCtpc1 FDMDYDoZofkca5MeGApfs46He1rbBE1PdNQNxmCxhoZ1JTB6igSBdcv8jyH9Ah9BkIvD1nUV nBVsWYxq/qTX7iQNr1ZbqsJZ3rGMKIu6ah0fPQq4Ze+pmpmFj7+mw0jeYltoQaF9ynziWEDq BBqQvaMss2BIJwv8Zt6o4fdk4PyWeYQw8k34Pj/az8+rGAUjZgUbjOnjdJQFVUQf1Q2wEAB9 eiVmyqY4qimhv7B9cTFUGUhCf8m6TYrPbj009GRyio4+/gT9Yq+ihfjJe8NArOsu9uGni+Fg SL3zhuMRd48cgCg6dQDxam1B4eg4OJ/NeF7zCXXCAcBiOh06npA1KgFayX0Iw2bKgBnTwFZh wH2oqvpiPh6OOLAMpv9vuruN1iJHs8SaGnJH54PFsJoyZDia8N8LNtnORzOhBbwYit+CfzFn vyfnPP1s4PyGpRpkBDSBQqW5tIcsJjxsVTPxe97wqjGTTvwtqn6+YPrT53PqHR1+L0acvpgs +G/qydNcuwRZMI1GuLMTyr4cDfYuNp9zDC9YtWtvDodaV2QoQPSEwKEoWODSsy45muaiOnG+ i9OiRLvGOg6ZikMrwlN2fBJKKxRVGIw7bLYfTTm6SeYTqg+w2TNDtCQPRnvRE0mOp32RO2of RhxKy3A4l4mtTwwB1uFocj4UAHNVi8BiJgHy9VF4Yi67EBiysIcGmXOAeUMDYMFUkLKwHI+o SzwEJtRdBgILlsRGwUaHGyDMofky/zxx3twM5VDKg+05s2jDF0XOooW3XWDicDA3rOYaRTsV afaFm0hLfEkPvuvBAabuiNAwfn1dFbxOVY6e20RbrBNcjqF7IAHp8YIWGNLdsHF7YFpKl/YO l1C0UlHmZTYUmQTmEof086+YiLXug8F86MHoM3yLTdSA6vYZeDgajucOOJir4cDJYjiaK+Zl ysKzoZpRKy8NQwbU/s9g5wsq9BtsPqaKixabzWWllHEPzVETWVD2Sp2GkynVqtytZtqdBNMd LjGkHqq4Mtyexe2U+M9NU1avz0/vZ/HTPb2IBeGmimHP5nfIbgr75PHyFU7mYv+dj+nmtMnC idbHJE8NXSqjafHl8KgDERr/NDQvfKdvyo0V9aikGc+4dIu/pTSqMa4xFCpmGJoEl3ykl5k6 H1DLIiw5qRI8ua1LKo6pUtGfu5u53hCPL6WyVT7p1LRLienm4ThJbFKQhoN8nXa3CZuH+9bb D9pxhM+Pj89Px34l0rM5DfE1UJCP552ucf78aRUz1dXOfBXzDqfKNp2skxarVUm6BCsl5e6O wWhdHS+OnIxZslpUxk9jQ0XQ7Bey1kxmXsEUuzUTwy+ITgczJl5Ox7MB/81lNDh4D/nvyUz8 ZjLYdLoYob9s+lhgUQGMBTDg9ZqNJpUUMafMeav57fIsZtKeaXo+nYrfc/57NhS/eWXOzwe8 tlJyHXPLvzmzAI/KokbbdYKoyYSK+a0sxZhABhqyExIKRTO6NWWz0Zj9DvbTIZeRpvMRl3cm 51QTHYHFiB189LYauHuw44OnNgb58xEPO2Dg6fR8KLFzdsK22Iweu8xOY0onRnYnhnZnsHn/ 7fHxh73q5TPYxNaMdyDWiqlkrlxbK6Meirk8UfyyhjF0l0zMUI1VSFdz9Xr4r2+Hp7sfnaHg /2IAgChSH8s0bU1MjTrLGu3sbt+fXz9GD2/vrw9/fUPDSWabaJwDCzWYnnTGk+iX27fD7ymw He7P0ufnl7N/Qbn/Pvu7q9cbqRctawWHCLYsAKC/b1f6f5p3m+4nfcLWts8/Xp/f7p5fDtaw yLm7GvC1CyHmRriFZhIa8UVwX6nJlG3l6+HM+S23do2x1Wi1D9QIziiU74jx9ARneZCNT8vn 9FIpK7fjAa2oBbw7ikntvTfSpP5rJU323Col9XpsLNGduep+KiMDHG6/vn8hQlWLvr6fVSbS 2tPDO/+yq3gyYaurBmjEpGA/HsiTICIs7Jy3EEKk9TK1+vb4cP/w/sMz2LLRmArn0aamC9sG TwCDvfcTbrYYmJGGg9jUakSXaPObf0GL8XFRb2kylZyzOy/8PWKfxmmPWTphuXjHkCSPh9u3 b6+HxwNI09+gf5zJxa5mLTRzIS4CJ2LeJJ55k3jmTaHm57S8FpFzxqL8KjPbz9gdxw7nxUzP C/Y+QAlswhCCT/5KVTaL1L4P986+lnYivyYZs33vxKehGWC/N8wHA0WPm5OJyPLw+cu7b/n8 BEOUbc9BtMUbF/qB0zEzNYLfMP3pdWYZqQWL0aaRBRsCm+H5VPymQyYEWWNITfcQoDIO/GaR pkKMRzXlv2f0fpgeTrSVBSrEU9uSchSUA3pcNwg0bTCgjz2XcEwfQqupzXYrwat0tBjQuydO oT7lNTKkQhh9OKC5E5xX+ZMKhiPmBLasBizAVXcKk9G+6opHstrBJ51Q3yuwdsLyKlZTRIiY nxcBt0Qsyhq+O8m3hArqQGVsiRoOaV3w94QuWfXFeEwHGNq67RI1mnogPsmOMJtfdajGE+pd SQP08artpxo+CouPoIG5AM5pUgAmU2peuVXT4XxE3emFecq70iDMbivO0tmAndo1ck6RdMbe zW6gu0fmna5bLPjENtpnt5+fDu/mucIz5S/mC2oTrH/TU9LFYMEuPu1LWhascy/ofXfTBP7u E6zHw55nM+SO6yKL67jigk4WjqcjagFsl06dv19qaet0iuwRatoRscnC6Xwy7iWIASiIrMkt scrGTEzhuD9DSxO+OLyf1nz0YxBdcYWWbdldEGO0osDd14envvFCL2DyME1yz2ciPOaduqmK OqiNmT7Z1zzl6Bq0scLOfkc3H0/3cNh7OvBWbCpr6OB78NbxTqttWfvJ5iCblidyMCwnGGrc QdCitSc92tj5bqf8TbN78hPIpjoSxe3T529f4e+X57cH7SjH+Qx6F5o0pQ6xSmb/z7NgR6mX 53eQJh48OgDTEV3kIvR9x19QphN55cBM7Q1ALyHCcsK2RgSGY3ErMZXAkMkadZlKgb6nKd5m QpdTgTbNyoU1F+/NziQx5+bXwxsKYJ5FdFkOZoOM2DMss3LERWD8LddGjTmiYCulLAPqjCRK N7AfUIWwUo17FtCyiml81E1Jv10SlkNxTirTIT3ImN/i8d5gfA0v0zFPqKb8XU3/FhkZjGcE 2PhcTKFaNoOiXuHaUPjWP2WHxk05GsxIwpsyAKly5gA8+xYUq68zHo6i9RO6JnKHiRovxuy9 wWW2I+35+8MjHtJwKt8/vBkvVu4qgDIkF+SSKKjg3zpuWGjr5ZBJzyV33rZC51lU9FXVih6t 1X7BgkMgmczkXTodp4P2wEP652Qr/mN3UQt2ykT3UXzq/iQvs7UcHl/wYsw7jfWiOghg24ip vzq8b13M+eqXZA16j8sKo8bqnYU8lyzdLwYzKoUahL0xZnACmYnfZF7UsK/Qr61/U1ETbzyG 8ynzg+ZrcjcOqDkk/JBh7xASDnsR0maWZDS1ULNJwyjkHhSQ2NoPO6jwUoBgXIFQITAZmA7B 1oBWoFIdEUEZbgUxa/vJwU2ypF6hEEqy/dBBqAKChWBrEpnpkMVjiZkLfhXWDoEHEUEQTTvQ J7pAreaBQPeKAzryfJSJCKpI0bGG56Lf0dqTAVptnSPW5hSNOzmhdX/F0FY5nYM8fpCBqB28 RupEAswivoOg2xy0jPlYFdFWNJTELF6JxTaVM3BlVBzEbrq4tkl1eXb35eGFONxuV5LqkvsD C2C00UipGGmkCpDvmPknbRMcULa2y0GiDZEZ1m0PEQpz0eomGApSrSZzPGDQQls1nzrcaoKT z2ZuiiequDd5qZo1rSekPMaLCJIoJrreODmAruqYqboimtcsDoZVc8LMwiJbJrl4TZHd3eVV BuEFdzZi/HVhYNSwpn67QEKIa+p+5AenBPWGWrhYcK+Gg71E7UolUSeIJoWtAoNMtFHRhcRQ 1crBdFSU9ZXE0yCvk0sHNYuNhE1oKx9onGI0QeVUH9WUZBKPwwBDMKZPBRXdCKFkikUaV2GW OJh+WZNZ61mflcOp0zWqCNFzmgNzD3YGrBNthsMCfGlCO4T78GadbmNJxAhnxKLduBmx31Vb fB8TCOLMqD0bkW9zjX773rSByXEhsfG6tFOjHx6wyZIy0b7zyKoHcLvRoPJ+UdNFGIgi5hNC RjWKOSmyMJqLd2VI4sKfZjrQ+JgT9BibL5Ey8lCa9T79Gc2XY7MejoL+hJY4Ru/ksY8DvcGc ounWI0MT5AHzbIV84fU6R6dRTgY6FlPFu6fzo4K1bZwORXKuPE05EkQH5GrkKRpR4zQ7EvlU WKmA6h53sPMdbQPc7G3QtqYuqorFDadEd7i0FAUTqRI10HYhaKt76dYjS/aw6PWMQes+wUlk fS14cFyFcXfxZKUSWGHzwvMBzALb7Ko9RkBwu8TSK9hEeWIb++58qq1l0q3CuzJntpqtxPdl DMHtkx0I5Q3kC7XZ1nT1pNT5Xvurkw0FUa8ZzXMQdxWNBMhIbhcgya1HVo49KLpCcYpFdEtN YFpwr9yxotWo3YyDstwUeYyhquDzDji1COO0QLWoKopFMXpbd/Mz5sduWzWOM2ijegmy6whJ d2EPVYkcq0D7pnCqZjRx43zsmfVHl6g4WiOVuPPiaDrqjNWOJDx+Ic1KYFEp3RISop6J/WRd IBvdrTmX289qWu4wRJmm/HAz07PGWcW63djNkJLGPSS3R1CXDs8lwzHUBZrnbHQdfdJDTzaT wblnK9SHFHSVtrkWPa2PJcPFpCmpA3ukRIHduAWczYczgesznhVm+XYCIg56xBN9UENq63Sb oEaqjLOM394wgaTjR8NSPDwdBfkojSGLT3FInSFRqzr4od37tJLO4RXjGOu7oEejguGLWHSK rRPAgqOLk87xb7vy5lFVaMvhXk/AUUAO3Pkui4mMq3/K6xAD6qMLDSJ1hIuwqMnB0lo3xqst 1YM07K2EFqMnHSezlsqyMyQ0/BDl4CoqCjFL28qXt9b7V1FAneG0a4LIpcM99UD5QNTD5q9H PfpaJCV008/bGUbhT7aq9QnjTYLhUqGb1iWV1oMd2iM5fWpNFUQ+2j1Xixldn6uz99fbO30f K4/0il4WwQ/j2hFVXJPQR0BfVzUnCA1DhFSxrcKY+EZxaRtYeeplHNRe6qqumB23mcz1xkWa tRdVXhRWbA9a0ouZDm0vCI8qRm43ton0Ge2R/mqyddWd3nopTcBVTrR7rbKCA77QRnVI2q+X J+OWUTwYdHQ81vVV15o3+BMmYTyRikwtLYMD874YeajGe63TjlUVxzexQ7UVKPGBtXWkwPOr 4nVCD7jFyo9rMGLuwi3SrGiMXYo2zBcOo8iKMmJf2U2w2vZ8gayU34C6xIcfTR5ra+gmZyFe kJIFWtrmtuuEwLyjEjxAd86rHpINXExIinn+1MgyFp5yASyoR5w67tYc+JP4sjje2hO4WxAx OhR8633cOZAiz/cez0JbtOpZny9GNBCrAdVwQp9wEOUdhYgNXeVTFnAqV8JuUBK5QCXM7xz8 alxHzCpNMn5vB4B1QsTc6RzxfB0Jmn7uh7/zmN6qU9SkLBTsqyy21hZ52LLavfqHeS0JrcYA I2F02EsapggdSl5ug4jFWMhM8MjjKzN3QGHUwh8wkoUWt2gwiACf9GpY6BUa4irmOFWh5z4q jMX7etTQM5YFmn1QU7+LLVwWKoHhEKYuScXhtkIVVUoZy8zH/bmMe3OZyFwm/blMTuQiXqY0 dgGCRq0dJ5IiPi2jEf8l00Ih2TIMmDvuKk6gu4GyUh4QWEN2aWtxbSjM3eKRjOSHoCRPB1Cy 2wmfRN0++TP51JtYdIJmREUddLRKxN69KAd/X26LOuAsnqIRrmr+u8h19FYVVtull1LFZZBU nCRqilCgoGvqZhXU9EJ9vVJ8BligQdfKGPYjSomUD8KFYG+RphjRM0wHd65zGnub4+HBPlSy EN0C3Ecu8A7RS6RHjWUtR16L+Pq5o+lRaf39ss/dcVRbvGiCSXJtZ4lgET1tQNPXvtziFbqQ NaGjW/k8SWWvrkaiMRrAfmKNtmxykrSwp+EtyR3fmmK6wylCWw6i2Czy0bFlzVk2oW8kbSl4 m4Y6Jl5ielP4wIkL3qiaONy6KfJY9k7Paoieh2ljWqRZGufk1CMzBqNuBz19d8wjtMK+7qGv MNSwjr7H20hhkE3XvLI4Aljft5BnmbWE5TYBYSZHhxh5UG8rGsd5pbpo5BaJJJAYQE9HkjCQ fC2ifaIo7VMnS/QHJOWJtUz/xOgd+mZOyxErNljKCkDLdhVUOetBA4t2G7CuYnpCX2V1sxtK gGxUOlVYkyEQbOtipfj+aTA+fqBbGBCyg68Nps2WPfgsaXDdg8E0j5IKBamILsw+hiC9CuDk u8JoaFde1iSP4r2XksXQ3KLs4luHt3dfqAPdlRI7tAXkgtvCeNlfrJm7upbkjEsDF0uc+02a MBfiSMLpQju0w5yA1kcKLZ+EJdSNMg2Mfq+K7GO0i7T05wh/iSoW+IzBNvkiTejz+g0w0TVh G60M/7FEfylGQ7JQH2EH/Rjv8d+89tdjZdbpozirIB1DdpIFf7fRukM4mpUY4X4yPvfRkwId Pyto1YeHt+f5fLr4ffjBx7itV3O6+slCDeLJ9tv73/Mux7wW00UD4jNqrLqiX+5kX5mrzbfD t/vns799fajlQqZbhcAu09cXPrDVnY62WSkY8PWaLgsaDDdJGlUxWbUv4ipfcW+iK+6Kf9Ns AlTlWOP7Vdjoj0SesvF/bV8dL2bdRnbjAmOu67F/DaIRjbBSVEG+lttcEPkB0+8tthJMsd6H /BDeGSoRm34j0sPvMt0K2UpWTQNSFJIVccRvKfa0iM1p4OBXsBfG0jndkYph7qV0Zahqm2VB 5cCu7NTh3oNBK7B6TgdIIvIO2vbwXdOw3KDJmcCYJGQgra7vgNulVqDpYrTYUjFab5ODWOSJ z0JZYB8ubLW9WajkhmXhZVoFu2JbQZU9hUH9xDduERiqO3S/GZk+Istvy8A6oUN5dx1hJhEa OMAua+NNeNKID93h7sc8Vnpbb2Kc6QEX70LYo3hMIPxtpEoMUyQYm4zWVl1uA7WhyVvEyJhm zyafiJON3ODp/I4NrzizEr6mdiPiy8hy6Psx7wf3cqIwGJbbU0WLPu5w/hk7mEn7BC086P7G l6/y9WwzucDNYJle6CHtYYizZRxFsS/tqgrWGfpHtaISZjDutm15tM+SHFYJH2KjL8A5IEoC MnaKTK6vpQAu8/3EhWZ+SKy5lZO9QTCQHPravDaDlI4KyQCD1TsmnIyKeuMZC4YNFsAlDwpV gmzH3PPo3yh8pHhd1y6dDgOMhlPEyUniJuwnzyfHBVtWUw+sfmovQbamla1of3va1bJ5+93T 1F/kJ63/lRS0Q36Fn/WRL4G/07o++XB/+Pvr7fvhg8NoHvdk5+oIKBJciYsJC1f0tRakqx3f leQuZZZ7LV2QbcCdXnElj44t0sfp3CS3uO/CoqV57m9b0g3Vku7QTlMKPYWnSZbUfw47yTyu r4rqwi9n5lK0xxuHkfg9lr95tTU2ETyTZig5Gqqzkrf7GZxlWZxrTTFrB8dWKRwkfCna8hqt Aotrt96umySyfsn//PDP4fXp8PWP59fPH5xUWYKhvtj+bmntZ4ASl3EqO63dpwmI1wjGP20T 5aKX5XkJoUQFS2jQNipduQUYItbGCD6M0/ERfh0J+LgmAijZSUdDutNt53KKClXiJbTfxEs8 0YPrSjtKBVG9II3U4pP4KWuObes6iw0B6/rsuKNv84qFZde/mzXdCiyGmxqcffOc1hEIUH3k by6q5dRJ1H69JNetxJ0+RBUxJasgP71FMYJ7U0UZeWsM43LD76EMIIaaRX1LSEvq6/gwYdmj nKsvg0acBaO+F1fHplmny5znKg4umvIKj8QbQdqWIeQgQLESakw3QWDygqjDZCXNKwCe9+Ho fq0kta8eKltaKVoQ3I4uooAfuOUB3K1u4Muo42ugO9H9YUdZlCxD/VMk1pjvYxuCu1nk1BsG /DjuuO51EZLb+6ZmQo1KGeW8n0K9HzDKnDosEZRRL6U/t74azGe95VCHNoLSWwPqzkJQJr2U 3lpT/5mCsuihLMZ9aRa9PboY97WHOXvmNTgX7UlUgaOjmfckGI56yweS6OpAhUniz3/oh0d+ eOyHe+o+9cMzP3zuhxc99e6pyrCnLkNRmYsimTeVB9tyLAtCPEYFuQuHMRzEQx+e1/GW2r93 lKoA6cab13WVpKkvt3UQ+/EqpnaULZxArVjslY6Qb5O6p23eKtXb6gLjVTOCvsXuEHyPpj/k +rvNk5CpNlmgyTECTJrcGOFQxemKh4tMiubqkt5fMwUT4/P0cPftFQ20n1/QRwS5reb7D/5q qvhyG6u6Eas5hu1KQArPa2SrknxNEtYVyvGRye54xjBPiS1Oi2miTVNAloG4bOz2/yiLlba8 qquEbnjurtElwWOQlmw2RXHhyXPlK8eeMjyUBH7myRIHSG+yZr+ioZY6chnURLRIVYZhC0q8 Y2kCDJQym07Hs5a8QW1WHSg8h67Cl058HNOiTKg9aR+vuCXTCVKzggxQQDzFg2ugKuk1j9YL CTUHXpvKuJNesmnuh49vfz08ffz2dnh9fL4//P7l8PXl8PrB6RsYwTC/9p5es5RmWRQ1BiPw 9WzLY6XYUxyx9rN/giPYhfJJ0eHRmgUwJVDZF5W0tvHxet9hVkkEI1ALls0ygXwXp1hHMLbp bd1oOnPZM/YFOY5amPl6622ipsMohVNOzT4g5wjKMs4j8zqf+vqhLrLiuugloCcC/eZe1jDd 6+r6z9FgMj/JvI2SGmPN/zkcjCZ9nEUGTEcdnLRAO+r+WnQCf6duENc1ex3qUkCLAxi7vsxa kjgZ+OnkiqyXTx6g/AxW68bX+4LRvHrFPk7sIWY1LinweVZFFfpmzHWQBb4REqzQgDXxrX/6 jFtc5bi2/YTcxEGVkpVKq7BoIr5bxmmjq6Xfgeh1Yw9bp/LkveHrSaSpEb6IwEbKk7abqKtJ 1UFH3RUfMVDXWRbjLiV2uSML2R0rNiiPLF1Q6hM8euYQAv1o8KMN4NuUYdUk0R7mF6Xil6i2 aaxoJyMB3Zfg5a+vV4CcrzsOmVIl65+lbt/ouyw+PDze/v50vM6iTHpaqY0OX8kKkgywUv6k PD2DP7x9uR2ykvRNKRxJQUq85p1XxUHkJcAUrIJExQLFN/VT7HolOp2jlrQwTPQqqbKroMJt gApVXt6LeI9e93/OqANx/FKWpo6nOCEvoHJi/6AGYishGj2sWs8g+/piF2hY02C1KPKIvW5j 2mUKGxNq5vizxuWs2U8HCw4j0sohh/e7j/8cfrx9/I4gDLg/7okgwlpmKwaCXu2fTP3TG5hA UN7GZn3TQotgiXcZ+9HgRVKzUtstC8S5w+iKdRXYLVlfNymRMIq8uKczEO7vjMN/P7LOaOeL RzrrZqDLg/X0rr8Oq9mff4233ex+jTsKQs8agNvRB/SMfv/8P0+//bh9vP3t6/Pt/cvD029v t38fgPPh/reHp/fDZzwP/fZ2+Prw9O37b2+Pt3f//Pb+/Pj84/m325eXWxBhX3/76+XvD+YA daHv4c++3L7eH7Qbr+NBykZ+Bv4fZw9PD+jB9+F/b7n3dhxeKGmiSFbkbBsBgta0hJ2rayO9 DW450ECIM5AY0N7CW3J/3bvIFfJ42Ba+h1mqb9fp1aG6zmVoAINlcRaW1xLd06ApBiovJQKT MZrBghQWO0mqO1kf0qEEjpH4yA2lZMI6O1z6HIpSrFHHe/3x8v58dvf8ejh7fj0zB5Xj1zLM qP0alInMw8IjF4cNhGpWdKDLqi7CpNxQeVYQ3CTirvoIuqwVXTGPmJexE2KdivfWJOir/EVZ utwX1FSozQFfVF3WLMiDtSdfi7sJtE6wrLjl7oaD0H23XOvVcDTPtqmTPN+mftAtXv/P88m1 7k3o4PzSxoJxvk7yzkSs/PbX14e732G1PrvTQ/Tz6+3Llx/OyKyUM7SbyB0ecejWIg6jjQes IhU4MCy0u3g0nQ4XbQWDb+9f0Fvm3e374f4sftK1RKej//Pw/uUseHt7vnvQpOj2/dapdhhm ThlrDxZu4EwcjAYgl1xzv9PdrFonakidbLfzJ75Mdp7mbQJYRndtK5Y6cgbeUby5dVyG7ode Ld061u7QC2vlKdtNm1ZXDlZ4yiixMhLcewoBqeOqoo7O2nG76e9CVO6pt27noxZg11Ob27cv fR2VBW7lNgjK7tv7mrEzyVvvrYe3d7eEKhyP3JQadrtlr1dICYMseRGP3K41uNuTkHk9HETJ yh2o3vx7+zeLJh5s6i5uCQxO7fbGbWmVRb5BjjBzNtXBo+nMB49HLrc9ZTkgZuGBp0O3ywEe u2DmwdAeYkkdKrVL4rpi0U4tfFWa4sxe/fDyhRm7dmuAu6oD1lDL9RbOt8vE/dZwhHO/EUg7 V6vEO5IMwYlU1o6cIIvTNPGsotrMuC+Rqt2xg6j7IZmvG4ut9P/d9WAT3HiEERWkKvCMhXa9 9SynsSeXuCqZN6juy7u9Wcduf9RXhbeDLX7sKvP5nx9f0P0uE6e7HtFKa+76elM42HzijjPU 4vRgG3cmanVNW6Pq9un++fEs//b41+G1jb/kq16Qq6QJyyp3B35ULXXk0K2f4l1GDcUnBmpK WLuSExKcEj4ldR2jP6+qoMI6kamaoHQnUUtovOtgR+1E214OX390RK8QLa7oifDb2t9Sqf7r w1+vt3Acen3+9v7w5Nm5MEqKb/XQuG9N0GFVzIbRuuQ7xeOlmTl2Mrlh8ZM6Sex0DlRgc8m+ FQTxdhMDuRKfIYanWE4V37sZHlt3QqhDpp4NaHPlDu14h4fmqyTPPUcGpKptPof55y4PlOjo 7EgW5XYZJZ5IXyZhsQ9jz3ECqdaJlndxwPynrjSnm6y9L7dHDG+nGA7Ppz5Sa99IOJKVZxQe qYlHJjtSfWcOlvNoMPHnftnzqS7RlWDfmbNj2HhORJYW5/ogaPSpuvskP1NbkPcKqifJJvDc Q8n6Xem3rzTO/wTZxstUZL2jIcnWdRz6V16kWwcqfR/ddSRNiMZG1D8Ig1WMI9hLDENm5Eoo 2r+iinvGQZYW6yREP58/ozsKauwmVnu18xLL7TK1PGq77GWry4zxdLXRl6dhDN2yQgOa2HHH UV6Eao5GSTukYh6Wo8uizVvimPK8fcXz5nuu7wkw8TGVvaMuY6N4rA3FjqY9Zu/D0GF/63P5 29nf6EHt4fOTcQV/9+Vw98/D02fiLqZ7GdDlfLiDxG8fMQWwNf8cfvzxcng8vttr1ev+636X rv78IFOb+23SqU56h8O8iU8GC/oobt4LflqZE08IDoeWI7QhMNT6aEv7Cx3aZrlMcqyUthZf /dlFXusTQ8xdJ70DbZFmCas6CH9UHQVdXrMGLBM4TsEYoC9SraNgOGnlIap+VNoLJR1clAWW oR5qjk6Q64RqAoRFFTEfmBWaq+XbbBnTmNBGk4d66EAf7da2la7NISwdIIIyaMiOOzA3nTN4 2CT1tmGnDrwG+MF+epSjLA4LQry8nvMNgFAmPQu+ZgmqK/HAKTjgk3i3gHDGhEkuWoZEhw9k H/e2IyRHf3u9cVzHtBJFK4z9OH6EPCoy2hEdiVkNPVLUmMpxHO3eULhO2VS9MVKkQJmhE0NJ zgSfeLn9Jk/I7culx8xJwz7+/Q3C8nezn88cTHu+LF3eJJhNHDCg2l9HrN7A9HAIChZ8N99l +MnB+Bg+NqhZMzMaQlgCYeSlpDf0IYQQqGEi4y968Im7Xnh01EAsiBpVpEXG/a4fUdQLnPsT YIEnSEPyuZYhEYZq2D5UjI/yR4Yj1lzQAC0EX2ZeeKUIvtTePIgEoYowMSaSQVUFTAVP++mi 3kURYg9RuW7RGkGUBtdUTVDTkICqgnjoJcVGWrchTANtZ7bRB3hSqdbCXz+GIe+qi8nG80Bx j/uPifRzeCKlLQY31IBNrVPz3QnzJbWRSYsl/+VZl/OUG1V0A6ousiSkMy2tto1wBxKmN00d kEIwkgQcLEklsjLhpruuCk+UZIwFfqwi0qlFEmlPhqqmGgqrIq9dOx5ElWCaf587CB3AGpp9 Hw4FdP59OBEQOiVOPRkGsDnnHhxteZvJd09hAwENB9+HMjUebt2aAjocfR+NBFzH1XD2nW7F Cj2uplSfQqE/4YKJBgEanJcFZYJdlPm4Q2UAqmtdLD8Fa3JAQs3gfE3HFgm3JYQv/ojfysMa fXl9eHr/x4Suejy8fXZ1pLVgd9FwzwYWRDMddi41NqCo35ii/mn3wHrey3G5RT8vnSZkezpw cug4ous8gEniaBdeZ0vU5GniqgIGOtL1HIb/QGxcFsrocdmu6m1+d/368PXw+/vDoxV83zTr ncFf3c6yp+Jsi7fe3HXeqoJaaUdKXPUTviMcXhV6YaYmnqiRZU7uVMVwE6MmKHoXgkFEZ7xd qIxLL/RQkgV1yLU4GUVXBF3RXcsaloX2BSWzNqqExngMvUOWW9qPv9xTul/1tfHDXTsko8Nf 3z5/Rt2M5Ont/fUbhn6m/j0DPBLDyYXG7SFgpxdiOv9PmNM+LhMrx5+DjaOjUPc/h03jwwfR ePJhtL662VfXEVlA3V9ttqF0e6yJ4mn+iGkr/IIuDoSm9azM3P/zw264Gg4GHxjbBatFtDzR O0iFE+KyCKqIp4E/6yTfoleLOlB4V74BQbtTqNwuFVWa1z/R1VwpsWWxzSMlUfSfI7EcVUtg l8rYzq5vA0xpZH37peHDP6DRh5Vj2laE6jB1mZEFENcjEI/inHvEM3kgVcoDnNAuCo7GtM64 uGJXuBqDKagK7m+N49hdxrthL8dNXBW+KqEvQ4kbf2CqB/Ycpzh9xURETtO+ZHtz5rYnnIZR RnC566Mbtyade9seLtH33dhX6XbZslK1cYTFi4ue8HYYgXibwhIoS/sZjsphWggw1zrD2WAw 6OGUhx9G7DTgVs437HjQUV6jwsAZqUYDb6uY9ysFu1RkSWgKITYtk5IqcraI1mHgNlIdqVp6 wHINJ+e1MxTyIsu21me2Q4Q2oRNHrp8a6rvg5iLAdcO5BDCwbhB8bakleJzeom82Jiqc0chA prPi+eXtt7P0+e6fby9mM9vcPn2mglGAEeXQZxQ7UTDY2swMOREnBRred2MAlQy3eF1Uw6Bl xhnFqu4ldoZClE2X8Cs8XdWIkimW0Gwwigks+xeeW52rSxAcQHyIqHNXvUqbrP9k3p9PdaOx zANR4f4bygeeddcMTSnmaZA7HtZYO2mPap2evPlHx89wEcelWWjNvSXqRh03lH+9vTw8ob4U NOHx2/vh+wH+OLzf/fHHH/8mAZa12QVmudYiufQJUVbFzuOE1MBVcGUyyKEXGV2j2Cw5K+AM m23hwB4780VBW7j/HjuP/OxXV4YCy15xxY32bElXivkdMaiumNjzjA+t8k+mON0yA8Ezlqz1 jz7yQg3iuPQVhD2qn9btJqREB8GMwIOtWDePLfOdj/6Dj9yNce36AhYJsYjphUY4s9GiNfRP s81RhwTGq7mZdJZss0n1wLAmwnpO77nJRsROM2TRMh5Tzu5v32/PUBa6wzt7smbZfk3czbz0 gfTio12u8YWCbelmD20iEBXxuhwj0idcRftk3Xj+YRVbS6Uu5g0IAl6xzEyfcOvMKBAceGP8 YwT5QIhYeeD+BOJTIxRfHh/Cj1GaWaXFtLu0p6mqPUfxk6oe1yBw4hUWaQXeO+fhdU2NOvOi NFWqxDAxDpaaPEvQMNElb3NzHvQnbqlrkOk3fp72GC49OdHSMy2cacV2esLQLOjcE+eI5tRn TmZQjSXqR2ORvck45IucvgmR/iX7eyDeoRk3ktl6i4ce7HV1leABWbaaFGL9rKgrdmEDUnAG Qx+Ok71tYuW1l36yIMvo7iOyq3Fv194Tnax7P+9PvmzfR+2SwQzDV1huEo2rsMiIdIbubWo5 VF2CKLJykpid3RlrV2lQu80w39aOIXfgqDwo1YYeoQWhvcMQ33AJCzVatplWOkaZLR7ksAwG +ARrEsTK73CtZYdh7mNsC00vjJ6D4679AnJYxk4PLsuVg7VfTuL+HE7PT0M0s0SGPDsObd9z LZ0jR/KjzDhI9SU/dg6ZDmGx67rMGYD2gzsn0ZZQB7Bilw0nHif6r3BoMdYdUrRN/kzIYI/Q p5bYNuhXxEnfdPJIO/ID9IDmH0PGAQSODzgLUQ69Nb598e2MXFZx1xG0Iq3RQ34FAzgppDTj vIWgpybunCMCEWcF4s0VejmvWM550SyVEkc2M9Do/shqTu+n68PbO0pleFIIn//78Hr7+UAc dmDcE9K1OgyKri+9ojtGR5Gs8V73taC1Qg3eHRcVCY1wfPbP/Ezkfn6l51F/fqS4uDahnE5y 9YdpCJJUpfQBCBFzuSOkck3Igou4dWsiSLjk2LMoJ6xQeKYYq4vnVtSUlIW+gnjao8TcSO8M 9mQPB3hcCwwPfYuuYBDp/c4clYwOMTWav4jqzDuNzBEVFV4UTIV+FnRRsomDsp+jN72Z5opG HfHyLbvm42rWz1fpp9cTdPo63Mul7/JxwT2dmb1Mk3RLbZ8l+WmqJRITxt78dddt4j2uJSf6 1rxpGbcqvq2y5VLG0pKnvgBCXez7klkFpUcG2lc3mRXAMDNTv0ddcym9TU5Q9/ptvJ+O0SBW IEL2c1So8aL9+ZzoT2DppyZR0E80r4t9XZVeZKKftIp6yFTmTUeVTo+iatmm0HeuO9qxK9gr sGOPgkFf8a1DAJGzDQdwfAHVv72LvVF+owTx9fQ+3T/AtJcf7s3JDLFMO74Ua0achSCj+q44 zMcWL7dtGXi3kbh1g+wQ9+QGFCt4SOtm/6bpmEBzxT19TaHDxKAlbBFuMysk/h9xHDxBLG4D AA== --uAKRQypu60I7Lcqm--