From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-10.5 required=3.0 tests=BAYES_00, HEADER_FROM_DIFFERENT_DOMAINS,MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING, SPF_HELO_NONE,SPF_PASS,URIBL_BLOCKED,USER_AGENT_SANE_1 autolearn=ham autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id B20D3C433E2 for ; Sat, 5 Sep 2020 18:53:55 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id 70CAB2074D for ; Sat, 5 Sep 2020 18:53:55 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S1728564AbgIESxx (ORCPT ); Sat, 5 Sep 2020 14:53:53 -0400 Received: from mga18.intel.com ([134.134.136.126]:43883 "EHLO mga18.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S1728400AbgIESxu (ORCPT ); Sat, 5 Sep 2020 14:53:50 -0400 IronPort-SDR: Yfxz7Q/7aUObB6pcJBypSsm95FDEMop70DBuQWpEogjIpM2radT7QS1rMR4iMCFTSdELNhytP5 fP8yNra1LQ+g== X-IronPort-AV: E=McAfee;i="6000,8403,9735"; a="145579888" X-IronPort-AV: E=Sophos;i="5.76,395,1592895600"; d="gz'50?scan'50,208,50";a="145579888" X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from orsmga004.jf.intel.com ([10.7.209.38]) by orsmga106.jf.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 05 Sep 2020 11:53:27 -0700 IronPort-SDR: wZvMS0wotnVKty59d/XdQvLrCVjt7sX6m8bFyuY8nuHQTSaPQpqMY0rsleaKx6IwBrgYuNulsz u3WpE/dpSkkg== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.76,395,1592895600"; d="gz'50?scan'50,208,50";a="447799640" Received: from lkp-server01.sh.intel.com (HELO 4b5d6de90563) ([10.239.97.150]) by orsmga004.jf.intel.com with ESMTP; 05 Sep 2020 11:53:25 -0700 Received: from kbuild by 4b5d6de90563 with local (Exim 4.92) (envelope-from ) id 1kEdJU-00002U-CD; Sat, 05 Sep 2020 18:53:24 +0000 Date: Sun, 6 Sep 2020 02:52:23 +0800 From: kernel test robot To: Rasmus Villemoes Cc: kbuild-all@lists.01.org, linux-kernel@vger.kernel.org, Li Yang , Timur Tabi Subject: drivers/net/wan/fsl_ucc_hdlc.c:368:29: sparse: sparse: incorrect type in assignment (different base types) Message-ID: <202009060220.WUPr9DwZ%lkp@intel.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="bg08WKrSYDhXBjb5" Content-Disposition: inline User-Agent: Mutt/1.10.1 (2018-07-13) Sender: linux-kernel-owner@vger.kernel.org Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --bg08WKrSYDhXBjb5 Content-Type: text/plain; charset=us-ascii Content-Disposition: inline tree: https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git master head: 9322c47b21b9e05d7f9c037aa2c472e9f0dc7f3b commit: 5a35435ef4e6e4bd2aabd6706b146b298a9cffe5 soc: fsl: qe: remove PPC32 dependency from CONFIG_QUICC_ENGINE date: 9 months ago config: powerpc64-randconfig-s032-20200906 (attached as .config) compiler: powerpc64-linux-gcc (GCC) 9.3.0 reproduce: wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # apt-get install sparse # sparse version: v0.6.2-191-g10164920-dirty git checkout 5a35435ef4e6e4bd2aabd6706b146b298a9cffe5 # save the attached .config to linux build tree COMPILER_INSTALL_PATH=$HOME/0day COMPILER=gcc-9.3.0 make.cross C=1 CF='-fdiagnostic-prefix -D__CHECK_ENDIAN__' ARCH=powerpc64 If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot sparse warnings: (new ones prefixed by >>) drivers/net/wan/fsl_ucc_hdlc.c:305:57: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:305:57: sparse: expected void [noderef] * drivers/net/wan/fsl_ucc_hdlc.c:305:57: sparse: got restricted __be16 * drivers/net/wan/fsl_ucc_hdlc.c:307:46: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:307:46: sparse: expected void [noderef] * drivers/net/wan/fsl_ucc_hdlc.c:307:46: sparse: got restricted __be32 * drivers/net/wan/fsl_ucc_hdlc.c:316:57: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:316:57: sparse: expected void [noderef] * drivers/net/wan/fsl_ucc_hdlc.c:316:57: sparse: got restricted __be16 * drivers/net/wan/fsl_ucc_hdlc.c:318:46: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:318:46: sparse: expected void [noderef] * drivers/net/wan/fsl_ucc_hdlc.c:318:46: sparse: got restricted __be32 * >> drivers/net/wan/fsl_ucc_hdlc.c:368:29: sparse: sparse: incorrect type in assignment (different base types) @@ expected unsigned short [usertype] @@ got restricted __be16 [usertype] @@ drivers/net/wan/fsl_ucc_hdlc.c:368:29: sparse: expected unsigned short [usertype] drivers/net/wan/fsl_ucc_hdlc.c:368:29: sparse: got restricted __be16 [usertype] >> drivers/net/wan/fsl_ucc_hdlc.c:375:36: sparse: sparse: restricted __be16 degrades to integer drivers/net/wan/fsl_ucc_hdlc.c:398:12: sparse: sparse: incorrect type in assignment (different address spaces) @@ expected struct qe_bd [noderef] *bd @@ got struct qe_bd *curtx_bd @@ drivers/net/wan/fsl_ucc_hdlc.c:398:12: sparse: expected struct qe_bd [noderef] *bd drivers/net/wan/fsl_ucc_hdlc.c:398:12: sparse: got struct qe_bd *curtx_bd drivers/net/wan/fsl_ucc_hdlc.c:421:20: sparse: sparse: incorrect type in assignment (different address spaces) @@ expected struct qe_bd [noderef] *[assigned] bd @@ got struct qe_bd *tx_bd_base @@ drivers/net/wan/fsl_ucc_hdlc.c:421:20: sparse: expected struct qe_bd [noderef] *[assigned] bd drivers/net/wan/fsl_ucc_hdlc.c:421:20: sparse: got struct qe_bd *tx_bd_base >> drivers/net/wan/fsl_ucc_hdlc.c:423:16: sparse: sparse: incompatible types in comparison expression (different address spaces): drivers/net/wan/fsl_ucc_hdlc.c:423:16: sparse: struct qe_bd [noderef] * >> drivers/net/wan/fsl_ucc_hdlc.c:423:16: sparse: struct qe_bd * drivers/net/wan/fsl_ucc_hdlc.c:458:33: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:502:41: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:524:33: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:548:38: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:592:67: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:607:41: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:852:38: sparse: sparse: incorrect type in initializer (different address spaces) @@ expected struct qe_mux *qe_mux_reg @@ got struct qe_mux [noderef] * @@ drivers/net/wan/fsl_ucc_hdlc.c:855:40: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:856:40: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:859:39: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:862:37: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void const volatile [noderef] *s @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:867:38: sparse: sparse: incorrect type in initializer (different address spaces) @@ expected struct qe_mux *qe_mux_reg @@ got struct qe_mux [noderef] * @@ drivers/net/wan/fsl_ucc_hdlc.c:869:21: sparse: sparse: incorrect type in argument 1 (different address spaces) @@ expected void volatile [noderef] *d @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:871:40: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:872:40: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:874:39: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:996:57: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:998:46: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ drivers/net/wan/fsl_ucc_hdlc.c:1007:57: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be16 * @@ drivers/net/wan/fsl_ucc_hdlc.c:1009:46: sparse: sparse: incorrect type in argument 2 (different address spaces) @@ expected void [noderef] * @@ got restricted __be32 * @@ >> drivers/net/wan/fsl_ucc_hdlc.c:408:35: sparse: sparse: dereference of noderef expression >> drivers/net/wan/fsl_ucc_hdlc.c:408:35: sparse: sparse: dereference of noderef expression drivers/net/wan/fsl_ucc_hdlc.c:725:29: sparse: sparse: dereference of noderef expression drivers/net/wan/fsl_ucc_hdlc.c:816:21: sparse: sparse: dereference of noderef expression drivers/net/wan/fsl_ucc_hdlc.c:1024:29: sparse: sparse: dereference of noderef expression # https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git/commit/?id=5a35435ef4e6e4bd2aabd6706b146b298a9cffe5 git remote add linus https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git git fetch --no-tags linus master git checkout 5a35435ef4e6e4bd2aabd6706b146b298a9cffe5 vim +368 drivers/net/wan/fsl_ucc_hdlc.c c19b6d246a3562 Zhao Qiang 2016-06-06 346 c19b6d246a3562 Zhao Qiang 2016-06-06 347 static netdev_tx_t ucc_hdlc_tx(struct sk_buff *skb, struct net_device *dev) c19b6d246a3562 Zhao Qiang 2016-06-06 348 { c19b6d246a3562 Zhao Qiang 2016-06-06 349 hdlc_device *hdlc = dev_to_hdlc(dev); c19b6d246a3562 Zhao Qiang 2016-06-06 350 struct ucc_hdlc_private *priv = (struct ucc_hdlc_private *)hdlc->priv; c19b6d246a3562 Zhao Qiang 2016-06-06 351 struct qe_bd __iomem *bd; c19b6d246a3562 Zhao Qiang 2016-06-06 352 u16 bd_status; c19b6d246a3562 Zhao Qiang 2016-06-06 353 unsigned long flags; c19b6d246a3562 Zhao Qiang 2016-06-06 354 u16 *proto_head; c19b6d246a3562 Zhao Qiang 2016-06-06 355 c19b6d246a3562 Zhao Qiang 2016-06-06 356 switch (dev->type) { c19b6d246a3562 Zhao Qiang 2016-06-06 357 case ARPHRD_RAWHDLC: c19b6d246a3562 Zhao Qiang 2016-06-06 358 if (skb_headroom(skb) < HDLC_HEAD_LEN) { c19b6d246a3562 Zhao Qiang 2016-06-06 359 dev->stats.tx_dropped++; c19b6d246a3562 Zhao Qiang 2016-06-06 360 dev_kfree_skb(skb); c19b6d246a3562 Zhao Qiang 2016-06-06 361 netdev_err(dev, "No enough space for hdlc head\n"); c19b6d246a3562 Zhao Qiang 2016-06-06 362 return -ENOMEM; c19b6d246a3562 Zhao Qiang 2016-06-06 363 } c19b6d246a3562 Zhao Qiang 2016-06-06 364 c19b6d246a3562 Zhao Qiang 2016-06-06 365 skb_push(skb, HDLC_HEAD_LEN); c19b6d246a3562 Zhao Qiang 2016-06-06 366 c19b6d246a3562 Zhao Qiang 2016-06-06 367 proto_head = (u16 *)skb->data; c19b6d246a3562 Zhao Qiang 2016-06-06 @368 *proto_head = htons(DEFAULT_HDLC_HEAD); c19b6d246a3562 Zhao Qiang 2016-06-06 369 c19b6d246a3562 Zhao Qiang 2016-06-06 370 dev->stats.tx_bytes += skb->len; c19b6d246a3562 Zhao Qiang 2016-06-06 371 break; c19b6d246a3562 Zhao Qiang 2016-06-06 372 c19b6d246a3562 Zhao Qiang 2016-06-06 373 case ARPHRD_PPP: c19b6d246a3562 Zhao Qiang 2016-06-06 374 proto_head = (u16 *)skb->data; c19b6d246a3562 Zhao Qiang 2016-06-06 @375 if (*proto_head != htons(DEFAULT_PPP_HEAD)) { c19b6d246a3562 Zhao Qiang 2016-06-06 376 dev->stats.tx_dropped++; c19b6d246a3562 Zhao Qiang 2016-06-06 377 dev_kfree_skb(skb); c19b6d246a3562 Zhao Qiang 2016-06-06 378 netdev_err(dev, "Wrong ppp header\n"); c19b6d246a3562 Zhao Qiang 2016-06-06 379 return -ENOMEM; c19b6d246a3562 Zhao Qiang 2016-06-06 380 } c19b6d246a3562 Zhao Qiang 2016-06-06 381 c19b6d246a3562 Zhao Qiang 2016-06-06 382 dev->stats.tx_bytes += skb->len; c19b6d246a3562 Zhao Qiang 2016-06-06 383 break; c19b6d246a3562 Zhao Qiang 2016-06-06 384 8978ca7c8b7b07 David Gounaris 2018-09-03 385 case ARPHRD_ETHER: 8978ca7c8b7b07 David Gounaris 2018-09-03 386 dev->stats.tx_bytes += skb->len; 8978ca7c8b7b07 David Gounaris 2018-09-03 387 break; 8978ca7c8b7b07 David Gounaris 2018-09-03 388 c19b6d246a3562 Zhao Qiang 2016-06-06 389 default: c19b6d246a3562 Zhao Qiang 2016-06-06 390 dev->stats.tx_dropped++; c19b6d246a3562 Zhao Qiang 2016-06-06 391 dev_kfree_skb(skb); c19b6d246a3562 Zhao Qiang 2016-06-06 392 return -ENOMEM; c19b6d246a3562 Zhao Qiang 2016-06-06 393 } 2e7ad56aa54778 Mathias Thore 2018-11-07 394 netdev_sent_queue(dev, skb->len); c19b6d246a3562 Zhao Qiang 2016-06-06 395 spin_lock_irqsave(&priv->lock, flags); c19b6d246a3562 Zhao Qiang 2016-06-06 396 c19b6d246a3562 Zhao Qiang 2016-06-06 397 /* Start from the next BD that should be filled */ c19b6d246a3562 Zhao Qiang 2016-06-06 398 bd = priv->curtx_bd; c19b6d246a3562 Zhao Qiang 2016-06-06 399 bd_status = ioread16be(&bd->status); c19b6d246a3562 Zhao Qiang 2016-06-06 400 /* Save the skb pointer so we can free it later */ c19b6d246a3562 Zhao Qiang 2016-06-06 401 priv->tx_skbuff[priv->skb_curtx] = skb; c19b6d246a3562 Zhao Qiang 2016-06-06 402 c19b6d246a3562 Zhao Qiang 2016-06-06 403 /* Update the current skb pointer (wrapping if this was the last) */ c19b6d246a3562 Zhao Qiang 2016-06-06 404 priv->skb_curtx = c19b6d246a3562 Zhao Qiang 2016-06-06 405 (priv->skb_curtx + 1) & TX_RING_MOD_MASK(TX_BD_RING_LEN); c19b6d246a3562 Zhao Qiang 2016-06-06 406 c19b6d246a3562 Zhao Qiang 2016-06-06 407 /* copy skb data to tx buffer for sdma processing */ c19b6d246a3562 Zhao Qiang 2016-06-06 @408 memcpy(priv->tx_buffer + (be32_to_cpu(bd->buf) - priv->dma_tx_addr), c19b6d246a3562 Zhao Qiang 2016-06-06 409 skb->data, skb->len); c19b6d246a3562 Zhao Qiang 2016-06-06 410 c19b6d246a3562 Zhao Qiang 2016-06-06 411 /* set bd status and length */ c19b6d246a3562 Zhao Qiang 2016-06-06 412 bd_status = (bd_status & T_W_S) | T_R_S | T_I_S | T_L_S | T_TC_S; c19b6d246a3562 Zhao Qiang 2016-06-06 413 c19b6d246a3562 Zhao Qiang 2016-06-06 414 iowrite16be(skb->len, &bd->length); 02bb56ddc67116 Zhao Qiang 2017-03-14 415 iowrite16be(bd_status, &bd->status); c19b6d246a3562 Zhao Qiang 2016-06-06 416 c19b6d246a3562 Zhao Qiang 2016-06-06 417 /* Move to next BD in the ring */ c19b6d246a3562 Zhao Qiang 2016-06-06 418 if (!(bd_status & T_W_S)) c19b6d246a3562 Zhao Qiang 2016-06-06 419 bd += 1; c19b6d246a3562 Zhao Qiang 2016-06-06 420 else c19b6d246a3562 Zhao Qiang 2016-06-06 421 bd = priv->tx_bd_base; c19b6d246a3562 Zhao Qiang 2016-06-06 422 c19b6d246a3562 Zhao Qiang 2016-06-06 @423 if (bd == priv->dirty_tx) { c19b6d246a3562 Zhao Qiang 2016-06-06 424 if (!netif_queue_stopped(dev)) c19b6d246a3562 Zhao Qiang 2016-06-06 425 netif_stop_queue(dev); c19b6d246a3562 Zhao Qiang 2016-06-06 426 } c19b6d246a3562 Zhao Qiang 2016-06-06 427 c19b6d246a3562 Zhao Qiang 2016-06-06 428 priv->curtx_bd = bd; c19b6d246a3562 Zhao Qiang 2016-06-06 429 c19b6d246a3562 Zhao Qiang 2016-06-06 430 spin_unlock_irqrestore(&priv->lock, flags); c19b6d246a3562 Zhao Qiang 2016-06-06 431 c19b6d246a3562 Zhao Qiang 2016-06-06 432 return NETDEV_TX_OK; c19b6d246a3562 Zhao Qiang 2016-06-06 433 } c19b6d246a3562 Zhao Qiang 2016-06-06 434 :::::: The code at line 368 was first introduced by commit :::::: c19b6d246a35627c3a69b2fa6bdece212b48214b drivers/net: support hdlc function for QE-UCC :::::: TO: Zhao Qiang :::::: CC: David S. Miller --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --bg08WKrSYDhXBjb5 Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICEPbU18AAy5jb25maWcAjFxbc+Q2rn7Pr+iavOzWVhLfxpk5p/xAUVQ305Iok1S37ReW x+6ZuOLLHF+ymX9/AOpGSlDPpHYTCwAhEiSBDyDVP//084K9vT49XL/e3Vzf339bfNk97p6v X3e3i89397v/XaRqUSq7EKm0v4Jwfvf49s9vX5/+u3v+erN4/+v7Xw9+eb45XKx3z4+7+wV/ evx89+UNFNw9Pf7080/wv5+B+PAVdD3/z6Jtd3ryyz3q+eXLzc3iX0vO/734+OvxrwcgzVWZ yaXj3EnjgHP2rSPBg9sIbaQqzz4eHB8c9LI5K5c96yBQsWLGMVO4pbJqUBQwZJnLUkxYW6ZL V7DLRLi6lKW0kuXySqSDoNTnbqv0eqAktcxTKwvhxIVlSS6cUdoOfLvSgqXwxkzBv5xlBht7 +yy9ye8XL7vXt6+DDfDFTpQbx/TS5bKQ9uz4CM3Z9lUVlYTXWGHs4u5l8fj0ihq61rniLO+M 8u4dRXasDu3iR+AMy20gv2Ib4dZClyJ3yytZDeIkMRUZq3PrVsrYkhXi7N2/Hp8ed//u9Zkt C8TNpdnIik8I+F9u84FeKSMvXHFei1rQ1KHJYCGtjHGFKJS+dMxaxleEoWojcpkMSlkNS314 9AZgmq8aBr6F5flIfKD6CYXVsXh5+/Ty7eV19zBM6FKUQkvuF49ZqW2wtkccl4uNyGl+IZea WZxVks1X4XwgJVUFk2VMM7KICZnSXKTtKpXlMpiSimkjUIh+YSqSepkZb/jd4+3i6fNo/ONG fpNsJobs2ByW6BqGX1oTWBknALemlXztEq1Yypmxe1vvFSuUcXWVMiu6SbN3D7vnF2re/DtV KWBmAlWlcqsr3IWFn4p+1QGxgneoVHJitTWtZJqLsE1Dzeo8n2sSvUEuV04L4y2pTdikn4LJ aPo9o4UoKgtay6gLHX2j8rq0TF/GamMpopdde66geWdTXtW/2euXvxav0J3FNXTt5fX69WVx fXPz9Pb4evf4ZbDyRmpoXdWOca+jWYT9m/0kxGyiF4QSV8Ju2URjpaRgZZAjTkwKY1NcgCsB cUsKoTc3lllDG81Ico5+wDqBM4PuSqNyv/VDdd7QmtcLQ6xcmBQHvHDw8AghCpYoNYumEQ6b j0g4UheRUCEMPs+HzRBwSgFuxYglT3Lpd2I//LjPvTNaN38E7mndLzHFw5HI9Qqc1WgH9HEO A1oG3lRm9uzoIKSjKQt2EfAPj4ZlLEu7hiiYiZGOw+PG1Obmz93tG8CZxefd9evb8+7Fk9tB EdwRuAD9h0cfAsyx1KquTDgwCFl8Sa/GfN02INkNyxm+Euk+gUqm9FJt+Tot2D5+BgvjSmha pIKIOrMT2uap2Egu9kmAktnN1o1B6GwfP6n2sn3YIgUQt0DQgx1Pt18Jvq4UTCP6YKs0PRA/ Bx5hzU8XxMDMQE/Ad3KIRCmxjrXI2WWA0WD+wXoeG+oQj+IzK0CbUTVE8gDB6XSE0YCQAOEo ouRXBYsIF1cjvho9n0SwWVUQjAAjI5DwU6N0wUoeud2xmIE/iCF7xAVINEW8zFUqHIRp5gRC 4LJDPr3SvYKUhxshy+YZPCIXFTYBp8d4gDFhGQ0Pjd8cngvAuhKWuw57ZJbCFuAmXQtx6E7g VI0hULZi5QgZNBB3GugjdxUg4sZ9lYUMcX0USBMGaG4GamS1FRdBf/ARnEVggEqFHTZyWbI8 Cxai76kn9C/0aCyjFrdZgacLQJ4M1phUrtYjDMDSjTSisxtlD9CXMK2ln5EuUUHZy8JMKS6y fk/1FsKN1+KGYSUEUzbYE8h/QKbG8i27NE7RMAIXiU+NSEP08Hbov8P3JIyvg34DDj8PX+19 mKcSOkGTSNMwafUbC/emG8Prih8enHSgrU3lq93z56fnh+vHm91C/L17BGDCIMZxhCYALQeQ EWvsI+EPqglQWdFoaSDkBNh2ayavk6nz7jwM5MTMAvBfRxsyZwm1+kBTLKaSmVeyBGZGL0WX 386LYWREoOM0bGVV/IDgiukUshJ6f9RZBil+xeDVsBwgd4d4E2w/tAWiGUjRsEYReTUrisYf QrYvM8knnhPgVCZzGkd7J+iDXDSncaWiXwAVP+1XT/X8dLN7eXl6htzj69en59cmEeglXaLU +ti40xPKnXV84U6D+NJnbVUAOwfZmJaFQigw4hcFgFIFm21F6UJ2YMYiiJul9tgGizBBs1Qp nYjWJbRmmtqgz09So46DyIuTl+BmLVPJAuR8epKERYioU95ZFAUDmFZCKJeQ6QKaDbpFCcjy 7PADLdBtmk7R4e8/IIf6DiPHAqCvQWVNZqVFgCd8EtCxvGNymdSw+PmqLteRiaU+N2fvB0AO oczJMARBqsHXfn06U1dVXGTzZFCR5WxppnwsDgDcmjK6FbbaCkiubbQygujHdH45jbmsbKsa qoY04cNQgfQGmxa3JnQPFVUBQSQDEAf7HTdeGMJ8kclPx7TLTXiPAolMhG4AEOIHI5NcjERa A2ANRKtEmHgrQJztYotYzvIk4+bsiOal+3gb4B1Ee4htg8FeqRIcbQhHq2VTUfVVMXN20nqa ++tXDC2UozFg464yRfrgzOQAlZtdT4HQNUSjZS3C2pGoWKXR1TKsH0SeFMagMtRlcQkWAJJk SWlFQfApgBsvYMabZd0VShbZ8+7/3naPN98WLzfX901tJEr/IWScx4MZCglE606xvL3fLW6f 7/7ePfcVeWiA5KDgjuWFEfbsaG6pNi5nACY0FXNDqUKU9awKK9T32q9YbluI2cyx2gpd8b7D i9SPI0q552VC+zTDDSihWYYOn0M/KqnINQM7zmnLySmYLMYQSj19xeOQADKtrtzhwcGoanj0 /oB8LbCOD2ZZoOeASqKuzoATlBSYXYHnraf1oyGPwmoKuFfEFMyGrmalbJXXyzj+ej/iwQd6 EMTLAnDziC9Kv3HbGnyr53syGv4KgfdaXIio8OMJEEFyao9BRxAnscjpBUR/YBJEYA1IwKW1 j/RDaUmfO1/RgoBPFwnquEISOVcwBbfdgApITPPRkCE8WWC3Qx/7Zl9wp9gyz8WS5V18cBuW 1+Ls4J/3t7vr20+73eeD5p/Q3ZysPXwceXGPKMe1r/aIqiWf9H4PkkA7EfbQckz0pwi+toZO XAGw1Vg3GxVFTDFT2ClS9JvoR6nktGUHpQ3ol2bOMkDmgFODQ6s2AAoqiQqiY2CTAhZ+2uBo G5+YISsXooqFkdLCwyEMFL7e43l0IbhwW7bGpbgmk/lipG2+RrU9d97tOZEBtJeYNe1NTQRH 0EF6rpGP8n4reXsJnFYUNPOEdoBhkx67qRKr4gBSmsPCEDPB+lNZBqAR1u/NQfzPsPH9ESPo 0PvEqtWlgfxmEOwFoop/jYe4dPm8y2uun2/+vHvd3WDh9pfb3VcYG2SsU//dOA2u9NhLjWge GKsm0QpFx6DrD3A/EGGT0ES95S4NepQsdluqsmMl/mXDeqhLGO+yxCIkx9OLkZepjfAnvlaW LolPZdda0MolDA6zAWCOT0gnQ2qoc5rmut+qcYBcs1EhzvOzuuQe1wqtFSQL5R+CxzvZi0X1 r+EE12tcAeKbomhEZR6FNE5wjKiZQd9mZXbZFVhH6k2Bjr49WB+PClG0Y2Xa5C7tfDhWjTvZ 1ndCUlBcIUaFtQP0Jr6ebcEUbfGVUhJD+YGOlam2Y20UnNiNWp5Nh3ntmqCK5YxZZolXHiBY yfa8NZTCdHwJ4EToNijJq3FA7Ka0OZXhRXXBV2MQsRVs3WEQMPB5LfVYzZbBrpA++OGBdnc3 ghBqM90fklV5GshT1mudL+KVKKlrsmY0PO4nP3kBLGkOi2J2d2gbZsJh28Hfx82M1Yos8/gu wN8+wuPuWEdH/55Nn8t+RwL31dh5AKTyR/+zemADdQhIcCxZBQUQldY5+AX0WuALfXWW0C8u IE0G7+CvOKANiH3sm/viXLTShvmKKif7yi5BRYVoHZRL5pSEIqNqClfVZQfIbD7euF5NuYFU EzxpwOQ55s4IeLbgGQIGrlIjl6YG05aBd2t70LLZyJe23OMj6KGfO8KcGHydVW3CPhzLgFcK y7lmGnO52vzy6fpld7v4q4EgX5+fPt+NE18Ua4HAvsK5F2sDrWNxOW7fm3oMAXkJREK8t8T5 2bsv//lPfAUKb581MoFVY2I7Kr74ev/25S5GToOk45dNBSjHxUrfsgikIVFAG8L/NayI70nj uobNXo9PTntDBJ0b13S/g336TAoSGzwWCkO3PzAxBZr9cLRjx1u4TRFyxaIzopZZl8ggRxlE 1zk+ajCa97fb4iOuiaSkoXXLxinSEKapQ6ZGAgvyW1dIY5pbJu2psZOFL60NI69LcFuwwS6L ROUTi5jmmkUOsKQOom+COyh8XEP2ZCR4vvO4LNUdCSdmSRKjy23D+bEVSw3rb8rCzC2NyV1i 5oOhjnnbJCqFtSRXnJPGbV6CVcCMsqwfJdZCKzaUgK6fX+9wDS7st6+78NypS9awtohnzSFq AxxeBuncHMNxyOPLyHONJYQw6oIczFhScmpMYymWZmbf+3xeB4H7h16ppeFypnfyYhAkJZTJ viPBCggKtEwnASmXpAxdME6STaoMxcB7Vqk06w5mDvtRljASUyf7u4pXo8Aa7uLD6d4O16AN gqOIXtYFrbSguoZkv2gD6LUkBw35tw7NHmGyutzbsTXTBaOUiox8F54mnH6g3xVsWMpkXc1y tLMi1zM5pcC9WZx7sBee0yPZFy6am69quAEVbFVoJ1VT/MHLGvGl64C5vkzAvzz09a6WnGTn YTyPXzK4AyxJBTvdlIehD27uegO2hDiJcWYCDvszEWYBG3Kni+CGro95TWPYdmpbhn5Qb40o 5pjemDO84dy/kGob+Onxc3vhqjOz+Gd38/Z6/el+52/pL/wJ+2tg8ESWWWER607QHMWCh7hw 0QoZrmUV+/eGAVGPdlCoBtNIcsHNdduPqdg9PD1/WxTXj9dfdg9k2WVvYbWrqYI/r1nkQYaC asOjLr40jWNtkG6lvi4N7cJL6726DfwLsfy4TttkM6JoNlKjhY0z64wZ65ZhyPerYY2Fxa5t +NIcMHhlm62Jx9AnodFhZvmMXyHui3vMztJUOzs+aU4ArMe3ttamILR2F/39+MFFe3VnJwcf T4NuQTZecgZbmLI4pKS2rTsFfou+dniFgoSSq0qpHPzFIJjU1EWKq+MMUpzBsVx5sKp42LQ7 ZIQBVfSliK6VL81NS0jN6XBbIxvYvqrkSxvTBLs57t1M8vdKaMyn568UL/GCoyj5qmB6vS8v qqxo0mkWpUTzm63TUIr+Fne5e/3v0/NfkC5RRWFYeWtB3SXGSBs5YPCfPCpxe1oqGQ3EbU6P /SLTha9a0QczwmLNlOjPRVrhke9ahNg8IPqeBMGnscBwJFQ11/3wgwLyzSDQAVGnFcQL6rQU hKoyXB3+2aUrXo1ehmQ8UaaPEloBzTTNRzPISu5jLhEEiaK+ILrZSDhbl2WMxswlYGZIVeTM 7dim4cbKWW6m6n284bX0C3BaHFvN8yALm2fKanwOEnL74YZEvypikuVVR47V12k1v569hGbb 70ggF+YFa3Z0vo9vhz+X/Wqj7m91MrxOwjpa57Y7/tm7m7dPdzfvYu1F+n6UHferbnMaL9PN abvW8QMQ+sK1F2ou/ho80UhnMnwc/em+qT3dO7enxOTGfShkdTrPHa3ZkGWknYwaaO5UU7b3 7BLSC+5Dv72sxKR1s9L2dBU9TYXHERiTZnaCF/TWn+cbsTx1+fZ77/NiEEzIT5aExU8dsY6N 0WZYTLhaK1vhB5jGyOwy4vgmADR83RACWVGNLvOCTFMRpwsF1R4muIiU81nHaPiM09QzXz2A qamBAyQMAQI8wlBnXCoyc1bSM4HMRB+dfjgh2fmRpVySsdUQjZbg6IenRMt0KcbPTi4LGH2p 1NjWLX8DPWyPIWiE05y0oBMybDRXSCJaeJUfDo4Oz4feDDS33OgorgWsYqOpUaeCY+B9iJ9b JxNkVXkE3uDxiK5O5OtQ18YBustFTJZVmlahNk8AVM9J0Hlx9H5om7MqiVDkSpWCxgenudpW jILoUgiBRnl/EnSqp7kyb//wnx/AdipteNc3kGyQTNgf2NINbybI+wS7A3rnb7u3HcC839r0 Ovo+r5V2PDmPXQASVzYZrxdPzsyMR/HsZoONiJWWakr1DvGceoee+dSp45uMvtU98Knb8x3X ivN82hubZFMb8MRMieDkpkTL6EECIkupIaZmBuZ3AvDfMHnt22lNqSvO8fX7jbJOvivDV/TV yY5/np1Ph8jbpH2iLTtvePtfyda0hx207OnQapVRb67kvlH4C2sPxJxMr9s0WdH99cvL3ee7 m+4nEIJ2PB+tECDg4UmI0Dqy5bJM/cc40auR5X3h3IZGgWwbGx5p9fHRQGwJo7sCHXUa5f1b zaaaqkXq6VQ4A183thrSp98ujq1RZbS20Pl39AKvKUbf8PgEoIhvLw609kx4uCkfsHgxGl1L L5NLK8az0PLAWDNDaQUKYSdxtGXhkfv+xpyVMqWMyPhcS78FZKbCd6ac+vglLQ1+vqjwtyAC HAEemfnzlPC9A7X7c0Od3QRS4eF8QE9ZXEscOCUVJgJ+0X7GT7UlrnnPCM0o8F/j0Sh0EMLi zQg0DQcplSg3Zistp5OXTVthoGctl+V6kk4W1Uz+i9NcGuqHLFZGTxyV7xPAnllV+THsIoP5 2z6pkhsqOdLh3QOd+Q/kw/z5Iv6suf3y1qcNc8ElkGnSCirD8oAQv982ly7+IDE5Dx+aL/Ni T4C+pP01lbi8tXjdvbyOLh/4rq7t3G8DeFisFSTMqpRWjZKVttQ2UT9ihGW1QfWKFZqlkrww z8pwnuERqwq0oEt4MRZezsn+cfjx+CNINxYApJru/r67ie/cB+IbToJZz7poOhmQTD4hwYqL CZzlHG/DYKkgLJMiL8vFVOlSE8bgbtSxmMt//52+TI9cmUn8L/mRJvILN+mDJwFMYBa/eR53 pjD7OmP+YDP39z1XZf6W1ANBdNyEM1UbcPL4QeXn65vwjBxbfMC0BwRiPaIwBNGkSDyaLBkv O9PN9YbhFcKJsoInrKVGyiq8qjevru6mtPtUYzq8WF/7VZH/TQH6x1CItdz7iDiq4LejIp0p SYC3pJCip6dmpKe7sks3GH40p7lvff+2e316ev1zcdt087bfckMbvLMRejfrN3f4vOIysWjx uCsdubmd3lwBo7vVSyZxoT5kFXY9Z55eRlvqkK2TMKlPfkYta0b+IknbiBdHB8cXRJ8q2EH0 BYhWIKOXWsNNbX5IDfSYxCMNM68FZzo4TWroG/h/NBuF3uQTgmsHP1CZXR2vR+YAGxva+TdM tFW4R2bXT1CDyiBk6mrmWknm1pw65sskTGZ8j2sr8ZqtiSN7tsQqw+E0G+oYj7vd7cvi9Wnx aQedxiPgWzz+XbT1icPgrkBLQbCOR1gr/z2JvxZ8MPQBP1R9iB5bP9D8clZ/MVNnaxkChOZ5 smFbsiyrmlqELRu/DIvRxMdq/DxckIhgwkfiF0d6Hyaz0HPKbIpWPRX0jEBayB17WlGtAOdQ S7/Mwnwz4wA+lxJLSg8hsYTlPCa4fuEFdFj3k3kvd9f/z9mzNDeO43zfX+HT1kzV9teWbNny YQ4yJdvq6BVRfqQvrnTimU5NXpWkd6b//RIkJREUaE99h34YgPgESQAEwLfR6uH0CJH+T08/ nrU+PPpFfPGr5lIkUUBJPKV4EDDgmAERc6hBq7gaAI6pzzCwKoLJBPdXgo5qoxyAoYAB2Cd7 Lhe0tb0QBPTGJjvcDIdZwYb9KA4VMScKOKTmk9W+LgISqKkNQfQfTVZbUsUj8F7FjJ+uEmSP JW4cNCqGsG9wCjBu0OtS8GtmWkdWUZqVu4G7fNLL9JJ5BhJq20Ymt+je44HlLI3s39IN9cjS 7hyu2Ke727f70be3h/s/JH/24UEPd7qaUWn7pWyVo/MmySqzxQh8rMRGb4SViZXc5BV2BWxh Qp/YFo5IriYq4gjcvEl0Vas6V2mdSy83mdRxsDxXD29Pf92+nUaPL7f3Miy4Hfa9HBOzFx1I OjHEkBHLcBySEXhtbUb3+q9kfEk3NF1LSQIx7SpejzqKug9a11yruIGnScfgdne7cyOScXO7 zmvJLFG595pYcsS19FmnO8dlVSee1o57PEUAsqIu5qgCYKlrXSCK+E3BWlIZL2VcE/WZMqS0 p9D96ZeskW+K+o23PA0DD7QhoZkEUsM4Y4bg3xJOjP0oziFSVPCHZJ6VyVyAWiUFS7q0S9gv fbjouvjE/gxpl0YK2xLETS63HElHBnV3ZJZie5JuN/3YFWaQHPwSQnydRsjBSIJzyBAnUeSE qk/TekUQmSTb5YGoIW8o4TxujCkqkR27XIEjTeNQNgQWfNkaFJ8lgMpbiURdlcsvCAC+XSiC UMAQK5Qr7S/U/85jk39KCNkRfd0JHlB+dmbzYaPPIspnR8XLQEoOHbEmnYpx7g4XQBCjm7kO Kk2kRGUGBd/KHJ7IJ6vHqtPjTAnRIQznixn1ueeHZOYbjS5K2W7bK38AOBZbIZCKH4aVJK7L 3Koyjelz4msdUaJWW3hWluZtswGVvn4qx2do41l9UzWl/rZXZzQ2rpf0RV3XoyWplWqsaPBw ECAASjXGm1E4qTVYTokwSmDUY/GOTMUltA7gx2Mijuv+SlsK9Xq8e7tuB5WxGWd7Z/VeScm7 PBnxLntJK04JqBVHLEHKJwSkCAxfRUux5XMbagqEAFBh8haVAqr5NjUWA7eiVUaTpLF9MVrB 0uyfcvJ9eL8jdu6k4GXNhbrCJ9lu7Bu6dRQHfiB0u8qMODaAnSzb7pPbPL+BzYm6Zmd8MfH5 dIxUfnH6ZCXf1pDBqB7YkNoaq5gvhFoamTJqyjN/MR5PbIhv6Clt1xqBCYJxT9oilhtvPic+ kDUuxsjkscnZbBJQd04x92ahb9JyeoUfIOOXOHbiVWKGV4KzUt1ww1+z2lWQ1wgpoT5sUQMu ThIhZeRUFh6FEUvKp7Y8jYWUFuymHwANFhr9LJwH5txqzGLCDjN3eWncHMPFpkr4YVBokggl cmpKGVbjVSbo09+376P0+f3j7ceTzFj3/l1Ij/ejj7fb53egGz0+PJ9G94KZH17hv2auaCGi m8LH/6MwallgDS8CF54IlIGqTzr+/HF6HOUpG/179HZ6lCn83+29ZSd28FY66kHk4j1XnjEn bEMdpR1DHVtzZOt4bG4ASLUTR5WxkOKkNW9Xj6fb95MoXKh5L3dyDKV2+vnh/gR//u/t/UOa kr6fHl8/Pzz//jISqiscfNIIhowMXfhbLFa72OmpTUKg1ujaVUGOFjmBrqg7MqNKxqlzERDn D0ZBIQp3OPnFiczJfExLRhpdgQASJx97azOMzd33h1dB1c7o528//vj94W9zU25rN242VP5j OOm0mXHAXzJEMS8NzbuOUhicpjY2TqDCv3C+LwmxGi2r1fWNPn6+nka/iCXz539GH7evp/+M WPxJrONfh83nZrTzplYwInySI+20hx6FPh2XZOKrtjTzhqaFmQ4IsjtM5kJp8ymamKxcr103 y5IArpCU1jfYe+WoNO1O8m5NBK9SPfRPVpErphDuSlP594AIFQ+vaOjibXiWLsU/g3rVJ/RC 6gggCBsScjjrrSujW23ibWsk/oWHeN++qNCvHImxRBeEk/ncpHPAoB/bFd8wMmWnHF395AIe lqqKBuWkuSNFqER+TatjUlUeddr1FBzsFKyp7RVlRSwCTNumn8jBcRnJok3kBb5xmGp438te 41CYa8HSKXWDovH8Jg8mLDDtuarJ9qKJN8c6jtiA7LgR3d4PwUlO0EbZNjKPIGoDM6R/ZANS 6VOXJaSdgIwz1EQAUSVtR/q1m+ePt5dHiE0c/fXw8V3QP3/iq9XoWRxb/z3195jGYoUiog1L zc22bQKAWbJDvCOB12WdUmKuTMy1WnUbp6j6zm7T3Y/3j5enkUzzbrQHdXyZW1ng1XGclp9e nh9/2uWacdkwIC0vGdoogHX/BsW21p7fbx8fv93e/Tn6PHo8/XF793N4FZrHw907Ryd2Hh8h 1jOipkvg4EgyWE9DvCFkbBUKwGlAxxAIdKeguQikxyBl5li2BvH+AJKQMxnANIHWXfg5ylYB p7carcMxVyL7HPyemhKS5EmTo8OLSd+/mzqFccYXugbUxbKIaTd0qcQZRuZrmborGbg3NUlE 90m0GTzNaYmpcqJ2BxcG7Ko7enzWpPO+aAFPTBU8aUACKLOEgh3jmyLKLTdQ7NkkXZFK+cgG 5ALJMmxOb7aUL46AHndy7OVTSOalzY6ybyAjXpHBYx7mrlOzgoz0g/gGzRyGkg5AOZFWDMXA WtDKTvLmc8hj6qLnQShQD99+gBbCxRZ2930UGQlLjF2i2+f/6Scdm0ESKjwCYuSU+HecsDIf nIziDJtPB+eigIYL+/5XFxNlEavTJiFfotJaXcMxj3Tf5tFXM4oXoQZ3Xccih4fRXO0QS6po UloQM+lqh/XHINmKc5HSpAwa9RKTOYLLqTFwS5bDAkP3qyqDuW1wGBbNojgpWEIOC4t26Tan UZDNrTCEnXUCiSZ6JuhvL9Avo4jkK35rS/0+FhU4JheRKFBl7nFwFIT0w2MCRtMhv2J1bZnO AXhYQzoNDF+nUbGKBg7+benbL2nDt+fHbl2Wa/siV6M222ifpCQqDf3gcKBR2EXJwORRvUvw wwn5Lo/PhBi0H4qvoqJ0Bam2VCmrsXh/xcMw8I45/aQW/rLEE2ljeZLTI1FEjcZRLRf/rcui zN0RCy0hZTcw8OFkMR4s7+iAGEtDK4aggpvxk0lGqVVScEiDdql1cPjCw07n21gLPgeFjxqm GryfaxLFo5xv0Wtzh/Uy0bZ3qjU8sXNgEzSQCmYl/rjiOlq6nLPBCPKcLTy2wLZUQbjwPNrN DFXM0rKwHPtJwkYy1kWym6KsxEZ4iW53eSPfp1/ps9ugUbbhfkS0rTg6pBCSgPhIo4Q40iRW 1ChV+yGtWUlxEOwA2nXTkBwBCFZKC8JyuOjMI4bFckClzTIiRcm2LEi5bgr4PVR5dQ1K1Ei4 Bq8TR6YwRKgjdg9k2L8k1ccRbsUmBYOBHl8TYV1sSJjgQwaCtXMoS9YkpWF4qDY3EF5gcDkA DL8nvheQ/mcGb0DW6XoNrgUSoa5t0nQkfjq9U/kKXfnBC5LwOSXjCDUICu71Wy2JWFB1gbrE UMEB88PhMACGcw38aQCVxGx1txVEMDVLhRQRWTAlIGhgf78k5lB/TztzVOEk9H1H7wHbsNDz cF3yo2k4qAvAs/n5umYLR12rFN4YQPWkrMq23ILBkX087KMbDM84CEPe2PMYHu/s0NgN1Ye7 oyEt1huvcUnq0MbVdsexC9x4BAZOYVy2SvAdZRb0IAr4Eomt3GKiqAnHEwt23ZVquLyAjH6F 6fTRZwHFmdd14wkfJc4JFRKvNz5Q6iQoBoKfU2bN3k7oEpwnGKg357VYtX69Rnp4lZmCTlXh H5CEDZyrkNmmAs9V8BOhH7QY5rUFWF5VhhIjIbA/WntRVZUoBBgACS5Imr1xSdL9qWmMweao VzzboLMKsJ3PmCNYWNJAlK3raSfI/gJ5nOB/tAEI/N5VQNBAg+1o9pZ9QW6u+4c8Ooi/306P p/f30fLt5fb+G7wGSnjJKk/n1J+Ox/nQ81QrvhcLbEdqbz45tIkzY6DhF4QVDSHYMUJCWcpZ acFWtQUAZjMWgoQd/IDauYTGs4UEyKhF4keKf8nXijovFIjEl9qbWYuoAFiIMvH3UfOt6QJL nEIqcfgjg1NE755qXCjElA2m2CGnHPHzWC2zqwEbpM+vPz6cF2vSM93ctcVP5cX+hGGrFThY SQd98w5T4sB+5ArzUxQqt9lVTiY+UCR5BDkOr5QfoWz59v309gj8RUUg6Y9KSIFq+jFhODgV bw9OLBcHRVIcD795Y396nubmt/ksxCRfyhui6mSH4s9a4FKGexsz4nIxVh9cJTfLUrka96qn hgk5iJaMDYIqCHw6HA0TheE/IVoQs9aTNFdLup3X4px3PD6DaByBcwaN780u0MQ63LWehcF5 yuzqyuEx1pE4n+pBFJLvHRt/R9iwaDb16K3dJAqn3oWpUAvkQt/ycOJPLtNMLtCIHXA+CRYX iBh9GvUEVe353nmaItk3jtSrHQ3EQYOV/0J1vCn30T6iZaCealtcnH+hRzv8Ivo2iY2KTrtj zOpELJ0LM9bk/rEpt2xjJZcjKPfZdDy5sAwOzcXOsagCQfXC1DWQfpW8bDX2RySsA0Dstz5Z sMKecW5WBCqLDgzHGSKhhAWLOeX6pfDsJpJX4giYQIYg5JCO4WdxPFdmA6shOy60xoi2kSgK eyPBo3FTRJWUvVXd9mB1aFcoUHcMQf4zKrZBEchcXygeVUGkaBmxhEVkqjeDJq2EdtKPqIFa NwwZOQzUJir2tPHEILpaih9kyVWyjviWD3CKh4SMKUTvKZKTVGeBedSZfWbM7DyzrXaVp1N1 n/iEQMhJTkIER1iQlemw2UJka0uL0o+1C55N73kDiG9DJuh5Nw2jNyKFDM4i0VkppZPN7du9 DGpJP5cj2/tHO6/rn4QXvUUhfx7TcDz1baD4W7vX9+qQRAhR8Yp02VboLF2KTWb4GZ2rQOG0 5nqo+FF9i7D6fgwwTxgjQKBf2mDR7yPZBqEquDZARaDEBk652m6toVtHeSIHyIwS0bBjwYVU RhTSEWRT04mJmtPeH5PQD5Ru+P327fZOiN5Dz+qmQYaanStP6yI8Vs0N2jqVX64EO+ZLrG71 wlcRo2C7Qmluxu81N27h1COtOqHbE4ZyMBAY2j7Tr25udkfIw8M2+EZTEshAFhVElQAdmXEM oiWQzSCTCRohRsp+i0KoBUKDoi1uye7Kwikfm9Pbw+0jYRdVoySbyFDydoUI/WBsc6cGi7qq Wmz5jXwOobHfvSA+WIEp74qsQ3ofluZVH0Ji/zYDkycyjcOFiotaxrhCSmoCW8NbP3nSkZAV JYcmKWL6EWyDLOIVvCS808G41KjtsXnbHB+e0Yh47+p/3fhhSF09aqJyNXCPLV6eP8G3glry hPRXHfrJqu+hH1naDOelRTgnriPoBt+zKHAEiQF0lsnTFTx4b4/rdUIMD2esONB+HB2FN0v5 /HBm+PSG/qWJ1uSMavwlHGhB6skVmwFNomW0jWt4f8zzhMo9tij1ySMOHjvQuy2qpvYVjZQP I1aOLyUyLSCBjSMUvJucIjnIkNR0nTKxL9VEabAkv3oTS3duXRvxPmSVn7OmtpMYaJR8KwYJ cU330DUB01kWjLAqCSevvqpKGV96y9+OHbfxkr5T045ImkUdDlx5CkJrnDnTw+ZLfakorzfq lThaiJZt9vohMMNS2YJk2lpxzkOwIoG1HWnlW1y/dVHkMjzwzn0sgwlb2kGYMeQQsQ7Zxqbj MXI87OFTWqkUUrQ/pZZZWqFkHe2zH67mdQIs5KiWNlFDOYsOCp7s+G9+0EXbiXlYs03CrtSA IRWDiT+VIwgpu0Hs1kIgtNXM/TNsYy8Y63mqt1y+PkdLzyYR+HOrEPKh8dVnhM3V1DRBvZQq t1jJKC4UEOppDWoGAAmvNCJTowDm0tqpLld/PH48vD6e/hbdhHaw7w+vVDQVfBbVSyWbyryH SbGm+FqXb630HpojS6sGZw2bTsYzu2eAqli0CKa0fQjT/H2mNXWyHtaaZwdWZbHJnmeHA1es kwaAEOeouDUIdJMcPf7x8vbw8f3pHc2zOCnW5dJK863BFVs5SldY5Fxu1dHV20n2EIzeT27P fD/fP05Po28Qqq5279EvTy/vH48/R6enb6f7+9P96LOm+iREDIjf+dXmDgYryGkTBYo44em6 kJkhKFdsROuwicipqxhm51Ka/TBMjAyZFk3OS5pbT1wZSO2H8tS+dSPW/7M41QTqs5hPMUK3 97evclMY2OShi2kJ5qAtdp+QmKygFDrZVh1Dbn3RRmVnoDs7Pq3LZdmstl+/HkshQGEWb6KS H4U6gQemSYubo5WmC+C7tIJYg6geRgqXH9/VctAjYPAJ7v2Kp+YG6mQ9azqaLSXkS1QW7RK7 pRKogyrP8BqoZE6/8p4EltEFkqX9DLvRPzuGA+WeYJDoVEB0lkvjAnGPwf1pWtGB3FyIHZQQ YYaybWTUUH9IKEMNT61AiR78+AAhof0UQgFwXpiX4EgrFz+Hrv5K6qh4W97wKIPPhMQBDqlX 6qD+SaCkTkxi+hwLqCUaa+8UXXv+kG9Lfry8Dfa8qqlEa1/u/kQnXSuhDJBGtWkBYixlUxGt QMqfBsiXliAsQ6f9DPr36oX+hg/J9pO0vsY+oYoNNXFv54GjeJA+1kTqhCy4BnVtM+4lAPUa z9Pt66vY5uVoDha3/G4+PRyszCwSrvScnrmViKA9ozE03lsZ6yV01cA/Y49KRWn2o9/Q7VFY 147zQmI32T4efJIvw5lQEMnVpgiS4qvnz12F8iiPgtgXLFEut1Y3xaQw0xFOAm0HRzV2EJjD NuaueWY+ugNbQk9/v94+3w/nSV/ZDnqs4Y78BJqkqKxmr/fHyny9ymChMcVYvt1FDdU5W3CT pOA2OTMJQvwJg3Oz1FQp80Nv7NygraFSLL+Kh0NIDJbv5MioTr+Whc30cbQYB77VfwkMbEoh DVigrArnwSwYrI7BNSPqvro9tKpsKj4LFp49P811fghnVrX6wtCC7vNw4h2GwMUCJTAgBlI5 ZfDlpQGm5Y2uZKIEzFXy4fm+gXuUVmPvgRI/OBW8T389aFEkvxXCrLl0xCdtLmruT02fd4wJ fRrj7XOrBRrl2Jl6Ar5GUhPRSLPx/PH2vyfcbiUQgfeR3QSF4ZbV2MZDt8YB6paBCMkyFQp8 EmM7ZRpN7E0uNmHmrMm/9HE4DkxeMD41WRsjbI4xULTjA6ahblZMimB8oGueh2N6rOehRyPC ZDw19wWM8+bkCsL80olV8JjBMdphuU4CIUcWpWcoLDxQmhl+nibUDveuwJ9ZvpowyA0XxQwS 2wu+N8pSu418Ot58klKDK/3+Qm/bgUR0Ekq0FgRYcCCHDXw8M+Jqda3wgEG4mAb4kQCJgSmY jYdf2HOG4J45MQhDqXstQZasS6GbTaiP+ZIS6Np+cfOhFxXqZQHbcpbXPjismyNnoUDHOdPI lmoTX1OFqNPuzPeCwMNXTN3kHCrflTi5naYBiSZQiCFXAFxIN6ttkh3X0ZY0TrWFC5nEm4+n xJxqjE91WOJ8j2pUS6JPU0EaIwtA23EhzwiudOwvbSH1IfDOcEDKK2hi3/YWIdoXLkwHgxbR n/GDBoHUQQq5LYEdoNJXJlmP7EhXeDOZne0KjOg0mM8NlVlj4qSRGRIVySyYUWwEouV8tqBH syUSXDz1AmrSEIV54psIP5hT3QfUfEI5EBsUQjQjSuX5cjIluiylNt+bU3uCZGgwk/oLhy20 Y54mGE+o47Ktpm7E7hdQfdoy7o3H1Ire7HNTn5E/hQQX2yBtdVHKq7qRVHkniMtpnWksnk89 IxoXwUN8BdVicm/sU1yFKQKqUEDMXIiFs7rJheoWQnqhSm3mB8+BmLoRngMx8x2I+ZhuOaAo Fu0o+ITM/sbZfOZTrZA34GRdzaGi+bKliPmMVKd6vEfWqU6Zo7WfIiztytuSrObBZB7Q/guK ImfeZB5OdB0Wcp0FXshzEuGPSYQQIiIS7FNdUCYQR+qHlmiTbmaew62zpUnB1AHL8CzVFzZ1 +R4pAnGw1p7v8Avvs8IVSUQesR2F3KuIRagQcycC+9Eh5IJgVrg68gKCcQDhe3QDpr5PrCWJ mAbUJEnU7Bz/KgqP+hiOsNl4dm4lShKP3IAkakZpGybFghhQyGJIrimJkK/tUIgpMTQSERDD LxGOyifenJqxnFWTsU+OVMNmDk/E7uOkWPneMmf/gNWzfEYdhD16PiHnOj+7aQr03PEZ7Zvf E4QXFpXQd85WHNK8mYeUANejyWUjziy6MIc4ZRAEvsOXFNE45BRMc26g/8fZsy03juv4K37a mqmdrRap+8N5kCXZVkeyNKLsOP3iykm7Z1KVxF1J+pzp/folqBsvoDJnHzrpACDFKwiAILDv 0t6oUTAlVPaETzuulqG9AFTsYM7fE0UjnvNihYXNMcZO/KbSwldORSrjjggRI2i4fFKt4T3q ZompFuvqnG42DTNHo9iz5sCVg4ah2Nb1KcYKOCJyAkQAK9qG+RCtFuluwcog4mfm4qqjXNEJ LLyUxh/tlS51I/Ihx+xbjrFM6oT+8gLsGVT0wTdcz8NEO1C1ggiVT5tTzln50lHBBX2Pq5gI m+UY3w1ChDMf0ix2HKQlgKAY4ksZEAze3FYgbpgItusIymA4YlHe5nj3L7S+FFlxORe3uDaK IiixIIJb6iB1wYNmL6xIjIwl6zoWYoIBq6ogQDvKBUBCoyyyPLKayVgY0aUTOeEtjtDttk+o g0wvwHFuxDEuXRz+Lg3RXdDtqtTysG4iqRriLIuDgmTpWBIEEbKWq8bCPgCz3KOq8Ql6Nt9G bhi6lnClEk1EcG/emSImmdlmgaA2hLVF8RIT4QQlZzMdenD0yAB9ADPRaHcx4kyUc3APAIjI 3BXwyoeZuLzKuY6/B596MJzVG8jzVCZ354r9w5FMqwN5jXkdjcjbthDPbCBYR4N8a8hOfN7W EO02b863hfoKDCPcJEXbJ+pAJxcrInK3sEbzr1wsMpi/y7JOEyNnplbO3iqEUO4ngoYAMeIH jp57gs3coRTxJLARhOt4tBOgGAd0pMOuDyWD/Lii5CsGyAKc1ei6hHdXNWPFWnHjljMwAglr WjnmmiglnD9FhF20AolAhUM+uoViI1p5zcDhQ5oayw3cOq0SucLZlJYioUeFZ+C3Hy8PIjmV LRZNtck033eASFcO00cEnLkhwaWUEU0xcxy8uRxvqI06k45GoWO4PskkXcUlTZHTVJ6hGbUr U9kUAgjxnNJRjygBz2I/JNUtlhlOVCis9dpHegu+/p5xAy+Ks7zFn0qKPsOqdjFT7oSVr9+h xsF8pL7bHOG+CZNtbBPMNWBEzqYgYMqFvuhLStzTSev6AMT6viu45k1s72m5LHVuIFm7cggB lFfVlPhrXqj2Jq+W0FHUcLUUk1ZnrK83VYAD9Hamn8XJqq/NLpjrLZalmcC3taZHR4E2pMMl AAKNPNdcYXBHEi41IYoprqdN+PiD8jEmGwpsF7iysUTARouGCp79I9SOtXl3UCHjndJcfoSo Fs0Jql/pHNI1l8gXWUZ/q6APZpv6nR/h9gKBv4kc21C0e78LSKR2heXp+LJWqYgVXhicFsIE A03lO5hQKXA3dxFfktSot2KWnKTrk788IsItZ7zl4H88PrxeL0+Xh/fX68vjw9uqd9spxqAk WB5rQaLfwc7Paf5+nUq7et8zZVi7gmskruufzh1LYU1o81g2buzZpxHuCCPbPPK6y+qgD2yT lFWC2dzhkos4vnKOiIsv3P2vR4XGsdPDI0s07IkgtrES7K5t7A3vLXrGSHjNT0uqEdccJ4Io sNY8+2yZxWJCLXf1Awln4a7kPDJeQ5uiyIhJDpnqTcoRgeMtLvnbktDQRTdoWbk+eusovqk7 qQng6Iym1lOnu32yxYOng+gw+N39RIDqxYGQxJgXltTTh/S28m1a74jWHQoV9OIBINC2zcKR nqNJDoN6h8AwKQEwvrOwGCYnPZnX1ruKS2ohiUwBbsRxKcnWataBUELUseW8aCO1uhWOZs28 OOQHNTaxeSqcb0HHUWIdjCDdt2hG9DEGj3XZJVtlQc4k8FLu0D9uZIfK4q0wk4MaJrSwv1uA C0JbfEvPNCD8R4F0D6WiVFckCZf5bhzh3Ur2/BcWJEsiGfZEmdXEUslAwacWvLiWa+uleLSe UTNYrGBefOb8jlI7UvfC9a5KFGBqkkbiWr5OZUdZDUPQhZfsfddXfShmrEXbnAkKVsaugy4I MKzTkCR4zZzJBhZnaYmIn+UhrlNqRMtDJhyDTlj3xQHoWzH4Ui/7Q8CGCsIA7/OoSyy2FYj8 KMDXkDDVe3iUKo0KveBVaWKfYn2YVBNbJ+x+ShpZZDmZJLLeXeFvUHFNZrlDaUO4lESxuQRV BV/+gKHoZtLVmxlj6igSbnP4Aqnx8OlrjlHkWOK6aVSW61WNCpUJJZrbCmvkqK6g82v6XCFE jFZNgmooKg0jFobN/CoKg+WdgCk6ErbccsnH+WiYhvP+Iyr+JSfAjTUKVYS/D59p4NaL8DWF jTumL6hY6n6wbXtVgLr2KkDD+LgK4lpOqVGZ+LgKTb5XsEKEX65Cf6ohCTrwLA3vYC9LLlY8 iKVIvYM0Ofsqjgr6Txmyr7tiU8jv+tpUj5AFrx2l9xpl0SqybQuPLdM6w1P8COxRTfHTQha5 QqQr76QPFe15V5z8XabsAQ4tbO4iA84SG6oANpkfZOt2Ab7yEM7DVWCsa/Ok+iL3Eqre1m1T HrZ9DcpHtwcuyOGf7DpOXyhPQ3l/IVOuJUF90Q7v7tRCI7hDIzQIbsdxWglr4nDAFa0yqad1 fTpnR+nKrMozyGTARcr+TfNsPX++fH28Xz1cX5EQs32pNKlEatGh8E8Vy0errLmmerQRQOiS jov7doo2gUD1FiTLWhsKVrkNVUspkSwYPkLS09BjkeUi8cA8aD3o6JWUf2YNAVGSptBLABot oumJPSbJjuZjXYWiV6CqYg9HWLLfyrurp+gOe/kRh/hYlVeU/9PaD5jN7b7Ocq2F68MG7poQ 6LES93Azhg+SYVwAWIVHBAaUknBE0CYn3vOk6SCm8pyXG1BDmqu+w8r7F4HNISQEy1O4auM7 DTJWoddfQHwo80kvHR7RwtI2r4PEOoBAhfPi6cNuX/75cP+MBdkG4n7w0zJhGDMUERUZhH54 lkGVHzhUBbHu6ASy1iWKlpH8yGWq7bzO979j8BQiAyn24hnVFAl2Zs0UWZcyR5b7ZlTe1RXD EJtinzeF3myB+pzDK9rPKKqkjuOv0wxD3vAq0w7F1PsiTfDuVQl6GkkEbRy6xLEU399Glkcu M0199Amumyg0LubLplGcY6x/TZJSJ7RgQldfMhJK9kOfUSzXvDok1D7m36K4CVQn+2hgGJ+X Ex7RVCP6vDg08ENJ9qmjbJ0RSMyxQ6cJ7HVHVlSADy7/QXwaWZr0e/xRg4AitZZ20Ss7iaS7 cYhnKd7dEII+eJFpOL+JHEsFhz0kAPlgRrmShZmRJYK6aWts7Lr6oAaglVDHyHfRhX5MHZei nIZLm0mF9+RUtH38rAJP2DBTfkld1DAmQtfeGhPFQQupNEcKKYK89WgHxqx1+EvrBt7JYOR8 Xm/zdWrJZSkoKLXY4PpvcZpOaYk4zpKX+6frHyuOgafcyEHXF26OLcdjZooev8s4hdzm6ZvH ghU1lvOgpxDrNYD7jEp5u6RgdfC2Dh2ZWcrQsybBKzgzshdON46nc8ZD7fSj9unr4x+P7/dP 5uipEt7BiVReIcOFTGYdnoGmTXWRLz1Rri6e9DEYwOcWkTRHXFIy3BygknEBytqsrgq0AHQy 3PgCRgOi9vOYt3N5GIUoJ2t2A0C/c5jAxdrln6hSs0QSqc2WigjhDOuyTpNaKnBCi8lmpDlU 3dmxXFmNNOlJCxCu4auYyg/W589zlepowo9N6Mive2Q4RerZNlHDbrAO7usj59BnCxcYqYRC TJH2dR0X+w4mom64JkmwDyab2HFwhjaSNGl39HyKOxtMn76ltmvEadC52Nlu787dMlnWHcEu t9D95AsX7kOsNxCXeF+wxBxBg/SIDTCrWN+GVpvlNU3p4CTWmLtEx05bRvlqwrQRkpSf32Bb /nKvMLxfl9gd1zu1+0sZvszuBhrgHzpnG1A928CrVqOv9h4f12/vIq7Z18u3x5fL19Xr/dfH K978Pjp+y5o79UTeJelNuxmZFRTdZVWxSvN0DDKnVdIcSpZHYIxQK2qTYs92SVbfqjg+JFPM JClHss6X+1BN9qNifPWpQJWUhj1oCp2nfWAIb9s1dlPEQHLsFB4I7Z/MDdb830AlQkIYWaAH E0khM+wR1r9e1loqwDCG1ob2FGBlEMFIA8+sgrd3oTjYOA1Gr08Suo3BBrVEOG7orMUmvV+1 vdmNL9eqSj+B2yyy0oAjMJEaU7CE2QApbGejcQXzFRisXiBwjWHDxy8/XJ+fwQFAWEhW1+/g DmDscJAQPGKsq+7YB/uTzNB3Ip/aeVO0FcSTNK1LVLM/z3DEiibgfJHV8tOpGZNVvS2v2KL1 YWYsmT0uME5NzhAjXyR7vruyThn7GWPJiT0TiDrRmHCSxZDvxck0K0xX9y8Pj09P968/5wig 7z9e+O/feA0vb1f4zyN94H99f/xt9e31+vJ+efn6pkQBHS2/a75wRFxUlpd5ioWUGey5XZek O5MhgW2cmiwXYPnLw/WraNXXy/i/oX0rSAJ2FaEq/7w8fee/IEzpFPEw+QH8eS71/fXKmfRU 8PnxL2UTjCuv95My5dEsCT0XP24nijiyxEyeKEgcozdPA0EOWaFUfV7CoM/pB+bMGle50Rn2 F3Nd2SYxQn1XFuVmaOlS47jsyqNLnaRIqbvWcQfeI9czbNS3VRSGvtkLgLtY1rBhoTY0ZFVj sANW7+/O625z7nFidtuMTXOrTyJLksAXb/UE6fHx6+VqJU6yY0giY+R6sGv2ARAeGqV/xgdq 9CYFsXjYAE3kUbwwRywWXncRifV+cKAfIED1pWYPvmEOHv9wWGNlFPAuBKFZko94SFB/ThmP SArCSST0MAvQuCEbn3hYSUCgnuMTnmtRxtLsbmmEzU53G8cOfqMvEeDupzOBRSUbl/fJ1cIs SOsTeNK9wrJM24kYxgX+wY9TPxKvV6WKLy/WXRISaptMS7o8aXOE9rHv8QaHAbDruSg4Rrda 7EYxbhIeKG6iyJIofZiUHYuoY455ev98eb0fThKruaXpczKXpdm2XeH7gXUAiupE5SA3M9Q3 uDFAQ2RFAhx1XZnQrho/Yob7mNW2R9dHGniIvQXgvp01AzqyFFtcKvXRDzx7LwTaWCgCiqzM +hgElgeuc8GFdSnQ6NdiBBpSn2BtCENq34IcbRnfMLAktJzrXRyoCGHk9TEOPOPsAqiPtoG4 kY/f1gwsigUBxW6ehhOgiyvHQQZFIFy7Ng54Il8vTeAG3Nix+joHdZ2a8YQg5yRHHB2yWPDo uMapAGBCkIaw1nGdJrUE4ulp9nW9d4hBpX7Ar+pS1zXO7Wff2xujwvybIDHEMAFFmCSHe3m6 ta9ITuCvk41hBemi/GaSkUrOCKXbbIMR+9GC8JnchC4m7mW3cUjsq4mjIyc8H9Mpm9Hm6f7t Tys3zsB3ERkBeIqAeoRN6MAL1DPx8ZlrA/+6PF9e3ielQRVsm4xvLJcY09AjhGA4axmf+lq5 yvv9lasY4PiO1gqCaejTHRtLc9V9JbSuiX7W7zLhH0pJaCbjqx7fHi5ceXu5XH+86cqPeQKG Lvqef1yZVAnaM5wi1LCgMMiP2BTZEIBFirj8/9DR+t43hdn48TGUjlM1ydFPpe/vj7f36/Pj /17AwNgrsbpHhqCHPBBNqT5lkbCgnolcWthW1wgj/Gg2qEJJmTG/FRIrNo6i0NrQPPHDAH36 ZlCF+BeqjupvezUsuqMMInehChpgApJGRFT2L2MhBzSqU8hEJ+GAgHfylPpKBBUVpyc+Uhp2 KnlRNIacSRZ2ljFOPY9FcnwTBQt7W3adN5cHsfRrk/Kz0bJ0BI4u4CzNGb5oKZl71oHcpFym teCqKGoZ3NFZRqg7JLHjWHrCCkp8y/Itupi41uXb8sPKboSaps51SLvB6/+9Ihnho+VZxkPg 17xjSj5NjA/JDOrtsoKr0M1oSxstVcJX8u2ds8r716+rX97u3zlzf3y//Dqb3dQrTNatnSiW dP0BOMT/USzN4KIRO1iqpAkrB6YcgAHX5v9CquJwjO8IRzy+GU7aRSBfABlz+xg/WFcf7v/5 dFn99+r98soPzndIJ2ftdNaejOvEkY2mNMPCv4hGF+o2E83aR5EXUgw4tZSD/of9ncngSrdH 9CEUQNUDXXyjc4nt0vNLyWfPDdR6emBszIS/I57lXf04rTTCJf1xrTjW+0dROjY/2i+LpZVk Lj84BR00yt04f44TaZ0WJ6fsLwXAY87IKXY1yoEbZEThTzOqnxy9lKj/ZDT1kARk4eK9rws3 Ac14/KHovCas48fXqb59OsYPN2NI+Y6yzx1kOUmIOaC8Y0LamNZ2t/rFuuvUaW+4KLKw1ACN aR9Dl2moz0wP1HafWNGuBuRbPlMhZeBpEdjn/qGPToTP8KkLjPXBt6JPzc3m+tpiGV1A1jjY uNnjiBAQlrYM6MaoLTZXcN+rSIWCMwMxGEue2t0JYGe6siDYT0JG+THZ6hUJuEcsrk1A0XYl jVBVd8ZqAzsAQafRZh2Ys9bBLxnhJzO4Z9fa5PcuJedNLq/jdDhFFlYwsI5ogVv2A03x908S gY2L9fwynFTCjvFG7a+v73+ukufL6+PD/cunm+vr5f5l1c1b7lMqDr+sO1qPFr5wqaM76dSt TyghJpDow75OuWZMDP5RbrPOxT1DJbR2ZA7QINHBtPeqM48nB7MmihV8iHxK9TI9FDxjFovB bSbCOcjE2wqWLTM3uWhMibERI5NVAE+lDlM+ocoG//UffbdL4QU0Jn947nTDNfqySRWuri9P PwfJ8lNTlmqtmp16PgTBo8xZYOESVWyaylmejgnlRtPM6tv1tReQ9K3GObYbn+4+W79V7tc7 S7SdCW1bOBzZ6BMmYNpIwqNqz/H1wRBgNAzhjDUYK2j6tn1fblm0LY3vCPAJv5UQVXZrLhdb TIoDuwkC3yayFyfqO/5R/6rQtajtred4clgerQJ6V7cH5mLelr1jV1p31Hips8vLfJ8baybt nT/mwDW/5HvfoZT8KuUmNF/PjAeQY+g2DR23RXe9Pr2t3uGa7F+Xp+v31cvl31aV4VBVd/15 oStphi4mKt++3n//EwLvGM/VslYKnMb/ELYwLooVigccOOA0nFOdxjS8+GgDmUicUKFiwoRm ebkBRydpMDjupmJDLlq1RQDfrFFUXx1vWsU6cKivy3p7d27zDVPpNuIlmhyA0EDWx7zt/V/I nNZ8Rpd5cnNudndMJKHSBwe8ps9cj84mRx77ADWWy25AbvPqDMH8bMNgw0E5tgPvMgzL0p1w e5ucT4ar09XV8DCRSvVJl7lQF6jT1CeeLYkca3mE70+NMPLF0WkBOaTSkSyttgb1ckdbKW73 QzkZ3NOlzeqX3jsmvTajV8yv/I+Xb49//Hi9B1ctpYa/VUDuxnGbK08pBIxPjGU6D1mpU7dp 0kLWVOEgaVsjgqg8ZrZ6h/Tu2+agTnST7PNydFTLHt++P93/XDX3L5cnbW4F4TmBqvKW8T2h Wo9nEr0RCElvfLa0tCfZ5MUdxA3d3PHjmnpZQYPEdTJ1ifSkRVl0+Q38iqOIpEj/zsV+X5eQ LNsJ4y/y48GZ5HNWnMuOf6zKHWEr/Ym1/KbYb7OCNRA99iZz4jBDI63PBeqyqPLTuUwz+O/+ cCr2NV513RYMEv/sznUHYQlj9PyZyVkG/4hDOupH4dl3O4Z1nf9M4KlYej4eT8TZOK63l8Mw zZRtwpp13rZ3nJt39SHdsbTNxetVpLVtcpcVB77uqiAkaMB4lDaiml1kJqrTG9H7zzvHD/cg f6GKlVRgv67PLbwQyOTAANIySyp24GuIBRkJsg9IcneXUGxYJJLA/eycZCs2ShUlCTq+LC9u 6rPn3h43ZIuvAYi225zL3/mstoSd0Gtfg5o5bngMs1vZeowQeW5HytxCVHQtPCfk+lsYOgRv XNceyrvzvnN9Pw7Pt7+fttqLl4FHalxE/tq6LbJtjg3OhFEY0Sw1rV8fv/5x0XhS/yieNzvZ n8JIjjcKWJGBOhvScsvH6aHiivA2OWcJ7k8qjmfOxc75/v8ou7LmNm5l/Vf4dCt5SIU7qXPL DxgMhkQ0mwYzXPwypci0o4ps+UpynfjfXzQwC5YGdc5DYrG/xo5pNIBGt/LKEBiEjO0IhHsC d+txeQLXpjvWRtvV9LBok6NdGVjJyjpfLNfI/K9IzNpSbNeox1u1HnMYJC45vOSSfDNFzTB6 dL5YuonqPc8hbCZdL2RLZ1PU0kExFmLPI9JZp62XdqscdGPPrVrKkaRczrwqS0Dk65Ucmy12 L9av/mANtZp5s9GAtCu/97KwnXe6WTiqlTeN/TloFsLqnBz4wW54R/S9latJW9Fy17hdsstm 82YROpmBCQmz7Xx9SaggKLhSV9u7hle3zoIA8bUrksfK8bG2Mni5/3qZ/Pnj82epP8WusYHU oGkWQ7ijMZ8k0h5PzibJ+LvTZZVma6Wi8r+Ep2kFb9FdgBblWaYiHsAzsmORXOAtRJwFnhcA aF4A4HklRcX4LpffesyJ5WdcglFR7zsE6XlgkP+gKWUxdcquplWtKEwn8gk8JUrkEszi1gw1 DgURepvy3d6ufCbFU6e/29mAegZNrXm+Qwf7r/uXT/oNj2/pItPbPvBNRArOGfbRSqg5MGG5 A0jUU7QcXiNgein0wSzWnqK/msRM0MZ0sAh5x6nFA9Fgdqd6uTJ1Gaie9npo9xKD1a3I7GkM R1lzp+SOBvHqmTOgPYR7n5QMpZz1POe1/h7MpEF1FzABZ7wbc3eDfpRqfKL7h7+fHr/89Tb5 n4nUKHuXkt4OHbRN5UGj8xw0thuQIZL80PJhcgVSjXjnOR+DLOdhI7lzcWjMihG7o0XWHlOG XViOXK6T0BEZ3cBj0HZrB7S1IDtsoNGK60FKezbljnCKaegOzw1eTFpuV2hcTqMmIKkrgqfv fZ1dzQEPqjkMZRkIn2DU8iB7d5OW77BF8Xo2xYzljT6v6InmOTYcnfdU82DqnXne56GMsXAR CHtl8yuUamKBrvLeMVefgyia3AyG4vyQEjYz3QMBqaSZR2hZaqyDPZEzerPa2vQ4IyzfgVrm 5bM/xqy0SRU5ZjzmNvEP2al2pkDpnCaAzyIzRkQO+0cBB1zo8PZVVe1ExlZV2fUqZGDwTJCS KhYfFnOT3jkDkrvhuPPzZBcp94Et+oIL0AO4hReyhyqe17du2pDHJ5VSB5x3kwh218AD0FAL s7JZTmdtQ6ra7uoDLBKRTSNU7ov6N45mvdy3mYoIB7JOevBxZn6sqgZ1SbB7II0JK3yZahD4 L2ub2XplhSwc2uLMUDkUGcnnpyXSlC7Mufw+7ao7YB9k5sNUr1L7+Dd1Qmaemw00a1pDqHSp qcERqlwkPzJ4UmpWrqTueOHv+Lu5SjmxK3o4lQW9Zc7QlbHaNdDE6bmCegTdVAh899NF+hgy 9mfrsfUnzN7Eg8wz6Etcuqp+VgFJJKd/EfVMu0d9cP2UvFwurw/3T5cJLZvBlKq7eBhZu4en SJJ/GQ9gu7olIm2JqJAuAUQQjgPZncAB2eUZP7mTe8hP8MCwDhxlzJNQcql2vpdeiim5Y/Dr xrOTqltzMhegq/1rZsHnEJ92PZ+BW3Kk6TzbYSMvySopx7YGLlPR1GjG6uAgTWHjpzjQUlS/ vV+OZruST8kFPFjlhcxLytEcYksRTBPuE2X1bRvV9CBiv/KiSODDSNmBpf4nA2jhf5yKHkMS cG9hOJXz6guMeaEEcc8W/MZ6flHLj1nqKRFv6Z7R29AKNFbEfr3sQu2panKZa1FeY+rXM+5L OptR6utUsUHQJl7zUPRXLyHLVbSy7mG0XJFl6/67pMOWvq5C0cb8tFDTRC5nsfJO8B8mqlhN eN7GypW+nGUnzHLVSNbfT+HRQhbzCYhO/ZBw3CK9E2METeWW3XlsQL/4DlNLDAxbpmLTB/l6 ueaidVLuSECmwOEV/F3yvgfUXEcCzZurGKKYKEyuhm1T8xQpCbDZxtUZRuQURNZXECfItYHa r1QtZGZagLuIVJCvgHhxt8uZ7dLaQJaBl2EGy2qFRtgdGdZOEEcDWaK+aAaG1cK0AjXoqxVe 4ZSu1nN8x9rzRPF8u0attgYOuamkhV8uFYtVuphjBWvoWqaaw1VRB2AVApD2U7Gcp8tAPSS0 mgWOZmwuZH5pYB3MeXO9hcv5Gm3Hcr6ZBujIx6Hp+FwF7HRC5n8HBFMtZotpoFmLJRqE1GS4 wZOCawQ0wm/PAfGHTTdYPRCTzdy0Px7oUjfzqbCDbz2HMT3KxGaG+gU1GObLGZ50u0BPME2G OdLbmt51tpftDlywXetTuINuq9uFNmz30sv98s12GjBUt5gWqw128GTxrKbIR6cQ22eBBd2g Hg/ssjeoVNM5X5sVmci2N7N1e6Rx76rar6DcQc3WW3TUANpsb975xhXXDTL3OiA0eABv16HD XYNrMV0jH3UH4N8hgLJVJIwE061m83+CQKgtcoot8ADNPUMqVwJEBFX1aj1DJC/QMX6xq1P7 VdmA8F1GYlGGEbzJA1qxnfaY72uL6ixdbp3LVLndv6Yh8irp9LCgHFHq17U8RDa3DIBNYI1p Kx0QaKDIliv8C5TbqwV6i2syrFBxLuA+FY04N5xCEDFfYWufAtYBYIMtYBJYTTEFBYDNDN3i Kyhwt2nwSMXomkhXfodMty4DkJCb7QYDRn89V0F8sAYG2yeoD2OgWJD5fMPQ3hB63b7WVGBZ LbHUys+QvfR5PFLI3iwWuGnxwJNtVwEfLSbLVZ1RMaC1BCQQksZgCQUANlmuijLlRQkRTYq+ wem4VgAI6kLHYkCmkXLwhK7ogGwDAeZHlu10+c6yA3FIpnjJN9gyD3RslVJ05FMH+iY0ijeb d/pf6hp+lh/VBvhmXc5RhR3Uhc0Kd/0+8NTrxeqaPqUY0A1RDu8jUAciJsd2hvSRAvBKa+iq gCqJ3PVNieUVwN6aW0n0UgaXJOgGfISds3m1pO0qUu4ddDit7o4F9jz2L4f33Eghf7SROqE4 qxgu+a62nNJJHA8N03jZ9FfD/aHM98sDvNOAOngHE8BPlmDuZ+dBKG2U6aE5ABqoGkxgKqx0 PBkMRI6pBwoVqpPsFA1cRQQSRCy95bnTb6wuyjZJ3IwivotYLgF0fgMH3YOZZaAouufy19ku ixaVIGb4GU1sdsShZYSSND27dSqrIua37Ixb5qrM1KPuUJ1k19Qc7mmj6cr0sqNA7ZDSJspp sytyMGk1qzJSne4xUjKw3fc6laWoGY2GGDUdZGpa4eXwUbY/kMWOZRGv3AmdVE6u+yLVXvbH jBUl3Jhdvd4unCGS1UDn+O0ZsxEBpKFg5kjdBEeS4qEwATxwdlR2v06jzpV+z2BROfjBdbPn dag+f5DINkwAYn3k+Z7g59K62bngUr6gfuuBIaXqTtGuWcpil5AXh8Khyd7xhUlPhR+ltZkY EHTcAK2aLEpZSeI5zEXbkIDvbpbT0OcN+HHPWCquCYCMyOHMikaEejiTQ1u5o5SRc5IS4TRT hePaFbk7HhmnVSGKBDtEVzgcsVfMETRZk9YcnZ55jb8+0FjFsZt3wIrKikyhpBHJwTQyLSrL D7FBDn9RJctlx+W1kyOrSXq2HTArupSlYEESyEsKFWX4TL3VoKy41FIC6SowKDOjPSliQSmp 3Xyk1JbND3ZcZzYeKEdY64Dy/almo8kC/qkhIoddGVEzknkkOSvlEs0cUd0FJ3Fak3FHcMBb ACK49d0PxPB4iYxU9R/FuStiVKsMeji1XHScb11KPMFcoQDmzLvMpVWNqDuzD6Ngkx4uuAG9 py3Fwh3QZp58ZBVmc6xFsl6KbDnNOcTpCyQ5cTmh7apDAW5/9bRrYuXjOZaKUlDACimBi6rd N5E3SzVCZccUWfcrWApJS0eN6G/UEJVvdO+O6aLKE7ztjFx/tdgX2zFrOyYr3+hZcpYvz2/P D/Ay19U2IeFtFJtiXEV9cOXv0JB38nXZhmvC/rGc3dahULi429tNs560WckGyxmzAKP2xZ5y 28p4FAx20DWD2AWEsWhyhW1BfNvUJi15t5Ww0ue5Mhy0yaSCVZaIdk9jKxs7T5LnUnJT1ubs aMS0RPy4Qc+OftKtUeuMjVqw9+MCW9wUV8AyTfVcvXOngiS1x72U1CkP3Fb3XFGqDBdFDZ9R oHDgS4QXQEl2tFA9vWMQbj1y7RLMngIH4o2U7TlYtaXk/GHuzl3rIx+/hufXN3iM2L8rjn3r bjWO681pOoXhClTgBLNr78aTU9Q42lFS2l2qAMOu2CqMdXmFeuvUzGfTfdkVZyXlopzN1qcr qRPZ2WB9gyQurpfbzBZzLJlIt7PZlXTVFp6ky422O9mB0Ao7UFJPVjEawFQVHTVt5D2hT/ev r7j4IqZtmQqHUSk7HJt4jDN7wOqM9h9YLlegf0101KtCKoxs8unyHZ57T8BIjAo++fPH2yRK b+HLbEU8+Xr/szclu396fZ78eZl8u1w+XT79r6z8xcppf3n6riylvkJ808dvn5/t2nd8joDS RD94ignCljykP1mZkJokJPRB9lyJVFesraIJchE7jxNNVP5NwpKh5xJxXE3DIQ1NNtQxscn0 R5OVYl/UeG1JSpqY4D1a5KzX4BH0llRZIGEfWkJ2Jo1wFpbLvojWc9O4VH1NRJirMv96/+Xx 25dQkM8sptuAewYFw9bFGfYR5qUT30LTDpjIGunK1FV82CJgLnUiuQOY2dC+ELWXVxNTl+ZY ginxGue24jgQ2x2Jdyw8kTQTFB2YHZmSKLEdimwE3kmoS0eTxg2BN7WpL6HKp/s3+XF/neye flwm6f3Py8vgrk9Jr4zID//TxQqHoWQUL+RcTLFDFx1Dii7cqgDtWisUjrdCQf9pK/S62MeE saWVzGiOZD736qX9ZNx/+nJ5+z3+cf/0m1x0L6orJi+X//vx+HLRmoxm6bU4cNghZenlG/g8 +uSpN1CQ1G14uQfnEuFumI9tRSt7PZaiYgGDvlv5sQnBYK+JWt2rSbkHZ7fMibnbU7Wtpj2L e0h+LoE8YWXfuLF4O6K/smpgBvm5jR3SyMHxRh7l1NPH40U4zR4eRJsaQ3SRboTYmK+RlNxU 9vje8qat9CVFhGvRMXlPrgys8+KL5054RcGC83r2pLpdzGZOHNcOc0+9zarvF8sZiig9es+I u3BpFGw/4JifpczfnvR5l1KjO+HFditUtkVhlpVs5y3hGkvqmMsOw3buBteBy61voEN5Se6u p+YV2iAmJ1wXER7Lt4fbGrNiN5uwnc3dWK4jtFrgfbaTCz53d4d9i46htjbN9brAZUJJcnhN gZba4YEm36aoxb/JUUTw5p3iUySjdduE+kK99wwUnBVis0E9TzpM2+UUz/zUBCduTg5ZsMll Osedjxs8Rc3X2xU+t+8oaU6B0bqTggr29mHNs5MXJS23p6Dm2TGRhAXaAFBbkjhGn2xagolV FTnySn7nQoRyO2dRgb8+M7gCx86WUIhYBS/drtfpJOVhkaEDdzx6xyfdgJT2ZYkJZTnPGT4R IBkNpDvB8Vqb4QmPXOwjqb+Hekw0uHNLcy7UczTrpow322S6WUwDk8jTGIYlzz6cQdc+lvG1 U64kzZ11hcRN3fhhmNlBMOwMHMCU7Yq6uxeyj1OCO/R+kaDnDV37evhZuWUILf2xOha0q62W DriBdFoDd8ydNyCvT7mQ/xx22I2qqr2j4UhdLKfswKOK1IWzivDiSKqKF14XBN9WqO7fC6nl qIOHhJ/qpgprO/AONznajTvLBCdnQD+qzjg547xvVIjV+Wp2cjaNe8Ep/LFYTT1Nv8eW6ylu zKR6CUKNy95VPvyDmwK6J4W4ZU6EUVK7G324KkE2xvQE1gXOdpaRXcp0FlaNIJKwJKOfSfnX z9fHh/snvUfCv5Nyb3jOyLv4sSfK+MHuOjhkbQ/WAWxN9gcV/RshafU3Og9vMT31edEFqzHO rgP1taqBbrU6Dfr6FsNkAm8dqAsIn9E5rO1A6AmwHjh+mCNofziRN1kbNUkCj23NA9Mr+vY4 eJeXx+9/XV5kd4znp+7urD9tDO9rdlXrnhEMJ4bOCd6JQEwMi5YdutT2/lxSF3F4FcxLSKXO X0ObfyjfUZaimHaF2ftjdE8sF7r5fONtizsyPIK9Prh+eFwAtVNK56jVnqLoqFiClEdyVVev 4pwDxsQ/NU3kCtqmjpTqp4dLZbBOuMQ+JqaVaRG5ojJpc79sxvzqNJFwdYikrXK5qrjEDDxz oCepSdsQOnMrBbTOa5AL2Z4DNA09UNZ/JsId957e9VxILvdc3jgMiN9zA6Q7EC+W0dD6bbKg vTswIJ08JnZHakDCozCwJHKGyXkWrHyC+zdweNSQhgowxzZcjOTCXpo5XGoyhDPxLiwDZR1C EtFg8uZYfS6ZdaKjCG1NS3wro+F9vBDCjR9pZwCeT7RH0UHA1z+/X36jOuzG96fLP5eX3+OL 8Wsi/v349vAXdnGrM83AgSRfgD4zXbnxbw2Z9d8W5NaQPL1dXr7dv10mGRwlIg6adH3AvW5a u5dKWFUCOVprlFwXW3HktakdZWbw8PJYCXYntXrb0X9HFvF2s8UDPvQcnhek8bQ4o22UFugG TkXjtv1vAHvnC1ifQqt43jqkd/gC1EjsXTkBUcR7ip1JAHZoIMSB2WygNmKPTXoNxXu+lp06 tavd3WfZWhwA9E6WbpOy2jI1zFgm5K4S6yO4T4fbZcPPE9w1K2dOlt3bQG2VIRlm/AYsUQU7 ghz2UPsjqNf5jsX9GSi4a/JUW5WM5IvpfHVDvCIjmq3xN0kjbJtyKzpEs0OfGo6oodP0xPUS I96YLxIVFezHbSfnilxScrNC4zcquDN+cCpaLm6WmGX4gNoesDryahUItDDi+KveAV/jzws6 fLtCHyT2qOUea2z76oT3yeoUdhk1cK0XmM2cgrUbL3jGVJu7GoUNTsKs/EyHYopSsR244y4q hw6vm6feqNeLlRkkR0/RzmOYMxXobLHZ+nOhpmS9Qj1baTilq5vZye8vmJqo03pdGsuT+Swy Zauic7GYJeliduNn2EHOoyHnc1Q38H8+PX77+5fZr0r8V7to0nlX+/ENnGUjFlqTX0YDul+d DzqC/Xfm1SZLTxV6XqPQRjB3fGouu6TprY+Q3lrfBDsZUB0UeGhu/fL45YsvfjrTGkvxsmxu Qq6sLKZCij24df+JolJlvA3mv2dymYoYuguzGEdnjt6M6zhoiR2/WyyE1vzA67Pb1x2sBFWg EZ0JlRoL1auP39/gNvJ18qa7dpwx+eXt8yOoDxCt4PPjl8kvMAJv9y9fLm/udBn6uSK5AFek gfIpkePgrxI9XJKcY+uqxSR3nmAIiLe9VC9a/Jk2dJ3rNXZgg5spIXgEPsXPKAeX/895RHLs 9JHFhLZSQIHpmaBVY+w0FeQZ5QF1bITi0Wo9eAc1z0IU5JgaKBot6c3ceIJV1VR5JjMmKZDU qo82SO7dO1s8T7ZIKGoSwxKv18nOOVVHOoZvm6OiGjsWndish6YMoRxwI1KnzD470pzGk9aO to+Xy40ZcPFWTGfTrftbWX58mP4jRbwDeKZ14OmICMp54HR5X8/Wt8qdcK/bkkrd3JWdG/2B rF2vV7poh1wVqu9WhoqsAK1oyfVBCLCq8ouHSBrgwTNK28J+lmAi+BMMgyOk+zmN6FIYQ2qd fEi1vIyrA9xP8+rOOtqRUAyRJTSEbx8hedUE5iR4ce3d5iEV1cEMxop1wQ3kwtqYndKRI3Aw hxpFdwzKP+LYsj63zGyuQeydIvsmrA8vz6/Pn98me7ntevntMPny4/L6hvkeeo+1L3VXsbN1 BtwRWibsN4o12fEcP4vdFWmccHvAR2vroyh57m699F7z6fnh74l4/vHyYG9C+4eNGG5sVwhP owIP/cOlItBgrrlU/tXl6/Pb5fvL84O/z6gYWNKDk8gPhsdcJIXO6fvX1y9IJmUmrO2fIqj5 jkwSDQ5TbizUynyQg+A2FO49+6VVdtC3T8fHl4vhX1sDBZ38In6+vl2+TopvE/rX4/dfJ6+g oH1+fDD2rTpQyden5y+SDI7pkLHAYJ1OZnj5FEzmo9rP8Mvz/aeH56+hdCiurUxP5e+ju7y7 5xd+52TS9dNdwylttedEQ8JImkiLo01JiKgtyvjjjrX/T9mzNDeO4/xXUn36Dt3blvw+zIGW ZFttvSLJjpOLyp14Oq5N7HyxUzuZX78EKUogCXl6q7oqbQDiEyRBEI/Sj/G0/FMLpLzzr3jb 1TkLJ5C3H7sX3uPOISHxaIGCe3Bosfr2wMX1v+gRqgOEbbw17h71ReN/8VschU4dyIa0mecB ZdUSbEtPuAqIhgZ/Xbj8pwyVLaWKJOa3K0/GoEVbk0Ll4UOaUK8ENcG8YNPBBFlP1XDzwl2D Y7Z1BsMxdW1oKfr8GoakqwY+Ho+m2oMkRk0GlJFGTZGVydAZ9ohv83IyHZM5w2qCIh4Oey7R FfXcR6mS+HaXI4knxKdeCGebeO2iYJWnGaIjBKh00qRY05chIFzNw7kg1wuuxefAJ6uV/8Uy K/rGIhXVF2Dp2JC4mKS4s6KQ12BFrlJMPj7uX/bvp9f9xdCSMn8b9QfDjugKAosTH9cAM6zO LGbOhFJC8Qs85wRpy9Y2EkNFUQ3GZy6WVX3Wd5CCn4u9ua/lzRKAqUHhGL7XteOWrK7vmzNe lArFtiEtaq22hU9lGVxtvR8rB/KrNi2Ivb7b1xSabDzgK+zTAOj9BuBo1NMAk8HQ1QDT4dBR Vt1IxyrgpIoVMDh/u0hij5uy9UauaBsSklYTOuc0YGZMzzFm8JXkteOOn7Mi416dkZJvinwn tDlv7E5p1R5HjXqjKpwzL2gCuJLsOZ5O0dMY22Zubws7LOJYDptMBAzfstgUOHCRMfKR2o8S Vy8mSGRMVb7gysDTtGvL7RgzaZgwSIGgfR2VnjsYIyIBwEFBBGCK09fzvbs/0jZgiAgyIvOp x17WH7iYW4KkenDqfjdlJmw91nSAhS+Oozj1G5Uh4oSYd5cenzIERE9LJCZgBV8MQx0W8wNm W4+/At9Fg16/xzukv+Nz+AjgXdOymY+cnl7UJswgPCyEB9HgtWCwVfOuGPYac2L2FYkebwIt RSRsFnlQeKy2JtbLRF/U0ujbC5cpLCG0gcrF8Lx/FeYlxf54PhkrpOR30CpbEr6mzUYajLA4 IH/rW4vnFRONP9mt7hnCRfdxT4udA0EIckiQUyyyPiq+yAr8c/MwmWoRoa3OyAArh6cacMNH sA65rYc5qXdoeezV+k8a3R5srfMnWT6etLioiyjqoZF3iyJT3zVtasVEC6mdsKVRII0T49xk 66rT855udpJhtG2x2dGGvZEWZohD+uTRyhGDwcggHU77tE8yx42mo45j3s9SyEGCz+FiMHBR Hqt45PbxOxLfioYOstWB3xPXwcdgNhhjlRvfCngNw+FYS1UlV7SVY6zJLnVlzKQjMZ/wp4/X 189a0Nc8iWEyZHwgYUNDVmEVUGcB2v//x/74+HlTfB4vz/vz4W94BfD9ok6jjC7/i/1x/767 nN6/+wdIu/zzw8yHeZVO2hU97877bxEn4zfN6HR6u/k/Xg/kg1btOKN24LL/1y/b/DlXe6ix 7K/P99P58fS250OnNqlmw1k4I20Dgt/6ophvWeFCPnR0ErUwPawcWu2L+zyV0ppiqWzd7w2x jCQBdW2mTCe/N0U6RVMu+m5Pk2W6eyt3sf3u5fKMtmkFfb/c5NJq4Hi4mDv4PBgMyOSXcPHq OTgvUw3R4mKRxSMkbpFsz8fr4elw+bRnisVuHx/N/rJ0tCBvS9/j7emK5tGERQBLmFIPnVQW bscb7bJckwm0i3Dc0wJG8t910j7VObMjcrnzJXSBt7nX/e788b5/3fMj94MPjDbqszismZCo er5Ni8kYB+RUEJ0TV/EWx+cOkw3w20jwm3bBxAjiLIiKeOQX6F1dh+uVmri+h8fkSu/ls5/I BnQmBAn/B5/BPik6Mn+9dXr4tZdFfYjZiACZX0z7mFcFRIufN1s646Hxe6IZg3hx33UmVBMA 00fCK/8NRgf49whzC/weDdHkLDKXZbwPrNeb62KMOKGLyJ32HJxJSMPgMMYC4uBzC18gIysC To3J8g4l7o+COS55ncqzvDd0tRUYlXmHMcKGbwwDT3u05dsF31pIP4caNcXkScocvlkS1GlW 8rnVTuWMN9rtAZRcu47Tx9Ii/z3Aa7lc9fuOpv3hLL3ehIVLVV96RX/gIFFDALDOQc1Wyedm qLsqCNCEUkUBZjxGTMUBg2FfG+91MXQmLvWEtfGSaNDDHC8hfbSBboJY3FbQ84eA6EnTNtGI 1ow88HHng+zgI0hfwPK9ZPfruL/ICzaxqa/qqJT491CTCVe96ZRc+LUSJmaLBJ/fDdBQULAF 3z/QgCDuB+qgTOMAggXp+pU49vpDlwwzWe91oipxTFvboGpFg24ZqmaJZewNJ4N+V2zQmiqP +44WgVmDN7os9exEjbici9Y80bgVGplxNML64Hp8ORy7phHfcRKPX1zJsURUUutX5alMZEpL tlSVojHKNOTm2835sjs+ccn6uNc7tMyFHQi6biGksE/N11lJKy5LMNqALF301+LNnrrH0c3S JNG304WfeYdWj9nebgzDe7/gy442DIO7yoA0XoNLSw/n0ACAsWmUWQRS2tX7itFMsgu8ixds nBNnU6fX02Qg+hN5YXjfn0EEILaEWdYb9eIFOorjzNWVBPDb0Lvym32H2tSMW5npbkr8fuU4 XfpjjuS7Bjoc4mI40sVOCen6niP7Y0umkk0ioXq3yuGghwSJZeb2Rgj9kDEueCB9cg0wtwRr tFtx6wgBPLDIhbdyDVnP2+mvwyvItZzBb54OwOiPxCwKOWTYwxrD0Gc5xLIKqo3G6fEM/J0p CWPuj8cDTd2Xz3vopC2206G2L3L0RD+8hv2oZ0X8R+NytTf1Q/X59AKGd90K4eaB+iql3Lr2 r29wi9ZZn9oiyyCmon7G0XbaGzmaikXCyDEs46zXGyGGgt9jXQS5L3r07UegXNpvh+pIo5C7 Q44V/Idp5gQgSNc2Lw06YVw60c5/8TlocjuszmNQul7DVUbmWXmc5bc3j8+HNztYHcdAYAvM oOB4H9IMZJXTFJNB1A09p6Dyxk+9Evsh8VUflCIXVp5GET6MJAbi/t8XXmvHly3vb4qPn2fx Bty2XOUq1JwPEbCKwyzkGyVGz7y4WqUJE66d9ZftAPJvIGQsBHPzKV7UCZbISBFjpJe4joPZ D+PtJL7VjeRlM7dBhBqrIbMtq9xJEgvPUsQ8GAV90VEeZyzhhGl2L2ZZtkyToIr9eDQiLyNA lnpBlIJaNPdxXE1AiezG0tVVY1sdZbIPoqqDg4pWdxKVHMvvYT16F9P4oWkcuFNrMdxCP4Lk 1T+0fOoxjgHFf1RR1iiYs/07OAuKPfFVqm8oO6drZA0rt5Gj2PHp/XTQYuKwxM/TjpiJihxd M8NZsvHDmPIO8xnSVCSbGLt4iZ/mXlQnJq4CsDeK1RJb3t1c3neP4vgzd4gC71r8B1yeSzBA 0ziyRUAG+FJHqLSw+K0KjIog/Z/XGS4GETVGyNpbMBdro6pcksNI9Kj9EtLQUaqmIkRa0CJU IR35ZdzXM6dzXB1rtcPGAVEsscEsgjPhuo07BEi+8VHzLFCzAEwYkCKMA1MPqaNEnOMsCrZi VzXvQLY1Djh/MX8xnrqa4TKAO70iAAkGg+SoU7WhWQ9TMj9IFMaaPSAA5G7ilXlkTnnO/5/w NU0bhEIU5SCnpX3dzkc+HBxe+HkudhI0LhsG0huX3PjFJ2N5gQ8pDgrTmGknZrAtXcP/EeP6 V3CDLlwehLxe8DCk9OE/BKJtFfwGd2E+n16kg4vAW+egAkYNBnhXYmnxDdxTwTELVbFVVTal AOR2ze+0RClbukEAxqbi8DtNhOGpYVqOMHmQsTA3a75jOW0UvL3SucW8cI1epJ6EUSqXMrc6 rWBt7658KNOuCrZdmHPQ0Iicqizh6IqwINeou7olsazgHFPSdQRzyMsazmn7/ySMOgdh7hq8 JgDAITaU/9uystTmSiHI8bKoFLd2NUQOqD4l8lvh/SGP+65MsjCCZPxzmlmDLZjyag4LNUQ6 dlZphgcg5OIGgMMEuTPE/KwHS417E48bxeXG/D4zm93iYeZ05mmAnSzRUszWIT8WEkhEljAI mYIbXSRpyblCU8VIEKUClBjpDdWWwewyrG1Bx4CniwiDIjb0uZGCF1N6JZoQiCA8L2DLRCpU AdNZcQ2pOBDAW+sZO2q7d5LdUz5qEbvXvm9hkJggzDmLVT4Oi0YRsOiOcVFozi852PYXkYaJ jwMFIMyWj7ToGYmNAz4uaXavznhv9/i8R8fXvBAZkbGgIABi0WqTL8FLvtWni5zFOodJZHc8 FEWRzmDNVWaUaTX2QCMipaAHoQZmey0jXNMu8jivey1HwP/Gpdnv/sYXJ3p7oLeSQ5FO+Y2n I0qBP1dbiiqcLlBqBtPi+5yV35PSqKxZD6XGoXHBv9AgG5MEfisHNsitlEEghkF/TOHDFGz6 IQTEl8P5NJkMp9+cLxThupxPtCel0pImWtmI7pO8Fp33H0+nmz+pvoLTgrZUBGBVG9Jg2Cau ge1FrwUrBTm/KJAXb6AEpUAZWQXAUEHk8LAkLa4EjbcMIz8P0LvJKsgT3GzjllTGmX7ECMA/ HGGSRpx/tNXzvM45hIZG/mmFDHXFtIe8KScspJsab3IZxLook4OvVZfMyPx5zYboobkWD6hs WGxu7KuBOKWMIhpg7eIV0ok/LDmKQ2TmB1LwCoyqBcDwFJxZZXZ23eObiHYgiN/yFJepFxQf 3K5ZsdR7qGDyBLeSzZNU8gzQ3lQV3odo3VkFaYM6IkeYpOJad61KTAfW5162Jqu2eNMmeYjC 2XWK6IFMF9eiU7ruh2tfPRSlT342EKHbIYJ7ET5cHfcgngUQw9GezGqes0UcJGVVH4O8pD/6 SBzYdvFNHCZ8wevskMZd1MvM4NrbZDuY61s/B40svq2BXaJcXleJtDgCAh7X4LdwLxnZRKeJ Cc8gxo++BwsIHBkR3HeBjeBVhtpIJSWf3oZKUwAq9IAshKBber9FORm4v9Es4J+2XUaHMcJu SNsfdXL+ZjV2WDqjuobgy9/ny9MXi0oovog2gW/etSExJKL2CNsYfLXu4tQgN2VmBbEFsgbT vXs0JA8hdXxzYf8uzVfGqaWQRkPg98Y1fmsvmBLScfMWSO21CCDFHcvIlkvyin4PytO0BIrO L+GeUXu2+wk1zooIxI0gAiKjI5QxC5d4wY2CX95StJmJo8r4CT3VBspMhVOskzzzzN/VQl+7 NbRb0veCbNlxsIb65gi/5TWDep0TWHBcvuN3H3HTV+On3QCA6i5gqyq7gwQ8tJOvoFpnkBiy G98ljAmkffVooPTLRIsXgmrVmXpSEv5G++orVIcq02ddykFGSPI1aprRM5VEeJFFaHOybxGA VteQaiAe9Fumxbhxn46OpRONKTsyjWSCTRENjNuJGXZixl2YUWc92HjUwHS2YNTvxAw6x2wy +ufhGGl+AgaOTsWiEU37o3+qY9o55NO+21n7dEC51ekNxAZugOFXb+CvaqLzX/OB43Y2haOM aRGBLOjyHb18BXbNmVAIygwR4zu6Mewqb9Q5L4qCcuzF+GlX0c4/tVU3kNAwdMZ0IFml4aSi T/QGTUXsAWTMPBAzcYRxBfYCfg3xKHhSBus8NTspcHnKypDMhtuQ3OdhFFEFL1gQ6YlkG0we kAl3FD70IAC2bxcZJuuw1Oe/6XGIQ2YrTLnOV2Gx1EsSGhicKTgiI3wmoWc8MNagKknzmEXh g7AabGLMUJrZtLq7xRoE7WFLuk/tHz/ewfbHinwD5xhWjdwLQSJjpQHMg9s1RN6W2sVW6pVJ 6+B+xclyfq/FF3er/FrZHfgS/oqqqPxllfLiRHc1UUk9C0AIlkJYipR52PEGSD0hWEj6AgeP 40uW+0HCmwdablCzConFqyOYt/oLk4zSwae50JfLV2z8Js576IkvIdLlMogyrFAn0RD/afnH l+/nn4fj94/z/h1S8nx73r+87d+bg1up/trhwk5iURH/8QV8m55O/zl+/dy97r6+nHZPb4fj 1/Puzz1v+OHp6+F42f8CPvn68+3PL5J1Vvv34/7l5nn3/rQXNnIWCy08CNW8XsAzQ5mvvTLi 8lvzBr1/Pb1/3hyOB/CGOPy9axyuFPcmIcS7BhOiJE2oWz5ZvnqHaMqhqWb3eUCnF71CX3XJ bfQ3G3BqJRMfi75BrAhgIhyl7NOkgERuOkH7rk6PoEJ3z0/jHmkuflX5Ns2llkDTjvH1mTav C++fb5fTzSNkwTu930iOa2deEvPuLVgWmmXUYNeGB8wngTZpsfJE3qhOhP0JXBpIoE2a48e6 FkYS2hd+1fDOlrCuxq+yzKbmQLsE2IptUn4OsQVRbg3XhJ4aBauF1I3iDyEYIORXkk/RVvGL ueNO4nVkIZJ1RAPtpmfirwUWf5D7oOr/ulwGOAZbDcexcrOPny+Hx2//3n/ePApu/fW+e3v+ tJg0L5hVjm9zSuDZ1QUeSZj7BbOazPfeTeAOh85UNZB9XJ7BJPxxd9k/3QRH0Uq+Dm/+c7g8 37Dz+fR4ECh/d9lZzfZwpHE1EXogcUW55Eczc3tZGt13uA81a20RFg52pVKrKrjFaRuani4Z 36c2qkMz4SILR9DZbu7MHj5vPrOHqbTZ1yN4LvBmRFcj8s2iRqbzGcF2M48oZ9thcKGWZHB/ l3coj9RQQp7ick0H91Z9KIpwY5nlLnfn565BjJk9iksKuKXGeyMplSfD/nyxa8i9vkvMFIDt SrbknjqL2Cpw7bGW8MKe8twrnZ4fzm1+JstXfGwVFPsDAkbRDass0+4GChNylhaGt5Qjg9ow Yl+uEfNrQIzoXJ8thTukr4QtRZ8M965W4pI51ogAUPSIQPD6KPDQIQ7VJesTi6GIqYumQpZc QJml9nlZLnJnatdxl8mapRQhMl7YfM4Ce8FzWFWG9kmQrGehzVMs9wYEA6Z3EB/QolYIK26F 4lAWB/yWSWzqDG4+XR8V5ZDgEoBfZQE/uLr5zMXf7hlZLdkDIUcVLCoY9hA2Tgdizw/sg5dL CxmEu7Xg8YDgnDKgrAAV8i6dh8TyruGt2lqyyun1DRx4DjjqSDNg4qHKKkm+OuqwycDmyeiB arx4jepufv04Kd1jdsen0+tN8vH6c/+uYkRQLYVowZWXUfKln88WKq4ngSF3eYmRe6TFRYDz aEV3S2EV+SOEgMIB+GFk9xYWpMUKRHpz/hVCtqYT24jt9rJoaPKO6J4mHVwMujsH7YAIxuaN 5eXw833Hb03vp4/L4UicsVE4I/cfAad2FUDUR1uTwdQcAERD4uQitBOgWiQ0qhEtUQMsdtYI uwcO6DQHDwRXJy+XmeG13LlGcq0vzQne3dFWXCWJmoPN7OaSNFop7mNIlRl6QqEESUXaUhEy W8+imqZYz3Sy7bA3rbwAdDehB8/ipg14tvKKCSR+2QAWyqAoxirocYttX3IFXuSx459Tr7nh ApRLWSDtSYTtLjQmRPskRJf4U9wpziJM/vnw6yg91B6f94//Phx/IS8O8e5alfm6qFVvuWan auOLP758QXoziQ+2Zc7w2FBND/h/fJbfE7WZ5fGVAlHji0Z1SJv6/UZPVe2zMIGqRVaeuRqq qHMryFnoj6rsth0JBalm/NrJ9+IcG1Aww7x3FnKhCAI6I/ZRPmhcXkq87L6a58IvCrMHJomC pAObBGDEF0aaJtRLc58UWXmPY5EtbgbpAtrQ6YJnsAMe39qW4lXai7Ott5RvyXmgyeQev3Ty 4wFvDZ4z0ilsSd6rwnJdaVd7eZlAS5cDSEW2ScJXZzC7p9KraAQDonSW3xmcaVDwWaPLHWl7 vn4CeOhREfKzWdcnDz1wNfel1piAJX4ad3S+psG2Lm1ZAJUWXjocTLXg3NMFoge5qxtQzWxH g1IlYyseHUq2g7a9EWCKfvsAYDw2ElJtJ9SrZY0U/n0Z9VnIRpRFWo1leWxWDbByyRcKUVjB 92pqddXomffDKq3WRNXAtsfV4iFE+jyEmHGES2Kih5iRiO1DB33aAUe8q/YT/I7RnIhF6oV8 i9gEfFRyLREBE55O2KNQgkRugBh7WgLcxw1P+IWiKkR414rvb4tyaeAAwYsQTx34gIatCXDM 9/OqrEYDvlRRBzmGdzJiwnxqKYRXPIfiS/Cj7TCkKxaRHIS2SBm13XyB8bI1v57jLvq3aAdd ROlM/9WsatTPqPaEUWVGD1XJNJ4L81sQkCg7pjgLZcILVX8Ya7/5j7mPaktDHzIJ8ZMUh1ae p0nZ5Od4/W9lR7LUuhH8FY45JBRQFCEHH2QttmJtaHmGk4vwXBT1AriwSfj89DKSekY9qpfD q3rMtEez9PT03lbr7Zek59SEAQewDitetsHI2tJ9PfDAK4wutXT+Q1fHFUd2SYbVxGxr4QQo D6k29/hFtANFcSXL1TSABtZxoPmvWI27LpI/TN5624TV80XUevh4eTv94GwIr/ujYtgiPmJD VXYs/o2b0btHV6qzcx88rasM+INsMB387oW469K4XVwPCGB4x8kIA0T0UARYk8Vxi+YC5NAe 1zUAiJ1l1yX4Z6xVC2Fh8u7EIJK//L3/7fTyaliuI4E+cfvHdN/4W0Yqm7RhlEwXxpbLr+ht qizVmQMBFG2DOtGrLAuoZesx/UVLjDhMq1b1jyzIBpJ3qPPBiDNxU2rYUwo+XFxdXN8KjhZQ sgKCiiHXuTZoDVIsDQswcuFraMe04WkBqK8SA6y8noMYBiBZWlgMKC+14aA3DA7IA6x2OGKD 00Mzx8DKB3eMpMRIa/a742JNEj9+GgMIX0gv8vLU37po/9fn8zMaJtO34+njExPhyTDkYJVS fEct2HDROBhF+VgWF1+XGpRb8HZae5ns+0SANnD+8gzwb02aHIjVsglMlCaeQyCNXdTn/DkH usPYrgzku9x5vkgcJBBVCvqpTbUXz36n01uGQSQTa4QxMg/jCiKIhAhEP8wzbAzTsqfcFm7I teyuyrQpCz0uhKdDYCyBOBPl2DLtNjVZt3QD2uh8zcrhdTI+CM6QfY93NuyI0DVcDHe830AI ItMZFxHThRny803z9RkQysCkddtNcXZsds+NSieQx8DMl831RQbL6+UiloqRhQnHKDoDWd3a KxfSWjYBYvVEC8TNNMbicuLLMKKZs/vrlMgAm64Q6Kx8Pxx/PcOcuZ8Hpjrrx7dn+UhjcTV0 oSitiFyrGYP6O6HIQqG3q8b08CPFLJN22jnszLIsW0xxn0vAylPdzQ9spnNhOxQ53/WcMXbu 1phEpQ0aDY+3d0DmgdhH5UrS8PndZCcxIO3fP6nG7JQM8A2YuE1TM91ElWxpQ7qIhuzVJo4r h0iw7gYtzSOx++V4eHlD6zMs4vXztP/aw3/2p6fz83NZug/Ds2nsFfGJbom6qga01qKx6Ye4 GC95QOGna+N7qTg2qGuqTrntHvDtlnuoOBB5dzkA9baxQiW4lWboSDEcx1ZNb7Dp8C6mL+uX xb5f4/aRPl8vcCe3DTAWw8t3Hu3GuF6Na/8fp9wP2FKgBJCLJAukzyGRHOoc24jtgV3bdQVa uwCPWSGjvA/85kzwkG/PD358vz+eHs/w1X1CLaQVaWy2To+GNgQaexVyq1tDuJNi7FOndNxI lfCtLHZR0AaoIcRkj748k7PrsOcZApsOPEoaZEM2ozrsVA6B7lMdCpOWxAahjgw7fJ8Spdn/ A3zMiDcmQlF27eLqUmjW8Le1nkMA++I7GevRJ6+z1uFuNhBQZofrSdVSB5ITQQBvhGGKHoUm zH4N70DGLyqF2VHyM/0mAUARPrSlGklFSTphqbXD9CRdwXz+fO+qDqq1DtNLk4lzcXgAvmo5 pdcBTg2V0A4IhmfTCSEksHzFhDELzQ95FIEoNHbohDAi3XErMoEcXLQEb8lAuJ14AlzpfbK8 qo7jHO4DiAjq5CbjmQbxagynk0wQbRQhukJVK/cXF+VxShhrMpSIvGq5DmR5tFNpWB1O8zzu T9z9qFzLXMYU17PUGFmEKqXdH09IqpGDCN//2X88PouktAOjuwlL6U7GHCMwhtBsTl46sxjo cVMRzEjj5JNeoyyhcbUEiSJ03VGoryV31YBwaB1B0oIn6xbVzDZRq/tvkUxGBqrGKetog3h7 N0Aal3EjM/zoFLx/s+h59MPVS3TDmekn1WuZlTlSAx8U5V0BFnQ3PxjHkvv7mX24uZ636NAG reN7N7uDs4Os0mNVrHbCPVQTVlYyObaiQkerphejbmMOdH/FakX/nKAfblsW+SG6zs3jJ3vv Safu79dkKxuiRjtSi/g/s7W+GEnqTSPNM4fx2q6DTm3fcuItfT8hnwxKPvNqtS8rZXfRuLtG JSeQLnWCSQqCNGzyaGv1fTdJ6xx4OEsiZ4SgtCAzB0T1kOfQjoItvLGcjF15OXPKltDuBwPh PQwAFWfnggy3R7jvB/ECQJ/3DjYBFtZR04KMKglKGJmaEN148Hk6vP+7/zg8WXzfSCIwctj4 jG/ptdFs0wDEnfJZTos+6gNEFBB/bq4lfJxjjTNWubSOCTxCnwp466UNQF32nxinmwXLONsl MSW/Yr2NmuisrQHN7kH+FsaccXOb1JCL+S/i1JHVQIEfUz1uZuKq73M14xetPqizB1e173QQ RnGglAAwjTtgk6uu5WQX1xd/3GgwVCSaQS6vbiVE1SK1tlR9fYj4buvUzuhNCFM0cdgIlWX4 D5Wi8g016gEA --bg08WKrSYDhXBjb5--