From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-10.2 required=3.0 tests=BAYES_00, HEADER_FROM_DIFFERENT_DOMAINS,MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING, SPF_HELO_NONE,SPF_PASS,URIBL_BLOCKED,USER_AGENT_SANE_1 autolearn=ham autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id B6DD4C432BE for ; Mon, 30 Aug 2021 11:22:38 +0000 (UTC) Received: from vger.kernel.org (vger.kernel.org [23.128.96.18]) by mail.kernel.org (Postfix) with ESMTP id 7BD7D610E8 for ; Mon, 30 Aug 2021 11:22:38 +0000 (UTC) Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S236467AbhH3LXa (ORCPT ); Mon, 30 Aug 2021 07:23:30 -0400 Received: from mga17.intel.com ([192.55.52.151]:6037 "EHLO mga17.intel.com" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S236397AbhH3LX3 (ORCPT ); Mon, 30 Aug 2021 07:23:29 -0400 X-IronPort-AV: E=McAfee;i="6200,9189,10091"; a="198492516" X-IronPort-AV: E=Sophos;i="5.84,363,1620716400"; d="gz'50?scan'50,208,50";a="198492516" Received: from fmsmga004.fm.intel.com ([10.253.24.48]) by fmsmga107.fm.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 30 Aug 2021 04:22:35 -0700 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.84,363,1620716400"; d="gz'50?scan'50,208,50";a="518746604" Received: from lkp-server01.sh.intel.com (HELO 4fbc2b3ce5aa) ([10.239.97.150]) by fmsmga004.fm.intel.com with ESMTP; 30 Aug 2021 04:22:29 -0700 Received: from kbuild by 4fbc2b3ce5aa with local (Exim 4.92) (envelope-from ) id 1mKfMy-00054X-P2; Mon, 30 Aug 2021 11:22:28 +0000 Date: Mon, 30 Aug 2021 19:21:21 +0800 From: kernel test robot To: Pavel Skripkin , Larry.Finger@lwfinger.net, phil@philpotter.co.uk, gregkh@linuxfoundation.org, straube.linux@gmail.com, fmdefrancesco@gmail.com Cc: kbuild-all@lists.01.org, linux-staging@lists.linux.dev, linux-kernel@vger.kernel.org, Pavel Skripkin Subject: Re: [PATCH v3 3/6] staging: r8188eu: add error handling of rtw_read8 Message-ID: <202108301943.bdQaNot7-lkp@intel.com> References: MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="mYCpIKhGyMATD0i+" Content-Disposition: inline In-Reply-To: User-Agent: Mutt/1.10.1 (2018-07-13) Precedence: bulk List-ID: X-Mailing-List: linux-kernel@vger.kernel.org --mYCpIKhGyMATD0i+ Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Hi Pavel, Thank you for the patch! Yet something to improve: [auto build test ERROR on staging/staging-testing] url: https://github.com/0day-ci/linux/commits/Pavel-Skripkin/staging-r8188eu-remove-read-write-_macreg/20210824-162756 base: https://git.kernel.org/pub/scm/linux/kernel/git/gregkh/staging.git 093991aaadf0fbb34184fa37a46e7a157da3f386 config: x86_64-allyesconfig (attached as .config) compiler: gcc-9 (Debian 9.3.0-22) 9.3.0 reproduce (this is a W=1 build): # https://github.com/0day-ci/linux/commit/d4e4bbed4e59df37967086f60fe92cb1b4504d37 git remote add linux-review https://github.com/0day-ci/linux git fetch --no-tags linux-review Pavel-Skripkin/staging-r8188eu-remove-read-write-_macreg/20210824-162756 git checkout d4e4bbed4e59df37967086f60fe92cb1b4504d37 # save the attached .config to linux build tree mkdir build_dir make W=1 O=build_dir ARCH=x86_64 SHELL=/bin/bash drivers/staging/r8188eu/ If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All errors (new ones prefixed by >>): drivers/staging/r8188eu/hal/usb_halinit.c: In function 'SetHwReg8188EU': >> drivers/staging/r8188eu/hal/usb_halinit.c:2022:3: error: a label can only be part of a statement and a declaration is not a statement 2022 | u8 tmp; | ^~ vim +2022 drivers/staging/r8188eu/hal/usb_halinit.c 1450 1451 static void SetHwReg8188EU(struct adapter *Adapter, u8 variable, u8 *val) 1452 { 1453 struct hal_data_8188e *haldata = GET_HAL_DATA(Adapter); 1454 struct dm_priv *pdmpriv = &haldata->dmpriv; 1455 struct odm_dm_struct *podmpriv = &haldata->odmpriv; 1456 int error; 1457 u8 tmp; 1458 1459 switch (variable) { 1460 case HW_VAR_MEDIA_STATUS: 1461 { 1462 u8 val8; 1463 1464 error = rtw_read8(Adapter, MSR, &val8); 1465 if (error) 1466 return; 1467 1468 val8 &= 0x0c; 1469 val8 |= *((u8 *)val); 1470 rtw_write8(Adapter, MSR, val8); 1471 } 1472 break; 1473 case HW_VAR_MEDIA_STATUS1: 1474 { 1475 u8 val8; 1476 1477 error = rtw_read8(Adapter, MSR, &val8); 1478 if (error) 1479 return; 1480 1481 val8 &= 0x03; 1482 val8 |= *((u8 *)val) << 2; 1483 rtw_write8(Adapter, MSR, val8); 1484 } 1485 break; 1486 case HW_VAR_SET_OPMODE: 1487 hw_var_set_opmode(Adapter, variable, val); 1488 break; 1489 case HW_VAR_MAC_ADDR: 1490 hw_var_set_macaddr(Adapter, variable, val); 1491 break; 1492 case HW_VAR_BSSID: 1493 hw_var_set_bssid(Adapter, variable, val); 1494 break; 1495 case HW_VAR_BASIC_RATE: 1496 { 1497 u16 BrateCfg = 0; 1498 u8 RateIndex = 0; 1499 1500 /* 2007.01.16, by Emily */ 1501 /* Select RRSR (in Legacy-OFDM and CCK) */ 1502 /* For 8190, we select only 24M, 12M, 6M, 11M, 5.5M, 2M, and 1M from the Basic rate. */ 1503 /* We do not use other rates. */ 1504 HalSetBrateCfg(Adapter, val, &BrateCfg); 1505 DBG_88E("HW_VAR_BASIC_RATE: BrateCfg(%#x)\n", BrateCfg); 1506 1507 /* 2011.03.30 add by Luke Lee */ 1508 /* CCK 2M ACK should be disabled for some BCM and Atheros AP IOT */ 1509 /* because CCK 2M has poor TXEVM */ 1510 /* CCK 5.5M & 11M ACK should be enabled for better performance */ 1511 1512 BrateCfg = (BrateCfg | 0xd) & 0x15d; 1513 haldata->BasicRateSet = BrateCfg; 1514 1515 BrateCfg |= 0x01; /* default enable 1M ACK rate */ 1516 /* Set RRSR rate table. */ 1517 rtw_write8(Adapter, REG_RRSR, BrateCfg & 0xff); 1518 rtw_write8(Adapter, REG_RRSR + 1, (BrateCfg >> 8) & 0xff); 1519 1520 error = rtw_read8(Adapter, REG_RRSR + 2, &tmp); 1521 if (error) 1522 return; 1523 1524 rtw_write8(Adapter, REG_RRSR + 2, tmp & 0xf0); 1525 1526 /* Set RTS initial rate */ 1527 while (BrateCfg > 0x1) { 1528 BrateCfg = (BrateCfg >> 1); 1529 RateIndex++; 1530 } 1531 /* Ziv - Check */ 1532 rtw_write8(Adapter, REG_INIRTS_RATE_SEL, RateIndex); 1533 } 1534 break; 1535 case HW_VAR_TXPAUSE: 1536 rtw_write8(Adapter, REG_TXPAUSE, *((u8 *)val)); 1537 break; 1538 case HW_VAR_BCN_FUNC: 1539 hw_var_set_bcn_func(Adapter, variable, val); 1540 break; 1541 case HW_VAR_CORRECT_TSF: 1542 { 1543 u64 tsf; 1544 struct mlme_ext_priv *pmlmeext = &Adapter->mlmeextpriv; 1545 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info; 1546 1547 tsf = pmlmeext->TSFValue - do_div(pmlmeext->TSFValue, 1548 pmlmeinfo->bcn_interval * 1024) - 1024; /* us */ 1549 1550 if (((pmlmeinfo->state & 0x03) == WIFI_FW_ADHOC_STATE) || ((pmlmeinfo->state & 0x03) == WIFI_FW_AP_STATE)) 1551 StopTxBeacon(Adapter); 1552 1553 /* disable related TSF function */ 1554 error = rtw_read8(Adapter, REG_BCN_CTRL, &tmp); 1555 if (error) 1556 return; 1557 1558 rtw_write8(Adapter, REG_BCN_CTRL, tmp & (~BIT(3))); 1559 1560 rtw_write32(Adapter, REG_TSFTR, tsf); 1561 rtw_write32(Adapter, REG_TSFTR + 4, tsf >> 32); 1562 1563 /* enable related TSF function */ 1564 error = rtw_read8(Adapter, REG_BCN_CTRL, &tmp); 1565 if (error) 1566 return; 1567 1568 rtw_write8(Adapter, REG_BCN_CTRL, tmp | BIT(3)); 1569 1570 if (((pmlmeinfo->state & 0x03) == WIFI_FW_ADHOC_STATE) || ((pmlmeinfo->state & 0x03) == WIFI_FW_AP_STATE)) 1571 ResumeTxBeacon(Adapter); 1572 } 1573 break; 1574 case HW_VAR_CHECK_BSSID: 1575 if (*((u8 *)val)) { 1576 rtw_write32(Adapter, REG_RCR, rtw_read32(Adapter, REG_RCR) | RCR_CBSSID_DATA | RCR_CBSSID_BCN); 1577 } else { 1578 u32 val32; 1579 1580 val32 = rtw_read32(Adapter, REG_RCR); 1581 1582 val32 &= ~(RCR_CBSSID_DATA | RCR_CBSSID_BCN); 1583 1584 rtw_write32(Adapter, REG_RCR, val32); 1585 } 1586 break; 1587 case HW_VAR_MLME_DISCONNECT: 1588 /* Set RCR to not to receive data frame when NO LINK state */ 1589 /* reject all data frames */ 1590 rtw_write16(Adapter, REG_RXFLTMAP2, 0x00); 1591 1592 /* reset TSF */ 1593 rtw_write8(Adapter, REG_DUAL_TSF_RST, (BIT(0) | BIT(1))); 1594 1595 /* disable update TSF */ 1596 error = rtw_read8(Adapter, REG_BCN_CTRL, &tmp); 1597 if (error) 1598 return; 1599 1600 rtw_write8(Adapter, REG_BCN_CTRL, tmp | BIT(4)); 1601 break; 1602 case HW_VAR_MLME_SITESURVEY: 1603 if (*((u8 *)val)) { /* under sitesurvey */ 1604 /* config RCR to receive different BSSID & not to receive data frame */ 1605 u32 v = rtw_read32(Adapter, REG_RCR); 1606 1607 v &= ~(RCR_CBSSID_BCN); 1608 rtw_write32(Adapter, REG_RCR, v); 1609 /* reject all data frame */ 1610 rtw_write16(Adapter, REG_RXFLTMAP2, 0x00); 1611 1612 /* disable update TSF */ 1613 error = rtw_read8(Adapter, REG_BCN_CTRL, &tmp); 1614 if (error) 1615 return; 1616 rtw_write8(Adapter, REG_BCN_CTRL, tmp | BIT(4)); 1617 } else { /* sitesurvey done */ 1618 struct mlme_ext_priv *pmlmeext = &Adapter->mlmeextpriv; 1619 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info; 1620 1621 error = rtw_read8(Adapter, REG_BCN_CTRL, &tmp); 1622 if (error) 1623 return; 1624 1625 if ((is_client_associated_to_ap(Adapter)) || 1626 ((pmlmeinfo->state & 0x03) == WIFI_FW_ADHOC_STATE)) { 1627 /* enable to rx data frame */ 1628 rtw_write16(Adapter, REG_RXFLTMAP2, 0xFFFF); 1629 1630 /* enable update TSF */ 1631 rtw_write8(Adapter, REG_BCN_CTRL, tmp & (~BIT(4))); 1632 } else if ((pmlmeinfo->state & 0x03) == WIFI_FW_AP_STATE) { 1633 rtw_write16(Adapter, REG_RXFLTMAP2, 0xFFFF); 1634 /* enable update TSF */ 1635 rtw_write8(Adapter, REG_BCN_CTRL, tmp & (~BIT(4))); 1636 } 1637 1638 if ((pmlmeinfo->state & 0x03) == WIFI_FW_AP_STATE) { 1639 rtw_write32(Adapter, REG_RCR, rtw_read32(Adapter, REG_RCR) | RCR_CBSSID_BCN); 1640 } else { 1641 if (Adapter->in_cta_test) { 1642 u32 v = rtw_read32(Adapter, REG_RCR); 1643 v &= ~(RCR_CBSSID_DATA | RCR_CBSSID_BCN);/* RCR_ADF */ 1644 rtw_write32(Adapter, REG_RCR, v); 1645 } else { 1646 rtw_write32(Adapter, REG_RCR, rtw_read32(Adapter, REG_RCR) | RCR_CBSSID_BCN); 1647 } 1648 } 1649 } 1650 break; 1651 case HW_VAR_MLME_JOIN: 1652 { 1653 u8 RetryLimit = 0x30; 1654 u8 type = *((u8 *)val); 1655 u8 tmp; 1656 struct mlme_priv *pmlmepriv = &Adapter->mlmepriv; 1657 1658 if (type == 0) { /* prepare to join */ 1659 /* enable to rx data frame.Accept all data frame */ 1660 rtw_write16(Adapter, REG_RXFLTMAP2, 0xFFFF); 1661 1662 if (Adapter->in_cta_test) { 1663 u32 v = rtw_read32(Adapter, REG_RCR); 1664 v &= ~(RCR_CBSSID_DATA | RCR_CBSSID_BCN);/* RCR_ADF */ 1665 rtw_write32(Adapter, REG_RCR, v); 1666 } else { 1667 rtw_write32(Adapter, REG_RCR, rtw_read32(Adapter, REG_RCR) | RCR_CBSSID_DATA | RCR_CBSSID_BCN); 1668 } 1669 1670 if (check_fwstate(pmlmepriv, WIFI_STATION_STATE)) 1671 RetryLimit = (haldata->CustomerID == RT_CID_CCX) ? 7 : 48; 1672 else /* Ad-hoc Mode */ 1673 RetryLimit = 0x7; 1674 } else if (type == 1) { 1675 /* joinbss_event call back when join res < 0 */ 1676 rtw_write16(Adapter, REG_RXFLTMAP2, 0x00); 1677 } else if (type == 2) { 1678 /* sta add event call back */ 1679 /* enable update TSF */ 1680 error = rtw_read8(Adapter, REG_BCN_CTRL, &tmp); 1681 if (error) 1682 return; 1683 1684 rtw_write8(Adapter, REG_BCN_CTRL, tmp & (~BIT(4))); 1685 1686 if (check_fwstate(pmlmepriv, WIFI_ADHOC_STATE | WIFI_ADHOC_MASTER_STATE)) 1687 RetryLimit = 0x7; 1688 } 1689 rtw_write16(Adapter, REG_RL, RetryLimit << RETRY_LIMIT_SHORT_SHIFT | RetryLimit << RETRY_LIMIT_LONG_SHIFT); 1690 } 1691 break; 1692 case HW_VAR_BEACON_INTERVAL: 1693 rtw_write16(Adapter, REG_BCN_INTERVAL, *((u16 *)val)); 1694 break; 1695 case HW_VAR_SLOT_TIME: 1696 { 1697 u8 u1bAIFS, aSifsTime; 1698 struct mlme_ext_priv *pmlmeext = &Adapter->mlmeextpriv; 1699 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info; 1700 1701 rtw_write8(Adapter, REG_SLOT, val[0]); 1702 1703 if (pmlmeinfo->WMM_enable == 0) { 1704 if (pmlmeext->cur_wireless_mode == WIRELESS_11B) 1705 aSifsTime = 10; 1706 else 1707 aSifsTime = 16; 1708 1709 u1bAIFS = aSifsTime + (2 * pmlmeinfo->slotTime); 1710 1711 /* Temporary removed, 2008.06.20. */ 1712 rtw_write8(Adapter, REG_EDCA_VO_PARAM, u1bAIFS); 1713 rtw_write8(Adapter, REG_EDCA_VI_PARAM, u1bAIFS); 1714 rtw_write8(Adapter, REG_EDCA_BE_PARAM, u1bAIFS); 1715 rtw_write8(Adapter, REG_EDCA_BK_PARAM, u1bAIFS); 1716 } 1717 } 1718 break; 1719 case HW_VAR_RESP_SIFS: 1720 /* RESP_SIFS for CCK */ 1721 rtw_write8(Adapter, REG_R2T_SIFS, val[0]); /* SIFS_T2T_CCK (0x08) */ 1722 rtw_write8(Adapter, REG_R2T_SIFS + 1, val[1]); /* SIFS_R2T_CCK(0x08) */ 1723 /* RESP_SIFS for OFDM */ 1724 rtw_write8(Adapter, REG_T2T_SIFS, val[2]); /* SIFS_T2T_OFDM (0x0a) */ 1725 rtw_write8(Adapter, REG_T2T_SIFS + 1, val[3]); /* SIFS_R2T_OFDM(0x0a) */ 1726 break; 1727 case HW_VAR_ACK_PREAMBLE: 1728 { 1729 u8 regTmp; 1730 u8 bShortPreamble = *((bool *)val); 1731 /* Joseph marked out for Netgear 3500 TKIP channel 7 issue.(Temporarily) */ 1732 regTmp = (haldata->nCur40MhzPrimeSC) << 5; 1733 if (bShortPreamble) 1734 regTmp |= 0x80; 1735 1736 rtw_write8(Adapter, REG_RRSR + 2, regTmp); 1737 } 1738 break; 1739 case HW_VAR_SEC_CFG: 1740 rtw_write8(Adapter, REG_SECCFG, *((u8 *)val)); 1741 break; 1742 case HW_VAR_DM_FLAG: 1743 podmpriv->SupportAbility = *((u8 *)val); 1744 break; 1745 case HW_VAR_DM_FUNC_OP: 1746 if (val[0]) 1747 podmpriv->BK_SupportAbility = podmpriv->SupportAbility; 1748 else 1749 podmpriv->SupportAbility = podmpriv->BK_SupportAbility; 1750 break; 1751 case HW_VAR_DM_FUNC_SET: 1752 if (*((u32 *)val) == DYNAMIC_ALL_FUNC_ENABLE) { 1753 pdmpriv->DMFlag = pdmpriv->InitDMFlag; 1754 podmpriv->SupportAbility = pdmpriv->InitODMFlag; 1755 } else { 1756 podmpriv->SupportAbility |= *((u32 *)val); 1757 } 1758 break; 1759 case HW_VAR_DM_FUNC_CLR: 1760 podmpriv->SupportAbility &= *((u32 *)val); 1761 break; 1762 case HW_VAR_CAM_EMPTY_ENTRY: 1763 { 1764 u8 ucIndex = *((u8 *)val); 1765 u8 i; 1766 u32 ulCommand = 0; 1767 u32 ulContent = 0; 1768 u32 ulEncAlgo = CAM_AES; 1769 1770 for (i = 0; i < CAM_CONTENT_COUNT; i++) { 1771 /* filled id in CAM config 2 byte */ 1772 if (i == 0) 1773 ulContent |= (ucIndex & 0x03) | ((u16)(ulEncAlgo) << 2); 1774 else 1775 ulContent = 0; 1776 /* polling bit, and No Write enable, and address */ 1777 ulCommand = CAM_CONTENT_COUNT * ucIndex + i; 1778 ulCommand = ulCommand | CAM_POLLINIG | CAM_WRITE; 1779 /* write content 0 is equall to mark invalid */ 1780 rtw_write32(Adapter, WCAMI, ulContent); /* delay_ms(40); */ 1781 rtw_write32(Adapter, RWCAM, ulCommand); /* delay_ms(40); */ 1782 } 1783 } 1784 break; 1785 case HW_VAR_CAM_INVALID_ALL: 1786 rtw_write32(Adapter, RWCAM, BIT(31) | BIT(30)); 1787 break; 1788 case HW_VAR_CAM_WRITE: 1789 { 1790 u32 cmd; 1791 u32 *cam_val = (u32 *)val; 1792 rtw_write32(Adapter, WCAMI, cam_val[0]); 1793 1794 cmd = CAM_POLLINIG | CAM_WRITE | cam_val[1]; 1795 rtw_write32(Adapter, RWCAM, cmd); 1796 } 1797 break; 1798 case HW_VAR_AC_PARAM_VO: 1799 rtw_write32(Adapter, REG_EDCA_VO_PARAM, ((u32 *)(val))[0]); 1800 break; 1801 case HW_VAR_AC_PARAM_VI: 1802 rtw_write32(Adapter, REG_EDCA_VI_PARAM, ((u32 *)(val))[0]); 1803 break; 1804 case HW_VAR_AC_PARAM_BE: 1805 haldata->AcParam_BE = ((u32 *)(val))[0]; 1806 rtw_write32(Adapter, REG_EDCA_BE_PARAM, ((u32 *)(val))[0]); 1807 break; 1808 case HW_VAR_AC_PARAM_BK: 1809 rtw_write32(Adapter, REG_EDCA_BK_PARAM, ((u32 *)(val))[0]); 1810 break; 1811 case HW_VAR_ACM_CTRL: 1812 { 1813 u8 acm_ctrl = *((u8 *)val); 1814 u8 AcmCtrl; 1815 1816 error = rtw_read8(Adapter, REG_ACMHWCTRL, &AcmCtrl); 1817 if (error) 1818 return; 1819 1820 if (acm_ctrl > 1) 1821 AcmCtrl = AcmCtrl | 0x1; 1822 1823 if (acm_ctrl & BIT(3)) 1824 AcmCtrl |= AcmHw_VoqEn; 1825 else 1826 AcmCtrl &= (~AcmHw_VoqEn); 1827 1828 if (acm_ctrl & BIT(2)) 1829 AcmCtrl |= AcmHw_ViqEn; 1830 else 1831 AcmCtrl &= (~AcmHw_ViqEn); 1832 1833 if (acm_ctrl & BIT(1)) 1834 AcmCtrl |= AcmHw_BeqEn; 1835 else 1836 AcmCtrl &= (~AcmHw_BeqEn); 1837 1838 DBG_88E("[HW_VAR_ACM_CTRL] Write 0x%X\n", AcmCtrl); 1839 rtw_write8(Adapter, REG_ACMHWCTRL, AcmCtrl); 1840 } 1841 break; 1842 case HW_VAR_AMPDU_MIN_SPACE: 1843 { 1844 u8 MinSpacingToSet; 1845 u8 SecMinSpace; 1846 u8 tmp; 1847 1848 MinSpacingToSet = *((u8 *)val); 1849 if (MinSpacingToSet <= 7) { 1850 switch (Adapter->securitypriv.dot11PrivacyAlgrthm) { 1851 case _NO_PRIVACY_: 1852 case _AES_: 1853 SecMinSpace = 0; 1854 break; 1855 case _WEP40_: 1856 case _WEP104_: 1857 case _TKIP_: 1858 case _TKIP_WTMIC_: 1859 SecMinSpace = 6; 1860 break; 1861 default: 1862 SecMinSpace = 7; 1863 break; 1864 } 1865 if (MinSpacingToSet < SecMinSpace) 1866 MinSpacingToSet = SecMinSpace; 1867 1868 error = rtw_read8(Adapter, REG_AMPDU_MIN_SPACE, &tmp); 1869 if (error) 1870 return; 1871 1872 rtw_write8(Adapter, REG_AMPDU_MIN_SPACE, (tmp & 0xf8) | 1873 MinSpacingToSet); 1874 } 1875 } 1876 break; 1877 case HW_VAR_AMPDU_FACTOR: 1878 { 1879 u8 RegToSet_Normal[4] = {0x41, 0xa8, 0x72, 0xb9}; 1880 u8 FactorToSet; 1881 u8 *pRegToSet; 1882 u8 index = 0; 1883 1884 pRegToSet = RegToSet_Normal; /* 0xb972a841; */ 1885 FactorToSet = *((u8 *)val); 1886 if (FactorToSet <= 3) { 1887 FactorToSet = (1 << (FactorToSet + 2)); 1888 if (FactorToSet > 0xf) 1889 FactorToSet = 0xf; 1890 1891 for (index = 0; index < 4; index++) { 1892 if ((pRegToSet[index] & 0xf0) > (FactorToSet << 4)) 1893 pRegToSet[index] = (pRegToSet[index] & 0x0f) | (FactorToSet << 4); 1894 1895 if ((pRegToSet[index] & 0x0f) > FactorToSet) 1896 pRegToSet[index] = (pRegToSet[index] & 0xf0) | (FactorToSet); 1897 1898 rtw_write8(Adapter, (REG_AGGLEN_LMT + index), pRegToSet[index]); 1899 } 1900 } 1901 } 1902 break; 1903 case HW_VAR_RXDMA_AGG_PG_TH: 1904 { 1905 u8 threshold = *((u8 *)val); 1906 if (threshold == 0) 1907 threshold = haldata->UsbRxAggPageCount; 1908 rtw_write8(Adapter, REG_RXDMA_AGG_PG_TH, threshold); 1909 } 1910 break; 1911 case HW_VAR_SET_RPWM: 1912 break; 1913 case HW_VAR_H2C_FW_PWRMODE: 1914 { 1915 u8 psmode = (*(u8 *)val); 1916 1917 /* Forece leave RF low power mode for 1T1R to prevent conficting setting in Fw power */ 1918 /* saving sequence. 2010.06.07. Added by tynli. Suggested by SD3 yschang. */ 1919 if ((psmode != PS_MODE_ACTIVE) && (!IS_92C_SERIAL(haldata->VersionID))) 1920 ODM_RF_Saving(podmpriv, true); 1921 rtl8188e_set_FwPwrMode_cmd(Adapter, psmode); 1922 } 1923 break; 1924 case HW_VAR_H2C_FW_JOINBSSRPT: 1925 { 1926 u8 mstatus = (*(u8 *)val); 1927 rtl8188e_set_FwJoinBssReport_cmd(Adapter, mstatus); 1928 } 1929 break; 1930 #ifdef CONFIG_88EU_P2P 1931 case HW_VAR_H2C_FW_P2P_PS_OFFLOAD: 1932 { 1933 u8 p2p_ps_state = (*(u8 *)val); 1934 rtl8188e_set_p2p_ps_offload_cmd(Adapter, p2p_ps_state); 1935 } 1936 break; 1937 #endif 1938 case HW_VAR_INITIAL_GAIN: 1939 { 1940 struct rtw_dig *pDigTable = &podmpriv->DM_DigTable; 1941 u32 rx_gain = ((u32 *)(val))[0]; 1942 1943 if (rx_gain == 0xff) {/* restore rx gain */ 1944 ODM_Write_DIG(podmpriv, pDigTable->BackupIGValue); 1945 } else { 1946 pDigTable->BackupIGValue = pDigTable->CurIGValue; 1947 ODM_Write_DIG(podmpriv, rx_gain); 1948 } 1949 } 1950 break; 1951 case HW_VAR_TRIGGER_GPIO_0: 1952 rtl8192cu_trigger_gpio_0(Adapter); 1953 break; 1954 case HW_VAR_RPT_TIMER_SETTING: 1955 { 1956 u16 min_rpt_time = (*(u16 *)val); 1957 ODM_RA_Set_TxRPT_Time(podmpriv, min_rpt_time); 1958 } 1959 break; 1960 case HW_VAR_ANTENNA_DIVERSITY_SELECT: 1961 { 1962 u8 Optimum_antenna = (*(u8 *)val); 1963 u8 Ant; 1964 /* switch antenna to Optimum_antenna */ 1965 if (haldata->CurAntenna != Optimum_antenna) { 1966 Ant = (Optimum_antenna == 2) ? MAIN_ANT : AUX_ANT; 1967 ODM_UpdateRxIdleAnt_88E(&haldata->odmpriv, Ant); 1968 1969 haldata->CurAntenna = Optimum_antenna; 1970 } 1971 } 1972 break; 1973 case HW_VAR_EFUSE_BYTES: /* To set EFUE total used bytes, added by Roger, 2008.12.22. */ 1974 haldata->EfuseUsedBytes = *((u16 *)val); 1975 break; 1976 case HW_VAR_FIFO_CLEARN_UP: 1977 { 1978 struct pwrctrl_priv *pwrpriv = &Adapter->pwrctrlpriv; 1979 u8 trycnt = 100; 1980 1981 /* pause tx */ 1982 rtw_write8(Adapter, REG_TXPAUSE, 0xff); 1983 1984 /* keep sn */ 1985 Adapter->xmitpriv.nqos_ssn = rtw_read16(Adapter, REG_NQOS_SEQ); 1986 1987 if (!pwrpriv->bkeepfwalive) { 1988 /* RX DMA stop */ 1989 rtw_write32(Adapter, REG_RXPKT_NUM, (rtw_read32(Adapter, REG_RXPKT_NUM) | RW_RELEASE_EN)); 1990 do { 1991 if (!(rtw_read32(Adapter, REG_RXPKT_NUM) & RXDMA_IDLE)) 1992 break; 1993 } while (trycnt--); 1994 if (trycnt == 0) 1995 DBG_88E("Stop RX DMA failed......\n"); 1996 1997 /* RQPN Load 0 */ 1998 rtw_write16(Adapter, REG_RQPN_NPQ, 0x0); 1999 rtw_write32(Adapter, REG_RQPN, 0x80000000); 2000 mdelay(10); 2001 } 2002 } 2003 break; 2004 case HW_VAR_CHECK_TXBUF: 2005 break; 2006 case HW_VAR_APFM_ON_MAC: 2007 haldata->bMacPwrCtrlOn = *val; 2008 DBG_88E("%s: bMacPwrCtrlOn=%d\n", __func__, haldata->bMacPwrCtrlOn); 2009 break; 2010 case HW_VAR_TX_RPT_MAX_MACID: 2011 { 2012 u8 maxMacid = *val; 2013 DBG_88E("### MacID(%d),Set Max Tx RPT MID(%d)\n", maxMacid, maxMacid + 1); 2014 rtw_write8(Adapter, REG_TX_RPT_CTRL + 1, maxMacid + 1); 2015 } 2016 break; 2017 case HW_VAR_H2C_MEDIA_STATUS_RPT: 2018 rtl8188e_set_FwMediaStatus_cmd(Adapter, (*(__le16 *)val)); 2019 break; 2020 case HW_VAR_BCN_VALID: 2021 /* BCN_VALID, BIT(16) of REG_TDECTRL = BIT(0) of REG_TDECTRL+2, write 1 to clear, Clear by sw */ > 2022 u8 tmp; 2023 2024 error = rtw_read8(Adapter, REG_TDECTRL + 2, &tmp); 2025 if (error) 2026 return; 2027 2028 rtw_write8(Adapter, REG_TDECTRL + 2, tmp | BIT(0)); 2029 break; 2030 default: 2031 break; 2032 } 2033 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --mYCpIKhGyMATD0i+ Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICGahLGEAAy5jb25maWcAlDzLdty2kvt8RR9nkyySK8m2xjlztABJkA03STAA2OrWhkeR 247O2JJHj3vtv58qgI/CoxVPFrFYVXgX6o3++aefV+z56f7L9dPtzfXnz99Xnw53h4frp8OH 1cfbz4f/XhVy1Uqz4oUwvwNxfXv3/O1f396dD+dvVm9/P33z+8lvDzfnq83h4e7weZXf3328 /fQMHdze3/3080+5bEtRDXk+bLnSQraD4Ttz8erTzc1vf6x+KQ5/3V7frf74/TV0c3b2q/vr FWkm9FDl+cX3CVQtXV38cfL65GSmrVlbzagZzLTtou2XLgA0kZ29fntyNsHrAkmzslhIAZQm JYgTMtuctUMt2s3SAwEO2jAjcg+3hskw3QyVNDKJEC005RGqlUOnZClqPpTtwIxRhES22qg+ N1LpBSrUn8OlVGRqWS/qwoiGD4Zl0JGWyixYs1acwY60pYT/AYnGpnCkP68qyyKfV4+Hp+ev yyGLVpiBt9uBKdgh0Qhz8foMyOdpNR3O13BtVrePq7v7J+xhat2zTgxrGJIrS0IOQeasnnb7 1asUeGA93T+7skGz2hD6NdvyYcNVy+uhuhLdQk4xGWDO0qj6qmFpzO7qWAt5DPEmjbjShrCf P9t5J+lU6U6GBDjhl/C7q5dby5fRb15C40ISp1zwkvW1sbxCzmYCr6U2LWv4xatf7u7vDr/O BPqSkQPTe70VXR4B8N/c1Au8k1rshubPnvc8DY2aXDKTr4egRa6k1kPDG6n2eNtYvl6Qvea1 yIh86UFWBsfLFHRqETgeq+uAfIHaGwaXdfX4/Nfj98enw5flhlW85Urk9i7D9c/IDClKr+Vl GsPLkudG4ITKcmjcnQ7oOt4WorUCI91JIyoFggwuYxIt2vc4BkWvmSoApeEYB8U1DJBumq/p tURIIRsmWh+mRZMiGtaCK9znfdx5o0V6PSMiOY7Fyabpj2wDMwrYCE4NBBHI2jQVLldt7XYN jSy4P0QpVc6LUdbCphOO7pjS/PghFDzrq1JbsXC4+7C6/xgwzaIXZb7RsoeBHG8Xkgxj+ZKS 2Iv5PdV4y2pRMMOHmmkz5Pu8TrCfVSfbiMcntO2Pb3lr9IvIIVOSFTmjaiBF1sCxs+J9n6Rr pB76DqccXEZ3//Out9NV2iq3QDn+CI1d7KZHtTdqLHt5ze2Xw8Nj6v6C9t8MsuVwQcmEQZev r1A9NvbOzJIUgB2sRBYiT0hS10oU9hTmNg5a9nV9rAnZC1GtkT/HFVJWipYwa9auDDaTA2h4 T5nG8tQla80s1hcSu0HwmdodpIo4J+p9BMC9u2R7PVAxM6GmYUNc33ZKbBd0SdYCQlzh/RwK IOGK7ik27RSvgSOTGg/xtW583LiR/lJn5lKcN52BA2m905vgW1n3rWFqnxxvpEoc8NQ+l9Cc yJJ8DUIml4pPJwB8/S9z/fg/qyc46NU1zPXx6frpcXV9c3P/fPd0e/cpYFq8CCy3/ToxNc9m K5QJ0HgFE7NDsWWvjNcR5SU3UbYNlE+mC1R3OQcdDG3NccywfU2uJ9xYNLm1D4Lzr9k+6Mgi dgmYkMnpdlp4HzNTFUKjMV3Q2/QDuz0LXNhIoWU96Vd7WirvVzohTeC0B8AtE4GPge9AaNDD 9yhsmwCE22SbjpIzQvVFMI6DG8XyxARgy+t6EWcE03I4Xc2rPKsFldiIK1kre3Nx/iYGDjVn 5cXpuY/RJpRndgiZZ7iJR+c6WK+myej5+PvrOxGZaM/IjoiN+yOGWD6kYOfLEOarJXYKMm4t SnNxdkLheO4N2xH86exsgtBqDXiPrORBH6evvevTg9/nPDl34VFRTjykb/4+fHj+fHhYfTxc Pz0/HB4XRurBd266ycXzgVkPyhY0rRMpb5dNS3ToKQDddx04lOCq9g0bMgbuee5doUVNZGiW wIT7tmEwjTobyrrXxMIenV7YhtOzd0EP8zgh9ti4Pny+uLyd7u00aKVk35Hz61jF3T5wYuyB U5BXwWfgrjjYBv4hkqvejCOEIw6XShiesXwTYey5LtCSCTUkMXkJJhRri0tRGLKPIKmT5IQB hvScOlHoCKgK6hCPwBIkzBXdoBG+7isOR0vgHehcKpzxAuFAIybqoeBbkfMIDNS+3J6mzFUZ AT37ZYQ1QueJwcC+JlJU5psZxQxZNrqrYKyDCiL7iWxP1Q5qPQpAX5V+o/XhAXAb6HfLjfcN 55dvOgk8jxaccardU/cYEZnOclbWYF4BZxQcDAXwWXjKQ1eoHX0+hY23foEiLGO/WQO9OfeA OPOqCOIrAAjCKgDxoykAoEEUi5fB9xvv24+UZFKi2eOLZhAbsoO9F1ccPS3LEVI1cPE9qysk 0/BHYmNAGUjVrVkLQksRzRYGEJwoFsXpeUgDujnnnXUFrT4K3ZJcdxuYJSh/nOaCDVV60HkD 4ksgB5Hx4K6hdx/b044DInAJ6yrqKEYyewaeKgq/h7Yh1pB3b3hdTobn1OTYKjMG/i56LmRW veG74BOuBum+k97iRNWymgZw7QIowDqOFKDXnlxmgrAdGH+98pVWsRWaT/ungxO0CglPwqqU shguwyhjRAEerPS1ScaUEvQwNzjSvtExZPDOcIFmYEHCXiHDe7bQTGH3Gm86xoJ8MTROLNCy qH6XucH62zw4103e0CuvOTHgrTANYNAZLwqqhdwlgBkMYYTAAmFyw7ax4RbKTacnbyYbZ8xB dIeHj/cPX67vbg4r/u/DHVjaDGyWHG1t8GoXuyc5lptrYsTZ8vnBYaYOt40bYzIdyFi67rNQ 02CYnIFNZAMNi+CuWZaQSdiBTybTZCyD41Ngv4zmDp0D4FBpo00+KBADsjmGxTge+Aje7enL EixOaxslQmF2hWjcdkwZwXxBZHhjlSmmUkQp8iCo6BIc3vWzYtOqPS9c4SckJuLzNxkNHOxs zsr7purMpUxQNhc8lwW9gOCDdOCGWN1hLl4dPn88f/Pbt3fnv52/odmIDejVyRwl6zRgyTn3 I8J5sUV7zxq0gFWLfocLb12cvXuJgO0wx5IkmBhp6uhIPx4ZdLf4WXO4UbPBs/QmhMe3BDhL lsEelcfybnDwv0eVN5RFHncCwlVkCoONhW+OzMIIeQqH2SVwwDUw6NBVwEFhIB4MS2cbupgF eIPUyAK7aUJZ+QRdKQx2rnua0/PoLOcnydx8RMZV6+K/oFO1yKiWHT0YjTH3Y2jrItmNYXVs RdvsgSUMFoobXw9mF92AQVNB7ftQvc0qkOMqwQjgTNX7HOPXVFEWe7B8MV2w3mu4unWQTegq 54rWIPtAT74lNhkekWYtd1cDz4jnTmhYKd493N8cHh/vH1ZP37+6cEnssnprwHWVnJlecWeO +6jdGetoVANhTWfj64TzZF2UgjqdihuwLrzkK7Z0jAfmnKp9BN8ZOEXkjMi0QTS6nX5+A6Hb aCH9lgp0hExTSwh2RLuDbkTh9+PAdaeD3WDNMsPIpRJSl0OTiRgSqinsamabMTEG/mjdK8+2 dr6IbIBHS3AXZomQWMt6D1cKrCuwwKveSwDDUTEMM8aQYberE9BgrjNcd6K1GQ1/HestCpwa XW5QRbmnwHa89T6Gbut/vz09q7KQJOBPgIFWPQmp1tsmAYrbOnCwKYjQeNEj/88OZ62YUkcd EYMt7tNlg7oeswpwTWvjm9de83lTj4Z0Z4opEDXC3wObrCUaV+HwuWpn2MxCzeZdMgzedDpP I9AwTSfGQX/LJsF7s96h1vJ0i1SLeYEcbi+PYnNIU596yHOKMzoQPGAl7/J1FRgimKza+hBQ 2aLpGytvSpC09Z4ER5HAHjF4lY0m7CrY6zMrCwfPJ7Wiptkdk5JjCB19X15zL5YCo8M1d9Ik BoMwiYHrfUXNuAmcgw3MehUjrtZM7mjydd1xx1YqgHFwddEoUIbsakGdzwrMzDBpC1aNd6Va q7g12rqgujNeoXF0+sdZGo/J6hR2MqQTOA/mJKBuonxMk8cQdKilf2y2zGWINRgmJiKg4kqi 44dhjEzJDdx5GxnB5HvAXjmPABhcrnnF8n2EChlgAnsMMAExna3XoLVS3bx3/OVUPXGhvtzf 3T7dP3ipJ+Kgjfqsb4NoQkShWFe/hM8xJXSkB6sb5eWY/hudiyOTpCs7PY88Da47sJ3Caz5l xkdO9twdd6hdjf/j1HoQ74jwBJMLLqtXSDCDwkNaEN4xLWCJJW8o4UoWsQOVKqPdE5oYb61x 58MKoeCAhypDu1iHXTBXA6eNyKlPANsOJgRctVztO3MUAQrCehXZPnZj0bzyG/qQ0fZleScC jM0HcCowUN7rSdQvlYTWUrZmo5sTS5jyMzqaoMNb8TrZS5hvrgOKERVU91iUjY9vkP9dEeXC IDXe2nqyrbBSo+cXJ98+HK4/nJD/6F50OEl32SMrMMBffPEOESPP4C5KzAkp1XcxF6PIQeXf TKtZCF1zwotGKf8LXQNhhJdC8OHj1s9bfHKEDA8DzSMrlyfiU2+RLDwgsEo0+C4oZ5ifI7Ho MDxireCGhSZ8E5r5o40+n61xFVLDhu91itLoneWOsUbBcwdCijZp6SQoMSNwxIfQFfGjeSm8 D7izfeZDGrEbU9yTer4aTk9OUlb91XD29iQgfe2TBr2ku7mAbnx1uVZYmkAMWr7jefCJsYDw HqH/6ZBdryqMV+3DVpqmEWaQK9cKEdmVaDAGYINYe79prpheD0VPzQ/X6r0Hm51oEI/g55x8 O/VvLFaf5Mz4EscxI2YtMJwbeJsYorCtdGIUVouqhVHOvEEmj35k05rtMSOfGM4RHMcsA3Ws sGVtJ9+u55ME2VD3lW9qLxKDoIm/5NyZNG4MYG0LLSmbjbIt0LjJxE5AuZNtvX+pKyzbSfJv 3hQYMMDlpOqv4BYih9SFiRMwNmZTg3brMBm+wCloMUleCJFErA5HMATK2GmadYfnhXFAF9vB kwu1GnppLovg9KR1hawd4Ay4+/8cHlZgG11/Onw53D3ZqaCOXd1/xRcAJGITRcNcfQSxgV0Y LALEGeUJoTeis6kIso3jAHz26HWM9GtTyZR0yzqszUONSK4NuOSmcBFs49egI6rmvPOJEeJ7 8QBF6RvTXrINDyISFDqW0Z8ul9TDVjQT0nhdhCGQBlNXmPksEiisXoz3f15K0KCwcwgrSSnU Om8oPE7P6MSDpOcE8d05gOb1xvuevHNXpEu26vJPZ65jXbPIBV9KDl9qnziykEKSKgFEVWlj bQ6AIcsTXPQ1iRArweFUpdz0YRC2EdXajFlCbNLRwLiFjCkTt2Trxug4p2Ap7YlV9M544MHP FrvOu1wNgYaxiLIrwu7rToSgYE8tTPHtIEEtKlHwVHQbaUAVLqXMFMHC1WfMgHG7D6G9MfQ6 W+AWBpThMlhIZVgR7o8Xp7IgG35RHBhNhzNcoiahTxmgRREtO++6fPBfDXhtArjompCjkno0 GJhVFZi/fkbOLX0NHibNxrmGU1h4fFqUsJvGjUPzu+8qxYpwYS/hAjnixsyRd2TITvC3gZsY cem06tAE8ZBC+pERx6BZeH6+eW9H7bWR6LWYtQxxWaW8sOTI4UWPIhUTo5fobaDpkND8zu0s 4dbMvhR+gT+Y90qYfXJrApfWTrlhYULLXZaOi2NwvzojQb5QVmseXUOEwyFxFp2FRUWh/4iC i/Z9Eo4ps9S6i84Q8Ytfc1DGgwFLlmIbzirxxsHKmZ2pIyArduEdcH+Xnj4WWCAEF8mzG7K9 yVV+DJuvX8LunAg/1vPODJcv9fwP2AKfYRwjMJ0+f/fmv06O4Uf5KYNwFupqPyA7ippmDuaB IFqVD4f/fT7c3XxfPd5cf/ZieZMgJXOdRGslt/YJ4+BX/VF0WE48I1Hy0ms5I6a6TmxNCr/S dnuyEZ4RZlh+vAmqVVsLmBAByQbWke2NqI8s269YS1JMszyCn6d0BC/bgkP/xdF9b8f3S0dH oGuYGeFjyAirDw+3//aqb4DM7Yd/5iPMKiPPDF8iGl2gbi2D5vnU2kdMWvxlDPybBR3ixrby cti8C5o1xch6vNXgEGxBfvsUYEfzAkw1l/NQog1C+t0blxJr5OxIPf59/XD4EHtNfnfOYKDv ChI3bj4D8eHzwb9/viEyQewp1uAmcnUE2XD6ftpDGWpoeZg4fzhBphRjuBY74YnYHXVI9s8O p3th9Pw4AVa/gPZaHZ5ufv+VJBbA6nCRaiLnAdY07sOHejlgR4IpudOTtU+Xt9nZCaz+z17Q Z2JYP5P12gcU4Ewzz03AkLVXzGXPfq/LLP2yKL1Et/zbu+uH7yv+5fnzdcBQNkF4JPuwe01K dMewSgyKSDDZ1GNsHaNKwCo00zW+y51bLtOPpmhnXt4+fPkPXIVVEYoLXtAqzqLwn2+VQjXW AHMRDWIqNIKmLeDTlcAGIHyjb+szWo7hHRvkLMcIAj24HN+EZiUsWlCZuSDIlC6HvKzC0Sh0 ihct2ErKqubzaiKE9nKdDoYJAZvkC5y3EY2PD0CIyxdRLtMYpP5iqmmoiGbbzZIMdm71C//2 dLh7vP3r82E5UYFlkR+vbw6/rvTz16/3D0/kcGG7t4zWiSGEa+rYTjQo/r0cYIAIn2L5hAqr FBpYFWUSd9qbmHtsrJvtZuRSRGfj4rI04wPfI6NcKtZ1PFzXFG3AuPpYWD+HDvGVIZXDSI9b 7uDWL1Ky9vE563Rfp9v6P6UAs8FiTYUZRiOosY/LMO5p+2ZoQK1VgYCwy8rFWchmCB932olV 67TM9/z/ww7e2Y/lwYm70Ns1d3SlM8iv6rRz41vM86wHm5oLdmcqbQv207mBWoMZgvGLmtks jXvce/j0cL36OK3CWTUWMz2dTRNM6EjAeU7bhlYETRDM3vuVYxRThhXUI3zASoD4ieVmKkem 7RDYNLTyACHMVnlHD34tsQ7dTYTONZkuqYxvHfwet2U4xhx4E8rssf7APs8bM2Q+aah9vMVm +47RAM2MxB9K8YwQBO5K/JER6WqNgkfdc8sOGxtRerX4WM/Ug6K7Cm6HO7jlRzCgPZiPSqYK 3eyc43IKXe3887dn0BQRAAzPbbAe3oaH2Yc/Q4HBm+3u7emZB9Jrdjq0IoSdvT0PoaZjvZ4f EE8V19cPN3/fPh1uMPfw24fDV+BytMoiO9YlwoIXAzYR5sOmEI5XLzMxCRrTNFUXFqtiTg0M 2YzurPvNHZtoxex76cvDEWuzOjFWdiYcYhwTMyZlEM6Oamfd8/g5Mt231kDCp1k5xufI7o6Z Z/vkFO7skPnvBzdYihp0bl+MAbxXbYJNXQUw7CxmdxJV0NHWOWhiHItIbATtJrUbFl/2rctz 26uQ/mUQIPMCVMszGdvjWsrwcqLpjHpQVL2kZvWsVoELrBfiflYj2GdbIC5B+2Gu1j1diwlQ F0YhRoocy1w8Y4HM3P12kntSMFyuheH+i+e5sFvPWVf7+NK1SNK10j1SCMfTDaYmxt9BCg9I 8QquPabKrF53jOf7HY7Oe5rjnx3+mtPRhuvLIYO1uqeIAc4WCRC0ttMJiH6Aj2mFVswqGHpF Z9q+2XSV5MHTz6WTxPjTQx81bpGfq1+ONCVbUtjEqyoUxmBNrfmYX7EJzSQa36enSEbWc1fF PQQf60jDyYwSZuQ8TPsGFGM7V1Z4BFfI/sgzhNHjQ5fO/T7N9LNcCVosLlvoU7umeY4EL6DG pxxEYIdN/oFwrMwNwsxkHDz0Gjg0QEZPExaF8QNw3H8ZvXWfs4U1mB725+r+kQAkCS2MRfj4 myHRSi4F0o5cbGvtQ1ZHmcl3xsrVTWwZhmh0sG1vAd2RH/wIlc8//thHI/H69aH56sBNCJ40 QmuruoC98CVMgr+P0iWGcteqr/6Ps3dtchtH2gX/SkVvxHlnYk9vi6Qu1InwB4qkJFq8FUFJ LH9hVNvV3RVju3rL1e/0nF+/SIAXZCIh++xETLv0PAkQ92siU73yo9exqg0rsoYl1xFtQYwG BHtAtXq18pGMOoFpDK/bjB5fJWe4BoYpHx7IwpDBFF/aZWDDRtu8YioCPg2cFKmuJRWZpiv1 hVFDh8sCelJGly+QBnYexaHmV2pMvMYTM1ckpggT1UArcVB2osnUrX6wUGUvMGQBZ9owxvQY b5YYjufw5AaDl8gOg06EYVRmSMnAR2Q5Mx2a7TKtwc6VNzQ2WlscNoeYFaxOOqfQNVNWNwsJ OLRQ1SKmlUuldrTW11wN/b8bFA2uWzIbnKPmHIE9pcAf9drwymVaDssVGLeChdnefFJLgw5v lW114rGpjEt+N2OZ3dRrhcG80rBk4wYMl5EAPL4Pb4zlqESeM5udVin6TpteveuKq8vPvz5+ e/p09y/9CPnP15ffnvFFGwgNlcdErNjRdKgug2nXSjn23PlWGlBpgaVW2MtpjR3rre53do5T y5YtCZ79m11evV4X8DDb0P3VzW3Q0kTX5MNwSwGtzakOzSzqXLKwDjGR87OieQHOPzsaEtfE k71S1kDbnAnr04z6qcGgxmzgsL0nCTUo3+dNeBKp1foHpILwR+Jaef7NbEMTPb776dsfj95P hIWe0MAmhBpNozzYHrmVlEnQYf6UijksmQ5i0IGvYEJGwDpoMgbTZ4Xq6qhW1G5ZHUa+++mX b78+f/3ly8sn2Xt+ffpp/rwcPQvZxOT4l8jB/KEQzm8Lbc2LKpPt8Gs7MOAiFwlqoCFTElDq 4qJJ7/FLvNk6kRzAhyt2g4Iz1Z04sCBSapqtx7TpoUEXpRbVt97Cpj9UyN7ACMslSdW22DiA zcmyuZJMDcfs9DAYuOuutYC+uGdLJQMTbXKCeWDZfQwn7VniCBpXjrLOKvz+S+eIvuQ0Ua58 oOVUtbm7A1TPaOOkiBVOONq8/tK6vo+vb88wON+1//nTfIs9KcZOKqbGvCNnsdJQnXURfXwu ojJy82kqqs5N4/dEhIyS/Q1WXfS3aeyWaDIRZ+bHs47LEryU5nJayHUiS7RRk3FEEcUsLJJK cARYQUwycSLHE/CCtJOLjh0TBEwMymwNr2As+ixDqutUJto8KbggAFOjWQc2e3JB2vAlKM5s WzlFckLnCLis4aJ5EJd1yDFG95+oWbeANHA0oFrXD9Bpinu48rIw2CHTbixhbC4NQKWzrQ0+ V7NBPaNryVBZpV+7JHILhtekBnl62Jmj2Qjv9ubotb/vx2GG2H8Ditg9m60Ko5RNfX6yYKqP 7JCZPGwgLRKlh1qWHmngLb5aSlmb1lmruq3gZLQpjEFcLQZ1YL3vNfMt5yq57neQqhYd3LTl UHa/E85QgJuhgZsrH9TCp0U5aBzoi0Y5a8hpK0oStfQgilvz7ms0v9Tv0j38M1qvZWX1o5Xh KnqWmJ9V6Hv7v58+/vX2CHe04PjhTr15fTPa4i4r90ULOxNrv8tRww7GlIXxBA5KJ5OL+b63 rGQOcYm4ycy92wATW30VKOAWtdleXflQmSyevry8/ueumPWHrJuqm0805/edcrY6RxzDCaed XKmZK6+ZugxPcOjDG0uC7EWVAdSDue5T73NO8F5DBgC3DUan0ZkxDcuacYF+AXxJ+Xoo7WY0 vLccYhmu6KzYv4MPOXLSs9FmPMrxKZDFWV3YyCyGeeZkFnOetX3d6iEe3u4vuQ8PYvA8vMUj 1vDhHayv0ZysAd1DuFMigqkjyiaFgQ8tahlj+2bCptPN78i1UAq2SKyu0XpqVO34oJ6NNX1L jWhpix8V1meDGwz77uYkTGtBQ+2qetBW25Pm3XKxXaPUui3K4OK28OO1rmTzLmcDA9N26taR MXtQrC2Lm1tMVqzQtgA5bf/51g7KHV/m2kicp5F+bmwOzrJmiBgytir7OjUcN0LmYhdAooEG ENi9Eu+8rVGs7En2hyEJU0koYNobV82sbJXuHc8rnUG0hc/vRx0ueWMuNyLmzyJuBTjytmSc QRynAi75dz99/t8vP2GpD3VV5XOEu3NiFweRCfZVzhuhZ8WFNl7oTCcSf/fT//71r08kjZwp fRXK+LkzL1Z0Es0WRE02jkiPzxUmJQ9QyRp1F9AAkjYNvtocnVVMhaBu/RUzXmK5eqcyIaeu BvViFF2NTBK1MkDHXA4BCTtvpYuATuJH1EZMTVqNEbMLWlPtoE68K9Pw9LGQ640MVCiQsAwM 9mIuSBdeXSTUezoNKLsGyuGDFOhlVz1w69Aa2yMYHv4SG/py0UG0CpWyA7x8UoMbqAPv2djb VF+GmeumYljyqoFHrgbzmriicC/ZpoWPWf7mNbUklN+tQnZF/AD6uwJgb1kmq0F6OgCmDCab JdElF6edtgc3KmWo1Wf59Pbvl9d/wWsIa9kpFwQnMx/6tyyWyBjiYVuOf8l1ckEQHKQ1jdTK H1arA6ytzNcUe2S6Tv6C2yR8Hq7QKD9UBMLvSifI2jwrhrM8A7g470BnL0NmiIDQCxhLnLEo o9N3JEAqapqEGmsTQG3KPmABjk+nsBtsY1MdAVl1KmJSG11SK1PiyO65ARLxDDXtrNamnrFb HIlOL7uV8acGcftsB0fKKe3FY2SgD6xfJSNOm5HSEpFpQn7i5HZ1V5nr+YmJ80gI8/BTMnVZ 0999coxtUFmCsNAmakgtZXVmIQel/F2cO0r07blE12GTPBcF43sISmvIHHmINjGc8K0SrrNC yM2dx4HGTCG3+vKb1SmzRqf60mYYOid8TvfV2QLmUhG4vaFuowDUbUbEHhNGhvSITCcW9zMF qi5E06sYFrS7Ri8/xMFQDgzcRFcOBkg2G1DZMTo+RC3/PDBn2hO1Q75SRjQ+8/hVfuJaVVxE R1RiMywc+MPOVBiZ8Et6iASDlxcGhFMhfOQwUTn30UtqPmOb4IfUbC8TnOVyYpU7MoZKYj5X cXLgyniHfDFNHqVYn1gjO1aBFQwKml0+TwJQtDclVCF/R6LkPSeOAmNLuCmkiummhCywm7ws upt8Q9JJ6LEK3v308a9fnz/+ZFZNkazQJbUcjNb41zAXwUHxnmN6fEKlCO2FAabyPqEjy9oa l9b2wLR2j0xrx9C0tscmSEqR1TRDmdnndFDnCLa2UYgCjdgKEVlrI/0aedoAtEwyEauzufah TgnJfgtNbgpB08CI8IFvTFyQxPMObp4pbM+DE/idCO1pT38nPaz7/MqmUHHHwrQSMuPIy4tu c3XOxATrf3JnVtuTl8LIzKEx3Ow1hvwBzt+BRyaglFpEDbIkDoeP9bBk2j/YQerjg7q1l8u3 osZ+jNKWKr1OEDNr7ZoskRtjM5R+Kfzy+gQ7k9+eP789vbocOM8xc7uigRq2UxylLdgOibgh QNd5OOYevwaweewIyOaJW1dbANmIsOlKGA2rBNcmZamOGhAK75jEg3DEBWGIgz4zpp60EJOy 24/JwqGCcHBgE2bvIqkLDESOppzcrGqaDl51LxJ1q/T5KjnDxTXP4IW5QYi4dQSRa748a1NH MiIwcRA5yD2Nc2KOgR84qKyJHQyzfUC8bAnKembpKnFROouzrp1pBYv6LipzBWqtvLdMLzZh vj3MtD7BudWHDvlZbqNwBGVk/ebqDGCaYsBoZQBGMw2YlV0A7dObgSgiIccLbMdozo7cmMmW 1z2gYHR2myCylZ9xCSMrF+W+hZs8pFAPGE6fLAZQO7NWOkqSeqjTYFlqW3IIxkMUALYMFANG VImRJEcklDXVSqzavUerQcDoiKygCjlYU198n9IS0JhVsOPpH8aUXiEuQFNPbQCYyPBpGCD6 qIbkTJBstVbbaPkWk5xrtg248P014XGZeg4fSsmmdAvSr42sxjlzXNPvrGZOKaK33Kn7+G93 H1++/Pr89enT3ZcX0Cf5xq0vupbOgCYF7fgGrY/i0TffHl9/f3pzfaqNmgOceeC3spyIMlws zsV3pLiFnC11OxeGFLditAW/k/RExOyqapY45t/hv58IuHshJqI4MeRikxXgV02zwI2k4FGI CVuCW7zvlEW5/24Syr1zIWkIVXRlyAjBoTLdKthC9gzFlsut6WqWa9PvCdBRipPBb2g4kR9q unLHVPCbCSRT1S08K6lp5/7y+PbxjxvjSBsflaYB3jQzQmjHyPDUVSsnkp+FYzc2y1QFNpDD ypTl7qF1ja+GFNm7uqTIlM5L3aiqWehWgx6k6vNNnqz5GYH08v2ivjGgaYE0Lm/z4nZ4WC58 v9zca91Z5Hb9MPdPtojyQ/Idmcvt1pL77e2v5Gl5MK95OJHvlgc6jWH577QxfUqEzNkyUuXe tc2fRPB6jOGx+icjQS8gOZHjg8CLKkbm1H537KHrXVvi9iwxyKRR7lqcjBLx98Yesr9mBOji lxHBxvkcEuqY9ztSDX/eNYvcnD0GEfTihRE4K72M2Q7dreOwMRowO05uZpXFiKh756/WBN1l sObos9qSnxhyjGmSuDcMHAxPXIQDjvsZ5m7Fp5QPnbECWzK5nj5q50FRTqIEB3s34rxF3OLc WZRkhhUOBlZ5N6VVehHkp3XNARjRwtOg3AXpt8yeP+j3yxH67u318es3sHQFTybfXj6+fL77 /PL46e7Xx8+PXz+CWsg3ahhNR6ePuFpyXT4R58RBRGSmMzknER15fBgb5ux8G58F0OQ2DY3h akN5bAnZEL4iAqS67K2YdnZAwKxPJlbOhIUUtkyaUKi8tyr8WglUOOLoLh/ZEqcGEhphihth Ch0mK5O0w63q8c8/Pz9/VAPU3R9Pn/+0w+5bq6rLfUwbe1+nw6HZEPf/+oFrgT1cFzaRumUx fF1JXM8UNq53Fww+nJMRfD7nsQg4IrFRdYzjiBzfLuAjEBqEi12d7NNIALMEHYnWJ5NloawY ZPahpXW+CyA+hZZ1JfGsZlRKJD5seY48jpbFJtHU9CrJZNs2pwQvPu1X8WkdIu1TME2jvTsK wW1skQDd1ZPE0M3zmLXykLtiHPZymStSpiDHzapdVk10pdBo953ism3x9Rq5akgSc1bmR1s3 Ou/Qu/97/WP9e+7Ha9ylpn685roaxc1+TIihpxF06Mc4ctxhMcdF4/ro2GnRbL52day1q2cZ RHrOTGd/iIMB0kHBwYaDOuYOAtJNnecggcKVSK4RmXTrIERjx8icHA6M4xvOwcFkudFhzXfX NdO31q7OtWaGGPO7/BhjSpR1i3vYrQ7Ezo/rcWpN0vjr09sPdD8pWKrjxv7QRDuw2Vwh15Tf i8jultYFvOxpg2ZAkdJbl4GwL1/QbSeOcFQz2PfpjvakgZMEXJIiXRGDaq0GhEhUiQYTLvw+ YJmoQLa4TMacyg08c8FrFicnIwaDd2IGYZ0LGJxo+c9fctOFDc5Gk9b5A0smrgKDtPU8Zc+Z ZvJcEaJjcwMnB+o7axAakf5MVt/4tFBra8azLo7uTBK4i+Ms+ebqRUNEPQj5zH5tIgMH7ArT 7hvixAcx1lNqZ1LnjJy0SZ3j48d/IaM+Y8R8nCSUEQgf6MCvPtkd4CY2RnbaFTHqFSp1Y6Vc BYp+75A7d4ccGJphlQ2dIagTPVPeToGLHQzcmC1Ef1G3kCkZTcLZUWmRYUL4JUdBGbQ369SA 0UZb4cpaRkVArCoWtQX6IReX5vgyIsrAZ1wQJkdaHYAUdRVhZNf463DJYbIF0L6GT4Lhl/1o UKGXgAAZDZeaB8Zo0DqggbWwR1lrnMgOck8kyqrCOm4DCyPfMCtwNPOBPt7jw9A+EZEFyFnx ABOHd89TUbMNAo/ndk1cWK8BqMCNoNTaviUAYzryx2dKHNM8j5s0PfH0QVzp84iRgn9vJdtZ TqmTKVpHMk7iA080bb7sHbFV4Ii8vcXdqrL72BGtbELbYBHwpHgfed5ixZNyoZPl5LpgIrtG bBYL48WJaqskgTPWHy5mYzWIAhF65Ud/Ww98cvPkS/4wjXu3kelGER4XKuv/GM7bGinKx1XN DZRZneAzRvkTbAUhz8e+UX55ZPrTqY8Vys1abuNqczEzAPZQNBLlMWZB9XCDZ2DZjS9bTfZY 1TyBd4UmU1S7LEf7CpO1zO2bJJo4RuIgCTC4ekwaPjmHWyFhruBSasbKF44pgbemnARV6k7T FBrsaslhfZkPf6RdLQdrKH/ztachSW+SDMpqHnKmp9/UM722YqOWT/d/Pf31JFc/vwzWatDy aZDu4929FUV/bHcMuBexjaK5fASxza4RVXeZzNcaogCjQLFnkiD2TPA2vc8ZdLe3wXgnbDBt Gck24vNwYBObCFuJHXD5b8oUT9I0TOnc818Upx1PxMfqlNrwPVdGMTbaMsJg5Ihn4oiLm4v6 eGSKr87Y0DzOvipWsSDjKXN9MaKzWVfrUc/+/vabISiAmxJjKX1PSGbupojAKSGsXJfuK2XE xpyiNDfk8t1Pf/72/NtL/9vjt7efhrcKnx+/fXv+bbjtwN07zklBScA6ZR/gNtb3KBahBrul je+vNnZGrrE0QCzPj6jdX9THxKXm0TWTAmTKcEQZtSSdb6LONEVBlzGAqzM+ZEEUmLTAjshn bLBTHPgMFdPX1AOuNJpYBhWjgZPjqJkAI+UsEUdllrBMVouUD4NsTI0FEhHtEgC0Qkhq4wck fYj0i4SdLQgWHOhwCriIijpnIraSBiDVcNRJS6n2qo44o5Wh0NOOF4+pcqtOdU37FaD4KGpE rVanouWUyzTT4jeARgqLiimobM+UktYztx/t6w9w1UXboYxWfdJK40DY89FAsKNIG4/GH5gp ITOzm8RGI0lK8I4hqvyCDsbkeiNSZjU5bPzTQZrPFQ08Qad3M17GLFzglyxmRPhQzGDgZBgt hSu5kb3ILSkaUAwQP/gxiUuHWhoKk5apaejrYhlWuPBWFSY4r6p6R8ywK6uXlyLOuPiUXcfv E9b++vgg54ULE7Ac3sTQx4W0zwEiN/UVlrH3HAqVAwdjBKA0dR2Ogq7JVJlSbbY+D+BmBI5m EXXfmH5o4FcvTI8NCpGJIEhxJAYLytj0Iwa/+iotwEpnry9lYgerzNzVR6PP12CpBja5TbpH R5uNaUmn2Qvly8Y03AeW35pOP0QZzdHMdGcGH2xkQtLxqGAQlvULtW/vwGLaA3FFtjOX8nLw BJW3NCos48QQg7r5HC8aTGsyd29P396szU59avETIjiyaKpabmLLjNwiWRERwrRXMzWgqGii RBXBYBz447+e3u6ax0/PL5N2k6GXHaHTAfglByKwnZQj18UymU1lzEJNNbsci7r/x1/dfR0S ++npv58/PtlehYtTZi6u1zXq37v6PgVPO+aw9RCDrz94gpp0LH5kcFlFM/YQFWZ53kzo1GLM YQ28j6KbTAB25vEhAAci8N7bBlsMZaKalbQkcJfor1veVEH4YqXh0lmQyC0IDQUAxFEegzYT vN43+w5w+zy1Iz00FvQ+Kj/0mfwrwPjpEkEdgAd602Oh+qxdiApSrqPBCQDLmfZ6FRxvNgsG Ar8gHMxHnik3nCVNYmEnseCTUdxIueZa+Z9lt+owV6fRiS0dONJcLEjO0kLYn9agnPJIfveh t154rurgk+FIXMzi9ifrvLNjGXJiV8hI8KWm3OPQ5jiAfTxp60EvEXV29zz6IyW95JgFnkcK vYhrf+UArSYwwvBCV58ezsrG9renNJ3FzpmmECY6KWDXow2KBECfoC34GBGrkOThwMQwVLmF F/EuslFVtRZ61t0AZZxk0DiDHg+CB1tlxNqKEQUZ3aYx2lywgq5BmjQIafawQmOgvkUuCmTY Mq0tQGbd1lEYKK0ry7Bx0eKYjllCAIF+mntC+dM6FFUiCQ5TiD3eHoN2QCVqilnn7HCvb/m/ NMA+jU3tWZMRxTTj7D7/9fT28vL2h3N6Bi0K7PoUCi4mddFiHt3pQEHF2a5FbcwA++jcVoPf Jl6Afm4i0D2WSdAEKUIkyMa7Qs9R03IYrCPQtGlQxyULl9Ups7KtmF0sapaI2mNg5UAxuZV+ BQfXrElZxq6k+etW6SmcKSOFM5WnE3tYdx3LFM3FLu648BeBJb+r5Qxgo3umcSRt7tmVGMQW lp/TOGqstnM5Ikv/TDIB6K1WYVeKbGaWlMS4ttMI/M3Js8A0DDq73LTW3svdR2PqOYwIueqa YWVCWe6OkY/akSXb/qY7Iddw+/5kNhDHjgaUOxvslAmaYo4OxkcEH6ZcU/UM3Gy3CgILJwQS 9YMllJlr1/0BrpXMC351feUp8z3Ynv4oC3NSmoP/dOXhS64lBCMUg3v1faZdm/VVeeaEwOuO zCL4PQKfnU16SHaMGHgWGH2xgUiPjbROcmBkPppFwETDTz8xH5U/0jw/55Hc2WTI7gsS0o68 QSmlYUthOMfngttWqKdyaZJoNPLN0FdU0wiGC0UUKM92pPJGRCvlyFC1k4vROTUh21PGkaTh D3eSno0oY7emRZKJaGKwfQ59IufZyUz6j0i9++nL89dvb69Pn/s/3n6yBIvUPPGZYLxmmGCr zsx4xGhSGR82obBSrjwzZFlRX3oTNZgQdZVsX+SFmxStZQF9roDWSVXxzsllO2G955rI2k0V dX6DkxOAmz1ei9rNyhrUvj5uSsTCXRJK4EbS2yR3k7peB3syXNOAOhje+HXahvbkj6/ZnzJz 1aF/k9Y3gFlZmwaFBvRQ03P3bU1/W652BrijZ18Sw+53BpDa0I+yPf7FSUBgcgiS7cmmJ62P WDV0RECvS+4yaLQjC6M9fxlQ7tF7IVAzPGRIuwLA0lylDAA4rbFBvN4A9EjDimOiFIyG88bH 17v989PnT3fxy5cvf30dH539Q4r+c1h+mKYYZARts99sN4sIR1ukGT68Vd/KCgzAcO+ZBxgA Qn2fo9zO5t7cTA1An/mkyOpytVwykEMSUmrBQcBAuPZnmIs38JmyL7K4qbCbXQTbMc2UlUq8 NB0RO40atdMCsP09tbylLUm0vif/jXjUjkW0dt1pzCXLtN6uZtq5BplYgv21KVcs6JIOuSoS 7Xal1D+MA/If6hJjJDV31YtuNW0TlSOCL1cTWTTEY8ihqdTCzhhe1dXG4BQ57TtqvmHawlMN EwhWCKKMIgc8bBZOO9VGriDALUuFBq20PbbgY6KcjMppXXfHsbT2OY0O+uxf/SWHUZQcNium lg2ACzCMGk1lKpYqqmQcpaMTSPqjT6oiykzjfXDACYMV8okzujWCECCAxSOzjAbAcl0DeJ/G 5kpSiYq6sBFOJ2jilItDIbPGauxgMVie/5Bw2ihHu2XMqfGrtNcFyXaf1CQzfd0WNMcJLhvZ FDMLUE7RdU1gDrZUJ0FKQU/ifDKV4QxwRzJ4SoLjIxylaM87jKirOhOU6w0g4FhWee5BZ08Q AtneV600jnDGlX86td3VGCaz6kKS0JBCqSN066ggv0ZrHvUVbDMIIH07TRuO8okux54UrAy6 ahhkHA1PcSLau5uRknA0I04wbXz4D5MWo7PxPTCK6xuMXPgXPBs7YwSm/9CuVqvFDYHBqQ0v IY71tLiSv+8+vnx9e335/Pnp1T43Bfl9K/+LVkSq9irRWgoHE2ElQJVnl8nB2NRZLxKuSXAe OlR4tfSIj1mtPjIP6t+ef/96fXx9UtlRllQENWihh4EriTC5jjER1NzDjxhc9/CoIxJFWTGp I050qarGFrnuRlcVt3KlfQq+/Cor6/kz0E8017MbG7eUvvJ5/PT09eOTpueW8M02CaISH0dJ Wlr1MqBcMYyUVQwjwZSqSd2Kkyvf/v3G91IGsiMa8BS5cfx+eUxuW/muM3Wr9OunP1+ev+IS lKN9UldZSVIyosMYvKeDthz48RXJiJZKhxylafrulJJv/35++/jHd/u5uA7qONopMYrUHcW0 k+xy7J0PAOSXcgCUPwwYOKIyIeJ4uASkRjHUMT4hp1e5+ncPtmn72HQCAcF04oZC+fnj4+un u19fnz/9bu4dH+DdwBxM/ewrnyJyZKuOFDRt7GtEjoFqJrMkK3HMdma6k/XGN1QqstBfbH2a b3i6qExYGcNqE9UZOtMfgL4VmWzdNq7s+Y+2lIMFpYfFSNP1bdcTT/JTFAVk7YDO1iaOnNJP 0Z4LqhQ9cvGxMK8XR1j5se9jfd6haq15/PP5E7gF1m3RasNG1lebjvlQLfqOwUF+HfLych7z babpFBOYvcSROpXyw9PXp9fnj8O+466iTriiM8yMEfjzNHvQWRlIt8z9IbhXbpLmA3dZXm1R mwPIiPQFNv4um1KZRHllVmPd6Lj3WaM1EnfnLJ+euuyfX7/8GyYksB5lmvvZX1WfQzctI6T2 a4mMyPTRq64Mxo8YqZ9DKfddNOcsbTqMt+RGj4iIG3ewU93RjI2yyqkbLK4Nh79jleWgGMdz BDWeKCjlgia7sKvJSfegSYUdTN1567C99jXLLY6L/r4SrC+Ioxp6bUevKt5In/bq2EGNPH33 ZUrWgKZstOJBDKu2TJh+Ake3iqAeCVsbHSlLX865/BGpR27I3VSTHpB9Hf0bn50MmMizAvWd ETcnlAkrbPDqWVBRoIF1+Hhzb0coO1aCr7Qp0xc7JlxsqmKPHwiY3Mm9QnQxNUeU/8dj1Oie tTc7CVB7tT4ajeVO7dwxDmkdir++2WepRdW15gsGUO0HV5cFccR7zFjAOuEfYLxFme+UjSRM M3dVlmncmk0Lblwt3xGHUpBfoPuAXD4qsGhPPCGyZs8z511nEUWboB+9Ps/6Mmqlvr49qwO5 Px9fv2E9USkbNRu4mTaTD3BcJMopKENVew6VtQ9u625R2p6G8hSt/Ef/7Dkj6M+lOpCI2jS5 8R3ldROcbqIlopVhVQ5n+afcgSib63eRFG3BEuFnfVaZP/7HKpldfpLjH8nLDnu+3rfojJn+ 6hvTMg/mm32CgwuxT5DjREyrokcuUQHB7pEBgYLJ4Mpddkettz6tWaLil6Yqftl/fvwml89/ PP/JqA5D3e8zHOX7NEljMmgCfoATHxuW4dUTCHBlVZW0YUmyrKhL5ZHZyVn+AVykSp49ChkF c4cgETukVZG2prNzYGAY20Xlqb9mSXvsvZusf5Nd3mTD299d36QD3y65zGMwTm7JYCQ1yMfk JAQHAkgDYarRIhF0oAFcLt0iGz23GWm7jXnWp4CKANFO6Kfq8zrW3WL1scPjn3+CZv4A3v32 8qqlHj/KcZs26wrmi2583kDaJRgyLqy+pEHLm4bJyfw37bvF3+FC/Y8TydPyHUtAbavKfudz dLXnP3mBs2lZwClPH9IiKzMHV8stg/I7j4eRXdwfzP2Iqo8i2aw7q5qy+GiDqdj5FhifwsXS lhXxzgf3y0o1A/XsMm3fnj47+nO+XC4OJInoaFIDeBc+Y30kt6wPct9BKl4fgV0aOSqRQoEj nQY/a/heg1OtUjx9/u1nOJ14VH4+ZFTulxrwmSJerUi/1lgP6igZzbKm6GpGMknURmOxcnB/ bTLttBY558Ay1qhQxMfaD07+io5WEl+G+Xq5ILUrWn9F+r7Ird5fHy1I/p9i8nffVm2Ua82K 5WK7JqxcrIvBd7nnh9ZU7evFkT6bff72r5+rrz/HUGGu2zdVGlV8MM2oacv/cmtSvPOWNtq+ W84t5PuVrxUJ5H4XfxQQotOnRukyBYYFh6rU9cpLWGffJmnV9Uj4HczrB3vIjq79kJrhFOTf v8hF1uPnz7LXAnH3mx6p57NKJpOJ/EhO+q1B2J3aJJOW4eJonzJw0dGc6zJBSjUTbL+gMOIn J80TE8nmh6x0jIQeVvJDMZZV8fztIy4MYdtOmoLDf5A2yMSQ8765fDJxqkq4kLhJ6gUb4xvx lmyiji0W3xc9Zofbaet3u5ZprrCpNBtWGseyQ/0uu5B99j/FKoWYb0kUTo+PUYHvxh0C2Fs5 FdrFR3P455I16UFAj1aJz2tZYHf/Q//r38np5+7L05eX1//w478Sw0m4h0fh09J6+sT3I7bK lM5pA6hUrJbKmaLckKETHlNKXMGKnIBjWMekzEjKUaS/VPm4QHFGDO9XOeN39bAKxeclCMZD BKHYbnzeZRbQX/O+PcqmfazyhM4tSmCX7ob3pv6CcmC3w1owAgG+/bivka0jwOqwCh1WJK3R Gqu9WX5y3w1nXrC5Z4qtAsPAUQs+ac0I+jRq8geeOlW79whIHsqoyFACps5vYujcqVKqgOi3 DJA2F9h/mhcmmgCFPoSBrkweGeuRqAHLF3IgaUdNFNjTYsVnF9Aj3YoBo+clsyyxRmAQSgEk 4znrpmegoi4MN9u1TciFydJGy4okt6zRj0mlWKkez/dF9sNiKYxvq3b5Cb9QHYC+POc5/HAz vVa+1no4mTk3jZLocV+iV/ezqkLUZAk3WIyh4dJUCFjsZXXgqy3HFPiDXHDcCAqmCuzkAAq6 4lpH911IeW2dkg+bNDsjM/Dr+9kvzSAjKLrQBtHyyQCHlHprjrPWuaqI4S17nFxoyY/wcO4q 5txj+kr05CK4SYSDdGS+EhR49KEXo8BjkHC/gbjB9APbrhquuBqBnj2NKFu0gIJxUGTFDpFq sJlOucpLkdoqF4CS1fVUoRfkHQcEtQ+mCDmDAvx4xSYjAdtHO7kkEwQlitZKMCYA8mWiEWVm mwVBt0nI2erMs7h9mwyTkoGxEzTi7th0mudFj1nY0zLXPrsXaSnkOgN8zAT5ZeGbr6WSlb/q +qQ21RINEF+xmARaHyTnonjAM1O2K/pImFf2x6hszdOINtsXpFUoaNN1pkXdWGwDXyzNd+By O5BX4gwvl+C6KTavisQh6zuj/I7Zeul7lzU83zbTd6z7LK9wuINZwQNA9/pRnYhtuPAjU881 E7m/XZi2PTXiG9vzsRZaySCdrZHYHT1kCGDE1Re35iPDYxGvg5Vx8pgIbx0avwcrMjs4xjf7 DCxEMtDBievA0vITaMhMrn0HBxO27uiso4IvALVOVy+SvfnavgA1g6YVZvIzkcn/nNIH8mTB J6+y1G/ZuGTCoqb3PVVqeouSyvV5YW9PNC6HWN+Y82dwZYHUCO4AF1G3Dje2+DaIuzWDdt3S hrOk7cPtsU7NzA9cmnqLxRJtb3CWpkLYbbwF6SYaow84ZlB2PHEupgsAVWLt09+P3+4yeK71 15enr2/f7r798fj69MnwCfUZtlaf5BDy/Cf8OZdqCwfNZlr/f0TGDUZkdIEX6xEc6damwU61 t0APDCaoN+eOGW07Fj4m5pBvGFqawUNaXu9T+nva1fRp01Rw6R7D/P0w78nT+Gi+po2L/nKi v/HLftUtolzWKzlxGbuLC0Y95hjtojLqI0PyDNaFjP56qaMSqV9qgNxpj6j+6Hzias4n+ng1 Ftl4pmb1PiB7ZDmtibKkh92POUIjm0sqDJolFVJSH/AKVRe++6lNq8QMqbh7+8+fT3f/kC3u X//z7u3xz6f/eRcnP8se9U/j7f+4YDSXcsdGY8wCx7RRNckdGMy0KqYSOs1QBI+V4ha6r1Z4 Xh0O6MBEoQIMUSiNDJTjduxk30jRK+0Au7DlmoKFM/VfjhGRcOJ5tpP/sAFoJQKqlICFqRSj qaaevjAf35LckSK65vDy2ZxjAcdO6RSk7qjFg9jTZMbdYRdoIYZZssyu7Hwn0cmyrcxlbeoT 0bEtBXI+lf9TPYJEdKwFLTkpve3MZfqI2kUfYU1IjR0jb2PeDWg0ipmvR1m8QZ8aANBCUNrx gxEUw9zmKAFHDaAWlUcPfSHerYwbuFFEz0damdD+xLDJjsTpnRUSnoDrl4rw1AD7ihiSvaXJ 3n432dvvJ3t7M9nbG8ne/lCyt0uSbADobK6H0otd3QpzS8utgjjlKf1scTkX1qBbw/K+ogmE s2nxYLWyJi7M4VCPcvKDvnnGKRdTasQv0ysy/jYRpubSDEZZvqs6hqGrs4lgyqVuAxb1oVTU 8+ADuqUyQ93ifS7WLChoYYBN6ra+p6V83otjTPucBslB6kDIlXcMRjxZUoWyzlinoDE83L3B j1G7JXaCNisVL3EyMgxbcoFJx/XdWci5zFxw6BkIrjeJursuyodmZ0OmZUu9TqsveFiFExEd s3VYMjwdEW3VRKajDzlxmZtu9dMcu+1f/b60ciJ4aBgTrBknKbrA23q0AezpyzETZapeTioW VFvzeZmhp+gjGKEnO3ohVdMZJytoc8g+ZHWf1rWpKTMTArRe49bqBW1KZy3xUKyCOJRjnO9k QFVxOOyGayFl+cRzyQ4mKtroIIxzPCIFPVpJrJcuicIurJrmRyKT9iTFsa6vgu9V44czZ1ri 93mEznxauSmQmI+mXgNkh3iIhKwv7tME/9qTMHm9pw0WIFeDTZFfVl1kWSG3lrRhx8F29Ted JaBst5slga/JxtvSZsHlry64FUpdhAvz+EcPNHtcngqkxhj00u6Y5iKrSP9Ga0rX+xFYR638 blaBHvCx+1JcV78F6zYn1xkzo4uAbhuSY98kEc2VRI+yw11tOC0Y2Sg/R9aqmmzZptUHWrPD cQ95HBWp9ywFVtkCcDSdorbEmJJTS0zOu/ENifrQh7pKEoLVsyW32Hhx9e/ntz/uvr58/Vns 93dfH9+e//tpNtxn7IHUl5ANCQUptympbPWFtqFubNynIMycqOA4vUQEuq8a062GikKOsrG3 9jsCq7U5lySR5eYJlYL2+zHvkM2PNP8f//r29vLlTo6UXN7rRO7x8DYaIr0XSGFaf7sjX94V OqD+tkT4BCgx46kK1FeW0SzLJYaN9FWe9HbqgKHDwIhfOKK4EKCkAJyhZSK1i9tCBEUuV4Kc c1ptl4xm4ZK1cs6aTATXP1p6qmMhRRyNoHewCmlac5GlsVaWuw3W4dp87aRQuRtaLy3wgTyF UaicVhsCySVesF4zoPUdADu/5NCABXFzUETWhr5HpRVIv/Zevf+lX5MLcDmW5wQt0zZm0Kx8 H5lKvhoV4WbprQgqGy9u6BqVy187D7If+gvfKh7onlVO6xYMWKOtlkaTmCDofEkj6tbtWmlL A4jJ8rW5+Kit1q7HdOvloUKbDMwlE/SSUblrVu6qWWGozqqfX75+/g9t+aS5q7a4IPYsVMUx xaurgmYECp0WraUQAKA1muvgexfTfBhsFaNner89fv786+PHf939cvf56ffHj4wSUW1Pb4DY z94BtfazzJWriRWJejKVpC2yyyFheB9idtciUSdLCwvxbMQWWiL90oS7gi2G23mU+tFNuZEL ctmtf1uuGjQ6nJFaxxkDrd+iNekhE3IJzisEJIV6mdhmLDdjSUE/okLuzdXiKKOVhcDhs9w/ NsosBjqbJXLKGY1tnA7iz0CPLBNmwhNluER2yBaeVyZoASa5M5jdy2pTN1Ciav+NEFFGtThW GGyPmXoTcsnkerekqSE1MyK9KO4RqjQEbeHUdOaVKN1fHBl+QCoR8DdTofdoyus5vNgUNdpS JQU5F5XAh7TBdcM0ShPtTV8GiBCtgzg6mayKSH0jLSlAziQw7L5xVaqXaQja5xHyEyMh0CJu OWjULwaTQcrEncgOPygGmoVyeIZnxPJzDW0IQ0B0TQtNirhHGapLNQdBstqmByvZH+DV04wM Ogvkgl9ucDOiiwfYXi7Qza4IWI03ugBB0zHm7NF9iqW6oaI0cjfcFBApE9UXAMbibldb8vuz QGOQ/o01IQbM/PgoZh4jDhhz7Dgwsfn6bMCQI5oRmy6O1MQFPgzvvGC7vPvH/vn16Sr//0/7 nm6fNSl+pToifYX2KhMsi8NnYKR7OKOVQG8CbyZqmkxg+IRVyfDMGFt7lDvdM7weSXcttqs4 GGg3hDPi4oXoHcl+gfsDqK7MPyEDhzO6UZkgOoOk92e5gv9gOUoxGx51q9imppLFiKgDL3BJ HyXY2xEWaOARcSN3s6VTIiqTyvmBKG5l0UKPoS7bZhl4Lr+L8ghr3EcxdrgFQGvq32a18iSb B4Ji6DcKQ1wrUXdKu6hJkfPRA3oxEcXCHMBgmV+VoiLW7QbM1q6VHPaFo5zWSATuaNtG/oHq td1ZNjebDPuU1b/BXAZ9EDMwjc0gF0WocCTTX1T7bSohkC3+C1IcHPT/UFLK3PKufDHdAio/ UPjtwzHDUYhzeUgLbCUzarBPYP2793zzdG4EFysbRC5mBgy58B2xqtgu/v7bhZszxRhzJicW Tt5fIC0sQuDNCCVjdOZV2COTAvEAAhC6kh6cqEcZhtLSBixdswFWRtB258YcGUZOwdDovPX1 BhveIpe3SN9JNjc/2tz6aHPro439UZhbtCV3jH+wfNt/UHVil2OZxfBYlAXVgwzZ4DM3myXt ZoMcdIOEQn1TE89EuWRMXBNfeuTyErF8gqJiFwkRJVXjwrlPHqsm+2D2dQNkkxjR35yU3EOn spekPKoyYF1FI4kW7srhdfh8hYN4/c0FSjT52jF1FJQc8itk9AnMKNPOq1CklKWQ6Q5hfLb4 9vr8619vT59Ggz7R68c/nt+ePr799cr5F1mZjxdXgVLK0d/GeKGsJHEEPBXmCNFEO54A3x7E hiq4hwfFM7H3bYKoGA/oMWuEssFUgkGdPG7S9MSEjco2u+8PcrvAxFG0m1WwYPBLGKbrxZqj Jlt+J/HBepTHSm2Xm80PiBDjuk4xbN+XEws329UPiPxITOFaVljBiakiQveBFtXXLVfoAh7M yZVwTm37Ahs12yDwbBycV6ERjRD8t0ayjZgGN5KX3Oa6RmwWCyZzA8FX1kgWCTWyDux9HIVM EwWDqm164otZyNKCRrwNTH1tjuVThCT4ZA2XAnKZFW8Crj6JAN9sqJBxcjnbhvzB4WnasoDv QbSGs3NwSUuYSYLY3EikuXkwr681g3hlXvXOaGhYsLtUDVIJaB/qY2UtTvUnoySq2xQ9OFCA MvOwRxtWM9QhNZm09QKv4yXzKFaHW+a9a57FFfWGPsm3KZo44xRpf+jffVWAMazsIKdTcx7S 6sytcKS6iNCknJYRUzsogPluo0hCD1yumDuBGlav6E5D10hZxGijJQP33cE0HDMi2CXwhGqj 2HHMp0vuguWMYC4a7vFZrSncOCKBnFdoZZ2jVZXpOgl+pfgnUiPnK1/vrs02vTMt8csf2hwv OO9Kc3TePnBwknCLN4C4gN2sKVJ2pgc81IxU0wnob/reSambkp9ySYDsMosH0aYFfkUhBckv Gkph2jc7mFqGEwBComahEPoYC5UzvNg35SNW0H7XH5mfgV9qeXe8yu5f1IRB5Y1ivWSmG/H2 eC7B/p/Sbt/z+MWB70yLJybRmIT+Ip4t8+z+jM1xjgj6mJluraViRDuorbQeh/XegYEDBlty GK5RA8dKMjNhpnpEsXeRAdS+diyNQf1bvwkdIzVfUE3Ba5HGPXXYYwQZ1XrZMsya5oze08ci 3P69YJ61olAiNlKKh3hTThlMNNqyNvXDjNpxB9bVzaN/16CekCMsudXPzWV1kvrewry/HwC5 QMjnvREJpH72xTWzIKTxprEyqi05wGS3kwtXORSRi7ckXXbGmnG4Ce5DU9s8KbbewhjuZKQr f23e8OqJqcuamJ5WjgWDX38kuW++BZE9ER9QjgjJohFhWpzRzfYu9fEArX5bg65G5T8MFliY OjZtLFicHo7R9cSn6wO2GqJ/92UthgvIAu4JU1cD2p/fZ604W0W7Ly7vvZCfCQ9VdTA3CocL 352O5+hqvrs6Zq6ukYX+iq5zRwr7cUyRLmqKHQSrnyn9LevEfC+THXboB60ygBLTHYwEzNEr 61AEeCGU6fUOiXFYGkU2RGPS4xcB6dclYMktzXzDLxJ5hCKRPPptdoV94S1OZu75KlObUnBG bMyrI6Ra3oy/L/j2YenbFBe8mxAnU/8aflnqXoDBUgnrY50efPyLhgP9pRbdQI+Ic2FQyKRG JXpmkHfLHj1T0AAuegUS01AAURtgoxixFC3xlR181cMzvZxg+/oQMSFpGleQRrkhEjbadMhJ l4KxEWgtSe96FbprsuRA0xnLKTdCyieAtnHPYdS9kJkFq1QHJqurjBJQELSLKILDZNQcrOJA awydSguR4W0QTOC3aYrvzjWzt4BRVQQR4mpX+4DRAcZgYC1RRDnl8GNQBaHDCQ2JWm5LGnP1 i3GrCgTM8WVGP7g3Tt/JkGG22ZMIw6WPf5uXPvq3jBCF+SADde5eOp6VmQuy2A/fmyeHI6J1 E6hJPcl2/lLSRgjZ8zfLgJ+h9LCXmmdF6kCtkh0U3hGqroIXuzbPx/xg+siBX94CdbABwXPL Po3ykk9qGbU4oTYgwiD0F3zotAWzOuarE98cri+dmTj4NVochwcQ+LYCR9tUZYUmiT3yhVf3 UV0PO0wbj3bqqgUTZHQ1P2fmNushlT+yUAqDLfJbo/X7OyLun6i7LSVXx65oy4vc1JmVB7rv CTqWMaSrkxG3FKr4ubkGGy/t4FoB+QaTW98j8i4Bxuf3VB9gjCYtBegDGIuByrWCuyevtu7z KEBH0fc5PrTQv+lRwoCicWbA7BMDeMOF4zT1h+SPPjcPgQCgn0vNgwYQwAZXALHfy5BNLiBV xe8aQMMDbiQM6TjaoEXrAOAz3BHEPvzuY7D8UJgPPJrC1bKQGnCzXiz5Tj2cdc9cZJ5DhF6w jcnv1szrAPTIKuMIqnvn9pphFc+RDT3TUwmgSs2/GR7YGokPvfXWkfgyFfQiYeQq2QmMz9Lf hqhcdoC6gjGuqbW4qxeKNL3niSqXy6o8Qs/20SMj8EJp2mFWQJyA1YMSo/RkbhS0X/qDq1Bo ZSWH4c+Zac3Qma6It/6C3vJMoubaOhNb9BwwE96Wb1pw02ENhaKIt15s+qRJ6yzGLwxluK1n nsErZOmYlkQVg35Mx3cD0ar52YirLZRCmFm5A8a4kxwYW+s7uQIOL03ATwaKTVOWBreGtT0R 7KvKYCgI9ncOSAF4TJFjASRMjaCjnB8fitRcnmm9nPl3HMGTSTQnnvmIH8qqRq8NIPNdfkDj 0Yw5U9imx7OphU9/m6KmGHikg6Xs8QEqyiBQQzZCo3cH8kffHNGp4ASRUx/A5cZUNivzct+I +Jp9QKOu/t1fV6gZT2ig0OlMccCVVwvlVIG1lW9IZaUtZ0tF5QOfIvt2cMgGdaI3GGCCCSZH Rl4HIuoyMvsMRJ7LSkQE+go+pDPO7nzzCfI+MR+iJukema84mStCubxH3liqKGnAx2zDYXLt 3sg1XoOfIKpjtR0+PpItiziIBcB8Xn5F6my5nPjbJjuAkj8i9lmXJhgS++llYpFld5JzmhWH azOsNpeAWj5ChjszgmoTljuMjvdWBI2L1dKD1zQEVbYzKBguw9Cz0Q0jqvUgScHFWRwlJLXD cTgGk+iSWWnN4joHXy+o7LuWCKlxtbtGD0QQ3iS33sLzYkwMp088KHdVPBGGnS//R8hUruFB YQD8CSNC7XhtTGtzOODWYxjYpRG4aivoWaSwSnXeHpGPgm/peLnqW1CwoLUGJEtEbbgICHZv p2RUlyCgWpoRUK7B7KwrjQiMtKm3MF8owsmcbFhZTCJMatiu+jbYxqHnMbLLkAHXGw7cYnBU p0DgMAAeZJ/2mwPSHR/q/iTC7XZlbiq04ha5hVIgMia8v5agT41PRKs9AZT3VwyN8TdIrV3F n7W7CB1IKRQeRsAhTkwIehWqQGJ/HSBlj2+f2hHgIybli++C7I9pDA5DZNHRL1Ux1p7QUdb3 y4W3tdFwsV4SdLhxncZdid0Vf31+e/7z89Pf2JL3UPp9ce7sOgGUy/dI6Wc/edqhEzwkIdcX TTq9sqhj4Rz9Jdd3tak5DEj+UGpju5MnTSuGSRzd5dU1/tHvBEwGBJSzrVyQphjcZznatwFW 1DWRUpkn02ZdV0ivFgAUrMXfr3KfIJPVMgNSr/mQvqVAWRX5Mcbc5LTPPBdQhDK2QzD1fAH+ Mt4yytaq9amo8icQcWTa+wbkFF3RTgCwOj1E4kyCNm0eeqYRzxn0MQgnj6G5FAJQ/h8tQMdk wgrA23QuYtt7mzCy2TiJ1SU0y/SpuV0wiTJmCH3p5+aBKHYZwyTFdm0+BBhx0Ww3iwWLhywu B5TNihbZyGxZ5pCv/QVTMiUsHULmI7Ai2dlwEYtNGDDyjVzDC2IcwywScd4JdWSHLYbZIpgD pxrFah2QRhOV/sYnqdil+ck86FNyTSG77pkUSFrLDacfhiFp3LGPdvpj2j5E54a2b5XmLvQD b9FbPQLIU5QXGVPg93Jxcb1GJJ1HUdmicsW38jrSYKCg6mNl9Y6sPlrpEFnaNOqBP8Yv+Zpr V/Fx63N4dB97HkmG7spBn5pd4Io2qvBrVlws8BlcUoS+h1TWjpZmM4rAzBsIWxr3R30Kr2xo CUyAybnhfZN2hwrA8Qfk4rTRRnzRgZQUXZ3ITyY9K/3mOW0oip/UaEFwLRofI7mfy3Gitqf+ eKUILSkTZVIiuWQ/PCLfW9Hv2rhKO/ApgPXiFEuFadolFB131tf4LymXzPDSE/4VbRZbEm23 3XJJh4rI9pk5zQ2krK7YSuW1soqs2Z8y/JpEFZkucvWkDR2wjbmt0oIpgr6sBjvFVl2ZM+YE uQrkeG1Kq6qGatR3kubJVhw1+dYzLWCPCOzUBQNbn52Yq+nbYULt9KxPOf3dC7xA1yCaLQbM bomAWoYABlz2Pmr0LWpWK9/QIrpmchrzFhbQZ0Kpn9mE9bGR4GoE6YXo3z021KQg2gcAo50A MKucAKTlBJhdThNqp5BpGAPBFayKiO9A17gM1uZaYQD4D3sn+tvOs8eUjcdmz3Nkz3PkwuOy jeeHIsVvxcyfSm+ZQvqCk4bbrOPVgliRNj/EaUkH6AfsNyOMCDM2JSKnF6EEe/DnpPnpaBRL sKens4gMy3klkbxbWzv4jrZ2QNrumCt8C6bisYDjQ3+wodKG8trGjiQZeFwDhAxRAFHjKMuA mpGZoFtlMkvcKplBykrYgNvJGwhXIrGZJyMZpGBnadViwFGmMgKJm40hBayr6czfsMRGoSYu sJdUQAQ6AgFkzyJgY6WFg5fETRbigDzdTzRpeiOMeuQcF3K+ALA9gACa7Mw5wOjPRLk5yhry Cz1yNkOS66ysvvroemQA4OYzQ0bmRoI0CYB9GoHvigAIMI9VESsDmtFW3uIz8ic6kvcVA5LE 5NlOMvS3leQr7WkSWW7XKwQE2yUA6mTo+d+f4efdL/AXSN4lT7/+9fvv4LZ0dLL+f9HoXZ81 5pDp4OhHPmDEc81Md9IDQHq3RJNLgX4X5LcKtQPTFMOpkmFy5HYGVUg7fzO8FxwBh6tGS5/f uzkzS5tug2z+wcbdbEj6NzwrV+aFnURfXpBXlIGuzTdGI2YuDQbM7Fug2Jdav5V9qMJCtWWm /RXc+WHDQvLTVlRtkVhYCe/ucguGCcLG1FrBAdtKhZWs/iqu8JBVr5bWvg0wSwjrV0kAXW8O wGTkl25DgB+a7+z2DIpwteSuV41GYWkryz4vV42m9smI4ERPaMyJCvLKZ4TNTE2oPQppXJb7 kYHBnhe0xBuUM8pJAB/YQ/8yn0EMAMnGiOLpZ0RJjLn5BBeV+HCtZgjL9efCO2PA8rsrITxC KQh/FRCSZgn9vfCJouYA2oHl3yXoZ9jSjF9YgM8UIGn+2+cD+pYciWkREAlvxcbkrYjcOtDH YOquhwmwDs4UwIW6pVFuffNhJapLWy9XbjVjfAE/IqRmZtjsFBN6lKNctYNBu+G/LXdF6H6i af3O/Kz8vVws0LgioZUFrT0qE9rBNCT/CtDLbcSsXMzKHcbfLmjyUKNs2k1AAAjNQ47kDQyT vJHZBDzDJXxgHLGdy1NZXUtK4Q41Y0RFR1fhbYLWzIjTIumYr46y9gRvkPSNokHh8ccgrDXL wJFhGDVfqqGpDpfDBQU2FmAlI4ezLAKF3taPUwsSNpQQaOMHkQ3taMAwTO24KBT6Ho0L0nVG EF6NDgCtZw2SSmbXkeNHrMFvyAmH69PgzLzGAemu6842Ihs5nFybp0pNezXvVdRPMoFpjOQK IFlI/o4DYwuUqacfBUnPloQ4rY+rSG0UYuVkPVvWKuoJ3Dv2i42pZS1/9FtTA7QRzHofQDxV AIKrXjnNMlcs5jfNaoyvHtpe6t9aHH8EMWhKMqJuEe755sMW/ZuG1Rie+SSIjiBzL8S/cdPR v2nEGqNTqpwSZ8d02I6smY8PD4m5xIWh+0OCLbbBb89rrjZya1hTKmxpaT4UvG9LfGAyAJYP SbWxaKKH2N5uyP30ykycDB4uZGLADAB366wvZvHVHJhw6vFgg64kpbBam87IMclj/AvbqhsR fBmqUHLCorB9QwCkxqGQznRGKctHtkjxUKIEd+g8N1gskOb+PmqwjkUe1btRDWDaG4ldmTEb IzDJCcUqd1OWaoTB7aNTmu9YKmrDdbP3zbtyjmU2+bNUIUWW75d8FHHsr3xX7GiMMJlkv/HN N2lmhFGILlcs6nZa4wZpGBjU2DLVcQhYN/389O3bnazA+SQEX4nDL9qewQCjwuVm26j3pi7E gSOySiBLQOi7o5B6YaPMVjqcSg+k7VS6gJdRxmJxeGfep3gsWeLb98H1En3SIj+B8gr9dh9l eYUsmWUiKfEvsA1p9GH4RZ3xTGJyh5IkeYoXewWOU/3sE1FTKPeqbNLv/QLQ3R+Pr5/+/chZ eNNBjvuYeujUqFKoYnC8BVVodCn2TdZ+oLio0zTZRx3FYUdfYp06hV/Xa/NBhgZlIb9Hhp50 QtBAN0RbRzYmIjSOlBfkHF4bG/76519vTmegWVmfTWPL8JOePCpsv++LtMiRQwrNiFqOcemp QEfAiimitsm6gVGJOX97ev38KPvC5BzlG0lLrwwIIxOuGO9rEZm6NIQVYBCv7Lt33sJf3pZ5 eLdZh1jkffXAfDq9sKCedI1CTnQhJ7Qt6gCn9GFXIZvFIyIHxJhF6xUaXDFjrnAJs+WYupa1 Z/bUmWpPOy5Z9623WHHfB2LDE7635ghlKQReYqzDFUPnJz4FWB8UwcoMcMoFauNovTT9oZlM uPS4ctNNlUtZEQbm7T8iAo4oom4TrLgqKMyV1IzWjVzHMUSZXltzGJmIqk5LWG5ysVmv7OZC q/Jkn4ljryzes2Hb6hpdTRP6M3Uu+RoSbWEqq054di+Qp6U58XI4WLJ1E8iGy4VoC79vq3N8 RFb5Z/qaLxcB1+g6R7sGTf8+5bqcnKNAQZ9hdqaO2Vx3rVzeI4vVxlBjjNbwUw5cPgP1UW4+ 0Jnx3UPCwfAEWP5rLlVnUq41oxrrNDFkLwqkBD+LWD6HjO9m+3RXVSeOg+n+RBxHzmwKxkiR OT+bcydJpHDFaRax8V3VKjL2q/sqhhMd/rOXwlVDfEJE2mTI/IJC1ZCq0kAZePiDPOxpOH6I TJeOGoQiIEr8CL/Jsam9iK7rIutDRLleZ2xqE8xXZhKv5MepErTnjPYwIn1URrKVcoR5XjKj 5uxnoBmDxtXOtCcz4Ye9z6Xk0Jhn4QjuC5Y5g3nWwnTZMnHqwhJZWZkokSXpNRveQVCyLdgM ZsQDHyFwmVPSN5WRJ1Kuq5us4tJQRAdlWYdLO3h5qRruY4raIZMSMwf6qHx+r1kifzDMh2Na Hs9c/SW7LVcbUQE+UrhvnJtddWiifcc1HbFamHq9EwHLuzNb710dcU0T4H6/dzF4oWxUQ36S LUUukbhE1EKFRUsxhuQ/W3cN15bur1nG4XuRRWur67ag/m46YlG/ta56nMZRwlNZjQ7IDeoY lVf05MngTjv5g2WsNxsDpwdbWYpxVSyttMNwqxfwRsAZ7MOwLsK1acLYZKNEbMLl2kVuQtNk tcVtb3F4BGV4VOOYdwVs5C7GuxExKA72hakzzNJ9G7iydQarEl2cNTy/O/vewnT3Z5G+o1Dg GrIq0z6LyzAw19wuoZVp5RoJPYRxW0SeeQRl8wfPc/JtK2rq48gWcBbzwDvrT/PU/hgn8Z1P LN3fSKLtIli6OfNFE+JgDjfVyUzyGBW1OGauVKdp60iN7Ll55OhimrOWTEikg4NSR3VZxhJN 8lBVSeb48FFOwmnt4B4kKP+7RMrGpkSWZ7I1u0k89hmcWIuHzdpzpPdcfnCV7qnd+57v6Jgp mqwx46hNNWD2V+yG2RZwtkG5cfW80BVYbl5XzjorCuF5jtYpx6A9aM9ktUtAHPx14BghCrK+ RrVSdOtz3rfCkaGsTLvMUVjFaeM5uozcXcv1b+kYVNOk7fftqls4JhH1d5Mdjo7w6u9r5qjc FtxzB8Gqc+fqHO/kUOioiFtj+TVplTEBZwO4FiEyyo657cbVdYBzDd7AuQpacY65RT0zq4q6 EshIBm6RXrAJb4S/NUqpBUhUvs8c1QR8ULi5rL1Bpmp56uZvjApAJ0UM1e+az9Tnmxv9Qgkk VKHBSgSYzpHrrO9EdKiQa2NKv48EcgZgFYVrtFKk75hf1AXoA1isy27F3cqVTbxcoZ0SFbox Bqg4IvFwowTU31nru5qprCY10zm+IGkfHGO4VwZawjEyatLRszTpmD4Gss9cKauRRy+TaYre PB1EU12Wp2h3gDjhHllE66EdK+aKvfOD+HQRUefGtSCU1F5uZAL3akp04XrlKvRarFeLjWPc +JC2a993tIYPZEuPVnhVnu2arL/sV45kN9WxGNbUjvize7FyDcIfQAM5sy9GMmGdTo5bpL4q 0ZGqwbpIuZXxltZHNIqrHzGoIgZGubaKwHoWPrAc6Db2nUnUGxvZgknP1exO7hXMMh7ua4Ju IUu3RUfqmqpjUZ8aq+SibrORLYFPgma3wZB+hg63/soZNtxuN66gelrr62vDJ7coonBpZzCS 0xl6C6JQdVWyk+vi1MqgopI0rhIHd8nQYZtmYhg53ImL2lwu9nZtydRo1jdwtGaaaZ+uxoRM /UBbbNe+31p1BmZKi8iWfkiJIuqQ7MJbWJGAy9A8asGKOlsVjZzI3VlVA4XvhTcKo6t92Ybr 1ErOcGlxI/JBgK0DSYKpSZ48s3e6dZQXYCjI9b06luPSOpDNrjgzXIicCA3wtXC0LGDYtDWn EFxWXRumV6gm11QtOESG+y2mVSbRxg8XriFDb4P5Lqc4R3cEbh3wnF4S91x52ffdUdLlATc6 KpgfHjXFjI9ZIWsrtupCTgH+emsVrLpuW9udtYjwRhvBXIpA3+S0S3hllOFbcmmpDilz+dcu smpJVPEw0MpBvons8m4uamh3VSPQ69VteuOiG/CCJG4MUKKFCzuPVndTZPQAR0GoiBSC6koj xY4ge9Nr2YjQhaLC/QRuuIR5aK/lzQPsAfEpYt56DsjSQiKKrCyZ1fQ07jgq1GS/VHegKmKo MZDkR018lMsLudHVrqdqayWsfvZZuDB1vTQo/4sNPWg4bkM/3pgbH43XUYOucgc0ztCdqkbl MotBkaKghgbfX4ywhEBByArQxJx0VOMPDvpVtr6HFtfKC2aAMyk3uPbApTMifSlWq5DB8yUD psXZW5w8htkX+pxnUkzj6n3yDM5pEKnWEv/x+Pr48e3pdWCNxoJMSF1MDeDB13PbRKXIlS0O YUqOAhwmhxx0wne8stIz3O8y4kn8XGbdVk7ZrWljdXyK7ABlbHAc5K8mH6h5IpfV6nX24IdL FYd4en1+/Gzrog0XGmnU5HAMiRuEJEJ/tWBBuTqrG3BSBMava1JUplxd1jzhrVerRdRf5Go7 QkofptAebjZPPGeVL0qe+WwcpcdUujOJtDPnC/QhR+IKddKz48myUca7xbslxzay1rIivSWS djDDpYnj21EpG0DVuAouUjqA/QUbEDclxBHep2bNvat+2zRu3XwjHAWcXLHNVEQ54mr90PQ1 ZHJ5LVzFn9llU+1NU82q6ZcvX38G+btvug/AGGFrGw7h5f4nwLaoTdxOIhQvtpVLCGcrnQSm huIRCTzlG6AzzvfmS+ABE9k+u9iiGnbGpJ30OmBnKBHHZWd3dw3fCOWtMwHHv2yOJ/pGQLQU sli0LBrYXVysAybOAXcmdpiZ37fRge1ahP/ReOZZ4aGOmAY/iN/6pIpGtlM9KNAhxRTaReek gf2p5638xeKGpCv1g9nTWvApwrS7DBq71mAhc0MeeovOIO0tTe1bASQ2d6/AJ+xeyAZdsxmY KWdilEhW7vO0c0cx8854YjBdL7tVn2SHLJaTtj0J2SLO2GBK+uAFK7s31HS5N4DuIUAOTmzO RgIam6MyJpE58mnNRpYiNAPwSoHogw1UKeNqozJBC9ei6iJtaiTHKmRdpI18oogeylhp+x7M 9wVEp31SRkXrRBPVyyW74Mr+YA7AZfWhQq51zmAn3Yz0eIktf+yAoUkXgM5UKRkAZhM8FJR6 kXG2BxHlPBOKVyYCL+IhU3Uji/HEYXK9eUnzd9MSU6FmmnNmcK9rpJkOT6rUM3MilsktLyjp JDk6UwE0gf+rM0BCwAxPXqxpPAI/MUqHmGVEi91x6a9oWyEqR3v8NARo81GiBuTMSaBr1MbH pKIxq3PAao+ldzc+KDcHDfjbKRioh+Wi3IoVKcsSYzszgbw+z/AuWprOPmYC+UwwYdyTZiaW Lcos1JnpwOamedAGSqmZtg02mEGGF3t3H91btanDmktweMIsl7/9Eh0fzah59yLixkfHXvU1 a9LhrYhhTdmRkGk4uUbmGkpWIaoHYuRF0rh7HeuU/IKT8pqBRrslBhWVh/iYgmIhtABju3iR IQjWxvL/Nd9+TFjJZYJe/mnUFsOXVTPYxw26MRoY0P51M8SknEnZ75NMtjxfqpaSJdJFiC3T dgDx0aIxFYDYVDQF4CLLDExFdQ9M7tsg+FD7SzdDrhwpi8s0zeO8MjWW5RIqfwDz4XGO1oMj zkjiR7ITXO0JeB6s6Q2N3z4pGaXHltOcwfpqbT5wN5ldVbVw1jBbWpc5Z95zmcWhzNZDpVZ1 kx6QTzlA1emUrLYKw6B1YfrNUZjcxuI3UBLUZty11ffZ4LtKV/zH859s4uTycqdPwGSUeZ6W pjO7IVKyMJlRZDd+hPM2XgamMs5I1HG0XS09F/E3Q2Qleb45ENqqvAEm6U35Iu/iOk/MBnCz hMzwxzSv00adLeGIiaq/Ksz8UO2y1gZr5apwaibT6d7ur29GtQzzw52MWeJ/vHx7u/v48vXt 9eXzZ2io1jM2FXnmrcyV7wSuAwbsKFgkm9Waw3qxDEPfYkJk9HkA+6ImkhlSW1OIQBfDCilI SdVZ1i1pQ2/7a4yxUt3q+ywok70NSXFob4OyvZ5JBWZitdquLHCNnmdrbLsmTR0tGQZA63Wq WoSuzteYiNXyeB4y/vPt7enL3a+yxgf5u398kVX/+T93T19+ffr06enT3S+D1M8vX3/+KBvq P3GUMYx4didNUpEdSmWQDU95hBQ5mucJazsBIwK76KFtoix3x2AePgKXFumFVJ+dejUoaXNm Wfk+jbGxQylwSgvdpw2sIs/wVKuKI0cmmlPQ0ZoukPYUYJPDKFVl6d9y0vgqt3KS+kV31MdP j3++uTpoklXwNujsk1iTvCRFENf+2iOtsY7ItYxKdrWr2v35w4e+wstyybURPKW7kIy2WflA 3gypFisHtvFKRGWuevtDD4dDzoxGiXM1D6hmBvQzPnCAiBUfJLdXW4r5CsM1CKLKaM+7d18Q YrdUBVkG72YGTNGctS3A6Vm2bp/goxWaC2sPdRaB4fs7IrL7YQkjl1bGAtPGdlIKQPoiwt4i kysLC9gmM3iRwcJCEkd0zl/jH5YbcXj/T78AWDptVuTPu+LxG7TueJ6JrAfVEEqfoOGYhlM1 csI5E8k+J3iXqX+1E1fMWX58FHhuYUeZP2A4lguzMk5ZEGywJEzZjOMVwa/kVkVjdUzDX4lN LgWiTq4eEQkSDs6I4bjMShA5DZJIXoBRd9NCso4xx4a8RtCKcTjHFubmAfBKDxAYrLsIGeGZ MTvvo4MrjIrYC+VkuiAlYB3NQ4vrMpKmDvuPVRBx3AfYh4fyvqj7w72VWX0EMDdiY7lnX4NA EubFM8jXry9vLx9fPg+tn7R1+X+0+lalW1U1WARRI848bAHV5una7xakHPBYNkFqx8vh4kF2 1UJ5JWgq0m8GBxUmWGT4l3JYFaxNMwFHszHKH2gHotUPRGYsQb+Na1QFf35++mqqI0AEsC+Z o6xrbHG0Hmx5WjYxJDPGZ9cOBIvzDPxUn8jO36DUDTDLWHOowQ2dbErE709fn14f315e7WV5 W8skvnz8F5PAtu69FVg0w7tZcKi2ps4GsXCPXVUT8mTO4zRg0oZ+bRo8sAVid/BLcXVylfJ9 PJ8TWTmfwtH91uD9dST6Q1Odzcf0Ekd7RkMetmn7swyGL9UhJvkX/wlE6KnYStKYlEgEG99n cFAJ3DK4eYQ4gkozjYmkkGu3QCxCvN23WGyzl7A2I7LygA6XR7zzVuat7IS3xZ6BtWasabdk ZLQOoo0rrUAbruI0Nx9iTx+YnDgKPGmNAvYOYWTiY9o0D5csvdoceH8jNgymL8pQYFU3Z+qI HApP9ZknaZNHJ6Y8d03VoSO2KXVRWVYlHyhOk6iRW4oT00rS8pI2bIxpfjrCFTgbZSoXEK3Y nZuDzR3SIiszPlwm64Ul3oM+hCPTgDpKME+vmSMZ4lw2mUgd1dJmh+lzatBs5HD67fHb3Z/P Xz++vZqaONPo4hKxEiVbWBkd0PQyNfAErTynKhLLTe4xDVkRgYsIXcSW6UKaYIaE9P6cqQcG pg1w6B5orTYAcp8r2hq8TeWZbAPvVt50bVvtyUpQ7YvheMGOJWvu8TJMj4lMeLmWMC226QNA tKSZoP7iEdTy8a1QZWZnMZ9APn15ef3P3ZfHP/98+nQHEvZOUoXbLLuOLJ11Fsl+QoNFUrc0 kXStr/Xor1FNCpooO+kDhhb+WZgajmYemYMDTTdMoR7za0KgzDz0UgiYi4kvVuHtwrUwn7ho NC0/oAepuu6iIlolPjjq2J0pRxbXA1jRmGX9x+b4pJ8XdOFqRbBrnGyRCrVC6VJ8rJt+r/I7 H7K6G4FeVMnVxM8DC4qON5qJt1jCWUq/DGn2gMmAMk1MmYwMQ2t94yHVK12nqshpTWdtaFWA VakSCTyPRnjNyl1V0iZxFd46VimaV1i3imE6KFTo099/Pn79ZBePZYHMRPHV+sCYGoo6/3JX m9PU6l5Ne4dCfau5apT5mjrhD6j8gLrkN/Sr+tkDjaWts9gPvcU7crxEiksPSvvkB4rRpx8e nkYRdJdsFiufFrlEvdCj3UihjKzMpVdcrWG3kVs9pQJj9WVqWmAG6Sfx2YOC3kflh75tcwLT g1Q9TtXB1vRhNYDhxqpGAFdr+nk6KU8tBC9FDXhl1TdZnuoHKfGqXYU0YeRdom4Y1IDZ0Fzg NWFIh4rx7RAHh2s2kq01aQwwLXaAw6XVnNv7orPTQY2njega3fAr1Hp4rscXuc8/pQ9c46Hv ySfQKnoJbrdLNJTbXWe4rsq+06XopdEwtdkreE3I9WxFx1fwBsAP8XD9qynzVlq3lCQOfCu7 okqiC9iUQgOwnYnpJOhm5uTixVvTDyu12a31ZT2UWgURB0EYWk0/E5Wga5WuAZsrtOkXcvOS tmZumFRrY59idzs36EJgio4JpqK7PL++/fX4+dakHR0OTXqI0NXNkOj4dEanDGxsY5iraUbc 6/XSRSXC+/nfz8MVgnVSJyX18bayJmmugWYmEf7SXNdjxrwANRnvWnAEXhPOuDigyw8mzWZe xOfH/37C2RgOBsHXEIp/OBhEajkTDBkwt/GYCJ0EuF5IdsiBKpIwn97joGsH4TtChM7kBQsX 4bkIV6qCQM6nsYt0FAM6XzGJTehI2SZ0pCxMTWsCmPE2TLsY6n8MoRTqZJ0gL9gGaJ9smZx+ tc2TuLlSBv5skSasKZHLiLcrx1eLdo1stJrc9MTXRd/4KN212ByjftiAlcx29Is4gIM0y5Wg 5sZT+oPgFFldOM0n2wZuH3FzQscr9vmVRJo3xslhBxolcb+L4JbLOEUen7OTMMPrWOi859qC GWF4GoRR5XSaYMPnGVNucN5/AO0YuW5emDaXxiBR3Ibb5SqymRi/2J3gq78wl88jDl3MtGRs 4qELZxKkcN/GqT2dERc7YWcXgUVURhY4Bt/d+zJaJt6BwCfGlDwm924yafuzbDeywqDJMjkF C2VcyZAtxJgpiSMzDYY8wqc6V4/pmSon+PjoHrcpQOHGQUdm4ftzmveH6Gyqo40fALtYG7Qc JgxTvYpBq8GRGR/2F8jq35hJd5MfH+jbMTad6fZklM9EDWmzCdWXzWXdSFh7gZGAHZd5LGTi 5hnAiOOBfv6uardMNG2w5nIAmn3e2s/ZLHjL1YZJkn5QVw0ia1PXzAhMdn+Y2TJFM1jscBFM GRS1vzZNI4647E1Lb8XUryK2TKqA8FfMt4HYmMfKBrFyfUNuUflvrLahg0Am86YhqdgFSyZR er/LfWPY8m7sBqz6nZ7il8wQOr4KYVp+u1oETHU1rZwDmIJRGkNyf1EnNneOhbdYMOPULtlu tyumI4FvPPM5f7lq12ANhJ/MBqNETElSgszV6qfcKyUUGlSKjrNTjPLxTW5kuAfIYGFA9NEu a8+Hc2Mc41pUwHDJJjCt+xn40omHHF6APVMXsXIRaxexdRCB4xueOWQYxNZHzxkmot10noMI XMTSTbCpkoR5xYuIjSuqDVdWx5b9tFyus3C8WbN10WX9HhzZWHofg8ApbFPThvKEewue2EeF tzrSfjF9T/mlKGIuiTvySnjE4dU1g7ddzWQolv+JMtn5kRlUytaC6RTq2RifqUSgc8UZ9thS TdI8l2NmwTDaag1aDSCOqepsdeqjYscU9caTm9w9T4T+/sAxq2CzEjZxEEyKRuNUbHL3Ij4W TMXsW9Gm5xaWjsxn8pUXCqZgJOEvWEIuzCMWZvqRvn+JSps5Zse1FzB1mO2KKGW+K/Ha9HE3 4XAXh8fsuaJWXAsGBUW+WeHrnxF9Hy+ZrMkO1Xg+1wrzrEwjcyk7Efat9kSp6ZdpbJpgUjUQ 9F04JsmzcIPccglXBJNXtRZcMR0LCN/jk730fUdUviOjS3/Np0oSzMeVxVxuWAfCZ4oM8PVi zXxcMR4zoSlizcymQGz5bwTehsu5ZrgmL5k1O24pIuCTtV5zrVIRK9c33AnmmkMR1wG7YCjy rkkPfL9uY2TacYJr4QchW4tpufe9XRG7enHRbORQxC6M4o4ZEPJizQiDOi2L8rJcAy249YtE mdaRFyH7tZD9Wsh+jRuK8oLttwXbaYst+7Xtyg+YGlLEkuvjimCSWMfhJuB6LBBLrgOWbazP tTPRVswoWMat7GxMqoHYcJUiiU24YHIPxHbB5LOs42LDtZvyQ9f2pyY6pSU33MN19NYonrog 788HOR6G9a+/diylfS5nuzTv6z0zi+zqqG/EmpvZ9qLugwcbl3NnH+/3NZOwpBZbfxExq5ms FPW56bNacOGyJlj53OAgiTU7akgiXKyZGsmaWqyWCy6IyNehF7AdwV8tuPJUcxjbJTXBHUkb IkHIzWYw2K8CLoXDlMLkSs8cjjD+wjURSIabaPUozQ0UwCyX3A4KTkfWITd3waEbj2+5plhn xTLwmQB1sd6sly1TlHWXygmVSdT9ainee4swYvqYaOskibkRRU4fy8WSm1UlswrWG2aOPMfJ dsH1EiB8juiSOvW4j3zI1+xeCCxtsrOg2LWCWXkJuUlkylfCXIeRcPA3Cy95OOYioc8ep45f pHI1wnStVO4yltx8KwnfcxDrq881dVGIeLkpbjDczKW5XcAtV+QmB47ELL/liOfmHkUEzIgh 2lawfU5uGNfcYlGuOzw/TEL+kEVsQq6rKGLD7fhl4YXseFlGSFfdxLn5S+IBOyK38YZbkR2L mFsotkXtcROqwpnKVziTYYmzYzrgbCqLeuUx8V+yCB7m8xs2Sa7DNbMdvbTgnZvDQ587n7qG wWYTMBt0IEKP2VYDsXUSvotgcqhwpp1pHAYY/MjB4HM5JbTM7KypdclnSPaPI3NKoZmUpYgu kYlzjaiD+0yuibbgEslb9OZ6/8YT6qmTgC0F1xFWe1pg70OwwkTObzQA7n6xceqREG3UZgIb tB25tEgbmRuwRTncNsNxUvTQF+LdggqTLcwIm/YpRuzaZMrjVt82Wc18d7CS0h+qi0xfWvfX TGg1phuCezhMU1YP2UesXBAwf6pdzf1wEH23HeV5FcNCirn9HkPhNNmZpJljaHgF2uOnoCY9 J5/nSVpnITmm2C0FwH2T3vNMluSpzSTphQ8yt6CztrRqU1gTflSYZL6hniIZ+OD3+O3p8x28 4v7CGT7VvU0VQJxH5vAp14VTEi7ksT1w9QlUA4raToiOE2xMJ60AB+t7am0ACZAEq04uJYLl oruZbhCwP65GgTHdDba2D0HWdpC6qWJU2n0T1fk7Qw/nZppwrnZygwjmq13FUsdHF9XGYNql yrVxLsO4L1eDRu/LVFEOkTIdzdQbsT5tG+0aEVJpE1xW1+ihMu3XT5Q2YKYs4fRpCSNXwkiB c2T1phUiWVg0eYkyR96ot56yutIx8NBkro9vH//49PL7Xf369Pb85enlr7e7w4sspq8vSPtv jGmOAbo/8yksIGeRfH6+6xIqK9MJj0tKWWAzR2hO0BxHIVqmTr8XbPwOLh+X+3NR7VumJSAY l/uU/kHnfRJj0qqU2rvivGc+MdytOIiVg1gHLoKLSusv34a1rXRw0BIjZ6rzyaMdATzGWay3 XBdKohaceRmIVrhiRLXOlU0MxkNt4kOWKRP6NjNa1meSmnc4PaPlA6YYr1zMw825zYzKMsw3 o06ZkGUZPYcxHwJ/HkxLHFwC2EwU35+zJsW5i5LL4LQaw3lWgG0kG914Cw+j6U4OxkG4xKi6 vQvJ14TclCzkhGyqGggZfJ+1dYwa5NRr0nNTjeljeky228gI0UfgysvU/75Ge1BwQCLrYLFI xY6gKWyFMaSX2FnCmWyU2SDSgFzSMqm0riK2PtPKDau/pyHCDUaOXKM81lKmL0fzlsgmpX6B QspZbqlpsahjZC/AYHnBlbFe0BKQKzXSCuA8YXy1ZTPBZrehedKvNTAGG1Hc74edlIWGm40N bi2wiOLjB5Ie2bTSupOtk6s+XbVpRkok2y6CjmLxZgF9Gn0PPM76pC902jXhu8leZfbzr4/f nj7NE0v8+PrJmE/A7UPMDYutthQyPj74TjSgB8REI8AxYCVEtkPmek1jRiAisAEggHZgzQAZ UYGo4uxYKRVXJsqRJfEsA/UCZddkycEKAEY0b8Y4CpD0Jll1I9hIY1SbzYXEKIvofFAsxHJY IXAXFxETF8BEyCpRhepsxJkjjonnYLmWJfCcfEKIfR4hpTND+iB7Th8XpYO1szuaQpqtJf72 19ePb88vX0fHGtYmpNgnZJEMiK3grFARbMzDphFDevqFWqWTB4dKMmr9cLPgvqYcrYG9oNhs 7TN1zGNTEwQImd/VdmGeDyrUfnuoYiHKuzOG1QpUYQz2vtC7cSDo278ZsyMZcKSWoCKnj/cn MODAkAO3Cw6kVaD0pDsGNJWkIfiwerWSOuBW1qi20IitmXjNC+oBQ0rXCkMvOgE5RG16rZoT UQ5S5Rp7QUcrfQDtLIyEXT1EbRawY7ZeykmjRgaHDALbHjq2YMZOZHGAMfkp9OoUItDz2f05 ak6MVcC8jvGDegCwWcnpqAEnDuOwa7+62fj4HRY21plToGj2fLawTw+ME4MOhERj4czVhcoK T1EY/JGR1qCeA8eFXItVmKAPggHTXigXHLhiwDUdRGwV8QElD4JnlDZ/jZovZmd0GzBouLTR cLuwkwBPaRhwy0mauuUKbNdI42HErMDj1nKG0w8dcVunBikbQu8yDbxsu5R0QdhRYcR+pzC5 FkRKgxOKO93wyJiZi6z3tQpsl2HgUQxrhSuMPuNW4ClckOIdtqIYFGnMpEdky82aulNRRLFa eAxEcqrw00MomykZZsfX5fpNcFs8f3x9efr89PHt9eXr88dvd4pXJ3evvz2yJy4gQPTyFKQH 4vnl7o/HjdJH3sUBhhyXR3RNQF/3awy/HhliyQvaoMizfHhH4C3U84b5lFK9OvAW3EWA5c5X fch6lj+jdBq3Hy6MSSXmCQwYGSgwIqH5td77Tyh67m+gPo/aU+nEWLOvZOTQanab8ZzFbswj E53RsD16ILUDXHPP3wQMkRfBinZLy2aCAomhAjUkYVsxKj5bl1WtHqnxDAO0C2kk+PWg+cZf 5a1YoZvpEaNVpcwZbBgstLAlnePoReeM2akfcCvx9FJ0xtg4tOkFcxRUnqjBhghd0Y0MtkSC wziY4ZiWDnXq6M0a//a0BKhdIL0FIa+NDdDO6L3cwvRqUWGkbzzUtJsruil+Ry25u3ZvU7y2 jtfsbJjYxZ2JfdaBO7kqb5H29iwAHkLO2lmROCPTlLMM3Eeq68ibUnLVdEADD6Lw0otQa3NJ M3OwMw3NYQ9TeNNqcMkqMPuFwehtKUsN3TZPKu8WL9sWHCqyImTLjBlz42wwtMEZFNnIzoy9 HzY4ar2HUD5bMFbPNClrm01I3AdnkqwDDUJvu9mGTLaymFmxZUh3qZhZO8OYO1bEeD5bi5Lx PbbxKIYNs4/KVbDiU6c4ZDll5vDCzvAqrjaobuayCtj4BnbNd8JM5NtgwSYS1FX9jcd2NDn/ rvnKYmZSg5RLtw2bB8Ww9aUeDPOfIksmzPAlb62nMBWyfSTXSwsXtd6sOcreNWJuFbqCkW0l 5VYuLlwv2UQqau0MFW7Z7mDtOAnls6WoKL63Kmrj/tbW/S1+ULd31ZRz5myD9ewp5/NxDidN xLU34jch/0lJhVv+i3HtyTrluXq19Pi01GG44mtbMvxkXNT3m62jZcltPz+OKYavamIqBTMr vsqA4ZNNDiMww7cNup0zmDiSiwQ2OtfcZB82GNw+7PgBtN6fP6Seg7vIMZ7Pk6L4CUBRW54y bTfNsFqN4pNLQp7Frr+gpxyzQBOJegemjEEdqTrHRxE3KVyutdiyvhGCHoAYFD4GMQh6GGJQ ck3P4u0SueLBTOBg8HmNyaw9vi4kg14EmUxx4fuL8Is64hMHlOD7klgV4WbNNmX79Mbg8gPc 2/MJoZseg5IxLtbs/C2pELnZI9Sm5Ch4neDJPu/gxpMUlvMdnVsfk/DDiH3cQjl+7LePXgjn ufOAD2csjm3AmuOL0z6VIdyWX1jaJzSII2cuBkcNr8zUBStezwQ9JsAMP4rS4wbEoEMAMhTl 0S7bGRfgDT0PbcBHjDFC55lpAm1X7xWirFv5KJR2PdqYLpSavkwnAuFyDHPgaxZ/f+HjEVX5 wBNR+VDxzDFqapYp5Eb7tEtYriv4MJk238HlpChsQpUT+DMVCIvaTFZUUZmO0WUcSO89g81E tzomvpUAO0VNdKVZw06cpBw4W89wovdwVHLCNUi9SELeUvCHHeBiNU+u4HfbpFHxwWxKWTMa NrY+nB2qps7PByuRh3NkngBKqG2lUIbLdPSgggS1QVzyIW0UtUMYvLwikPYAzEB920SlKLK2 pc2KJKnbVV2fXBKc9sqY0WPrLgKQsmrBuql5FJqCDzrgzJ44o5aulYr4uAnMkw+F0e2/Cp2a GlAjgj4Fy5f6nIs0BB7jTZSVskcl1RVzOnlW0hAsm1ve2jkV513SXJTbRJHmaTzpExVPn54f x2O6t//8aZq6HIojKpRKAP9Z2ZLy6tC3F5cA+AsH68luiSYCg7GubCWM4pumRmPwLl7Zzps5 wwy6leUx4CVL0opoUOhC0OZekIPo5LIb29pggfXT08syf/761993L3/C8adRljrmyzI32s+M 4eNYA4d6S2W9mQOBpqPkQk9KNaFPSYusVAvh8mAOi1qiPZdmPtSHirTwwdAidpgNjNLz6XMZ J3EDq9lriWwyKjACn8nkq7vzHpThGTQBbSKaDSAuhXoW8g7ZpbXL2GjHhn9OqwZoRUL9uatZ jsf3Z2hAuui17t3np8dvT3CDplrOH49v8DJAJu3x189Pn+wkNE//719P397uZBRw85Z2tRzu irSU3cH0ueFMuhJKnn9/fnv8fNde7CxBC8ROnAEpTdOkSiTqZHOJ6hZWEt7apAZPVbq5CBxM e3GVIxc8jZHTgQADKQcsc87TqRVOGWKSbI4103Wszt/gZfO3589vT6+yGB+/3X1TV67w99vd f+0VcffFDPxftFph2Jy7ula8f/r14+MX25+32jiqfkDaMyH6rKzPbZ9eUJcAoYPQDmQNqFgh z2oqOe1lgWzDqaB5aG4aptj6XVrec7gEUhqHJuos8jgiaWOBNowzlbZVITgCfFPXGfud9yno wr9nqdxfLFa7OOHIk4wyblmmKjNafpopooZNXtFswaIYG6a8hgs24dVlZRqMQYRpX4MQPRum jmLfPDhEzCagdW9QHltJIkVvbw2i3MovmRcblGMzK9fsWbdzMmz1wX+Q/SVK8QlU1MpNrd0U nyug1s5veStHYdxvHakAInYwgaP44Ikq2yYk43kB/yHo4CFffudSrrzZttyuPbZvthUywGYS 5xptIAzqEq4Ctuld4gXyCGIwsu8VHNFlDTy+lat7ttd+iAM6mNVXuqC9xnRNMsLsYDqMtnIk I5n40ATrJf2crIprurNSL3zfvBjRcUqivYwzQfT18fPL7zAdgfF8a0LQIepLI1lrdTbA9GEc JtFKglBQHNneWt0dEylBQdXY1gvLdgJiKXyoNgtzaDJR7GUYMXkVoV00DabKddEjh8S6IH/5 NM/vNwo0Oi/QBa2JsgvhgWqssoo7P/DM1oBgd4A+ykXk4pg6a4s1OpI0UTaugdJR0dUaWzRq zWTWyQDQbjPB2S6QnzD1FEcqQkoIRgC1HuE+MVLaj/eDW4L5mqQWG+6D56LtkcOukYg7NqMK HraNNlts0QQ3f11uIi82fqk3C/Oc28R9Jp5DHdbiZONldZGjaY8HgJFUhyMMnrStXP+cbaKS 63xzbTbV2H67WDCp1bh1WDXSddxeliufYZKrjxSupjKWa6/m8NC3bKovK4+ryOiDXMJumOyn 8bHMROQqnguDQY48R04DDi8fRMpkMDqv11zbgrQumLTG6doPGPk09kwbgVNzyJHFuxHOi9Rf cZ8tutzzPLG3mabN/bDrmMYg/xUnpq99SDxksApw1dL63Tk50C2cZhLzNEgUQn+gIR1j58f+ 8CiltgcbynIjTyR0szL2Uf8ThrR/PKIJ4J+3hv+08EN7zNYoO/wPFDfODhQzZA9MMz23Fi+/ vSk39p+efnv+KreQr4+fnl/4hKqWlDWiNqoHsGMUn5o9xgqR+WixPJxBxRnddw7b+cc/3/6S ybDcE+t0F+lDSvMiqrxaI4vKwyxzXYWmAbMRXVuTK2Drjk3IL4/TIsiRpOzSWkszwNga2e9Y +QHu91UTp3L/01KBY9pl52Lwn+ogqyazFz9FZ9V90gaeWvk5c/vLH//59fX5041Mx51nlSJg zqVDiJ426SNQ5Rqzj638SPkVshyFYMcnQiY9oSs9ktjlsrXuMvMxgsEyXUbh2kCEnCeDxcpq WkriBlXUqXXquGvDJRlhJWQPACKKNl5gxTvAbDZHzl7njQyTy5HiV8eKVX3KPJia127ggSz6 JNsSejegMqUGZ3LHMBMchlqGAUe3xu3aCkRYbtyWe862ItMx2HWni4669Shgap5HZZsJJoua wNixqmt6KF5i41IqFQl9rmuiMLrqFol5UWTgUY7EnrZnOXOVGVPrWX0OZHGbZQC/rLfCwx4N Bu9TmqfoLk5fR0znpQRv02i1QToB+vYiW27o0QLF4AkdxebQ9FSAYvNtByHGaE1sjnZNElU0 IT3yScSuoUGLqMvUX1acx8h0sm2AZAt/SlEjUIukCJa4JTnlKKIt0jqZi9mc9BDcd615kTgk QvbpzWJ9tMPs5azoU1g/xOBQ0xXteC0A+3G5roeTcDGOGh9fvnwBFXd1JO268YE5Y+lZw2B7 SVP8RL6F5/k9ReOHukmF6PdZU1yRha7xlsQnHXXGmUWWwgtZCTU9y1AM3MRIsM2Y2xjfuI5h A3JXOORohI5jN0Y49mpLDdvLtQPuL8aACqtjkUWlbMpJy+JNzKHqu/ZJj7rWamszRct87pP6 ZbsVKo72aR/HmX23N92r2kGI+2wE97Fchjb2SYjBthZLHUIMC6qzJUj9SJvo8GVh5XGgcdmY zKWNcalNV418oc03kaA40eTIcpye5VylDpfFDKvn+CL+Bcw43Mko7h6tuV21ABgB0HYKkquu kR1pvWQFU7fIGY0B4tt8k4AbuiS9iHfrpfUBv7DDgAYMOaThkwmMDDSfhe6fX5+u4NfwH1ma pndesF3+07HUkWNOmtBTlwHU57nv7Ft10022hh6/fnz+/Pnx9T+MDQe9fm7bSD0b1zbzGuUv ehhVH/96e/l5ug789T93/xVJRAN2zP9l7Wma4WZdH1/+BVvBT08fX8Bt6v+8+/P1Re4Hv728 fpNRfbr78vw3St04UpO3fQOcRJtlYG1iJbwNl/YWLom87XZjTwNptF56K6tVKNy3oilEHSzt E8pYBMHC3jaIVbC0DsYBzQPfPsrML4G/iLLYD6xV1VmmPlhaeb0WITL5PqOmR4Shydb+RhS1 vR0AXbNdu+81Nxv9+6GqUrXaJGISpJUnZ4a19sM+xYzEZ70NZxRRcgG7U9agquCAg5ehPQRL eL2wdj0DzI0LQIV2mQ8wF0Jutzyr3CW4suZLCa4t8CQWyCfH0OLycC3TuOZ3UPZZhYbtdg6v TTZLq7hGnMtPe6lX3pJZOUl4ZfcwOPJd2P3x6od2ubfXLXLxZ6BWuQBq5/NSd4HPdNCo2/pK g9doWdBgH1F7ZprpxrNHB3VQoAYTrP/Ctt+nrzfititWwaHVe1Wz3vCt3e7rAAd2rSp4y8Db INxao0t0CkOmxRxFqG3Ak7xP+TTy/vxFjg///fTl6evb3cc/nv+0CuFcJ+vlIvCsYU8Tqh+T 79hxznPIL1pEbgD+fJWjEjx0ZT8Lw89m5R+FNbQ5Y9CHmElz9/bXVzn/kWhhgQM+CHRdzIYK iLyefZ+/fXyS0+PXp5e/vt398fT5Tzu+qaw3gd0fipWP3M4MU6qtqSYXHnKjniWq+80LAvf3 Vfrixy9Pr493356+ymHdeYkot1wlqPrlVueIBQcfs5U94GVF59sTJKCeNTYo1BpHAV2xMWzY GJhyK8ANPYfap2GA2nfa1WXhR/ZQVF38tb3iAHRlfQ5Qey5TKPM5mTdGdsV+TaJMDBK1Rh6F WkVZXbBbpFnWHo0Uyn5ty6Abf2UdwUoUvcCcUDZvGzYNG7Z0Qma+BXTNpGzLfm3LlsN2YzeT 6uIFod0qL2K99i3hot0Wi4VVEgq217EAI9ddE1yjVxoT3PJxt57HxX1ZsHFf+JRcmJSIZhEs 6jiwiqqsqnLhsVSxKqrc3m/CnL3x+jyzpqYmieLCnuU1bCWpeb9alnZCV6d1ZJ9pA2qNuBJd pvHBXiWvTqtdtKdwHFuZSdswPVktQqziTVCgSY4ffdXAnEvM3quNc/gqtAskOm0Cu0Mm1+3G Hl8BtW+uJBouNv0lLsxEopTo7evnx29/OCeLBJ6dWqUKllVstRl4762Okqav4bj1RFxnN2fO g/DWazTrWSGMnTBw9lY77hI/DBfw2GM4fCB7ahRsDDWouA+a3HpC/evb28uX5//9BDcYajlg bbWVfC+yojaP2k0Odqqhj6yjYDZEc5tFbqzDUzNe86U8Ybeh6U8NkerQ1xVSkY6QhcjQsIS4 1sdWGAm3duRScYGTQz7ECOcFjrTctx5SoTG5jqiDYm61sO+kR27p5IoulwFNr6Y2u7HfU2g2 Xi5FuHCVACxO19YVqdkGPEdm9vECzQoW59/gHMkZvugImbpLaB/L5Z6r9MJQuXdbOEqoPUdb Z7MTme+tHM01a7de4GiSjRx2XTXS5cHCMxUWUNsqvMSTRbR0FILidzI3SzQ9MGOJOch8e1Ln qPvXl69vMsikza+s/3x7k1vex9dPd//49vgmtwDPb0//vPvNEB2Soa742t0i3BoL1QFcWzpK oG67XfzNgFRVR4Jrz2NE12ghoa40ZVs3RwGFhWEiAu1LicvUR3jucfd/38nxWO7d3l6fQRPG kb2k6Yi62TgQxn6SkARmuOuotJRhuNz4HDglT0I/ix8p67jzlx4tLAWaj5XVF9rAIx/9kMsa Md1zzSCtvdXRQ4eXY0X5pm7DWM8Lrp59u0WoKuVaxMIq33ARBnahL9DT6lHUpwpgl1R43ZaG H/pn4lnJ1ZQuWvurMv6Oykd229bB1xy44aqLFoRsObQVt0LOG0RONmsr/cUuXEf007q81Gw9 NbH27h8/0uJFLSfyzkq0bymPatBn2k5AVRiajnSVXO4rQ6o8p9K8JJ8uu9ZuYrJ5r5jmHaxI BY7atzseji14AzCL1ha6tZuSzgHpJEqXkiQsjdnhMVhbrUWuLf0FfbQI6NKjahtKh5FqT2rQ Z0E4jmKGMJp+UCbs9+QST6s/whuzitSt1tG1AgzLZLNFxsNY7GyL0JdD2gl0Kfts66HjoB6L NuNHo1bIb5Yvr29/3EVy//T88fHrL6eX16fHr3ft3Dd+idUMkbQXZ8pks/QXVNO5albYKd4I erQCdrHc09DhMD8kbRDQSAd0xaKmKQ0N++iFwdQlF2Q8js7hyvc5rLeuDAf8ssyZiJkJeb2d dE8zkfz4wLOldSo7WciPd/5CoE/g6fN//B99t43Blhs3RS+DSRdzfBdgRHj38vXzf4a11S91 nuNY0dHmPM+AGv5iw05BitpOHUSk8fimdNzT3v0mt/pqtWAtUoJt9/CetIVyd/RpswFsa2E1 LXmFkSIB42tL2g4VSENrkHRF2HgGtLWK8JBbLVuCdDKM2p1c1dGxTfb59XpFlolZJ3e/K9KE 1ZLft9qSUmcniTpWzVkEpF9FIq5aqsF/THOtIqUX1lqbaDYt/I+0XC183/un+TTYOpYZh8aF tWKq0bmEa92uvt2+vHz+dvcGF0v//fT55c+7r0//dq5oz0XxoEdnck5hX/SryA+vj3/+AbaT LRXc6GDMivJHHxWJqfMFkLIziiFh6iACcMlMaxbKMOmhNVWPD1EfNTsLUGoXh/psPooGSlyz Nj6mTWXc+idNgX6oG48+2WUcKgiayKyduz4+Rg16/6Y4UDjqi4JDRZrvQa8Dc6dCQNvBapdT GPmtQrTwnLDKq8ND36SmNhPI7ZVJAcbp4UxWl7TRyl5yUrTpPI1OfX18ABe8KUk5vCvr5f4y YXTWhrJAl72AtS2J5NJEBZtHKcnih7TolRcThoPycnEQThxB3YhjhWwF0+M30EoZLh/v5DjK HwtCKFDIjI9y0bfGsWlFzdwzm/iIl12tDsG2pu6ARa7QfeitBOnlSlMwL9CgRKoiTSIzLlPU lGyiJKVNRGPKkG7dkhKTPVh2KA7raacY4Dg7sfgc/eiZ8u4fWiskfqlHbZB/yh9ff3v+/a/X R9C+xLmUEYEjh3fYl+QPxDLM2N/+/Pz4n7v06+/PX5++950ktjIhsf6YxDVLCGRo/ua3xtBH EUHoOboB6MWF9J6yOl/SyKiFAZD99hDFD33cdrbNlFFGK16uWHj0cvgu4OmiYD6qKTnKHtlU 9mBjKM8Ox5anrdxlW/SybEDGJydNtUvf/fSTRcdR3Z6btE+bpmqY4HFVaE1blwDb3BVzuLQ8 2p8uxWF6F/Tp9csvz5K5S55+/et3WdG/k2EDQl3Hz0+2+ydKlSNjwR8LjB5rHeFhwLsVh7jK qR1USbV0tXufxq1gsjcJyiEyPvVJdGCEhk+eYy4Cdm5TVF5dZVO9pMowVJzWlZzTuTTo6C+7 PCpPfXqJktQp1JxL8J/Z1+h+iakSXFVyiPjtWW7lDn89f3r6dFf9+fYs11DMGKA+NZqMGT11 woJxYTdUVWyjjMfKQGPT/kSVxaazqNMyeScXppbkMY2adpdGrVr4NJcoBzFbTjbutKjntMml uCUDy6ExD7uzeLhGWfsu5NIn5PLCzIIlAJzIM2hI50YvMzym3G+VL1oPHOgy43IqSJO4FNfD vuMwuWqJ6SR2KLDtC8DOSU4Ga9o8i0N08GmwJo4a8Nx5TIqMYfJLQlJ635Hv7Kr4SHOTNbLU emsyraMyndw1j/NG/fj16TOZ45VgH+3a/mERLLpusd5ETFRyHSw/ljZCVlKesgKy+fUfFgvZ dopVverLNlittmtOdFel/TED88b+Zpu4JNqLt/CuZznE52wscvncxwXH2EWpcXqhOTNpniVR f0qCVeuhndoksU+zLiv7EzghzQp/F6EjSVPsARyd7x/k9ttfJpm/joIFm8csz9r0JP/ZImtx jEC2DUMvZkXKssrllqFebLYfYrbi3idZn7cyNUW6wNeAs8zpGCWR6FuxWPF8Vh6STNR59CAL abHdJIslW/BplECS8/YkYzoG3nJ9/Y6cTNIx8UJ0WjBXWFSIsyzNPNkulmzKcknuFsHqnq8O oA/L1YatUjCQWebhYhkec3S+NEtUlwjSqdqyxybAEFmvNz5bBYbMduGxjVm9mev6Io/2i9Xm mq7Y9FS5HC+7Po8T+LM8yxZZsXJNJlLlu7ZqwQnFlk1WJRL4v2zRrb8KN/0qoNOnlpP/jcA8 UNxfLp232C+CZcm3I4dBZV70IYFXvE2x3nhbNreGSGiNpoNIVe6qvgGbE0nASoxNSKwTb518 RyQNjhHbjgyRdfB+0S3YBoWkiu99C0Sw6U63mHVUYImFYbSQy3wBFiD2C7Y8Tekoup28ai9j 4UXS7FT1y+B62XsHVkAZec3vZbtqPNE50qKFxCLYXDbJ9TtCy6D18tQhlLUN2K6Si43N5kdE +KozRcLthZWBRwhR3C39ZXSqb0ms1qvoxE5NbQJvKGRzvYoj32DbGt6BLPywlR2Yzc4gsQyK No3cEvXB44estjnnD8P8vOmv992BHR4umZDrsaqD/rfFN62TjByA5JLz0Hd1vVitYn+DDhPJ ugMtZegz33nqHxm0dJnPO3evz59+pycVcVIKu5PEx6yuyrTP4nLt0xE+PsoKhzNAOIOhc/7o JDUqu80aXUdLcpwJJQS26+g2MIeXkXLYyttw6/k7F7ld0xRh7tzR7VArc9Ku18hTiwonlzs9 feoFq1DYxssiELJRJ3UH/hsOab8LV4tL0O/JxFxec8epJBwr1W0ZLNdWa4Ijnr4W4dpewEwU nbdFBr0tC5GjD01kW2yNZwD9YElBWMexbag9ZrLC22O8DmSxeAufBJW7pGO2i4YXJWv/Jns7 7OYmG95iN+RkopXT5b5e0u4qYVGuV7JGwsDJrO2o6sTzxYIef2hbSnKIk416jZ58UXaDDDIg NqHnVGawtU9PXPxYvfJY0aZuENQnHqWtU2DV14tjUoerJck8u7sawD467rhvjXTmi1u0ToY1 tNnjkhk4bcvokpHJZABlI02bIiJbvKITFrAnY0jUxPWB7PfirGnk/us+LQhxKDz/HNh9DXpQ Yl5WgMsLoI5dGKw2iU3APsQ3a9gkgqXHE0uzgY5Ekcn5LbhvbaZJ6widr4+EnJdXXFQwXwcr MgR3dM0pgX6vxvuS7MUuu6pTSrqk2M5E7vggk0VqUp+Tkv6X0MOFxvPJYJCFtKcXdApGl1cq nRmViC4RHf3STtv2Bm8IqeBX83JvAKaFlbHe+3PWnIhUnoEFhjJR5gW0MvXr45enu1//+u23 p9e7hF4U7HdyF57I3YiRlv1O21J/MCHj7+HGR93/oFCJeUAuf++qqgX1DMauOHx3Dw+N87xB FmQHIq7qB/mNyCKyQpbbLs9wEPEg+LiAYOMCgo9Lln+aHcpeNrYsKkmG2uOMT6evwMh/NGEe vJoS8jOtnPZsIZILZLUBCjXdyz1ZmvTm2LaHi+X4vCN5uhwi9MABEmYfvEsUnFAMl2H4a3A+ BCUiO+iBbUF/PL5+0qa36CU1VJAax1CEdeHT37Km9hWsw4YlGK7jB7kFxZfwJmq1saghv+UK RRYwjjQrRNuSGpNl5a35ejhDm0URWEC6z3CHQTouUD0HHKCSK22w4YFLR3gJcRkPcckRLYsY CD/Lm2FiRmMm+MpvsktkAVbcCrRjVjAfb4beSkGTT8PFahPimowa2U8rGKRML/LQJiO5iesY SE44eZ6WcnHNkg+ize7PKccdOJBmdIwnuqS4t9Nr0Qmyy0rDjuLWpF2UUfuAJpcJckQUtQ/0 dx9bImB/P22yGM6ZbK6zIP5bIiA/rY5HZ7AJskpngKM4NpU8gMgE/d0HpOcrzFwMQ28kveOi PFDA2A9Xg/FeWGynrv7ktLmDY1lcjGVayXkgw2k+PTR4uA3QymAAmDwpmJbApaqSqsIDxKWV myhcyq3cEqVk6EKGmNT4icPI/lTQ2XvA5IIgKuDKLDeHPkTGZ9FW3F0hlDx24a4QEZ9JMaDr FBgEdnL51bXLFanHQ5Un+0wcSdUoV74zplZ6SvvEXu9BV03hnKcqSGffyZIkY+iAKetfB9Jy R47WEl0kQo4FaLBuSClsPHRQwq6w1My5e/z4r8/Pv//xdvc/7mR3HN2RWDpScCSs3RFoH0fz 94DJl/uF3Ar7rXn4pYhCyIX4YW/q2ym8vQSrxf0Fo3oH0Nkg2l8A2CaVvywwdjkc/GXgR0sM j4Z4MBoVIlhv9wdTOWZIsGxTpz3NiN61YKxqi0BuWIyePo1UjrKa+VOb+Kaa98xQB+xGnPzE NAsgJ4YzTP3/YsbUQJ8Zyw/pTEU1uuSaCeWE7JqbNp5mkjoWnBkRHaOGLUTqPc1IQ1KvVmaj QFSInFsQasNSg8ts9mO2+0ojSur9GlXkOliwGVPUlmXqcLViU0Gd6Brpg90UX4K278OZs33y GdkibrdnBjtRNpJ3kfWxyWuO2yVrb8F/p4m7uCzZBqN9vrPfUk1sGt2+M4aN4eVyWsh9KbVp xm80hmOeQSX267eXz3I/MZzJDDahbFOuB2W2TlToDljpqd6G5b/5uSjFu3DB8011Fe/8SRlq LydGuVbb7+HFD42ZIeU41Oqlh9xPNg+3ZZuqJfqYfIzDnq+NTimoaZoV8p0Cm8bQ6mA0JfjV q0vHHhtnNAi1I2KZOD+3vo/eDloKv2MwUZ3NWVv97MGtELZgiHHQXJGDemaMsALFImVB26TB UB0XFtCneWKDWRpvTSMKgCdFlJYHWAtZ8RyvSVpjSKT31owDeBNdC7nZwuCkaFbt96Ari9n3 yOHeiAzeM5DusNBlBGq8GCyyTraXyrTUN2bVBYLhWJlbhmRK9tgwoMuPlEpQ1MEUmoh3gY+K bfBYJ5d/2MGZ+rhcrfd7EpNs7rtKpNZSHnNZ2ZIyJBusCRoD2fnumrO1L1O11+a9XDVnCemq Rk29HxxmMaEvhRwJraJTtjNlN7e/hKb0oaWdQX2sYRogDFwOabviIcRQkZNSpyUAjVduEtC+ w+RcIawmCZRc0Nthivq8XHj9OWrIJ6o6D7BFDhOFCEnJdrZ0FG839PpMFbhlNlJVuiC9minQ CHxikg+z2Wrr6EIhYV476VJRzi/P3nplaufM5UJSKPtKEZV+t2SyWVdXeFwup+6b5FTXC5SQ neVxRhcJyVaUeGG4pUUi0L58wPDLeg1mq+WK5CkS2ZH2fNmzsq7mMHU2SYbj6ByiQ/cR8xks oNjVJ8CHNgh8MhfsWvSedYLUI4k4r+iAHUcLz9zdKEwZsiaNuXs4yD2t3cgVTsKLpR96Fobc y81YX6bXPqHtOW67PUlCEjV5REtKTgQWlkcPtqAOvWRCL7nQBJTNLSJIRoA0PlYBGUKzMsnM 1cuMZSyavOdlO16YwHIo8xYnjwXtQWggaByl8ILNggNpxMLbBqGNrVlsMsFqM8RyNzD7IqQD ioJGg+ZwI0NG7aNuQlpd4+Xrf73B+8Hfn97godjjp093v/71/Pnt5+evd789v36Bg3/9wBCC DStPw3DdEB/pvXLJ5G08nwFpc1HPusJuwaMk2lPVHDyfxptXOWlgebderpeptV5JRdtUAY9y xS6XXNYMVhb+iowCddwdyczdZHWbJXTdWKSBb0HbNQOtiJxS57tkO5on6/xQz11R6NMhZAC5 sVadyVWCtKxL5/skFQ/FXg93qu0ck5/VkxvaGiLa3KL5gDpNhM2St4AjzKzIAZbbBgVw8cBq epdyoWZOlcA7jwrUURsfLe9pI6uWG/LT4BPk5KKp8yvMiuxQRGxGNX+hw+RMYR0JzNELOMKC m9GINhCDl5ManWYxS1ssZe0JyZBQBmncBYI9kZDGYhPfW+9MbUlrgIgsl11jcK7+ztjITg3X TleT2p+VGbzRLgrQWOMKGL9nGtG0o05GptxB65LLDpnuDynOmM5UeaSLeY1D+rguoVm1675m DdzG0CWZltg9wEkGnD+AGikZd2gQ5HdqAKgaDoLhzcsNZ9uj7Dny6DymYNH5DzYcR1l074C5 gVxH5fl+buNrMH1uw8dsH9GN/y5OfGsBrDyLZWW6tuG6SljwyMCtbEZYYWJkLpHcT5DRHNJ8 tdI9ovbiM7EOMarO1ChUrUHgO8EpxgrpnKiCSHfVzvFt8OmHTGAgto0E8vSJyKJqzzZl14Pc ycd0XLl0tVyypyT9daIaYUybdRVbgN5T7ehYCsw4fd04PgKx8QjIZsZX226mP53LrO3xy7k5 ZbQbKtTaqWuwjzqlHecmRZ1kdokYD2sZIv4gNwYb39sW3RaubuTCybw0IaJNC4Zjb8jI7wR/ 81RzUcFD/0bwJi2rjJ6WII4JHLWFGhCZui+yU1OpA6iWDGS7uFgH6upQ9NdjJlpr+EpS2XFK pR9llbrB6SYzOLOLB0v4sLDevz49ffv4+PnpLq7Pk8W1wW7ELDo4omGC/C+8AhPqhA0eeDVM ToEREdNygCjumVaj4jrLGbVzxCYcsTmaGVCpOwlZvM/oOdMYyp2lLr4wzQGYpi7EwaayolO5 OiP/BDdrBg2Wsjkcs7XvLfiulhXsNw8qYFa6uYrOXSMJKtpy7szdEqq8nZFr1h29bNqgfV7p B6dyKSv7O1PYw6pCm6JQr3lvyLioOGprSsoYo7YqYOLNfOaW+YaQfaTlEuRH0iG9p4c8OqVu 2pnTqHZSp52TOuQnZ/mUzlDx3k0VcuV7i8yZsR3lvd9HRZYz0xSWErDidKd+FDvqyZc7q7WF uZPKce4bRAvYorni4ecKzcGz634PerRJ/gDPNg59GRV0Lz3Lj6t+V5rGlfVe+VMrviN3jMQ1 zW+ncJdc1aS3WvyQ2MY1/Q5ijdyufP+bD23c6Jn6O1+dBFfeDwheixVYhrslGMMlthjy8uOi zhUFFgXL3+Fiu4C3FT8iX6qD5eX3sqbk485fbPzuh2TVein4IdFUhIG3/iHRstLb51uycqyS BeaHt2MEKZX33F/JPl0sZWX8eABVynIhGN0MoteMhjC7uzdy2bV2mNv9iw1ysyQ7UJ/xt+Ht zMrufS3CcHG7YcgBXrXNdaC/vvVvl6EhL/9ZecsfD/Z/lEka4IfTdXssgCYwDo/jtuh7pXhz NT+LyQXyyvP/dsgV7anftfFF0KtTODGRod2rER13Zl+zGyRP8KuFkXFHaJ2iDPhgMgds2TBz j5aQWQA/8/b7CVPMMIrTw/b8/pyemYULiA7DxU3y9sdEKytZLqd2mTYK40y6dS+OkzsNXBU9 GMflo+7owRLJLaFRLSCrHVnTYvrLUqivK5HZd/tYevCePPiKlKtUmd8fkJ+exyizNrcCQEL2 eVUljo3+LNmkbZSV49lem3a8tKNBTw2jv9EydM+73W+GFYxcRPdp7S7sYRk8Lrh7S5MGybmG c5DYRQ+yFLkNoGLHhQ1PF2nTyM9b6kAkmdxqXXXqusrhMonbAwCvvZu7+Rtrd6DjqCyr0h08 rvb7NL3FF2n7va9nsasm4xtRvwdfos334m4Pjrjb7HArdJqfjlFzI+lRntwKPxy0O9uMPj0f BtVJ651KRPk1ehBTHy+yPvcYNXgaLM9KOfFEIsUv5uzSmQ/a/8+D8EJdm5bqEZI+NGqL54+v L8pF5uvLV1DEE6AwfSfFBz90swblfKDx46FoEgY/r+zxxsDp/R3srKPWUoAy5BwnQV27rw+R 4wAFnhTD3/WsNwrTh/0KbdopNtkHS/kAiKvc91u3VHpvyWsSKU5uXvtzm+XsOWp09oKNdUc7 M/ilgcVa1yoTu6G3IDPTOZn1DeZGSoB1pgR7XUSM54Vupj9eb5B8Yk5Lb0GVqAac/dRpuaSa nQO+oheKA772Ah5fcpk8rYJwzeIr9rt5vEIPe0Zil/ghT7S9iCsbj+s4YtrpaN3C0VRjEaxy ek87E8z3NcEUlSZWLoIpFFBhyrlSVARVDDMIvi1o0hmdKwEbNpNLn8/j0l+zWVz6VJ9nwh35 2NzIxsbRu4DrOqYdDYQzxsCjmm4jseSTFyy3HA6egrmI9NGGTehzDAfOfEHOrUwGtFUGvgWn YuNxVSVxn8ubPi7hcarnN+N8wQ4cW1WHtlhzA7JcGHBaGQbFTENg+61vTsGC60Z5FR/L6BDJ jR93PaVOtEImZ+NZl4OBYwIHteKGXMWYllIQsfVdTMB1wJHhy31iRcLMGJp15mvNEaIIt966 v8JzNEYVh8rARXUbMUvaOi68NVXLHIkN1ZQ1CD6jitwy/Wogbobi2yWQ4doRpSTcUQLpijJY cMU6EM4oFemMUhYk0wBHxh2pYl2xwvkyHyscIDkJ59cUyX5Mdld2QGlOocf0hSaX0z3TcCQe LLmeqA5pWXjLfRX8yXHRA87MaBpnFhSSCBYh3/OAkz3EwcGJpQt3FGG7WnNjNeBsWbXYEy3C 2UzCvYQDZ/qqPuR04Mwopu4oHPIbrg3o+xlnWYTM4mY4IWXb58A56mND1ZEm2BmCb0ESvhFC UnHk5tlq2YBBYWeIGzGKQ5uvLD0rxWTLDTfsKd1Kdos3MnzZTmyTyj/Y4MpyWST/CwdLzA53 kNB6BJTjt71CFD7y3GMSK27HA8Sa248NBN/aRpLPur7vYYg2CrhVIOD0PYvGs15EnNJTJPwV t5RXxNpBbKznNCPBdUJJrBbcCArEhqrsTwR98jAQcjfIfVyuc5fcOrfdR9tw4yK4Gb/NL4G/ iLKY2xQaJF9lpgBb4ZNA4FH1b0xbj40s+jspUCLfScPNFDiWKKbAreiTuPO4SaIVQeT7G+ZA rRV6e+Vg/j/Krq25bVxJ/xXVeTrnYWpEUpSo3ZoH8CZxzFsIUpe8sDyJJuMax87aTu3Jv180 wAvQaDp7XhLr+0AQaDQaF6Ib1L5BFzPHo5YlYva496hVMkwri/BIVEw+siHeLolgmaBNpPrG TuGBj89zjzilcxKnaijwgM6HHD8Ap+ZJgFODvMQJ4wM4teADnDI+EqfrRdoLiRPmAnBqYFYf gJdwWocHjlRfwe3XdHn3C+/ZU5MVidPl3e8W8tnR7SNWggTOWRBQ5vNj7gXkMgGWZTtqvlW0 W4+an0mcWtG2W3J+BgcPPGqmAYRPGYOSciKcCOzcMhOE/BRBvLyt2VbMpRmRWV5DyBIhSfii 3RC7fSrB6Sd8c3mfb2d+Dhhg7JQbz6npCvhtk7vbM20Saqf/0LD6SLAXfQiWGz15nVBuAfxa Qjw9a7ZEx3sERl2YMGPaKW3lhZTFduSIox6mUPzoQ/l94iqdQcpDezTYhmkWtrOenU+sqO8w 326f4Jo/eLH1LQLSsw1EcjfzYFHUyQDrGG50SUxQn6YINWPETJB+BlqCXD+/LpEO/EqQNJL8 Tj8mqjC4+wO/N8wOITQNguEWNT3uhcIy8QuDVcMZLmRUdQeGMKHCLM/R03VTxdldckVVwm5F EqtdR3cMlJioeZtB1JNwbRgISV7RWX0AhSocqhKC8c/4jFliSApuYzkrMZJEVYGxCgEfRT1N KG3d7RqrYhFmDdbPtEG5H/KqySqsCcfKdF5Tv60KHKrqIEzAkRVGNAigTtmJ5brXgUzfbgMP JRR1IbT97opUuIsgFnFkgmeWG2c/1IuTs3SBRK++NiheA6BZZNwYJKEWAb+zsEEa1J6z8ojb 7i4peSYMBn5HHklnNAQmMQbK6oQaGmps24cR7XW/ZoMQP2pNKhOuNx+ATVeEeVKz2LWow36z tsDzMUlyW41lfLxC6FCC8RwCsmHwmuaMozo1ieo6KG0GX7OqtEUw2P4Gd4Giy9uM0KSyzTDQ 6O5vAFWNqe1gT1gJQZxF79AaSgMtKdRJKWRQthhtWX4tkeGuhfkzAjBqoBFNV8eJUIw6vZif 6UirMxG2trUwSPKuhAg/kbMrx7GJNNCWBoQ7uuBGFnnj7tZUUcRQlcQwYLXHcHsFApOCSGmM LPLaBlw6XicJhDXGT7YJKyxIqLwY0xMkEVGYOsdmsymwwYMrUhjXR6AJskqlIgz2RE/iBWva 36ur+UYdtTITgxmyJsJS8gSbHYipfygw1nS8xXFqdNR6WwcTo77W44JK2E0/Jg0qx5lZQ9w5 y4oK291LJjqUCUFmpgxGxCrRx2sMM90SK0zJq6Y/diGJq4CXwy80N8pr1NiFmEe48r6G+QQN Md+TE8GOh/TsU7mPWj1XA4YU6gDp9Cac4XQ9KfkWOCCjJoz6QnNE9aOBMwaDe5wZHk84f/zQ 4KysyvL0dntcZfy4UCJ1Ao0fzdrP8HRQMq7O5eRSPReFzF5dE1rEK54qglv3DcNlmen41vlS UOIZNYP/rm4A4D9e325fV+zLl5fbl/u355dV8fz5++ONrh3vGvBENes2gneh8er/6A3EC0ap zy7rRHpQouoYZWaccVPJrIO9HREUSHowJzKYxMFEu7zOTJdY9XxZoriF0t27gWkK4/0xMlXd TGactpbPlaUYY+GAMIS3kfHWptVd8fD66fb4eP90e/7+KjvI4AZp9rYhHEAPMQczjqqbimwz cK6Fscqw+fLRhQhnUrrtwQLkoqSL2tx6D5BxxuXxwuQy+NAZVmlMlfLCkj6X4j8IOywAu820 +yJFbcUg/Zur06o9Z7P0/PoGUQPH68VjvE6VzbjdXdZrq7X6C+gUjcbhwTigNBFWo44oeOMm xueBmbVc/IBKyLdLtIHLBYRA+7Yl2LYFBRqvdsasVUCJpjyn375QuOrSuc76WNsFzHjtONuL TaSiwcFL1CLEVMrbuI5NVKQEqqlkuCYTw3FXq96vTUe+qIOgHhbK88AhyjrBQgAVRUWo5ZuA bbdwjZWVFWQSRgWzUateAMIB+tGVYNJ7FYp5FT3ev77amzOyH0VICDKuoD4nAvAco1RtMe3/ lGJS818rWcO2EgucZPX59k2Y6dcVuGtHPFv98f1tFeZ3YMt6Hq++3v8YnbrvH1+fV3/cVk+3 2+fb5/9evd5uRk7H2+M36Xn89fnltnp4+vPZLP2QDglagdgBQ6eswDUDIM1KXSzkx1qWspAm UzHjNaZ8Opnx2Lj/T+fE36ylKR7HzXq/zPk+zf3eFTU/Vgu5spx1MaO5qkzQ6lJn71iD1XGk ht2jXogoWpCQsHt9F25dHwmiY1xX2ezrPVxQbF/oLm1EHAVYkHIBbTSmQLMaRZVR2Inq4TMu Yw/y3wKCLMWEWvRdx6SOFRr0IHmnB1tXGKGK8hYoejoCjJWzhD0C6g8sPiRU4qVM5Dh0bvDA BVxtm1MFL72EkEFfSJsUN+rCKYsQ6ckbYqYU6l2EL8KUIu4Y3J2ZT8aufrx/E3bi6+rw+P22 yu9/yKBsasokDWHBhA35fJvVSeYj5mxC5/VtVpn7OfJsRE7+cI0k8W6NZIp3ayRT/KRGasJi T/Wn561mUyVjNZ7eAQxObOgq4YFziQq6VgVlAQ/3n7/c3n6Nv98//vIC0ZhBvquX2/98f4AQ eSB1lWScqEM8PWHrb0/3fzzePg8eDOaLxHw1q49Jw/JlWbmGrKwcCDm4VP+TuBUXd2LAde1O 2BbOE9htSW0xuqP7oiizWERGqG8cM7HCTRiN9thGzAzRZ0fK7pojU+AJ9MRkxWWBsRyPDbZN Dg0qPEzpdts1CdITQHCoUDU1mnp6RlRVtuNi5xlTqv5jpSVSWv0I9FBqHzn96Tg3Dr/IAUvG q6UwOxi6xpHyHDiqtw0Uy5oIlkg02dx5jn5YUOPwRyu9mEfjBLzGnI9ZmxwTa8ahWDgBrC50 Sexhacy7FrP3C00Nk4AiIOmkqBM8H1NM2sYQng5PmBV5yox9Ko3Jaj3omU7Q6ROhRIv1Gsm+ zegyBo6r+5SYlO/RIjnIq2YWSn+m8a4jcfjuV7MSQni9x9Nczula3VUhXGca0TIporbvlmot b6KhmYrvFnqV4hwfovcsNgWkCTYLz1+6xedKdioWBFDnrrf2SKpqs23g0yr7IWId3bAfhJ2B fSO6u9dRHVzw7HzgWEr3dSCEWOIYr9cnG5I0DQPvyNz4TqsnuRZhZVx1pJFttmA6p94bJo0Z l183HOcFyVa1+cFEp4oyK/GkUXssWnjuAnvTfUE/eM74MazKBRnyzrEWWkODtbQad3W8C9L1 zqMfu9CmZJxQTEOMuTFHjjVJkW1RGQTkIuvO4q61de7EsenMk0PVmt9cJYzH4dEoR9ddtMXr h6u8wxUN3DH6OAOgtNDmp3xZWDhzMVwnPTMS7Ys061PG2+jIGmuJnnHx3+mALFmOyt7CTUTJ KQsb1uIxIKvOrBEzLwSbcQSkjI88UYEE+zS7tB1aFQ5hHlNkjK8iHWqF5KOUxAW1IWzAif9d 37ngbRmeRfCH52PTMzKbrX7ITYoAfKWFNJOGqIoQZcWNcxGyEVpsheDLH7GOjy5wmMbEuoQd 8sTK4tLBtkSha3j914/Xh0/3j2p1Rat4fdTKVla1yitK9CuEAYLN8v5kbKS37HiC4KghAamZ Yni1r3AYp37e2vhG9U55jWIQi9phqkmsGAaGXDPoT8E1rHhX3eRpEuTRy8NXLsGO2yhlV/Tq rhyupbMnqHO73V4evv11exGSmHfAzWYb92StVcmhsbFxx9JE6wtzd6jDFCf7acA8PMCVxG6N RMXjcq8W5QHvR70wjCP7ZayIfd/bWrgYlFx355IgBDUliAAND4fqDvWk5OCuaV1STv+oDnK3 mxC5uphJLadMfSbb0bQdoYy/zI3jPbKB7X3eVAyWfY4s1qhHGE1gnMAgOs44ZEo8n/ZViI1p 2pd2iRIbqo+VNYUQCRO7Nl3I7YRNGWccgwUcEyW3jlOrb6Z9xyKHwqzbsyfKtbBTZJXBuExF YUf8STyld+PTvsWCUn/iwo8o2SoTaanGxNjNNlFW602M1Yg6QzbTlIBorflh3OQTQ6nIRC63 9ZQkFd2gxzNqjV2UKqUbiCSVxEzjLpK2jmikpSx6rljfNI7UKI1vI2PUH7bwvr3cPj1//fb8 evu8+vT89OfDl+8v98SHZ/MkzIj0x7K2ZzPIfgzG0hSpBpKiTNqjBVBqBLClQQdbi9X7LCPQ lfKuq2XcLojGUUZoZsl9o2W1HSTSwqQaDzdkP5d3VZEznQVdiFXkbmIYgTndXcYwKAxIX+A5 jTqrSIKUQEYqsqYgtqYf4Lt7/Rta5ip0uBdtYak7pJnEhDI4J2HEqLuA5byHnWcxGiPzz/vI NKO91nq4B/lT9Dj9i+OE6Zu9CmxaZ+c4RwyD44i+LavloOKxYiqFNYnuLaXgc1Tp12opsIuM nSPxq4+iA0LMI1fD++H2z73uyKXwY+xx7rmuVWDeimI56orUyfy0P77dfolWxffHt4dvj7d/ 315+jW/arxX/34e3T3/Zp5MG0XSXvs48WV/fs2oMtDqNVRcRbtX/9NW4zOzx7fbydP92gzNO N3u9pIoQ1z3LWzMEoGKGC+xnlirdwksMvYXrNPk5a/FyEAg+1B8OoMxsUWhKWp8buNcuoUAe B7tgZ8Nou1s82ofmDWITNB40mj66cnlJhnHPECQ2xw9AouZay+j06jNfEf3K41/h6Z8f94HH 0QoPIB5jMSioFyWCbXHOjSNRM1/jx4RBr46mHOfUZnfRcsnbtKAICAnXMK7vvpikXPG/SxLy m1O0e2eBis9RwY9kLcCroIwSikrhf31DbaaKLA8T1qGinEOOig+7qw3SgCwV80dcTVuUSvYR aqgo3DmoRKcMHO6tRjp1oXHVH2CdJYRO1Cfbij6EUo4nSWyVGAhji0OW7IOldUf+AdW94scs ZHauRXtHifmSlBWtLYZbv6aTxVZ3+Z2J6eSesS4ukoK3mdGhB8TcGi1uX59ffvC3h09/2xZw eqQr5eZ3k/BOv9i+4LWYO2LDwSfEesPP+/34RqlL+pxlYn6X50nK3vBBntjG2HiYYbLRMWu0 PBzuNL0W5KFHea87hfXIo0Rj5MwpqnK9w0g6bGBrs4Tt3+MZdg/LgzQTUnAihd0k8jH7rnIJ M9Y6rh6BSKGlmEr4e4bhusMI97Yb30p3dtd6LC1VbrhjRHefnlEfoyhEnMKa9drZOHpkFokn ueO7a88IpSGJvPB8jwRdCsTlhevWN0TK7d7FQgR07WAUpnAuzlVUbG8XYEDReWNJEVBee/sN FgOAvlXc2l9frNLWvn+5WAekJ851KNASjwC39vsCf20/bt5BP4JGfKtB85NTJaa3emTcWT4+ rsiAUiICauvhB85F4DkXCPLRdrg/AufjAsVsv7ZyAdCSdCwWs+6Gr3U/c1WSc4GQJjl0ufnt Q3WF2A3WON/xlpGNa+t36/l73CwshsbCSYvI8XYBTttGbOuvdxjNI3/vWFojFh273daSkIKt Ygg42O9x1tDP/H/jpEmZuk6oD9gSv2tjd7u35ME9J809Z4/LNxAq+AWyhfK06R+PD09//9P5 l5xpN4dQ8mJV+P3pM8z7bQeY1T9nP6N/IWsawicd3LD8yiOrRxX5Jar1b2Aj2ugf/yQIN3gg qMyiXRDiunJwWLjqC3nVcpmQcLfQscFwEe2xdXfYksAiz1lbvY0fCs8IR6KyOEw7S+nj/etf q3uxnGmfX8QaanksatqNv8YdpWkDX0Y+mBqvfXn48sV+enAhwB149CxAF7wbXCWGTeMMrcHG Gb9boIoWt+DIHBOxqgmNwzcGTzg/Gnxkjakjw6I2O2XtdYEmrN5UkcFTZPaXePj2Bgf0Xldv Sqaz4pe3tz8fYME5bG+s/gmif7uHy3Kx1k8ibljJM+NCSLNOTDQBnhSMZM0MF2eDK5PWuG8A PQjhDbBiT9IyNx7N8upCVGu+LMxyQ7bMca5ibiXGHggNYX5xE8bh/u/v30BCr3Ao8vXb7fbp L81rqk7YXadH1lLAEAmCRWXL2SIrA7Ivsl1ct80SG5Z8iYqTqDVuL8KsGW3fYPN3njS9mhFX 35lXbxlse6mbRXK8sF73SKRkPj6diX9LsXjSI2nMmDSlYhB6h1Rq8M7D+sayRopVRJwU8FfN Dpnu16slYnE89JKf0MQ3Hi0dhAUxl2gaWbTH6B0Gb4Vo/Af94kwT7+OFPKPLIdyQjLA1JJ41 5uIyh3hbRKsJwv9Zc1ZRsySGk3K2rE+LKTpuGByNCUu4JCchuWOaaRNZ+DV86OfiPX3VmDfl AqbOEBhGRRduEjckAeU+af0CfvfNJUEIz860mOtqoTkl00e0GityWUc0XvrIkIl4Uy/hLZ2r McNBBP1I0zZ05wBCrBjMYQjzItvTwiurWjSZoRkJRDWG+z2yqOdRozs1Sspy7EiMywNlGvU9 C6aCep+WFBL2gEEUIzE/TxBxOCb4eVbEethAiSU7X1+NSiwL3P3Ot1BzhTxgro0lnmOjFy/A 6fyN/ezOPPExJCRe7DvEw56F8bDJ4gPOkd9dfvtqPuusywJhdRm7+BWHpNRO5jVtZF4iDIBY Km22gRPYDNrFAegYtRW/0uDg5fvbP17ePq3/oScQZFvpW48auPwUUh+AypMapeQkRQCrhycx kQOnbG3ODAnFKjLFOjnhdVNFBGxMxHS077IEolvlJh03p3E/evLphzJZS4Axsb0jZTAUwcLQ /5joHlMzk1Qf9xR+oXPi3k4PjjbiMXc8fUls4n0krE2nB4XSeX0lZeL9OW5JbrsjynC8FoG/ JSqJd1JGXKy2t3u982hEsKeqIwk91JtB7Ol3mCt6jdjttsHWZpq7YE3k1HA/8qh6ZzwXpod4 QhFUcw0M8fKLwIn61VFqBok0iDUldcl4i8wiERBEsXHagGooidNqEsa7te8SYgk/eO6dDbfn fLP2iJfULC8YJx6Ar7pGpHWD2TtEXoIJ1ms96uXUvJHfknUHYusQfZR7vrdfM5tIC/M2iCkn 0aepQgncD6giifSUsieFt3YJlW5OAqc0V+AeoYXNKQjWRI25XxBgLAxJMFpJXmfvW0nQjP2C Ju0XDM56ybARMgB8Q+Qv8QVDuKdNzXbvUFZgb1z3M7fJhm4rsA6bRSNH1Ex0NtehunQR1bs9 qjJx4xI0Aexa/XTAirnnUs2v8P54NrbdzOItadk+IvUJmKUMm8vWkdpvupS+W/SoqIiOL9rS pQy3wH2HaBvAfVpXtoFv3ats0r9pJ3MMZk96CmpJdm7g/zTN5v+RJjDTULmQzetu1lRPQ98B DJzqaQKnBgve3jm7llEqvwlaqn0A96jBW+A+YWALXmxdqmrhh01Adamm9iOq04JeEn1ffVeh cZ8aiKIUhlpCFh+v5YeitvHhciibKNtLMkWteH76Jaq7n/QEfMJhGmxa8Rc5rJgfI2fr4niX C1Fr+O5HTZSanUfJdPzWOQV65ben1+eX92uhhf6C/W4710OVx2mmf1ueGiXLo8qQZVywOSKR heGFh8acjGMB4I4f4wAPsHWRlAfjjkC5WZI1bSe9WllZJrn5ZnSYRm64aKG+4MN7A/7RB2PL Jz737JJBaq1uKQcvUHNnSEbqEth2Y6MXO6aXwHp+Kki8TprUMNIDV7HWeGmdX8yNvOHOQKXv fVwb5IdI3jEK9S4OusvcTBjVhiojZ4sBtZMZpxkEmODMAIBUelA73pmlHwB0qbBYShKSzhU2 qUj0+HB7etNUhPFrGUGEZ7MkBTNPN82a1Dcsi7Uswy61I2bJTMFpRyvgWaIz0KmHjXeI331R nf6PtStpbhzH0n/F0afuiKkpcRV1qAMFUhLL3ExQspwXhttWZSrGtnJsZ0xl//rBA7i8B4DO rI4+VDn5fSAAQlgegLdAJN8229wZ3NDlxwWmx3mab6DC3LLC9El2KfHcgFG5/ccnzoRUDoPG o3Htk8dX8NFtvD8aJnxgtEedbSa+vxTbDv3GsMcn4JqLiTHSn6Vnld8Wf3rLSCM0511sE29B 0vDRicqEiZ+zTX9zF2O3KaBPsCzTPIO2TnhNtDRYgmNq9sbHcL+EA/3Kx9EyeaHBTSW7SEBh pVTTFSnnRFVbsWvw0DVwf/vb1BP69u3WuZiuNlZpBCcpLT0F8ZpqkPZZe2Klk1Udw0FYAKjl MpCWWXNDiaRICysR40UBAJ42rCL+aSBfllnU2wVRpu1RS9rsiQmGgIpNiF3PA7Q72PNLNuh7 DxuBZKKf7qUmraMxYjm52SQU1JKUlXxdQ8kMNiAdMWId0YKo2Y2wmOaONnir1acryJGaqHG3 vpPe7Yu4FH0JHVOri58mO5Br7sO6Om73ZFIss7YRi3nJ8viAl++YNaWYpQuaJXExPzgybFIV +zwd3NDD1VfV3Bk3ZD1bS2eMawMv0nJvS2zPQDP76KlDUsdmenIV14PrOM8rPGdNdTewrKzx reSQsiBdZALFdAsudtPOEIr6RPI6RgxU0WLKaBOloB8gnkBv2kQ6Ytw0oppaZLZhBzSq5U0Z LWGEtAxrvSbS2DarWmwcqMCGXGIeqKsalUT7aSVGy5MQJ2YFCjtw8kU9aKkbSPi8d+85dY/e P+bD6+Xt8sf71e7719PrL4erz99Ob++WiAjSDzFaMpRfYk0jpEe1wBA9OvWrcbn9UfGyjsfT y6BsZFQL4j4Y/RWBw4DbVW2dY1Eb0lBOjLwia38LHBenkZdVcB8tpXXNmhoSwKl9emjZzqgA uybBKASI7wggDZgNxa2NgUsO1WzUmwtw4j+wfDbDXQC5Lamax4R1ukQmqSYuW/kN0F7MSsKm gZL8VnZ3SETfEPMP5GX79q4+QISGuXoPrPVV6P0zmYqJXMwpFIQtjrx6kQYI2m/O0o5E9gRw J2Z4UQOyhAGebjIt531bdcc8xvphQ4n6D1hwSyGHWi9DNkdXb5OsETOf8QPty7qqQacxTcZf YRw+lpExvLtt0jviL6AHupSjQwnRAVNsM6me9W3piCrVJymSZ5/AabEQLf3og2RFfMQpF1rS IuPMXIt7cl3hH7sH6b6lBw3fOD2e8Xg295rlJBAVgrEQheHQCuND9AmOHKOVFWzNJMIx+0a4 8GxVgVCCotGyyl0s4AtnEtTM9cKP+dCz8mIdJj4jMWx+VBIzK8qdsDCbV+BiC2MrVb5hQ211 gcQzeOjbqtO60cJSGwFb+oCEzYaXcGCHl1YYqw8McFF4bmx21U0eWHpMDDuHrHLczuwfwGWZ kFAtzZZJCyt3cc0MioVH8FpWGURRs9DW3ZIbx10bcCmYtotdJzB/hZ4zi5BEYSl7IJzQHPGC y+N1zay9RgyS2HxFoElsHYCFrXQB720NAlYiN56B88A6E2SzU03kBgGV1se2Ff+7jYXskFTm dCvZGDJ2yM2YSQeWoYBpSw/BdGj71Uc6PJq9eKLdj6vmuh9WDdRhPqIDy6BF9NFatRzaOiSX 3ZRbHr3Z98QEbWsNya0cy2Qxcbby4IA7c4ghnc5ZW2DgzN43cbZ69lw4m2eXWHo6WVKsHRUt KR/yofchn7mzCxqQlqWUgazIZmuu1hNbkUlLlbIG+K6UR6vOwtJ3tkIa2dUWeajYhEez4hmr daP9sVo36ypuwIm1WYXfG3sjXYNu9J76FxhaQUY9kKvbPDfHJOa0qZhi/qXC9laR+rbvKcDT 940Bi3k7DFxzYZS4pfEBJxpLCF/acbUu2NqylDOyrccoxrYMNG0SWAYjDy3TfUFcPUxZt1lF diPTCsOyeVlUtLkUf4gNLunhFqKU3ayDQN3zLIxpf4ZXrWfn5FGNydzsYxVkLL6pbbz0xzTz kUm7sgnFpXwrtM30Ak/25g+vYPCUN0PJoNwGdyiuI9ugF6uzOahgybav4xYh5Fr9JQd3lpn1 o1nV/rPbNjSJ5dOGH/ND2WnmxdY+Rppq35LDq56S1zB2tEuPMXVjQNg+U3zmx1tNzV/s5nnh UrvfphXbo5W7n1RQBQJtrT337g06xop6jmuvs1nuNqUUFJpSRKzHa46gaOm4aDffiG1clKKK wpMQVTrqraJphQSJf9xDG4aiuz2T51A8K13PrLp6e+99/I931iqo0cPD6en0enk+vZOb7DjJ xGziYrWpHpI2ZlOAI/q+yvPl/unyGVyPP54/n9/vn8BKRBSql7AkW1nxrDy+TXl/lA8uaaD/ ef7l8fx6eoCLt5ky26VHC5UAdWkwgCqysl6dHxWmnKzff71/EMleHk4/0Q5LP8QF/fhldbUq Sxd/FM2/v7x/Ob2dSdarCMvW8tnHRc3mocKMnN7/7/L6P/LLv//r9PpfV9nz19OjrBizfkqw kleAY/4/mUPfFd9F1xRvnl4/f7+SHQo6bMZwAekywnNtD9Ag2API+9gBY1edy18paJ/eLk9w DPbD38vljuuQnvqjd8foYpaBOOS7WXe8oAHG1dzWwQxo3NtLQwiOL7yyJK1+AIPfTjGAnTm6 OrhE7ZqyW+a6WK+JsgVvIB5Vt0vzml6WkVTtqiBOAvQiFh7e6RjVC6MP2IBYOlNWGjcb5X6q mri0gl3CPKMoxXxqvJDEH8fkev9pLj/zwxSTF7ln1BtRzdyL8YGH6R29AgM2q/ce3NOjhSU5 rEXypeMsiKf2CbYmrbDrF8DXe+kJrY6JZyNgeB1Fy1HtMX55fL2cH7EWyU7d/aHpVCXRe7vc 9Ux5523abZNC7FWRVckma1Lwym04X9vctu0dHBl3bdWCD3IZXCb0TV5GH1e0N97WbHm3qbcx qBBMee7LjN9xXuNw0QpTfvKJkRImtItNTO3WVJIqqrJj+XV3zMsj/OP2E24DMTW02KxTPXfx tnDc0L/u8E18z62TMPR8bPLQE7ujWAIW69JOLI1SJR54M7glvRBiVw5WpES4hzdHBA/suD+T HodaQLgfzeGhgdcsEYuE2UBNLDqyWR0eJgs3NrMXuOO4FjythYxmyWcnBpZZG84Tx41WVpwo hhPcno/nWaoDeGDB2+XSCxorHq0OBi4k+jui9zPgOY/chdmae+aEjlmsgIna+QDXiUi+tORz K63LKxx1r5D30uA1sUxLvKMojAtwici5UcOSrHA1iIgS13xJdE6HGzDdjyaGhbgO3j0TrK4z JICZpcEhywZiCEtqMsQV4wBqLgtGGJ8BT2BVr0mMgYHR4ocPMLjDNkDTI/z4TdIIMKGOyAeS ukEYUNLGY21uLe3Cre1MxPUBpP7rRhRvBOvMxyvtMctBJxVaf4NK2WRpnkjH4PgWfFeA4yXI k9NwpHHDjj0jzxqbKs+JQoN4UWqykS55k2PVtdsNOlU4RuEYLdHUcpE307c4ILR46NYFVsHd 7ePbVEu1P+gmhkqQhLc5KLTdwvAj19JTgna3LxMwoMaqC8WxoEXUaXxDkWMWC/GLYttMrLB3 YlknaMzSZpdsKNCZoTcUTN4skt6X5Cihi0+9Xe/bFmt0qAgJ2wIfB8Ucxkcs9u61BloKljAp GJByTcE0TWtm5KlQ2jTkV1YnXqCZidbyGMynpf08eTNhyRofu8JLRokSbNZ7A2lLDeLFOqv0 7BSolYsIjsOw9EQVkQtViZoZQHcClQuiYDYyMR7uI5qknDVZTaafkcyxG8YRFX2dBKYB45eq azbXGW7hzf73rOV7o/UGvIUwUXhWqUFoZNdCBt7g3He1iuGEBmXfgUA1iISPEElJo2TrAk6G EJCkcR0nRp2UQYHIMCF6yuAB6RrSax5hMSx6Eo9NA3maRmpsbGIGnldIiGJLsjmy9ypInezR JNoyTEnVWB34XLEkyX84fuSMpU9gbNfCvzxvY8xt/DqXlI8NXskG26X9S3Fg35EeNNcG0rKg bBeLhdsd6LqnyCIt8+pWR6v4um2ITzWFH8hILXhmdAjA6PzLlAa+dAWIPojHBd+LrZXRo3r8 Bos48nfofV+i36B3hrlujTE0UDTw4YBqk7zImxXaMXMdm9NXbta2jsuYV2K3ZX5HVd5ZQShN 6schWGryL0N9uFS12IA2Ri5gkKi8ZGelSFC2GVkhi/xoCWws48CIGSsF3T78/WKNFXJso/sq 6PtObUANN3qYmNWaViBlyiZbfhm0nn89nR6v+OkJTvTa08OXl8vT5fP3yeuAqfrYZymd1XIx XTE5UFIZA/43tFf/qwXQ/Nu9EBzkptvTv2Zfgl0MRPK9GWQnPcn62N4yscCL373Fim/j2E7A TS24QSYDph9yzSZPZri60K1yBry1/0BAiL8pRGG7s77VxHxHZPCe20Po96xmxo/J9jOwLSW5 pUSw0f8mjliskzKlgq3OWb4OWgmmQrQG9rZrQpqu8U3NTmxs0rE2XGcqU9IaiRrc7ht5CaIl jgcNM8MeoPuJAWzqgm8tafmurU2YbCkGMK8t+QpZvq00+HqdwDphcyc3vAa2I2RDMRYC6df4 dGlgDmtL8WqZ5pYvkPLBDvvFGSkq+A+w5hpfwmK/JGQc0YmJxQOidMMr02BxQMyqjoxcPG2E pQcWQqSLy8o20So/jaZmdo/jJZjv5axm65895dG1anjB6/SdxMTIDXRX1aKwzJZCrnd6g43k VuxRt7Cn7hjpGJYEUAAnrTIkSrB1ywBu8cAcQKOV9CYZ7a3MFE013wxTNT/8BLJntvBp04j/ Z+XvKaPRDaXSM8Mu7wZE1CutyaHJdJRqwyZLaHVJ93QZXWRLH6RxU1w1pz9Orye4n3o8vZ0/ Y5vFjBF9AZEfryN6EfSTWY5STnG98CNN/W2orOm5hZIrPwqsnObYBTE8C8jRqUYFs5Smy4oY f5ZZLqwMS1i6XNi/Cjji9wZzHFShOlbby3OLmhPdOgG2t3m48O3VAFtk8XeLzQ8QnVdsV8bb uLGyuqsWTOFTLIQfmP2z1snSiTSdkoHbZEexpmgKp1C5bdExfLvTmysfsNywuxVLWondtqvO yS/fXh9ssTHADIcYcitEjP11SspPDy14McOuJuRjR82jRMq1EL60lALlDdM+CmzD67VuCSS9 wkN0bCFptMo6VRto2reML4rt1LpCbTrOcMUOtVDN8KFRb7VO3usz0kwGlJ1jVh3wpWwVc3xQ q9LEWKhQ0LQJVxHd4Tr6/HAlyav6/vNJeoI1o84PhXb1tu1jV4+N8aNMaB7TqjzavQ6EsroA o8dWSGD77c5iA1ttOs1Ss3+b2Jarbz3E1BYRjuq0l0eoO7g21JAhRDlN19Lw3RIDY39jT6XV dALNjDFpcyhA2sjmVAD4TV7V9V13a3o0UPmyOIeqS30ee2bNjVjtiSlrb/um28f21pM92usw PF/eT19fLw8W3xRpUbWp5k1uxAYRBKk0GFmpIr4+v3225E6FbfkoRV4dwz5XFSK9JmzBY/c8 A4DOjiazU51J3UZZo9qXCRzHDa0kZo2Xx9vz68n0hzGmNR2RTJR2yDQRUF8b3ttPK+OruJdu VFUqdvV3/v3t/fR8Vb1csS/nr/8AX7oP5z/EeE40Xa1nsd0WML9g1yPThbqFlvz69XL/+HB5 nnvRyisFoGP96+b1dHp7uBfTyc3lNbuZy+RHSZWf6/8ujnMZGJwk0xc5k+Xn95Ni19/OT+AY e2wk04d51uIQivJR/BiMXs6M5f58CbJCN9/un0Rb6Y3ZFyZ77E2R9VowHBdkfXPqLHCKMXSL 4/np/PLnXEvZ2NEv8091qGlzD/dLcPoylNw/Xm0vIuHLBX9bT4n9/6GPNiUmLuVsGc3EKBFM yGLRjckwIglg+8TjwwwNjp55Hc++LZap7JDqNTcC0EwfqZ/Zpkc4SRsySP98f7i89HOCmY1K 3MUJ62jE9oE41i72/tjDGx4LQX1h4PSAuAfHQ2TPX4UzLJxm37IZUp53GZzYLDh+sFzaCM/D asoTroWNwETkWwnqf7LHdUl5gNsyIHZfPd600WrpxQbOiyDARnk9vO/jWtsIZh4XYRIi3xF1 FGXJPT2DIhA4uYCA8ViuIOf64OpA8zEwYR1bW2EqKRFc98WEWIgmVJUQrUkr7Bpurzti9Qtw 713f4gUBWPVPIv5M7xhJZakcBvSYxMVJ+O3gGPe7BltznKo2DMif0kVGu7sBWmHomBO/pT2g 6/YqkJwYrouYBEcUz8R7sno23gGMZL4umOjU+k0gRvU8EKPllC2iyMxpQmn6JCbRspPYw3th EI8TvOVWwEoD8C3Q5pjzaBW68caG0c9AOKkU8oKmqoy1yGTP6s8hFas7Hbk+8mSlPdICFEQV do7s92uHhLcqmOfSwHjx0seTXg/QjAZQC3YXL8OQ5hX52MugAFZB4GgXCz2qA7iSRya6U0CA kFiQiK0DNUcDgPjk5u115GH7GADWcfAfU9jvpFkM3LFjj/NxslysnCYgiOP69HlFRubSDTXV /5WjPWvpsdNj8ewv6fvhwnjuMnV2GTdC7sbDiNDa7CCWvVB7jjpaNeJ6C561qi/xuglWDjha p3heuZRf+Sv6jIMnxcnKD8n7mTy6inHMXhA9FkcTg7kCY4w5ogc5GggODimUxCuYl7Y1RfPS penS8pCK/S5sZNuUkQPgXSakBNQldkfiKgHfzpEslQ9tDWuZ6y8dDSChvADAEpMCULuBCESc CQPgEDf3Coko4OLjUgCIp2k4hSXqjQWrhVBxpICPVeoBWJFXQLsfQhWqmML004u07D45eoMU tRu6K4qV8X5JnCsoyUv/EeUG5RCreNPEq5xkeC32Lpn5hsQPM7iAsbfTEvxIazXm8meGUw89 thpvC9GBaOJW/FZo+mhlEYvIYSZGAgz3mM8XWCFXwY7r4CAJPbiIuLMwsnDciBPfsT0cOtSS U8IiA+zSQWHLFRZuFRZ5vv5RPAojvVJcBaozUM9JdbQQwrs27AXc5swPfNoALWfuwsdVV17I IQgPI2gIqNZpDpvQ0TrmIatBHRCU6Anen0QfFfjXTbk2r5eXd7Enf8T3LkJUaFI4OksteaI3 +lOSr09is6stXZGH5/VdwXw3IJlNb/0bBlwOXWN/0oCLfTk9nx/A7Eq6lMVZtrkYuvWuF5/Q rC6J9FNlMOsiJbYx6lmXNyVGr7oZJw5MsviGyi51wZcLbAfIWeItNAFHYaQwBekGGlDtrMlg S7etsVRGCHxnw2vu6Y9aSRLSSzp8iuRCOv0qenPbRNFByU5TDDFTfEh2uRB943I7xSjbnR8H z8FgBMYuz8+XF+QabRKV1ZZL8/hJ6WlTNX6cPX9cxYKPtVOtN5qGclZkqA8SazXCqSNLXg8l 6V8h93y8Ro0In6E11ZRAqSpMR1dGxuS1Vqu+nSN9W+P637Q3nlRjUgzPezWP2Id2sAiJIBt4 4YI+U2kw8F2HPvuh9kykvSBYuRCFkKcGqgGeBixovULXb3RhNiC3x+rZTLMKdfPJYBkE2nNE n0NHe/a1Z1rucrmgtddlZo8aGkfU1RI4dSTOkuuq1RDu+3jHIeQ/h2zUQCAMsbBQhK5HnuNj 4FD5MIhcKtr5S3w3DcDKpVICuLKKXBpnVsFBsHR0bEl26T0W4h2cWnnVpyKj3Q/67jiqH789 P3/vD4jpEJXB/Lr0QO6+5VhRp7pDsL8ZxlDeMRKMB05kKiEVUiFJX0//++308vB9NDz+FwR2 TRL+a53nw7WHuuqVd5z375fXX5Pz2/vr+Z/fwPCa2DqrwDHaFfHMeyo4w5f7t9MvuUh2erzK L5evV38X5f7j6o+xXm+oXrisjU8850tA/r5j6X817+G9H7QJmbw+f3+9vD1cvp6u3gwJQh6Q LejkBBCJ2DJAoQ65dJY7NpxEIZeIHxBxY+uExrMufkiMTECbY8xdsQ2j50kDpp8zjfjcOdP2 rqnIMVNR770FrmgPWBcR9TZYBNkpUAb+gIa4vzrdbvsgbsboNX88JSic7p/ev6DleEBf36+a +/fTVXF5Ob/T33qT+j6ZQCWApkM451/om11AXCJD2ApBJK6XqtW35/Pj+f27pfsVrod3Rcnu /yv71ua2cZ7Rv5Lpp3NmurvxJWlyZvpBlmRba90iSraTL5ps6m0z21wml+fpvr/+ACQlASTk 9v2w2xgAKV5BAATAmrK6NapeVE0GwJQF2pE5XTdZErF3G9e1mlLWbH7zKbUwvlDqhhZTySdm csPfUzZXXgetuzXwWnyN+uFw+/r+cng4gALyDgPm7T9mRbagcx/06cwDcVE+cfZWIuytRNhb hbr4RJvQQdx9ZaHcuJrtz5nFZtsmYTYHznAqQ50tRTFcKgMM7MJzvQt5lARBuHV1CEnAS1V2 Hqn9GFzc6x3uSH1tMmPn7pF5pxXgDHK3FwodDkfzkPb9129vwv6xQTt0XfwJO4IJDEHUoFGL rqd0xnYR/Ab2Q221ZaQumdFYQy7ZolSfZlP6ncV6wvJS4G+6PsMM6GnkNQKYU2MGzZix3+d0 4+Hvc2oepyqVdo9GLzQyv6tyGpSn1HBjINDX01N6D3alzoEJsIHstQiVwplG7X0cQ18Y05AJ Ff7o3QbLUz3AeZP/VMFkSkW7qqxOzxg76nTHbHbGHlKoK5baKt3CHM9p6ixg5nOeV81CiKqR FwEPJC9KTG9H6i2hgdNTDlPJZELbgr/nlGXWmxlL2AG7p9kmanomgBytvQezLViHajan7qYa QO/1unGqYVLY834acOECqKaBgE+0LgDMz2i4fKPOJhdT+jRAmKd8bA2EJUKJM203cyHUA3ab nk/oprmB8Z+aO82ewXBmYJKr3359PLyZ2xqBTWwuLmmOB/2bHiab00tmbLY3jlmwykWgeD+p EfweLFjNJiPHNVLHdZHFdVxxUSwLZ2dTatqx7FbXL8tVXZuOoQWxqw+VzMIz5q3gIJwV6SBZ lztklc2YIMXhcoUWx+q7DrJgHcA/6mzGZA5xxs1aeP/+dv/8/fCDKSPattMwSxcjtCLL3ff7 x7FlRM1LeZgmuTB7hMZc9bdVUXe+bOSIFL5DW4qetK32Cuqv/euX+69fUcf5DXMjPX4Bjfbx wPu3rowvsuhNoCPWqqasR5wN8PzAHAgyWofGSBY1uVn20H4EUVm/Snj7+PX9O/z9/PR6rzOB eYOrz6B5WxbyKRE2CjZLH1ear2LOEX7+JaYSPj+9gVRyL/hQnLENC7+nlBFGmA2b32ydzV37 CEuvYgDUYhKWc3aeImAyc0woZy5gwiSWukxdtWSka2K3YaaoFJ5m5eXkVNa/eBFjD3g5vKJg JzDaRXl6fpoRD9dFVk65kI6/Xf6pYZ6I2Yk2i6CiTvDpGs4M6ktXqtkIky0rlptgXdK5S8Jy 4mh7ZTqh6pj57Tg8GBjn82U64wXVGb/v1L+digyMVwSw2Sc+Cqp2u0GhotBuMFxeOGOq77qc np6TgjdlAKLouQfg1XdAJ2Octx4Gkf0RU7j5y0TNLmfsXskntivt6cf9A2qWuLW/3L+ayyKv wm6lZJtFqQVKfF+E2uZQMOXSYRJhGHNSx8yhPltMmEhesqSZ1RKTEFJ5WlVLakBQ+0su5u0v WcZwJKfpKEFE4m9RbtOzWXraqWJkhI+Ow/86MR83UmGiPr75f1KXOY8OD89oMhQZgebmpwEG AtOHMNG8fHnB+WeStfU6rrIiLBqW05S+DslqydL95ek5FX4NhF1yZ6D4nDu/P7HfE2rHruGA O504v6mAi5agycUZy0ApDUGvSNREs4UfmIaAAwKavhgBCY1C1gDuQY+guFwO+d0QoHZJHa5r 6r+JYFy4ZUEXL0LronDq49Egtp1OII8uWQW54mkxtllsoxX1eoCfJ4uX+y9fBadgJA2Dy0m4 p++3IrQGVYm+k4ywZbCJWa1Pty9fpEoTpAYd+4xSjzkmIy26SpPNTWPQ4Icb/4sgJ40HgoI6 QxkkDaPQr8Iga+rSiuCwCl2A43SrP7ZzAPju57J2PmEfpFy5YLPlODAtZ5dUsDcwpXwID5sf oF4wMaK692oJqIT5Paf3OXpA0WuFg+pd6gFs7hAjgldXJ3ff7p+FLAzVFUa5Ec4Fg0OTCuKT rlXQmhcBB1nbrbCvrwzCDY+3NZ4atX7RgykveGcOBYqwpnfncMzGtZjMymDMTK12n+mj0RqT heuyxVyJe/EtZ01T6+w84eB5X66vT9T7X686bGEYly5shicWG4BtlmA+GoZGj3AMj2RApA2D 3Oz1MMZUMWRowqzdFHmARadSOR0HBBymqljwAEVGo8VUArpJMIIL0m3BUbgvkmx/kV05ict0 b/foM+f3GZHlPminF3nWrhVdOQyFHXRaon3//C8FZbku8rjNouyc2XsRW4RxWuCtehXRLEGI 0j5hOAXrcYTbvC4Jjd86dNG3mXYJtOcS6F6wKMaQcZZxSYOtsb4MRqCw96Nt4pWgTMXkIIgg sCiNbcg6EdtrGquGv2CcSeBeRnloZh5L4ACTdsJsjcMLPh+vpaIHcylDGMjQuyNk/eajcRjw ow3Zi90G4J4LMAVz/qsLnGx3FXvBQOM2OtMJP2VNoSzowCMJYvOoKmgErQW0iwQzz/E0KRxH jzanVJc978Nf949fDi8fv/3X/vGfxy/mrw/j3+sfDf7MvM542to0WeTbKKHp0BbpRj+Hx1/G zPHZ2A37HaZB4lDQBJfsByDLJTHamY+KsCggdudi6bbDEG3iaxpUG+xtDi0GIz/w8T8B4FTe QdejUD+hYYfdOM30f7pyjAWiD6qKAhogigkiVNnGGNvq1VKZms0V5u7k7eX2Tito7rmsqIAC P0zmF3TWSUIJgdl9a45wXCkQpIqmCmMdVVOwXBsDbh0HVb2Ig1rELuEYCz3GVK99iJQxCKA8 81UPXolVKBEKLFv6XC3VOzzJ3d2q+mPeFcKgKyoH6Zj8Enejw409lBboBryO3spWVU/omA1c fLgtBaT1ZpVLwiqeuzeuHS4LwvW+mApYky3V68iyiuOb2MPaBpTIyYwaWTn1ualnYL+L8C6c zYe0yyyWodiVEYzbUIYc+3YbLBsBmmNCSZspKwjbnIe59GRsMS8V/9HmsY5Ba3P2UAZiskCh MZvHCRIEy5hE4IHOi8ZRikV4a8gidrLHArCgmSXquFf64E8/ZhjUZUMyqN+ErJcLMAkdzP9+ uDsmZn6/1qxBz/DVp8spfY/YANVkTq01COWjgxCboUO6VPAaByJOUZINRFOd87w/Cb0TxV+t nyVYpUnGSwHAiJBhXTlJy6qwz4Vnod4LWJPTOT47FNEXEIdLg5AK9aCTalKWvHnIQwLqL0jv Zd34guFVTA057JVmnVZaC79R5kB54icNUjqcejBXc63f+M3dfz+cGEmWxmeHwHTidlegT777 OHiAdsEaDg+FAVHMWgCgpGAJJ+J9PW3pQWsB7R6zF/rgslAJLKww9VEqDpuKmSwBM3Mrn43X MhutZe7WMh+vZX6kFkfi1bBBjiWf+HMRTfkvtyx8JFvoaSCySJwolFFZa3sgkNJY8h6uc3Ik OWUspCJ3IihKGACK9gfhT6dtf8qV/Dla2BkETYhXg6pOqJfC3vkO/rbZcNrtnMOvmoKGGO7l JiGYGu7wd5Hrx+H1m9siBlOG0Scw9n4PEBQoGDLM8ssMI6D38J1hATr1Eb5eEaVkixehS95B 2mJKtcAe3Kc8AN2gUYyL9TQ4tl6Vugd4cm1Y/kmKpO1Y1O6K7CDSOPc4vVo1w1vZZdCbf3qa qslBp4ftc232j2AGMrTOoBugGXbh01W8bEE9YZn08iR1B3g5dfqlAThkEpm7jzqwMAYdyt8C GmNGxv+Ezu8jJLXrqsP0tHipJSLTm0ICzkXgOvTBN6qOxGorqnncFHnsjpri2p75Dec8k4dk ZosbmnNmAwH1FzYJCAr0O0kad3uHnIOgi2OU4PUIHuqKc/3mGh82CgbBeqXGcIlhBfo3o8EV xua2Awmc3iIWTQKSWY6x0XmAkgH7qpv8MXIBiQE4Fvxl4NJ1EHu04/1Gluh1Q77nsE39E1/C 0CmUaGbfTm6rAGjJdkGVs1E2YKffBlhXManlapkBB5+4gKlTKqRJzoOmLpaKH+EGxtchDAsD hA2NlLE5sLwS3AICE5UG15wP9zBgLFFSYebjiJ4KEkGQ7gKQapdFytJ4E1I0HYlfBkUuL3QH RWwWw/AU5XUn2Ye3d99oWiqYwuGwJPzPgPl5sFSOAGIBPV3PsjvEGk7qYlUFmcCqO5pBiXcQ xQJ5W4tPfwnFNQ1uYjqFPcyvleDEVpEQSz1CZrSi36oi+yPaRloy9gRj0EIuz89PuZBTpAlN 7H8DRBTfRMt2ydI3jXzFuKEU6g+QFP6I9/j/vJbbsXQOoUxBOQbZuiT4u8sSh0/KlAEo3fPZ JwmfFJhvTUGvPty/Pl1cnF3+NvkgETb1kuUpcj9qIEK1729/X/Q15rWzVzXAYRcaVu2YQnNs rIzN+/Xw/uXp5G9pDLVczO4yEbBxQlYRts1GgZ0HW9TQ23dNgBdTlE9pII46KGcg09CIW5M2 b52kUUUDqUwJjP+swrXedI3b3LBsdEAzU2Y3cZXTjjm2zjorvZ/S+WsQjlxjgAnaRmik3rpZ wdmwoPVakO6yDNWmKrx0zniSwjESMcshoJYRnL8xy6avR2yNSQuSFabaD51mmH+cZQfsYhtU zmYVllD/6USFWq4wLwHQQ6EK8pUrCQWRDDCruoMt3UZp0UIGQeeVcl6tXTvl4XeZNo6E7jZN A1wp2hsdV7lzJeYOYms69eD6nsfNITVgAeMJ5garGpj/ygP7y7OHi2pnp/YIuieiiASNPu1c IDIkNywaw8CYbG1A2snUAzaLJKdHlP2qTuCZg+QsnHqUBESswtWVKF4lNzE/4QSiZbAtmgqa LHwM2ufMcQfBh5Aw+V5kxkggYIPQQ/lwDWCmTBhwgEPmCyZ9GWeie7g/mUOjm3od4+YPuHQf gijAk9jjb6NUOHn1NSKjrVVXTaDWjL1aiFExOpGpH32ONgKgMPg9GZrnsxJm0wb9+xVZCm3H FSdcpEQ5H46LY592xriH82nswUx/JNBCgO5vpHqVNLLtXF96LnTu75tYIIizRRxFsVR2WQWr DCa9tZIqVjDrhSLXcJQlOXAJJs5nLv8sHcBVvp/7oHMZ5PDUyqveQPCxB0yvd20WIZ11lwAW ozjnXkVFLeVcNmTA4BY8xbb7bob53Z+4G0yTi0+tqc+T0+n81CdL0SbccVCvHlgUx5Dzo8h1 OI6+mE/Hkbi+xrGjCLc33SjQaRH61ZGJ0yN09RfpSe9/pQQdkF+hZ2MkFZAHrR+TD18Of3+/ fTt88AidW2kL59mdLdC9iLbgit7Eg5C15YeTe1gZru86k/i7MK5c40AHGaP0ris6uGS26nDC JUGHuqE+ettFsVdLrgDF9a6oNrJwmbvaElqQps7vmfubN1LD5vy32lEp3FDQhHUWQl2r8u5Y S4Prgr5QrDEui9HUKWhrUonue61O/4AsPDAGtqiNiiwAyenDP4eXx8P3359evn7wSmUJKPj8 mLe4bhrgiwvqKlwVRd3m7kB6Ng8EoiHI5JRso9wp4KqpCEqUzszeRKVgabGjCGpbELUomjNc xH/BxHoTF7mzG0nTG7nzG+kJcEB6ioSpiFoVqkREdDMoInXPtHmwVSr0kWOTsap0gkUQ/gv6 YjcKZM5Pb9lCx+VRdlMf9SMPLfPelldNXlG/MPO7XdHjwcLwjA3XQZ6z1O0Gx/cQQKDDWEm7 qRZnHnW3UJJcj0uMhmV8Scn/prPKLHRfVnVbsSTAYVyuuZnTAJxVbaES/+pQY1MVJqz6pLMb Th0gpqjfDV3rc7IOFjqk2sUBvnSCurokrmiapgyD1GmBy5E1TPfGgTkjN8Dc9pqrLTTkOB5t BjvWDrXLRxDZwkr7DsKfDIRW7KX4sIgCbitwbQd+1wKp7p6uhVlgad4uS1ah/ukU1jBpjRiE f8DlNIAdfgxSgm9HRHRniGznNKSLYT6NY2h8MsNc0BwDDmY6ihmvbawFF+ej36EJLxzMaAto BLqDmY9iRltNE2c5mMsRzOVsrMzl6Ihezsb6w7LR8hZ8cvqTqAJXB3WrYQUm09HvA8oZ6kCF SSLXP5HBUxk8k8EjbT+Twecy+JMMvhxp90hTJiNtmTiN2RTJRVsJsIbDsiBEDZG+R9yBwzit qbPqAIfTvqHRpz2mKkAiE+u6rpI0lWpbBbEMr+J444MTaBV7NKJH5E1Sj/RNbFLdVJtErTmC X28whwz44Tm450nIXAYtoM0xSD1NboxAS1zBLV1StDsWj8O8skyqxMPd+wsGNz49Y8Q2ucbg ZxX+AsnyqsHgeIeb4ysiCegSeY1kVZLTW+2FV1VdodtI5EDt1bcHx7eWo3VbwEcCx3KKKH3j bA1xVLrpZIwoi5UO6qmrhB6Y/hHTF0E9T0tP66LYCHUupe9YxYoMCvIQUw9sntRRIfpyCfzM kwVba26l7X5Jg8B6dBkIjs970slUZa2+38gSfBUkqj6fn53Nzju0fqNQP/mZw7Dj7T5e8HZP ALFU2S7REVS7hAoW7PkRnwZHR5V0vyxBzEbfAeNBTnqL6lqoS6Kd2ROvJbQZmQ9/vP51//jH ++vh5eHpy+G3b4fvzySYoh9G2Dewq/fCAFtMuwARCnO0S5PQ0ViR+xhFrFORH6EItqF7Ve7R aC8e2Ijoz48+k0083Id4xCqJYAlqGRg2ItR7eYx0qvDd58G8OT0798kzNrMcji7X+aoRu6jx 6A2QpMxnzKEIyjLOI+OpkjJXgJ6wLrLiWrpm6CmgkgCWg/SVDqXH5Gd4Yp8bpXMVKJnAOo1J E+sQmpu3+CilFMI0aFVFEJWJxGssBlgtbLZQWqqY8UWammCJUZGJxKO07lyArgLM5ifoNg6q lLAO7WulkXixDMxLN0vfWNGJHyHrXfxEI+RIIY2N8O4Gzk1e1Gs58GRuZ6JOhS5o8K2SkIG6 zvDJYOBU/HQbSMipWLF714GkfyLSo8GZbZt4mYxWHzQRlVsS9r5PFsCyCxRq02VYtUm0/zw5 pVicvKoxLjLDi4w6Xi7DVkk3jIjOVz2FW1Ilq5+V7u4I+io+3D/c/vY4GOkokd6vah1M3A+5 BMDVxBUj0Z5Npr9Guyt/mVRls5/0V7OmD6/fbiesp9r+DEozyLHXfPKMxU9AAMeogoS6o2ko +jAcI9cOg8dr1LIgPhy4TKpsF1R4ZFCxT6TdxHtMQP5zQv0Iwy9Vadp4jFI4vBkevgWlOXJ8 MwKyk3GNf2Otd769GrN+lsCigY0UecRcC7DsItWvr6tarlrv4/0ZTYqHYIR0Ms3h7e6Pfw7/ vv7xA4GwIX6nEaKsZ7ZhIF/W8mYfZ0tABKJ+ExuWrcdQILEWOGCU2OVu0BbM4KQXtjVHrp03 UWP6hCn8aNH01i5V09CjBhHxvq4CKyJoA51yCkaRCBcGFMHjA3r4zwMb0G5PCtJiv8V9Gmyn yA080u5M/zXqKAgF3oEn74fvt49fMJv0R/zfl6f/Pn789/bhFn7dfnm+f/z4evv3AYrcf/l4 //h2+Ioq38fXw/f7x/cfH18fbqHc29PD079PH2+fn29BXn75+Nfz3x+MjrjR1yMn325fvhx0 nqBBVzRBXgeg//fk/vEeU4/e/88tT3uN6w/FWpT/CvZyHCK0GzScsyPP1hoK7azFCIaYL/nj HXq87X1Of1cD7j6+xxfhUQKg1lF1nYdunKeGZXEWUr3IQPfsZQwNKq9cCOzW6Bw4WlgwFxHQ htH4YfxHX/59fns6uXt6OZw8vZwYVYbmYEJi9Cdnzzkz8NSHw7EhAn1StQmTck3ldgfhF+EC NgH6pBXlgwNMJPRl8q7hoy0Jxhq/KUufekOjBLsa8HbaJ82CPFgJ9Vq4X4B70HPqnks6IV2W arWcTC+yJvUQeZPKQP/zpRNNYMH6H2ElaC+n0INzvaNbB0nm19A/FWl8Zt//+n5/9xvw2pM7 vZy/vtw+f/vXW8WVCryaIn8pxaHftDgUCSOhxjisJLDK/GED5ruNp2dnk8sjqHavH7IwmSPe 375h4r6727fDl5P4UXcX8yH+9/7t20nw+vp0d69R0e3brdf/MMz82Rdg4RpU9WB6CiLQNc+b 22/lVaImNEmwg4A/VJ60oJoKOz6+Sjx2BKO2DoApb7ueLvQDBmheefX7sfAnKFwufFjt74lQ 2AFx6JdNqYurhRXCN0qpMXvhIyDk7KrA5wD5enSYB5Q8kgQfbPcCe4qSIK8bf4LRY7Qf6fXt 67exgc4Cv3NrCbiXhmFrKLtklYfXN/8LVTibCrOpwW6qNIqUoTAdqcTK9nvx0ACheRNP/Uk1 cH8OLdzuSO/79eQ0os/lupix1q3Exo0ui37SoRktvWfr2H4kwfx6sgT2nM6q5E9AlUUs7X63 d40a7ANhgap4JqFAKx5Hgm57tORIGQksVJEJMIzeWhS+VKD1bHlmWj1rLfCzbj0ayen++dvh xd80QewvHIC1tSA/AZhU6yDzZpEIVVWhP70gTe6WibjCDcJzLXHxI2spDLI4TRP/OOsQPyto TwLgT79OOR0nxTsiuSeI89e4hh7/uqqFzYzQY8UiYZIBNmvjKB4rs5SFpM06uBHE5e4QHkWM fUaxxB09sCpZBjcO1+fLeIWG5shwEJLxajIfVsf+yqp3hbiULXxs/jv0yNc5up3tgutRGtZR s9efHp4xHy5TP/tpX6YskqeTIKhXuYVdzH0ew3zSB9jaZ8rW+dwkhgWt/OnhJH9/+Ovw0j30 JDUvyFXShqWkCUXVQr+y2sgY8aA3GOm80hhJ5EKEB/wzqesYkwpW7NKPqDOtpHF2CLkJPXZU q+wppPGgSGABW19Y6ylEDbfHxrnWt4oF+hMLS8O5iusEKzxrbNoLqpt/v//r5fbl35OXp/e3 +0dBTMOXVaRTR8Ol48LGmm1j8yjLiLRDcF3myWM0P/mKYVtiBQZ19BsjpZ1PjKtTHH38U8dr kTg/wnuprNJXnJPJ0aaOCnesqmPNPFrDTzU4JBoRsda+4qMT+gXOpZWPExchxSthChFv8usm giIwYCWtfMBiX07ncu1h6G9kC28jfxcjSpVHS5mfYyVLdeR7Jn+kiL8K/PPZwttofXF59mNk CJAgnO33+3Hs+XQcOT9Wsvvw1tdr2KeP4eHjI+g8qdlDQR6qDfP87GykfeE6TlUiz4PJjiBP UbCM96EgcZtJYukd6ELL0mKVhO1qL5ckeM/PlV2HtOgwLSLLZpFaGtUsRskwq6pIo28fwriy nkuxl+6q3ITqAsMyt4jFOlyKrm6p5KfOh2AEq983gcID3F4UlbGJudChskNwoznk8F2xv7VF 6/Xkb0zdev/10WRfv/t2uPvn/vErSezWX9/p73y4g8Kvf2AJIGv/Ofz7+/PhYXDE0XEo43du Pl59/uCWNhdEZFC98h6FcXKZn15SLxdzaffTxhy5x/MotMCAf/mtruJtYcbZELiVEHzX7SHZ wy/MSFfdIsmxVzqXyvJz/67bmMBiLiToRUUHaRdxHoLEST3dME9NULU6Mp3GvAVOSpwFsIoY 1ha9ju5SZYPCn4foO1bpJMx00VKSNM5HsHmMSSMS6ibeoZZJHuE1NUzFgt6EhkUVsUzPFQYK 5022iOk1onE7ZBm2uvzeYeKmpetQDlhLFRjsE2blPlwb95QqXjoUmFdgicqzzaSY0J72dQCH AXUht08bsUMqBMaY1Ox8CifnnMI3f0Fz66blpbjpDm12vnuphQMvjBfXaGUeIjAoZi7ebVqS oNo5DiAOBUyZFL5RhVx75OJz+Ikuz4VvvgyJUdy1OsJCjopM7LEcFIpQExDN4RjdjJoC1ztv jHjqQOU4VoRKNcuBrWMRrUgttk+OYtVgiX5/07Kkk+Y3N7NamE5aXvq0SUCnzQID6t06wOo1 bEUPgYn7/XoX4Z8ejE/d0KF2xaIkCWIBiKmISW+owxRB0PBzRl+MwOcinAesd1xE8MQFsSdq QV8tmHGFQtGT+mIEBV88gqKcYhGSHVHD2aliZEASrN3QFDUEvshE8JI6Ci54ni4d4bcNUid9 1z6oquDasEUqa6kiTIALgramCQYUclLgwTQ9twHpVI48KwzAWaQb5oFnGeByPU4GAScQS0Kt cYhAN2s0GLi5YhCHrtdt3Z7P2fkTaZevMA10UPM65m83DAeCdlxE4ibvneSJpLBLijpd8Gq7 6mAf0kdnNMrtahlXcOZ1CHMLdPj79v37Gz479Hb/9f3p/fXkwbhZ3L4cbk/wve//R8wb2pvv Jm4zE8d/6iEUXl8YJD0kKBpzSGBo7mrkLGBVJfkvEAV76dzA2UhB3MU44M8XxNVGO04lRiUQ CnZTLMg/apWanUqWcZFljRcKahIwCo6iYdlgWsy2WC61nwzDtBVbrtEVlUTSYsF/CYdWnvKI xrRq3AiOML3BWAXSgeoKTRfkU1mZ8GQdfjeiJGMk8GNJn1XCpwsw7zZIcjSrSoh5eGouRGsL TMcGt5Ei3LSDruIaM7sUy4hufFqm1ZlfqIS0LNCI7sb0ItQluvhx4UEof9Sg8x/0oTkN+vSD hk5pUIk+dEKFAQiguQDH3CHt/IfwsVMHNDn9MXFLqyYXWgrQyfTHdOqAgdlOzn/MXPA5bZNa OVyk50z4rgI3/wLATazeUzc2K+QybdTaDSbtiHRwSBY6GL0pdgF9mkKDorikrobGx0yrWyDa w86bDpERwHnZNkKvOxp+Uiz+DFbsCQBPPeqLplG2pJm1VD7BQ7eIhrznvdtZpxlr6PPL/ePb P+YduYfD61c/6EpraJuWZ3myQAwFdkJfwo3Ob2H9danTZGiyZICisUox7qR3m/o0SnHVYLrB +TCXxojg1dBTaK9Q27gIw/YJK7jOgyzxwssZ2HGjA7Vmgc68bVxVQEX5iqaG/0B5XBSK5aEf HdL+Quj+++G3t/sHqxW/atI7A38hE0CcLvFraOCXck1W0DKdhxQW1/ximIoqKWEh4PMfNKMG OmbrO4aAyjzrGB/LwmR3MIWUx5pPK5OIF7PAZUEd8igVhtENwaTR124dRmhYNnloE80Ct0b5 w90sNuk627HbzEQE8WOHVGtC6+PKvhk0WCR+dbT1cOvLsPu7bqtEh7/ev35FD83k8fXt5R1f rqdvBQRotFPXqiJWCQLsvUPNpc5n4IwSlXlNTK7BvjSmMMgxD2NirfGTU3cQm4zAzKKzhGzC Dk2QYcL/ER9fVtNIujZ9HhphexUt6Lfwt1BgYLsLFdhs1ygaOS3V2OPfC1XAHG5/ad74OJkQ HHf0MMthxy+tF29fGeGIyINAeYhz1T3kzGpBvJa+pNRCWLbY5cwGqg2jRaIKnkp4qK1lhhkD rwrYJYGjUvZjbGh2e7cUhfRGo9pJ6al/O7zQAr1rCFOtyWc7BhYkQI5fMtWK4/Qb1qM18whX jqvCRjO2MbxJEue/fMGp7JVsd9T0e1ilzaIjpaFtCHauafXStesO5JEUmJW/ajqMJOkbJqzZ Y6NYck8FQktkURil6LyM4KyHbdaWKyfiocP4EO2Gx6WiHlUtBGC5WqbBypsr6atuw5KqbgJv P46AYaQwzTmPIrFAE30NJwGc2fpldueZObOnzEmB54k7TYbDBIpKYg4Cx4UrV2Go+2Kw/t2r weJSxccO8mJgfaCFG3vWwDyDn7K/pT4L+url310YshO6bnEBJp7qTRufJ6enDgXo3/2mnp6d eXVrE5F5lh63BarYQxcM0RASKuai9virs9rX5uFOq/0D0Unx9Pz68SR9uvvn/dkc4+vbx69U VIVxDTE4o2DGEga2AcoTjtRqW1MPhgK0hjfI7moYAha2WyzrUWQf2EXJ9Bd+hcZtGsaoO59y HuglFEaTx37AxGelSHOswYRstMEujdtgU3+7xocp60AxxmzjCDtUP+Lzi1PhQz3ZeFs4iduU 3RVIoiCPRtRjU69X04HPD/SxoGOry+SkANnxyzsKjII8YHiwG3WtgfwtGg0bEsp30T5C3Xwv 4Fht4ti+Pm5uytDJfRB0/s/r8/0jOr5DFx7e3w4/DvDH4e3u999//79DQ00EMlaJeZR9q0NZ FVv6cMSQP1EjqmBnqshhHIFCivvSzhp14HFcNHE2dbyPvdNBQbe4f4hl8jL5bmcwcNAWO55u wn5pp1gKQQM1Xiaca5scuKUHMHkJJmcuWAcaKIs9d7HmDLbKrya5PEYyJECYzL0PJSC6pEFl Ax4N1dQVGCz1qLwQ1AUqtiqNdR+F0rgItNObFc/UeNw6cA20rHnsvNtu/azQxz/7Zb/8WflQ ReY7uyCp+600GD7+F6u93+x6mOEQcWQSDm/zLHHXil9msGsMMK3owopqmxwdZ2Gzm1s6QbIz B+kRXctSgJgPEqBi2hY5/0xOyJMvt2+3J6jX3OHNOn3KzExs4svZpQRUnqrRyU40V44Wb1ut aoBCgG+/JTza8WjbeP1hFdtEB6rjY7DQRRXLMJywcZkTyvS2M0M6YICpMEj91cVIxpYgI8IH k+S6CBGKjtpO0p9i0wnFOysFQfGV8pc177zD566saaMajBqMwLwLBGoo+hCJewpauYbjMjW6 g07yq58mJwwPoHl4XdMUMNoXlRjy/HyTRWl6yLLxbIlt5zh2VQXlWqbpjHFujlwB2e6Seo22 e0/PEsjsUzRorvwV8qDyarXoTCuLOjC2ihwSfARDrwmkBHU+91TAJXotXztA2Pp1UaS2agcZ 2k+5SDN6eKPkLjbTzpCfqHiT0bqPEcRbvI5DemZ1wEWCq0rBUIT+PJGqrH2I5wEtQZXPgElU V/JAeN/rrBDuhyyhcDfi9BhlQn2t4lU9uiB/shbHluHPV+CvL76+CcDX0MXNtaJ7jYIRBYVh 6cGNoOltpx3sbQ9aqLzANAzeWKONRSqAz5k6g2C7Zle7e7AC68hBqV4X/mLtEL32zRfOAo5P TAJihsNLudPBrZsRJnXQBWLxqTz7lnhSuNtjA/UsYrP21QgYD7zc7XYjF1yUSw/WLQIXPl6D /Ty+bVUlkT/YI2yHY7UzV+hvIGY0V9c5LEm3DfhqFNAnqxU7/E31hk+4hpRhc0sX0JRLCOiu 4iDVN9g4sV6vTGfxn6ZyHvqTCYzz5GR6ITVivLZVWGz71dXv+OHFLrvc6wCkh/KI8EA+NkYs kPZPzGoOFsUpqLTC9jNm3geByepbLgdNJhnZq2MjpmtdQLO14Gq1KITBAm2LdZhMZpdz7YTA 7XDGtqRcQBs0+yhRJbuTsyiyzhTpBUWaOz0PaWfH5h6Wixo/HBdnRW6vLWZ0/GZsqrgeQa13 wIviYKN3g19QP3TtQit8GwCO6SQWiqTJNi71PZuLMb+WfhtC855zUfmtSyJQ3L1++rnELKJM omXkQVUcomeYP3V4cnjQZp34VWyXCYYTA1vO6tqfEIKOyp+h2+XiGMWiCNf+GEGPK3T4WOCD g9XSX6JbAWZyWGZx4mF8+xJFGN19wBGDNz7x1Sb2eo05TumMoJaCnPyFh9G604+Lc0l3chRc T37yFWCfxuRYshfpjaI+jxfnrb301pIXTa1IS43UFS1WIwX0W9/7iGYDwOxx5ap2XpyzNrF0 od026DChh5PDsLQUPbDRoaM948YOodtjhIzbWi+kbGiFZbWn+4tTWp4gYvm5mp6i0f8cpxm5 bbU6n3ZvQPsnd1srg3EvMV2wUzwcHVLP/XifzdDoy+CSauLasI/mJXe0m3yn91ZbaIfY4U63 gxtnBc0o40q8FuCLmnqu1IfXN7T+oGk2fPrP4eX264HkBm7YKWQuH7zbSilNpYHFe8vQHOOV wWq9buRdZvHGiYkYZfaza6liqSWU8fqI+h3XOsrpOFWvXow2avyR5SBJVUpd7xBi7qEdC6pT h5CLVxfNgk3cZWp2UEnR21A4YonmxPEv+f4PtlQu9AYYQOh/v+fIG57lytzWKVAuQDC00gfp M6fGX90VMR6QQYXX+MohQLeaqtFvdTHvGoMEKS4A+cHIr6c/5qenw3VcBZK91m2Nyb0Luh6M s5uozkSOYi47UJhTwPHGSTAR8zoOynEKtzzFRclWu88P+8UISfQxc7HmxWBgAgZ0RK7W7thH 8NRjfJSKOXGPk1mPgBF2aAzq53PRyE3zpo3Wr4dsHe/xQJMSvWqXU7F6MxEGb5x4JVbUUSmT 942X3gCiLiQXZI3uI7FYXWGQu7Deb5FXjxkSxztt3OXH8aj6LkEcGqeo8MZEexEcGVrnZRWO BUVrHGm8L8cGJ91kgzjZjQJeMj841VjXgLF6tHFVc0intnLpQjBYb11oz5Mt/YyOHYOvD3r1 eKe6TKijS8V5txeqhTMljdzTtIpNDnQ5zbOuRESZcEQRQQL03PRtWaTfmZfK4VWY+3l0uJFo uyg5jnQWrSeR8Z00uFDw2dlkReTNPfNZOcJw4ywMYMGNrjVf+zNbpPMHdpqI93iJ3zX4DMLH vrLOMqcqndGy5Im+NUIbk+0C8JWePggQPsgnwAOsr4Fvbbuz7DO5CTkq4nmJM40H8/8HTXDa /eQnBAA= --mYCpIKhGyMATD0i+--