qemu-devel.nongnu.org archive mirror
 help / color / mirror / Atom feed
* [PATCH v10 5/7] hw/isa/Kconfig: Add missing dependency VIA VT82C686 -> APM
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
                   ` (3 preceding siblings ...)
  2021-03-17  1:17 ` [PATCH v10 4/7] vt82c686: Add emulation of VT8231 south bridge BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it BALATON Zoltan
                   ` (2 subsequent siblings)
  7 siblings, 0 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

From: Philippe Mathieu-Daudé <f4bug@amsat.org>

TYPE_VIA_PM calls apm_init() in via_pm_realize(), so
requires APM to be selected.

Reported-by: BALATON Zoltan <balaton@eik.bme.hu>
Signed-off-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
---
 hw/isa/Kconfig | 1 +
 1 file changed, 1 insertion(+)

diff --git a/hw/isa/Kconfig b/hw/isa/Kconfig
index 2691eae2f0..55e0003ce4 100644
--- a/hw/isa/Kconfig
+++ b/hw/isa/Kconfig
@@ -48,6 +48,7 @@ config VT82C686
     select SERIAL_ISA
     select FDC
     select USB_UHCI
+    select APM
 
 config SMC37C669
     bool
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* [PATCH v10 4/7] vt82c686: Add emulation of VT8231 south bridge
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
                   ` (2 preceding siblings ...)
  2021-03-17  1:17 ` [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 5/7] hw/isa/Kconfig: Add missing dependency VIA VT82C686 -> APM BALATON Zoltan
                   ` (3 subsequent siblings)
  7 siblings, 0 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

Add emulation of VT8231 south bridge ISA part based on the similar
VT82C686B but implemented in a separate subclass that holds the
differences while reusing parts that can be shared.

Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
---
 hw/isa/vt82c686.c         | 84 +++++++++++++++++++++++++++++++++++++++
 include/hw/isa/vt82c686.h |  1 +
 include/hw/pci/pci_ids.h  |  1 +
 3 files changed, 86 insertions(+)

diff --git a/hw/isa/vt82c686.c b/hw/isa/vt82c686.c
index 69f073ec9e..60a84d984b 100644
--- a/hw/isa/vt82c686.c
+++ b/hw/isa/vt82c686.c
@@ -8,6 +8,9 @@
  *
  * Contributions after 2012-01-13 are licensed under the terms of the
  * GNU GPL, version 2 or (at your option) any later version.
+ *
+ * VT8231 south bridge support and general clean up to allow it
+ * Copyright (c) 2018-2020 BALATON Zoltan
  */
 
 #include "qemu/osdep.h"
@@ -640,6 +643,86 @@ static const TypeInfo vt82c686b_isa_info = {
     .class_init    = vt82c686b_class_init,
 };
 
+/* TYPE_VT8231_ISA */
+
+static void vt8231_write_config(PCIDevice *d, uint32_t addr,
+                                uint32_t val, int len)
+{
+    ViaISAState *s = VIA_ISA(d);
+
+    trace_via_isa_write(addr, val, len);
+    pci_default_write_config(d, addr, val, len);
+    if (addr == 0x50) {
+        /* BIT(2): enable or disable superio config io ports */
+        via_superio_io_enable(s->via_sio, val & BIT(2));
+    }
+}
+
+static void vt8231_isa_reset(DeviceState *dev)
+{
+    ViaISAState *s = VIA_ISA(dev);
+    uint8_t *pci_conf = s->dev.config;
+
+    pci_set_long(pci_conf + PCI_CAPABILITY_LIST, 0x000000c0);
+    pci_set_word(pci_conf + PCI_COMMAND, PCI_COMMAND_IO | PCI_COMMAND_MEMORY |
+                 PCI_COMMAND_MASTER | PCI_COMMAND_SPECIAL);
+    pci_set_word(pci_conf + PCI_STATUS, PCI_STATUS_DEVSEL_MEDIUM);
+
+    pci_conf[0x58] = 0x40; /* Miscellaneous Control 0 */
+    pci_conf[0x67] = 0x08; /* Fast IR Config */
+    pci_conf[0x6b] = 0x01; /* Fast IR I/O Base */
+}
+
+static void vt8231_realize(PCIDevice *d, Error **errp)
+{
+    ViaISAState *s = VIA_ISA(d);
+    DeviceState *dev = DEVICE(d);
+    ISABus *isa_bus;
+    qemu_irq *isa_irq;
+    int i;
+
+    qdev_init_gpio_out(dev, &s->cpu_intr, 1);
+    isa_irq = qemu_allocate_irqs(via_isa_request_i8259_irq, s, 1);
+    isa_bus = isa_bus_new(dev, get_system_memory(), pci_address_space_io(d),
+                          &error_fatal);
+    isa_bus_irqs(isa_bus, i8259_init(isa_bus, *isa_irq));
+    i8254_pit_init(isa_bus, 0x40, 0, NULL);
+    i8257_dma_init(isa_bus, 0);
+    s->via_sio = VIA_SUPERIO(isa_create_simple(isa_bus, TYPE_VT8231_SUPERIO));
+    mc146818_rtc_init(isa_bus, 2000, NULL);
+
+    for (i = 0; i < PCI_CONFIG_HEADER_SIZE; i++) {
+        if (i < PCI_COMMAND || i >= PCI_REVISION_ID) {
+            d->wmask[i] = 0;
+        }
+    }
+}
+
+static void vt8231_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+    PCIDeviceClass *k = PCI_DEVICE_CLASS(klass);
+
+    k->realize = vt8231_realize;
+    k->config_write = vt8231_write_config;
+    k->vendor_id = PCI_VENDOR_ID_VIA;
+    k->device_id = PCI_DEVICE_ID_VIA_8231_ISA;
+    k->class_id = PCI_CLASS_BRIDGE_ISA;
+    k->revision = 0x10;
+    dc->reset = vt8231_isa_reset;
+    dc->desc = "ISA bridge";
+    dc->vmsd = &vmstate_via;
+    /* Reason: part of VIA VT8231 southbridge, needs to be wired up */
+    dc->user_creatable = false;
+}
+
+static const TypeInfo vt8231_isa_info = {
+    .name          = TYPE_VT8231_ISA,
+    .parent        = TYPE_VIA_ISA,
+    .instance_size = sizeof(ViaISAState),
+    .class_init    = vt8231_class_init,
+};
+
 
 static void vt82c686b_register_types(void)
 {
@@ -651,6 +734,7 @@ static void vt82c686b_register_types(void)
     type_register_static(&vt8231_superio_info);
     type_register_static(&via_isa_info);
     type_register_static(&vt82c686b_isa_info);
+    type_register_static(&vt8231_isa_info);
 }
 
 type_init(vt82c686b_register_types)
diff --git a/include/hw/isa/vt82c686.h b/include/hw/isa/vt82c686.h
index 0692b9a527..0f01aaa471 100644
--- a/include/hw/isa/vt82c686.h
+++ b/include/hw/isa/vt82c686.h
@@ -3,6 +3,7 @@
 
 #define TYPE_VT82C686B_ISA "vt82c686b-isa"
 #define TYPE_VT82C686B_PM "vt82c686b-pm"
+#define TYPE_VT8231_ISA "vt8231-isa"
 #define TYPE_VT8231_PM "vt8231-pm"
 #define TYPE_VIA_AC97 "via-ac97"
 #define TYPE_VIA_MC97 "via-mc97"
diff --git a/include/hw/pci/pci_ids.h b/include/hw/pci/pci_ids.h
index aa3f67eaa4..ac0c23ebc7 100644
--- a/include/hw/pci/pci_ids.h
+++ b/include/hw/pci/pci_ids.h
@@ -210,6 +210,7 @@
 #define PCI_DEVICE_ID_VIA_82C686B_PM     0x3057
 #define PCI_DEVICE_ID_VIA_AC97           0x3058
 #define PCI_DEVICE_ID_VIA_MC97           0x3068
+#define PCI_DEVICE_ID_VIA_8231_ISA       0x8231
 #define PCI_DEVICE_ID_VIA_8231_PM        0x8235
 
 #define PCI_VENDOR_ID_MARVELL            0x11ab
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 1/7] vt82c686: QOM-ify superio related functionality BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-23  0:40   ` David Gibson
  2021-03-17  1:17 ` [PATCH v10 4/7] vt82c686: Add emulation of VT8231 south bridge BALATON Zoltan
                   ` (4 subsequent siblings)
  7 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

The Marvell Discovery II aka. MV64361 is a PowerPC system controller
chip that is used on the pegasos2 PPC board. This adds emulation of it
that models the device enough to boot guests on this board. The
mv643xx.h header with register definitions is taken from Linux 4.15.10
only fixing white space errors, removing not needed parts and changing
formatting for QEMU coding style.

Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
---
 hw/pci-host/Kconfig           |   4 +
 hw/pci-host/meson.build       |   2 +
 hw/pci-host/mv64361.c         | 966 ++++++++++++++++++++++++++++++++++
 hw/pci-host/mv643xx.h         | 918 ++++++++++++++++++++++++++++++++
 hw/pci-host/trace-events      |   6 +
 include/hw/pci-host/mv64361.h |   8 +
 include/hw/pci/pci_ids.h      |   1 +
 7 files changed, 1905 insertions(+)
 create mode 100644 hw/pci-host/mv64361.c
 create mode 100644 hw/pci-host/mv643xx.h
 create mode 100644 include/hw/pci-host/mv64361.h

diff --git a/hw/pci-host/Kconfig b/hw/pci-host/Kconfig
index 2ccc96f02c..79c20bf28b 100644
--- a/hw/pci-host/Kconfig
+++ b/hw/pci-host/Kconfig
@@ -72,3 +72,7 @@ config REMOTE_PCIHOST
 config SH_PCI
     bool
     select PCI
+
+config MV64361
+    bool
+    select PCI
diff --git a/hw/pci-host/meson.build b/hw/pci-host/meson.build
index 87a896973e..34b3538beb 100644
--- a/hw/pci-host/meson.build
+++ b/hw/pci-host/meson.build
@@ -19,6 +19,8 @@ pci_ss.add(when: 'CONFIG_GRACKLE_PCI', if_true: files('grackle.c'))
 pci_ss.add(when: 'CONFIG_UNIN_PCI', if_true: files('uninorth.c'))
 # PowerPC E500 boards
 pci_ss.add(when: 'CONFIG_PPCE500_PCI', if_true: files('ppce500.c'))
+# Pegasos2
+pci_ss.add(when: 'CONFIG_MV64361', if_true: files('mv64361.c'))
 
 # ARM devices
 pci_ss.add(when: 'CONFIG_VERSATILE_PCI', if_true: files('versatile.c'))
diff --git a/hw/pci-host/mv64361.c b/hw/pci-host/mv64361.c
new file mode 100644
index 0000000000..d71402f8b5
--- /dev/null
+++ b/hw/pci-host/mv64361.c
@@ -0,0 +1,966 @@
+/*
+ * Marvell Discovery II MV64361 System Controller for
+ * QEMU PowerPC CHRP (Genesi/bPlan Pegasos II) hardware System Emulator
+ *
+ * Copyright (c) 2018-2020 BALATON Zoltan
+ *
+ * This work is licensed under the GNU GPL license version 2 or later.
+ *
+ */
+
+#include "qemu/osdep.h"
+#include "qemu-common.h"
+#include "qemu/units.h"
+#include "qapi/error.h"
+#include "hw/hw.h"
+#include "hw/sysbus.h"
+#include "hw/pci/pci.h"
+#include "hw/pci/pci_host.h"
+#include "hw/irq.h"
+#include "hw/intc/i8259.h"
+#include "hw/qdev-properties.h"
+#include "exec/address-spaces.h"
+#include "qemu/log.h"
+#include "qemu/error-report.h"
+#include "trace.h"
+#include "hw/pci-host/mv64361.h"
+#include "mv643xx.h"
+
+#define TYPE_MV64361_PCI_BRIDGE "mv64361-pcibridge"
+
+static void mv64361_pcibridge_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+    PCIDeviceClass *k = PCI_DEVICE_CLASS(klass);
+
+    k->vendor_id = PCI_VENDOR_ID_MARVELL;
+    k->device_id = PCI_DEVICE_ID_MARVELL_MV6436X;
+    k->class_id = PCI_CLASS_BRIDGE_HOST;
+    /*
+     * PCI-facing part of the host bridge,
+     * not usable without the host-facing part
+     */
+    dc->user_creatable = false;
+}
+
+static const TypeInfo mv64361_pcibridge_info = {
+    .name          = TYPE_MV64361_PCI_BRIDGE,
+    .parent        = TYPE_PCI_DEVICE,
+    .instance_size = sizeof(PCIDevice),
+    .class_init    = mv64361_pcibridge_class_init,
+    .interfaces = (InterfaceInfo[]) {
+        { INTERFACE_CONVENTIONAL_PCI_DEVICE },
+        { },
+    },
+};
+
+
+#define TYPE_MV64361_PCI "mv64361-pcihost"
+OBJECT_DECLARE_SIMPLE_TYPE(MV64361PCIState, MV64361_PCI)
+
+struct MV64361PCIState {
+    PCIHostState parent_obj;
+
+    uint8_t index;
+    MemoryRegion io;
+    MemoryRegion mem;
+    qemu_irq irq[PCI_NUM_PINS];
+
+    uint32_t io_base;
+    uint32_t io_size;
+    uint32_t mem_base[4];
+    uint32_t mem_size[4];
+    uint64_t remap[5];
+};
+
+static int mv64361_pcihost_map_irq(PCIDevice *pci_dev, int n)
+{
+    return (n + PCI_SLOT(pci_dev->devfn)) % PCI_NUM_PINS;
+}
+
+static void mv64361_pcihost_set_irq(void *opaque, int n, int level)
+{
+    MV64361PCIState *s = opaque;
+    qemu_set_irq(s->irq[n], level);
+}
+
+static void mv64361_pcihost_realize(DeviceState *dev, Error **errp)
+{
+    MV64361PCIState *s = MV64361_PCI(dev);
+    PCIHostState *h = PCI_HOST_BRIDGE(dev);
+    char *name;
+
+    name = g_strdup_printf("pci%d-io", s->index);
+    memory_region_init(&s->io, OBJECT(dev), name, 0x10000);
+    g_free(name);
+    name = g_strdup_printf("pci%d-mem", s->index);
+    memory_region_init(&s->mem, OBJECT(dev), name, 1ULL << 32);
+    g_free(name);
+    name = g_strdup_printf("pci.%d", s->index);
+    h->bus = pci_register_root_bus(dev, name, mv64361_pcihost_set_irq,
+                                   mv64361_pcihost_map_irq, dev,
+                                   &s->mem, &s->io, 0, 4, TYPE_PCI_BUS);
+    g_free(name);
+    pci_create_simple(h->bus, 0, TYPE_MV64361_PCI_BRIDGE);
+}
+
+static Property mv64361_pcihost_props[] = {
+    DEFINE_PROP_UINT8("index", MV64361PCIState, index, 0),
+    DEFINE_PROP_END_OF_LIST()
+};
+
+static void mv64361_pcihost_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+
+    dc->realize = mv64361_pcihost_realize;
+    device_class_set_props(dc, mv64361_pcihost_props);
+    set_bit(DEVICE_CATEGORY_BRIDGE, dc->categories);
+}
+
+static const TypeInfo mv64361_pcihost_info = {
+       .name          = TYPE_MV64361_PCI,
+       .parent        = TYPE_PCI_HOST_BRIDGE,
+       .instance_size = sizeof(MV64361PCIState),
+       .class_init    = mv64361_pcihost_class_init,
+};
+
+static void mv64361_pci_register_types(void)
+{
+   type_register_static(&mv64361_pcihost_info);
+   type_register_static(&mv64361_pcibridge_info);
+}
+
+type_init(mv64361_pci_register_types)
+
+
+OBJECT_DECLARE_SIMPLE_TYPE(MV64361State, MV64361)
+
+struct MV64361State {
+    SysBusDevice parent_obj;
+
+    MemoryRegion regs;
+    MV64361PCIState pci[2];
+    MemoryRegion cpu_win[19];
+    qemu_irq cpu_irq;
+
+    /* registers state */
+    uint32_t cpu_conf;
+    uint32_t regs_base;
+    uint32_t base_addr_enable;
+    uint64_t main_int_cr;
+    uint64_t cpu0_int_mask;
+    uint32_t gpp_io;
+    uint32_t gpp_level;
+    uint32_t gpp_value;
+    uint32_t gpp_int_cr;
+    uint32_t gpp_int_mask;
+    bool gpp_int_level;
+};
+
+enum mv64361_irq_cause {
+    MV64361_IRQ_DEVERR = 1,
+    MV64361_IRQ_DMAERR,
+    MV64361_IRQ_CPUERR,
+    MV64361_IRQ_IDMA0,
+    MV64361_IRQ_IDMA1,
+    MV64361_IRQ_IDMA2,
+    MV64361_IRQ_IDMA3,
+    MV64361_IRQ_TIMER0,
+    MV64361_IRQ_TIMER1,
+    MV64361_IRQ_TIMER2,
+    MV64361_IRQ_TIMER3,
+    MV64361_IRQ_PCI0,
+    MV64361_IRQ_SRAMERR,
+    MV64361_IRQ_GBEERR,
+    MV64361_IRQ_CERR,
+    MV64361_IRQ_PCI1,
+    MV64361_IRQ_DRAMERR,
+    MV64361_IRQ_WDNMI,
+    MV64361_IRQ_WDE,
+    MV64361_IRQ_PCI0IN,
+    MV64361_IRQ_PCI0OUT,
+    MV64361_IRQ_PCI1IN,
+    MV64361_IRQ_PCI1OUT,
+    MV64361_IRQ_P1_GPP0_7,
+    MV64361_IRQ_P1_GPP8_15,
+    MV64361_IRQ_P1_GPP16_23,
+    MV64361_IRQ_P1_GPP24_31,
+    MV64361_IRQ_P1_CPU_DB,
+    /* 29-31: reserved */
+    MV64361_IRQ_GBE0 = 32,
+    MV64361_IRQ_GBE1,
+    MV64361_IRQ_GBE2,
+    /* 35: reserved */
+    MV64361_IRQ_SDMA0 = 36,
+    MV64361_IRQ_TWSI,
+    MV64361_IRQ_SDMA1,
+    MV64361_IRQ_BRG,
+    MV64361_IRQ_MPSC0,
+    MV64361_IRQ_MPSC1,
+    MV64361_IRQ_G0RX,
+    MV64361_IRQ_G0TX,
+    MV64361_IRQ_G0MISC,
+    MV64361_IRQ_G1RX,
+    MV64361_IRQ_G1TX,
+    MV64361_IRQ_G1MISC,
+    MV64361_IRQ_G2RX,
+    MV64361_IRQ_G2TX,
+    MV64361_IRQ_G2MISC,
+    /* 51-55: reserved */
+    MV64361_IRQ_P0_GPP0_7 = 56,
+    MV64361_IRQ_P0_GPP8_15,
+    MV64361_IRQ_P0_GPP16_23,
+    MV64361_IRQ_P0_GPP24_31,
+    MV64361_IRQ_P0_CPU_DB,
+    /* 61-63: reserved */
+};
+
+PCIBus *mv64361_get_pci_bus(DeviceState *dev, int n)
+{
+    MV64361State *mv = MV64361(dev);
+    return PCI_HOST_BRIDGE(&mv->pci[n])->bus;
+}
+
+static void unmap_region(MemoryRegion *mr)
+{
+    if (memory_region_is_mapped(mr)) {
+        memory_region_del_subregion(get_system_memory(), mr);
+        object_unparent(OBJECT(mr));
+    }
+}
+
+static void map_pci_region(MemoryRegion *mr, MemoryRegion *parent,
+                           struct Object *owner, const char *name,
+                           hwaddr poffs, uint64_t size, hwaddr moffs)
+{
+    memory_region_init_alias(mr, owner, name, parent, poffs, size);
+    memory_region_add_subregion(get_system_memory(), moffs, mr);
+    trace_mv64361_region_map(name, poffs, size, moffs);
+}
+
+static void setup_mem_windows(MV64361State *s, uint32_t val)
+{
+    MV64361PCIState *p;
+    MemoryRegion *mr;
+    uint32_t mask;
+    int i;
+
+    val &= 0x1fffff;
+    for (mask = 1, i = 0; i < 21; i++, mask <<= 1) {
+        if ((val & mask) != (s->base_addr_enable & mask)) {
+            trace_mv64361_region_enable(!(val & mask) ? "enable" : "disable", i);
+            switch (i) {
+            /*
+             * 0-3 are SDRAM chip selects but we map all RAM directly
+             * 4-7 are device chip selects (not sure what those are)
+             * 8 is Boot device (ROM) chip select but we map that directly too
+             */
+            case 9:
+                p = &s->pci[0];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->io, OBJECT(s), "pci0-io-win",
+                                   p->remap[4], (p->io_size + 1) << 16,
+                                   (p->io_base & 0xfffff) << 16);
+                }
+                break;
+            case 10:
+                p = &s->pci[0];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem0-win",
+                                   p->remap[0], (p->mem_size[0] + 1) << 16,
+                                   (p->mem_base[0] & 0xfffff) << 16);
+                }
+                break;
+            case 11:
+                p = &s->pci[0];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem1-win",
+                                   p->remap[1], (p->mem_size[1] + 1) << 16,
+                                   (p->mem_base[1] & 0xfffff) << 16);
+                }
+                break;
+            case 12:
+                p = &s->pci[0];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem2-win",
+                                   p->remap[2], (p->mem_size[2] + 1) << 16,
+                                   (p->mem_base[2] & 0xfffff) << 16);
+                }
+                break;
+            case 13:
+                p = &s->pci[0];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem3-win",
+                                   p->remap[3], (p->mem_size[3] + 1) << 16,
+                                   (p->mem_base[3] & 0xfffff) << 16);
+                }
+                break;
+            case 14:
+                p = &s->pci[1];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->io, OBJECT(s), "pci1-io-win",
+                                   p->remap[4], (p->io_size + 1) << 16,
+                                   (p->io_base & 0xfffff) << 16);
+                }
+                break;
+            case 15:
+                p = &s->pci[1];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem0-win",
+                                   p->remap[0], (p->mem_size[0] + 1) << 16,
+                                   (p->mem_base[0] & 0xfffff) << 16);
+                }
+                break;
+            case 16:
+                p = &s->pci[1];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem1-win",
+                                   p->remap[1], (p->mem_size[1] + 1) << 16,
+                                   (p->mem_base[1] & 0xfffff) << 16);
+                }
+                break;
+            case 17:
+                p = &s->pci[1];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem2-win",
+                                   p->remap[2], (p->mem_size[2] + 1) << 16,
+                                   (p->mem_base[2] & 0xfffff) << 16);
+                }
+                break;
+            case 18:
+                p = &s->pci[1];
+                mr = &s->cpu_win[i];
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem3-win",
+                                   p->remap[3], (p->mem_size[3] + 1) << 16,
+                                   (p->mem_base[3] & 0xfffff) << 16);
+                }
+                break;
+            /* 19 is integrated SRAM */
+            case 20:
+                mr = &s->regs;
+                unmap_region(mr);
+                if (!(val & mask)) {
+                    memory_region_add_subregion(get_system_memory(),
+                        (s->regs_base & 0xfffff) << 16, mr);
+                }
+                break;
+            }
+        }
+    }
+}
+
+static void mv64361_update_irq(void *opaque, int n, int level)
+{
+    MV64361State *s = opaque;
+    uint64_t val = s->main_int_cr;
+
+    if (level) {
+        val |= BIT_ULL(n);
+    } else {
+        val &= ~BIT_ULL(n);
+    }
+    if ((s->main_int_cr & s->cpu0_int_mask) != (val & s->cpu0_int_mask)) {
+        qemu_set_irq(s->cpu_irq, level);
+    }
+    s->main_int_cr = val;
+}
+
+static uint64_t mv64361_read(void *opaque, hwaddr addr, unsigned int size)
+{
+    MV64361State *s = MV64361(opaque);
+    uint32_t ret = 0;
+
+    switch (addr) {
+    case MV64340_CPU_CONFIG:
+        ret = s->cpu_conf;
+        break;
+    case MV64340_PCI_0_IO_BASE_ADDR:
+        ret = s->pci[0].io_base;
+        break;
+    case MV64340_PCI_0_IO_SIZE:
+        ret = s->pci[0].io_size;
+        break;
+    case MV64340_PCI_0_IO_ADDR_REMAP:
+        ret = s->pci[0].remap[4] >> 16;
+        break;
+    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
+        ret = s->pci[0].mem_base[0];
+        break;
+    case MV64340_PCI_0_MEMORY0_SIZE:
+        ret = s->pci[0].mem_size[0];
+        break;
+    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
+        ret = (s->pci[0].remap[0] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
+        ret = s->pci[0].remap[0] >> 32;
+        break;
+    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
+        ret = s->pci[0].mem_base[1];
+        break;
+    case MV64340_PCI_0_MEMORY1_SIZE:
+        ret = s->pci[0].mem_size[1];
+        break;
+    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
+        ret = (s->pci[0].remap[1] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
+        ret = s->pci[0].remap[1] >> 32;
+        break;
+    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
+        ret = s->pci[0].mem_base[2];
+        break;
+    case MV64340_PCI_0_MEMORY2_SIZE:
+        ret = s->pci[0].mem_size[2];
+        break;
+    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
+        ret = (s->pci[0].remap[2] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
+        ret = s->pci[0].remap[2] >> 32;
+        break;
+    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
+        ret = s->pci[0].mem_base[3];
+        break;
+    case MV64340_PCI_0_MEMORY3_SIZE:
+        ret = s->pci[0].mem_size[3];
+        break;
+    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
+        ret = (s->pci[0].remap[3] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
+        ret = s->pci[0].remap[3] >> 32;
+        break;
+    case MV64340_PCI_1_IO_BASE_ADDR:
+        ret = s->pci[1].io_base;
+        break;
+    case MV64340_PCI_1_IO_SIZE:
+        ret = s->pci[1].io_size;
+        break;
+    case MV64340_PCI_1_IO_ADDR_REMAP:
+        ret = s->pci[1].remap[4] >> 16;
+        break;
+    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
+        ret = s->pci[1].mem_base[0];
+        break;
+    case MV64340_PCI_1_MEMORY0_SIZE:
+        ret = s->pci[1].mem_size[0];
+        break;
+    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
+        ret = (s->pci[1].remap[0] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
+        ret = s->pci[1].remap[0] >> 32;
+        break;
+    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
+        ret = s->pci[1].mem_base[1];
+        break;
+    case MV64340_PCI_1_MEMORY1_SIZE:
+        ret = s->pci[1].mem_size[1];
+        break;
+    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
+        ret = (s->pci[1].remap[1] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
+        ret = s->pci[1].remap[1] >> 32;
+        break;
+    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
+        ret = s->pci[1].mem_base[2];
+        break;
+    case MV64340_PCI_1_MEMORY2_SIZE:
+        ret = s->pci[1].mem_size[2];
+        break;
+    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
+        ret = (s->pci[1].remap[2] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
+        ret = s->pci[1].remap[2] >> 32;
+        break;
+    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
+        ret = s->pci[1].mem_base[3];
+        break;
+    case MV64340_PCI_1_MEMORY3_SIZE:
+        ret = s->pci[1].mem_size[3];
+        break;
+    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
+        ret = (s->pci[1].remap[3] & 0xffff0000) >> 16;
+        break;
+    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
+        ret = s->pci[1].remap[3] >> 32;
+        break;
+    case MV64340_INTERNAL_SPACE_BASE_ADDR:
+        ret = s->regs_base;
+        break;
+    case MV64340_BASE_ADDR_ENABLE:
+        ret = s->base_addr_enable;
+        break;
+    case MV64340_PCI_0_CONFIG_ADDR:
+        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]), 0, size);
+        break;
+    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
+         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
+        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]),
+                  addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, size);
+        break;
+    case MV64340_PCI_1_CONFIG_ADDR:
+        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]), 0, size);
+        break;
+    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
+         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
+        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]),
+                  addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, size);
+        break;
+    case MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG:
+        /* FIXME: Should this be sent via the PCI bus somehow? */
+        if (s->gpp_int_level && (s->gpp_value & BIT(31))) {
+            ret = pic_read_irq(isa_pic);
+        }
+        break;
+    case MV64340_MAIN_INTERRUPT_CAUSE_LOW:
+        ret = s->main_int_cr;
+        break;
+    case MV64340_MAIN_INTERRUPT_CAUSE_HIGH:
+        ret = s->main_int_cr >> 32;
+        break;
+    case MV64340_CPU_INTERRUPT0_MASK_LOW:
+        ret = s->cpu0_int_mask;
+        break;
+    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
+        ret = s->cpu0_int_mask >> 32;
+        break;
+    case MV64340_CPU_INTERRUPT0_SELECT_CAUSE:
+        ret = s->main_int_cr;
+        if (s->main_int_cr & s->cpu0_int_mask) {
+            if (!(s->main_int_cr & s->cpu0_int_mask & 0xffffffff)) {
+                ret = s->main_int_cr >> 32 | BIT(30);
+            } else if ((s->main_int_cr & s->cpu0_int_mask) >> 32) {
+                ret |= BIT(31);
+            }
+        }
+        break;
+    case MV64340_CUNIT_ARBITER_CONTROL_REG:
+        ret = 0x11ff0000 | (s->gpp_int_level << 10);
+        break;
+    case MV64340_GPP_IO_CONTROL:
+        ret = s->gpp_io;
+        break;
+    case MV64340_GPP_LEVEL_CONTROL:
+        ret = s->gpp_level;
+        break;
+    case MV64340_GPP_VALUE:
+        ret = s->gpp_value;
+        break;
+    case MV64340_GPP_VALUE_SET:
+    case MV64340_GPP_VALUE_CLEAR:
+        ret = 0;
+        break;
+    case MV64340_GPP_INTERRUPT_CAUSE:
+        ret = s->gpp_int_cr;
+        break;
+    case MV64340_GPP_INTERRUPT_MASK0:
+    case MV64340_GPP_INTERRUPT_MASK1:
+        ret = s->gpp_int_mask;
+        break;
+    default:
+        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register read 0x%"
+                      HWADDR_PRIx "\n", __func__, addr);
+        break;
+    }
+    if (addr != MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG) {
+        trace_mv64361_reg_read(addr, ret);
+    }
+    return ret;
+}
+
+static void warn_swap_bit(uint64_t val)
+{
+    if ((val & 0x3000000ULL) >> 24 != 1) {
+        qemu_log_mask(LOG_UNIMP, "%s: Data swap not implemented", __func__);
+    }
+}
+
+static void mv64361_set_pci_mem_remap(MV64361State *s, int bus, int idx,
+                                      uint64_t val, bool high)
+{
+    if (high) {
+        s->pci[bus].remap[idx] = val;
+    } else {
+        s->pci[bus].remap[idx] &= 0xffffffff00000000ULL;
+        s->pci[bus].remap[idx] |= (val & 0xffffULL) << 16;
+    }
+}
+
+static void mv64361_write(void *opaque, hwaddr addr, uint64_t val,
+                          unsigned int size)
+{
+    MV64361State *s = MV64361(opaque);
+
+    trace_mv64361_reg_write(addr, val);
+    switch (addr) {
+    case MV64340_CPU_CONFIG:
+        s->cpu_conf = val & 0xe4e3bffULL;
+        s->cpu_conf |= BIT(23);
+        break;
+    case MV64340_PCI_0_IO_BASE_ADDR:
+        s->pci[0].io_base = val & 0x30fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            s->pci[0].remap[4] = (val & 0xffffULL) << 16;
+        }
+        break;
+    case MV64340_PCI_0_IO_SIZE:
+        s->pci[0].io_size = val & 0xffffULL;
+        break;
+    case MV64340_PCI_0_IO_ADDR_REMAP:
+        s->pci[0].remap[4] = (val & 0xffffULL) << 16;
+        break;
+    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
+        s->pci[0].mem_base[0] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 0, 0, val, false);
+        }
+        break;
+    case MV64340_PCI_0_MEMORY0_SIZE:
+        s->pci[0].mem_size[0] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
+    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 0, 0, val,
+            (addr == MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
+        s->pci[0].mem_base[1] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 0, 1, val, false);
+        }
+        break;
+    case MV64340_PCI_0_MEMORY1_SIZE:
+        s->pci[0].mem_size[1] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
+    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 0, 1, val,
+            (addr == MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
+        s->pci[0].mem_base[2] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 0, 2, val, false);
+        }
+        break;
+    case MV64340_PCI_0_MEMORY2_SIZE:
+        s->pci[0].mem_size[2] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
+    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 0, 2, val,
+            (addr == MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
+        s->pci[0].mem_base[3] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 0, 3, val, false);
+        }
+        break;
+    case MV64340_PCI_0_MEMORY3_SIZE:
+        s->pci[0].mem_size[3] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
+    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 0, 3, val,
+            (addr == MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_1_IO_BASE_ADDR:
+        s->pci[1].io_base = val & 0x30fffffULL;
+        warn_swap_bit(val);
+        break;
+        if (!(s->cpu_conf & BIT(27))) {
+            s->pci[1].remap[4] = (val & 0xffffULL) << 16;
+        }
+        break;
+    case MV64340_PCI_1_IO_SIZE:
+        s->pci[1].io_size = val & 0xffffULL;
+        break;
+    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
+        s->pci[1].mem_base[0] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 1, 0, val, false);
+        }
+        break;
+    case MV64340_PCI_1_MEMORY0_SIZE:
+        s->pci[1].mem_size[0] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
+    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 1, 0, val,
+            (addr == MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
+        s->pci[1].mem_base[1] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 1, 1, val, false);
+        }
+        break;
+    case MV64340_PCI_1_MEMORY1_SIZE:
+        s->pci[1].mem_size[1] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
+    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 1, 1, val,
+            (addr == MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
+        s->pci[1].mem_base[2] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 1, 2, val, false);
+        }
+        break;
+    case MV64340_PCI_1_MEMORY2_SIZE:
+        s->pci[1].mem_size[2] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
+    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 1, 2, val,
+            (addr == MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
+        s->pci[1].mem_base[3] = val & 0x70fffffULL;
+        warn_swap_bit(val);
+        if (!(s->cpu_conf & BIT(27))) {
+            mv64361_set_pci_mem_remap(s, 1, 3, val, false);
+        }
+        break;
+    case MV64340_PCI_1_MEMORY3_SIZE:
+        s->pci[1].mem_size[3] = val & 0xffffULL;
+        break;
+    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
+    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
+        mv64361_set_pci_mem_remap(s, 1, 3, val,
+            (addr == MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP));
+        break;
+    case MV64340_INTERNAL_SPACE_BASE_ADDR:
+        s->regs_base = val & 0xfffffULL;
+        break;
+    case MV64340_BASE_ADDR_ENABLE:
+        setup_mem_windows(s, val);
+        s->base_addr_enable = val & 0x1fffffULL;
+        break;
+    case MV64340_PCI_0_CONFIG_ADDR:
+        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]), 0, val, size);
+        break;
+    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
+         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
+        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]),
+            addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, val, size);
+        break;
+    case MV64340_PCI_1_CONFIG_ADDR:
+        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]), 0, val, size);
+        break;
+    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
+         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
+        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]),
+            addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, val, size);
+        break;
+    case MV64340_CPU_INTERRUPT0_MASK_LOW:
+        s->cpu0_int_mask &= 0xffffffff00000000ULL;
+        s->cpu0_int_mask |= val & 0xffffffffULL;
+        break;
+    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
+        s->cpu0_int_mask &= 0xffffffffULL;
+        s->cpu0_int_mask |= val << 32;
+        break;
+    case MV64340_CUNIT_ARBITER_CONTROL_REG:
+        s->gpp_int_level = !!(val & BIT(10));
+        break;
+    case MV64340_GPP_IO_CONTROL:
+        s->gpp_io = val;
+        break;
+    case MV64340_GPP_LEVEL_CONTROL:
+        s->gpp_level = val;
+        break;
+    case MV64340_GPP_VALUE:
+        s->gpp_value &= ~s->gpp_io;
+        s->gpp_value |= val & s->gpp_io;
+        break;
+    case MV64340_GPP_VALUE_SET:
+        s->gpp_value |= val & s->gpp_io;
+        break;
+    case MV64340_GPP_VALUE_CLEAR:
+        s->gpp_value &= ~(val & s->gpp_io);
+        break;
+    case MV64340_GPP_INTERRUPT_CAUSE:
+        if (!s->gpp_int_level && val != s->gpp_int_cr) {
+            int i;
+            uint32_t ch = s->gpp_int_cr ^ val;
+            s->gpp_int_cr = val;
+            for (i = 0; i < 4; i++) {
+                if ((ch & 0xff << i) && !(val & 0xff << i)) {
+                    mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + i, 0);
+                }
+            }
+        } else {
+            s->gpp_int_cr = val;
+        }
+        break;
+    case MV64340_GPP_INTERRUPT_MASK0:
+    case MV64340_GPP_INTERRUPT_MASK1:
+        s->gpp_int_mask = val;
+        break;
+    default:
+        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register write 0x%"
+                      HWADDR_PRIx " = %"PRIx64"\n", __func__, addr, val);
+        break;
+    }
+}
+
+static const MemoryRegionOps mv64361_ops = {
+    .read = mv64361_read,
+    .write = mv64361_write,
+    .valid.min_access_size = 1,
+    .valid.max_access_size = 4,
+    .endianness = DEVICE_LITTLE_ENDIAN,
+};
+
+static void mv64361_gpp_irq(void *opaque, int n, int level)
+{
+    MV64361State *s = opaque;
+    uint32_t mask = BIT(n);
+    uint32_t val = s->gpp_value & ~mask;
+
+    if (s->gpp_level & mask) {
+        level = !level;
+    }
+    val |= level << n;
+    if (val > s->gpp_value) {
+        s->gpp_value = val;
+        s->gpp_int_cr |= mask;
+        if (s->gpp_int_mask & mask) {
+            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + n / 8, 1);
+        }
+    } else if (val < s->gpp_value) {
+        int b = n / 8;
+        s->gpp_value = val;
+        if (s->gpp_int_level && !(val & 0xff << b)) {
+            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + b, 0);
+        }
+    }
+}
+
+static void mv64361_realize(DeviceState *dev, Error **errp)
+{
+    MV64361State *s = MV64361(dev);
+    int i;
+
+    s->base_addr_enable = 0x1fffff;
+    memory_region_init_io(&s->regs, OBJECT(s), &mv64361_ops, s,
+                          TYPE_MV64361, 0x10000);
+    for (i = 0; i < 2; i++) {
+        char *name = g_strdup_printf("pcihost%d", i);
+        object_initialize_child(OBJECT(dev), name, &s->pci[i],
+                                TYPE_MV64361_PCI);
+        g_free(name);
+        DeviceState *pci = DEVICE(&s->pci[i]);
+        qdev_prop_set_uint8(pci, "index", i);
+        sysbus_realize_and_unref(SYS_BUS_DEVICE(pci), &error_fatal);
+    }
+    sysbus_init_irq(SYS_BUS_DEVICE(dev), &s->cpu_irq);
+    qdev_init_gpio_in_named(dev, mv64361_gpp_irq, "gpp", 32);
+    /* FIXME: PCI IRQ connections may be board specific */
+    for (i = 0; i < PCI_NUM_PINS; i++) {
+        s->pci[1].irq[i] = qdev_get_gpio_in_named(dev, "gpp", 12 + i);
+    }
+}
+
+static void mv64361_reset(DeviceState *dev)
+{
+    MV64361State *s = MV64361(dev);
+    int i, j;
+
+    /*
+     * These values may be board specific
+     * Real chip supports init from an eprom but that's not modelled
+     */
+    setup_mem_windows(s, 0x1fffff);
+    s->base_addr_enable = 0x1fffff;
+    s->cpu_conf = 0x28000ff;
+    s->regs_base = 0x100f100;
+    s->pci[0].io_base = 0x100f800;
+    s->pci[0].io_size = 0xff;
+    s->pci[0].mem_base[0] = 0x100c000;
+    s->pci[0].mem_size[0] = 0x1fff;
+    s->pci[0].mem_base[1] = 0x100f900;
+    s->pci[0].mem_size[1] = 0xff;
+    s->pci[0].mem_base[2] = 0x100f400;
+    s->pci[0].mem_size[2] = 0x1ff;
+    s->pci[0].mem_base[3] = 0x100f600;
+    s->pci[0].mem_size[3] = 0x1ff;
+    s->pci[1].io_base = 0x100fe00;
+    s->pci[1].io_size = 0xff;
+    s->pci[1].mem_base[0] = 0x1008000;
+    s->pci[1].mem_size[0] = 0x3fff;
+    s->pci[1].mem_base[1] = 0x100fd00;
+    s->pci[1].mem_size[1] = 0xff;
+    s->pci[1].mem_base[2] = 0x1002600;
+    s->pci[1].mem_size[2] = 0x1ff;
+    s->pci[1].mem_base[3] = 0x100ff80;
+    s->pci[1].mem_size[3] = 0x7f;
+    for (i = 0; i < 2; i++) {
+        for (j = 0; j < 4; j++) {
+            s->pci[i].remap[j] = s->pci[i].mem_base[j] << 16;
+        }
+    }
+    s->pci[0].remap[1] = 0;
+    s->pci[1].remap[1] = 0;
+    setup_mem_windows(s, 0xfbfff);
+    s->base_addr_enable = 0xfbfff;
+}
+
+static void mv64361_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+
+    dc->realize = mv64361_realize;
+    dc->reset = mv64361_reset;
+}
+
+static const TypeInfo mv64361_type_info = {
+    .name = TYPE_MV64361,
+    .parent = TYPE_SYS_BUS_DEVICE,
+    .instance_size = sizeof(MV64361State),
+    .class_init = mv64361_class_init,
+};
+
+static void mv64361_register_types(void)
+{
+    type_register_static(&mv64361_type_info);
+}
+
+type_init(mv64361_register_types)
diff --git a/hw/pci-host/mv643xx.h b/hw/pci-host/mv643xx.h
new file mode 100644
index 0000000000..cd26a43f18
--- /dev/null
+++ b/hw/pci-host/mv643xx.h
@@ -0,0 +1,918 @@
+/*
+ * mv643xx.h - MV-643XX Internal registers definition file.
+ *
+ * Copyright 2002 Momentum Computer, Inc.
+ *      Author: Matthew Dharm <mdharm@momenco.com>
+ * Copyright 2002 GALILEO TECHNOLOGY, LTD.
+ *
+ * This program is free software; you can redistribute  it and/or modify it
+ * under  the terms of  the GNU General  Public License as published by the
+ * Free Software Foundation;  either version 2 of the  License, or (at your
+ * option) any later version.
+ */
+#ifndef ASM_MV643XX_H
+#define ASM_MV643XX_H
+
+/****************************************/
+/* Processor Address Space              */
+/****************************************/
+
+/* DDR SDRAM BAR and size registers */
+
+#define MV64340_CS_0_BASE_ADDR                                      0x008
+#define MV64340_CS_0_SIZE                                           0x010
+#define MV64340_CS_1_BASE_ADDR                                      0x208
+#define MV64340_CS_1_SIZE                                           0x210
+#define MV64340_CS_2_BASE_ADDR                                      0x018
+#define MV64340_CS_2_SIZE                                           0x020
+#define MV64340_CS_3_BASE_ADDR                                      0x218
+#define MV64340_CS_3_SIZE                                           0x220
+
+/* Devices BAR and size registers */
+
+#define MV64340_DEV_CS0_BASE_ADDR                                   0x028
+#define MV64340_DEV_CS0_SIZE                                        0x030
+#define MV64340_DEV_CS1_BASE_ADDR                                   0x228
+#define MV64340_DEV_CS1_SIZE                                        0x230
+#define MV64340_DEV_CS2_BASE_ADDR                                   0x248
+#define MV64340_DEV_CS2_SIZE                                        0x250
+#define MV64340_DEV_CS3_BASE_ADDR                                   0x038
+#define MV64340_DEV_CS3_SIZE                                        0x040
+#define MV64340_BOOTCS_BASE_ADDR                                    0x238
+#define MV64340_BOOTCS_SIZE                                         0x240
+
+/* PCI 0 BAR and size registers */
+
+#define MV64340_PCI_0_IO_BASE_ADDR                                  0x048
+#define MV64340_PCI_0_IO_SIZE                                       0x050
+#define MV64340_PCI_0_MEMORY0_BASE_ADDR                             0x058
+#define MV64340_PCI_0_MEMORY0_SIZE                                  0x060
+#define MV64340_PCI_0_MEMORY1_BASE_ADDR                             0x080
+#define MV64340_PCI_0_MEMORY1_SIZE                                  0x088
+#define MV64340_PCI_0_MEMORY2_BASE_ADDR                             0x258
+#define MV64340_PCI_0_MEMORY2_SIZE                                  0x260
+#define MV64340_PCI_0_MEMORY3_BASE_ADDR                             0x280
+#define MV64340_PCI_0_MEMORY3_SIZE                                  0x288
+
+/* PCI 1 BAR and size registers */
+#define MV64340_PCI_1_IO_BASE_ADDR                                  0x090
+#define MV64340_PCI_1_IO_SIZE                                       0x098
+#define MV64340_PCI_1_MEMORY0_BASE_ADDR                             0x0a0
+#define MV64340_PCI_1_MEMORY0_SIZE                                  0x0a8
+#define MV64340_PCI_1_MEMORY1_BASE_ADDR                             0x0b0
+#define MV64340_PCI_1_MEMORY1_SIZE                                  0x0b8
+#define MV64340_PCI_1_MEMORY2_BASE_ADDR                             0x2a0
+#define MV64340_PCI_1_MEMORY2_SIZE                                  0x2a8
+#define MV64340_PCI_1_MEMORY3_BASE_ADDR                             0x2b0
+#define MV64340_PCI_1_MEMORY3_SIZE                                  0x2b8
+
+/* SRAM base address */
+#define MV64340_INTEGRATED_SRAM_BASE_ADDR                           0x268
+
+/* internal registers space base address */
+#define MV64340_INTERNAL_SPACE_BASE_ADDR                            0x068
+
+/* Enables the CS , DEV_CS , PCI 0 and PCI 1 windows above */
+#define MV64340_BASE_ADDR_ENABLE                                    0x278
+
+/****************************************/
+/* PCI remap registers                  */
+/****************************************/
+
+      /* PCI 0 */
+#define MV64340_PCI_0_IO_ADDR_REMAP                                 0x0f0
+#define MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP                        0x0f8
+#define MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP                       0x320
+#define MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP                        0x100
+#define MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP                       0x328
+#define MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP                        0x2f8
+#define MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP                       0x330
+#define MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP                        0x300
+#define MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP                       0x338
+      /* PCI 1 */
+#define MV64340_PCI_1_IO_ADDR_REMAP                                 0x108
+#define MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP                        0x110
+#define MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP                       0x340
+#define MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP                        0x118
+#define MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP                       0x348
+#define MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP                        0x310
+#define MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP                       0x350
+#define MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP                        0x318
+#define MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP                       0x358
+
+#define MV64340_CPU_PCI_0_HEADERS_RETARGET_CONTROL                  0x3b0
+#define MV64340_CPU_PCI_0_HEADERS_RETARGET_BASE                     0x3b8
+#define MV64340_CPU_PCI_1_HEADERS_RETARGET_CONTROL                  0x3c0
+#define MV64340_CPU_PCI_1_HEADERS_RETARGET_BASE                     0x3c8
+#define MV64340_CPU_GE_HEADERS_RETARGET_CONTROL                     0x3d0
+#define MV64340_CPU_GE_HEADERS_RETARGET_BASE                        0x3d8
+#define MV64340_CPU_IDMA_HEADERS_RETARGET_CONTROL                   0x3e0
+#define MV64340_CPU_IDMA_HEADERS_RETARGET_BASE                      0x3e8
+
+/****************************************/
+/*         CPU Control Registers        */
+/****************************************/
+
+#define MV64340_CPU_CONFIG                                          0x000
+#define MV64340_CPU_MODE                                            0x120
+#define MV64340_CPU_MASTER_CONTROL                                  0x160
+#define MV64340_CPU_CROSS_BAR_CONTROL_LOW                           0x150
+#define MV64340_CPU_CROSS_BAR_CONTROL_HIGH                          0x158
+#define MV64340_CPU_CROSS_BAR_TIMEOUT                               0x168
+
+/****************************************/
+/* SMP RegisterS                        */
+/****************************************/
+
+#define MV64340_SMP_WHO_AM_I                                        0x200
+#define MV64340_SMP_CPU0_DOORBELL                                   0x214
+#define MV64340_SMP_CPU0_DOORBELL_CLEAR                             0x21C
+#define MV64340_SMP_CPU1_DOORBELL                                   0x224
+#define MV64340_SMP_CPU1_DOORBELL_CLEAR                             0x22C
+#define MV64340_SMP_CPU0_DOORBELL_MASK                              0x234
+#define MV64340_SMP_CPU1_DOORBELL_MASK                              0x23C
+#define MV64340_SMP_SEMAPHOR0                                       0x244
+#define MV64340_SMP_SEMAPHOR1                                       0x24c
+#define MV64340_SMP_SEMAPHOR2                                       0x254
+#define MV64340_SMP_SEMAPHOR3                                       0x25c
+#define MV64340_SMP_SEMAPHOR4                                       0x264
+#define MV64340_SMP_SEMAPHOR5                                       0x26c
+#define MV64340_SMP_SEMAPHOR6                                       0x274
+#define MV64340_SMP_SEMAPHOR7                                       0x27c
+
+/****************************************/
+/*  CPU Sync Barrier Register           */
+/****************************************/
+
+#define MV64340_CPU_0_SYNC_BARRIER_TRIGGER                          0x0c0
+#define MV64340_CPU_0_SYNC_BARRIER_VIRTUAL                          0x0c8
+#define MV64340_CPU_1_SYNC_BARRIER_TRIGGER                          0x0d0
+#define MV64340_CPU_1_SYNC_BARRIER_VIRTUAL                          0x0d8
+
+/****************************************/
+/* CPU Access Protect                   */
+/****************************************/
+
+#define MV64340_CPU_PROTECT_WINDOW_0_BASE_ADDR                      0x180
+#define MV64340_CPU_PROTECT_WINDOW_0_SIZE                           0x188
+#define MV64340_CPU_PROTECT_WINDOW_1_BASE_ADDR                      0x190
+#define MV64340_CPU_PROTECT_WINDOW_1_SIZE                           0x198
+#define MV64340_CPU_PROTECT_WINDOW_2_BASE_ADDR                      0x1a0
+#define MV64340_CPU_PROTECT_WINDOW_2_SIZE                           0x1a8
+#define MV64340_CPU_PROTECT_WINDOW_3_BASE_ADDR                      0x1b0
+#define MV64340_CPU_PROTECT_WINDOW_3_SIZE                           0x1b8
+
+
+/****************************************/
+/*          CPU Error Report            */
+/****************************************/
+
+#define MV64340_CPU_ERROR_ADDR_LOW                                  0x070
+#define MV64340_CPU_ERROR_ADDR_HIGH                                 0x078
+#define MV64340_CPU_ERROR_DATA_LOW                                  0x128
+#define MV64340_CPU_ERROR_DATA_HIGH                                 0x130
+#define MV64340_CPU_ERROR_PARITY                                    0x138
+#define MV64340_CPU_ERROR_CAUSE                                     0x140
+#define MV64340_CPU_ERROR_MASK                                      0x148
+
+/****************************************/
+/*      CPU Interface Debug Registers   */
+/****************************************/
+
+#define MV64340_PUNIT_SLAVE_DEBUG_LOW                               0x360
+#define MV64340_PUNIT_SLAVE_DEBUG_HIGH                              0x368
+#define MV64340_PUNIT_MASTER_DEBUG_LOW                              0x370
+#define MV64340_PUNIT_MASTER_DEBUG_HIGH                             0x378
+#define MV64340_PUNIT_MMASK                                         0x3e4
+
+/****************************************/
+/*  Integrated SRAM Registers           */
+/****************************************/
+
+#define MV64340_SRAM_CONFIG                                         0x380
+#define MV64340_SRAM_TEST_MODE                                      0X3F4
+#define MV64340_SRAM_ERROR_CAUSE                                    0x388
+#define MV64340_SRAM_ERROR_ADDR                                     0x390
+#define MV64340_SRAM_ERROR_ADDR_HIGH                                0X3F8
+#define MV64340_SRAM_ERROR_DATA_LOW                                 0x398
+#define MV64340_SRAM_ERROR_DATA_HIGH                                0x3a0
+#define MV64340_SRAM_ERROR_DATA_PARITY                              0x3a8
+
+/****************************************/
+/* SDRAM Configuration                  */
+/****************************************/
+
+#define MV64340_SDRAM_CONFIG                                        0x1400
+#define MV64340_D_UNIT_CONTROL_LOW                                  0x1404
+#define MV64340_D_UNIT_CONTROL_HIGH                                 0x1424
+#define MV64340_SDRAM_TIMING_CONTROL_LOW                            0x1408
+#define MV64340_SDRAM_TIMING_CONTROL_HIGH                           0x140c
+#define MV64340_SDRAM_ADDR_CONTROL                                  0x1410
+#define MV64340_SDRAM_OPEN_PAGES_CONTROL                            0x1414
+#define MV64340_SDRAM_OPERATION                                     0x1418
+#define MV64340_SDRAM_MODE                                          0x141c
+#define MV64340_EXTENDED_DRAM_MODE                                  0x1420
+#define MV64340_SDRAM_CROSS_BAR_CONTROL_LOW                         0x1430
+#define MV64340_SDRAM_CROSS_BAR_CONTROL_HIGH                        0x1434
+#define MV64340_SDRAM_CROSS_BAR_TIMEOUT                             0x1438
+#define MV64340_SDRAM_ADDR_CTRL_PADS_CALIBRATION                    0x14c0
+#define MV64340_SDRAM_DATA_PADS_CALIBRATION                         0x14c4
+
+/****************************************/
+/* SDRAM Error Report                   */
+/****************************************/
+
+#define MV64340_SDRAM_ERROR_DATA_LOW                                0x1444
+#define MV64340_SDRAM_ERROR_DATA_HIGH                               0x1440
+#define MV64340_SDRAM_ERROR_ADDR                                    0x1450
+#define MV64340_SDRAM_RECEIVED_ECC                                  0x1448
+#define MV64340_SDRAM_CALCULATED_ECC                                0x144c
+#define MV64340_SDRAM_ECC_CONTROL                                   0x1454
+#define MV64340_SDRAM_ECC_ERROR_COUNTER                             0x1458
+
+/******************************************/
+/*  Controlled Delay Line (CDL) Registers */
+/******************************************/
+
+#define MV64340_DFCDL_CONFIG0                                       0x1480
+#define MV64340_DFCDL_CONFIG1                                       0x1484
+#define MV64340_DLL_WRITE                                           0x1488
+#define MV64340_DLL_READ                                            0x148c
+#define MV64340_SRAM_ADDR                                           0x1490
+#define MV64340_SRAM_DATA0                                          0x1494
+#define MV64340_SRAM_DATA1                                          0x1498
+#define MV64340_SRAM_DATA2                                          0x149c
+#define MV64340_DFCL_PROBE                                          0x14a0
+
+/******************************************/
+/*   Debug Registers                      */
+/******************************************/
+
+#define MV64340_DUNIT_DEBUG_LOW                                     0x1460
+#define MV64340_DUNIT_DEBUG_HIGH                                    0x1464
+#define MV64340_DUNIT_MMASK                                         0X1b40
+
+/****************************************/
+/* Device Parameters                    */
+/****************************************/
+
+#define MV64340_DEVICE_BANK0_PARAMETERS                             0x45c
+#define MV64340_DEVICE_BANK1_PARAMETERS                             0x460
+#define MV64340_DEVICE_BANK2_PARAMETERS                             0x464
+#define MV64340_DEVICE_BANK3_PARAMETERS                             0x468
+#define MV64340_DEVICE_BOOT_BANK_PARAMETERS                         0x46c
+#define MV64340_DEVICE_INTERFACE_CONTROL                            0x4c0
+#define MV64340_DEVICE_INTERFACE_CROSS_BAR_CONTROL_LOW              0x4c8
+#define MV64340_DEVICE_INTERFACE_CROSS_BAR_CONTROL_HIGH             0x4cc
+#define MV64340_DEVICE_INTERFACE_CROSS_BAR_TIMEOUT                  0x4c4
+
+/****************************************/
+/* Device interrupt registers           */
+/****************************************/
+
+#define MV64340_DEVICE_INTERRUPT_CAUSE                              0x4d0
+#define MV64340_DEVICE_INTERRUPT_MASK                               0x4d4
+#define MV64340_DEVICE_ERROR_ADDR                                   0x4d8
+#define MV64340_DEVICE_ERROR_DATA                                   0x4dc
+#define MV64340_DEVICE_ERROR_PARITY                                 0x4e0
+
+/****************************************/
+/* Device debug registers               */
+/****************************************/
+
+#define MV64340_DEVICE_DEBUG_LOW                                    0x4e4
+#define MV64340_DEVICE_DEBUG_HIGH                                   0x4e8
+#define MV64340_RUNIT_MMASK                                         0x4f0
+
+/****************************************/
+/* PCI Slave Address Decoding registers */
+/****************************************/
+
+#define MV64340_PCI_0_CS_0_BANK_SIZE                                0xc08
+#define MV64340_PCI_1_CS_0_BANK_SIZE                                0xc88
+#define MV64340_PCI_0_CS_1_BANK_SIZE                                0xd08
+#define MV64340_PCI_1_CS_1_BANK_SIZE                                0xd88
+#define MV64340_PCI_0_CS_2_BANK_SIZE                                0xc0c
+#define MV64340_PCI_1_CS_2_BANK_SIZE                                0xc8c
+#define MV64340_PCI_0_CS_3_BANK_SIZE                                0xd0c
+#define MV64340_PCI_1_CS_3_BANK_SIZE                                0xd8c
+#define MV64340_PCI_0_DEVCS_0_BANK_SIZE                             0xc10
+#define MV64340_PCI_1_DEVCS_0_BANK_SIZE                             0xc90
+#define MV64340_PCI_0_DEVCS_1_BANK_SIZE                             0xd10
+#define MV64340_PCI_1_DEVCS_1_BANK_SIZE                             0xd90
+#define MV64340_PCI_0_DEVCS_2_BANK_SIZE                             0xd18
+#define MV64340_PCI_1_DEVCS_2_BANK_SIZE                             0xd98
+#define MV64340_PCI_0_DEVCS_3_BANK_SIZE                             0xc14
+#define MV64340_PCI_1_DEVCS_3_BANK_SIZE                             0xc94
+#define MV64340_PCI_0_DEVCS_BOOT_BANK_SIZE                          0xd14
+#define MV64340_PCI_1_DEVCS_BOOT_BANK_SIZE                          0xd94
+#define MV64340_PCI_0_P2P_MEM0_BAR_SIZE                             0xd1c
+#define MV64340_PCI_1_P2P_MEM0_BAR_SIZE                             0xd9c
+#define MV64340_PCI_0_P2P_MEM1_BAR_SIZE                             0xd20
+#define MV64340_PCI_1_P2P_MEM1_BAR_SIZE                             0xda0
+#define MV64340_PCI_0_P2P_I_O_BAR_SIZE                              0xd24
+#define MV64340_PCI_1_P2P_I_O_BAR_SIZE                              0xda4
+#define MV64340_PCI_0_CPU_BAR_SIZE                                  0xd28
+#define MV64340_PCI_1_CPU_BAR_SIZE                                  0xda8
+#define MV64340_PCI_0_INTERNAL_SRAM_BAR_SIZE                        0xe00
+#define MV64340_PCI_1_INTERNAL_SRAM_BAR_SIZE                        0xe80
+#define MV64340_PCI_0_EXPANSION_ROM_BAR_SIZE                        0xd2c
+#define MV64340_PCI_1_EXPANSION_ROM_BAR_SIZE                        0xd9c
+#define MV64340_PCI_0_BASE_ADDR_REG_ENABLE                          0xc3c
+#define MV64340_PCI_1_BASE_ADDR_REG_ENABLE                          0xcbc
+#define MV64340_PCI_0_CS_0_BASE_ADDR_REMAP                          0xc48
+#define MV64340_PCI_1_CS_0_BASE_ADDR_REMAP                          0xcc8
+#define MV64340_PCI_0_CS_1_BASE_ADDR_REMAP                          0xd48
+#define MV64340_PCI_1_CS_1_BASE_ADDR_REMAP                          0xdc8
+#define MV64340_PCI_0_CS_2_BASE_ADDR_REMAP                          0xc4c
+#define MV64340_PCI_1_CS_2_BASE_ADDR_REMAP                          0xccc
+#define MV64340_PCI_0_CS_3_BASE_ADDR_REMAP                          0xd4c
+#define MV64340_PCI_1_CS_3_BASE_ADDR_REMAP                          0xdcc
+#define MV64340_PCI_0_CS_0_BASE_HIGH_ADDR_REMAP                     0xF04
+#define MV64340_PCI_1_CS_0_BASE_HIGH_ADDR_REMAP                     0xF84
+#define MV64340_PCI_0_CS_1_BASE_HIGH_ADDR_REMAP                     0xF08
+#define MV64340_PCI_1_CS_1_BASE_HIGH_ADDR_REMAP                     0xF88
+#define MV64340_PCI_0_CS_2_BASE_HIGH_ADDR_REMAP                     0xF0C
+#define MV64340_PCI_1_CS_2_BASE_HIGH_ADDR_REMAP                     0xF8C
+#define MV64340_PCI_0_CS_3_BASE_HIGH_ADDR_REMAP                     0xF10
+#define MV64340_PCI_1_CS_3_BASE_HIGH_ADDR_REMAP                     0xF90
+#define MV64340_PCI_0_DEVCS_0_BASE_ADDR_REMAP                       0xc50
+#define MV64340_PCI_1_DEVCS_0_BASE_ADDR_REMAP                       0xcd0
+#define MV64340_PCI_0_DEVCS_1_BASE_ADDR_REMAP                       0xd50
+#define MV64340_PCI_1_DEVCS_1_BASE_ADDR_REMAP                       0xdd0
+#define MV64340_PCI_0_DEVCS_2_BASE_ADDR_REMAP                       0xd58
+#define MV64340_PCI_1_DEVCS_2_BASE_ADDR_REMAP                       0xdd8
+#define MV64340_PCI_0_DEVCS_3_BASE_ADDR_REMAP                       0xc54
+#define MV64340_PCI_1_DEVCS_3_BASE_ADDR_REMAP                       0xcd4
+#define MV64340_PCI_0_DEVCS_BOOTCS_BASE_ADDR_REMAP                  0xd54
+#define MV64340_PCI_1_DEVCS_BOOTCS_BASE_ADDR_REMAP                  0xdd4
+#define MV64340_PCI_0_P2P_MEM0_BASE_ADDR_REMAP_LOW                  0xd5c
+#define MV64340_PCI_1_P2P_MEM0_BASE_ADDR_REMAP_LOW                  0xddc
+#define MV64340_PCI_0_P2P_MEM0_BASE_ADDR_REMAP_HIGH                 0xd60
+#define MV64340_PCI_1_P2P_MEM0_BASE_ADDR_REMAP_HIGH                 0xde0
+#define MV64340_PCI_0_P2P_MEM1_BASE_ADDR_REMAP_LOW                  0xd64
+#define MV64340_PCI_1_P2P_MEM1_BASE_ADDR_REMAP_LOW                  0xde4
+#define MV64340_PCI_0_P2P_MEM1_BASE_ADDR_REMAP_HIGH                 0xd68
+#define MV64340_PCI_1_P2P_MEM1_BASE_ADDR_REMAP_HIGH                 0xde8
+#define MV64340_PCI_0_P2P_I_O_BASE_ADDR_REMAP                       0xd6c
+#define MV64340_PCI_1_P2P_I_O_BASE_ADDR_REMAP                       0xdec
+#define MV64340_PCI_0_CPU_BASE_ADDR_REMAP_LOW                       0xd70
+#define MV64340_PCI_1_CPU_BASE_ADDR_REMAP_LOW                       0xdf0
+#define MV64340_PCI_0_CPU_BASE_ADDR_REMAP_HIGH                      0xd74
+#define MV64340_PCI_1_CPU_BASE_ADDR_REMAP_HIGH                      0xdf4
+#define MV64340_PCI_0_INTEGRATED_SRAM_BASE_ADDR_REMAP               0xf00
+#define MV64340_PCI_1_INTEGRATED_SRAM_BASE_ADDR_REMAP               0xf80
+#define MV64340_PCI_0_EXPANSION_ROM_BASE_ADDR_REMAP                 0xf38
+#define MV64340_PCI_1_EXPANSION_ROM_BASE_ADDR_REMAP                 0xfb8
+#define MV64340_PCI_0_ADDR_DECODE_CONTROL                           0xd3c
+#define MV64340_PCI_1_ADDR_DECODE_CONTROL                           0xdbc
+#define MV64340_PCI_0_HEADERS_RETARGET_CONTROL                      0xF40
+#define MV64340_PCI_1_HEADERS_RETARGET_CONTROL                      0xFc0
+#define MV64340_PCI_0_HEADERS_RETARGET_BASE                         0xF44
+#define MV64340_PCI_1_HEADERS_RETARGET_BASE                         0xFc4
+#define MV64340_PCI_0_HEADERS_RETARGET_HIGH                         0xF48
+#define MV64340_PCI_1_HEADERS_RETARGET_HIGH                         0xFc8
+
+/***********************************/
+/*   PCI Control Register Map      */
+/***********************************/
+
+#define MV64340_PCI_0_DLL_STATUS_AND_COMMAND                        0x1d20
+#define MV64340_PCI_1_DLL_STATUS_AND_COMMAND                        0x1da0
+#define MV64340_PCI_0_MPP_PADS_DRIVE_CONTROL                        0x1d1C
+#define MV64340_PCI_1_MPP_PADS_DRIVE_CONTROL                        0x1d9C
+#define MV64340_PCI_0_COMMAND                                       0xc00
+#define MV64340_PCI_1_COMMAND                                       0xc80
+#define MV64340_PCI_0_MODE                                          0xd00
+#define MV64340_PCI_1_MODE                                          0xd80
+#define MV64340_PCI_0_RETRY                                         0xc04
+#define MV64340_PCI_1_RETRY                                         0xc84
+#define MV64340_PCI_0_READ_BUFFER_DISCARD_TIMER                     0xd04
+#define MV64340_PCI_1_READ_BUFFER_DISCARD_TIMER                     0xd84
+#define MV64340_PCI_0_MSI_TRIGGER_TIMER                             0xc38
+#define MV64340_PCI_1_MSI_TRIGGER_TIMER                             0xcb8
+#define MV64340_PCI_0_ARBITER_CONTROL                               0x1d00
+#define MV64340_PCI_1_ARBITER_CONTROL                               0x1d80
+#define MV64340_PCI_0_CROSS_BAR_CONTROL_LOW                         0x1d08
+#define MV64340_PCI_1_CROSS_BAR_CONTROL_LOW                         0x1d88
+#define MV64340_PCI_0_CROSS_BAR_CONTROL_HIGH                        0x1d0c
+#define MV64340_PCI_1_CROSS_BAR_CONTROL_HIGH                        0x1d8c
+#define MV64340_PCI_0_CROSS_BAR_TIMEOUT                             0x1d04
+#define MV64340_PCI_1_CROSS_BAR_TIMEOUT                             0x1d84
+#define MV64340_PCI_0_SYNC_BARRIER_TRIGGER_REG                      0x1D18
+#define MV64340_PCI_1_SYNC_BARRIER_TRIGGER_REG                      0x1D98
+#define MV64340_PCI_0_SYNC_BARRIER_VIRTUAL_REG                      0x1d10
+#define MV64340_PCI_1_SYNC_BARRIER_VIRTUAL_REG                      0x1d90
+#define MV64340_PCI_0_P2P_CONFIG                                    0x1d14
+#define MV64340_PCI_1_P2P_CONFIG                                    0x1d94
+
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_0_LOW                     0x1e00
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_0_HIGH                    0x1e04
+#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_0                         0x1e08
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_1_LOW                     0x1e10
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_1_HIGH                    0x1e14
+#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_1                         0x1e18
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_2_LOW                     0x1e20
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_2_HIGH                    0x1e24
+#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_2                         0x1e28
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_3_LOW                     0x1e30
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_3_HIGH                    0x1e34
+#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_3                         0x1e38
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_4_LOW                     0x1e40
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_4_HIGH                    0x1e44
+#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_4                         0x1e48
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_5_LOW                     0x1e50
+#define MV64340_PCI_0_ACCESS_CONTROL_BASE_5_HIGH                    0x1e54
+#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_5                         0x1e58
+
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_0_LOW                     0x1e80
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_0_HIGH                    0x1e84
+#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_0                         0x1e88
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_1_LOW                     0x1e90
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_1_HIGH                    0x1e94
+#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_1                         0x1e98
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_2_LOW                     0x1ea0
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_2_HIGH                    0x1ea4
+#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_2                         0x1ea8
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_3_LOW                     0x1eb0
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_3_HIGH                    0x1eb4
+#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_3                         0x1eb8
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_4_LOW                     0x1ec0
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_4_HIGH                    0x1ec4
+#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_4                         0x1ec8
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_5_LOW                     0x1ed0
+#define MV64340_PCI_1_ACCESS_CONTROL_BASE_5_HIGH                    0x1ed4
+#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_5                         0x1ed8
+
+/****************************************/
+/*   PCI Configuration Access Registers */
+/****************************************/
+
+#define MV64340_PCI_0_CONFIG_ADDR                                   0xcf8
+#define MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG                       0xcfc
+#define MV64340_PCI_1_CONFIG_ADDR                                   0xc78
+#define MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG                       0xc7c
+#define MV64340_PCI_0_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG             0xc34
+#define MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG             0xcb4
+
+/****************************************/
+/*   PCI Error Report Registers         */
+/****************************************/
+
+#define MV64340_PCI_0_SERR_MASK                                     0xc28
+#define MV64340_PCI_1_SERR_MASK                                     0xca8
+#define MV64340_PCI_0_ERROR_ADDR_LOW                                0x1d40
+#define MV64340_PCI_1_ERROR_ADDR_LOW                                0x1dc0
+#define MV64340_PCI_0_ERROR_ADDR_HIGH                               0x1d44
+#define MV64340_PCI_1_ERROR_ADDR_HIGH                               0x1dc4
+#define MV64340_PCI_0_ERROR_ATTRIBUTE                               0x1d48
+#define MV64340_PCI_1_ERROR_ATTRIBUTE                               0x1dc8
+#define MV64340_PCI_0_ERROR_COMMAND                                 0x1d50
+#define MV64340_PCI_1_ERROR_COMMAND                                 0x1dd0
+#define MV64340_PCI_0_ERROR_CAUSE                                   0x1d58
+#define MV64340_PCI_1_ERROR_CAUSE                                   0x1dd8
+#define MV64340_PCI_0_ERROR_MASK                                    0x1d5c
+#define MV64340_PCI_1_ERROR_MASK                                    0x1ddc
+
+/****************************************/
+/*   PCI Debug Registers                */
+/****************************************/
+
+#define MV64340_PCI_0_MMASK                                         0X1D24
+#define MV64340_PCI_1_MMASK                                         0X1DA4
+
+/*********************************************/
+/* PCI Configuration, Function 0, Registers  */
+/*********************************************/
+
+#define MV64340_PCI_DEVICE_AND_VENDOR_ID                            0x000
+#define MV64340_PCI_STATUS_AND_COMMAND                              0x004
+#define MV64340_PCI_CLASS_CODE_AND_REVISION_ID                      0x008
+#define MV64340_PCI_BIST_HEADER_TYPE_LATENCY_TIMER_CACHE_LINE       0x00C
+
+#define MV64340_PCI_SCS_0_BASE_ADDR_LOW                             0x010
+#define MV64340_PCI_SCS_0_BASE_ADDR_HIGH                            0x014
+#define MV64340_PCI_SCS_1_BASE_ADDR_LOW                             0x018
+#define MV64340_PCI_SCS_1_BASE_ADDR_HIGH                            0x01C
+#define MV64340_PCI_INTERNAL_REG_MEM_MAPPED_BASE_ADDR_LOW           0x020
+#define MV64340_PCI_INTERNAL_REG_MEM_MAPPED_BASE_ADDR_HIGH          0x024
+#define MV64340_PCI_SUBSYSTEM_ID_AND_SUBSYSTEM_VENDOR_ID            0x02c
+#define MV64340_PCI_EXPANSION_ROM_BASE_ADDR_REG                     0x030
+#define MV64340_PCI_CAPABILTY_LIST_POINTER                          0x034
+#define MV64340_PCI_INTERRUPT_PIN_AND_LINE                          0x03C
+       /* capability list */
+#define MV64340_PCI_POWER_MANAGEMENT_CAPABILITY                     0x040
+#define MV64340_PCI_POWER_MANAGEMENT_STATUS_AND_CONTROL             0x044
+#define MV64340_PCI_VPD_ADDR                                        0x048
+#define MV64340_PCI_VPD_DATA                                        0x04c
+#define MV64340_PCI_MSI_MESSAGE_CONTROL                             0x050
+#define MV64340_PCI_MSI_MESSAGE_ADDR                                0x054
+#define MV64340_PCI_MSI_MESSAGE_UPPER_ADDR                          0x058
+#define MV64340_PCI_MSI_MESSAGE_DATA                                0x05c
+#define MV64340_PCI_X_COMMAND                                       0x060
+#define MV64340_PCI_X_STATUS                                        0x064
+#define MV64340_PCI_COMPACT_PCI_HOT_SWAP                            0x068
+
+/***********************************************/
+/*   PCI Configuration, Function 1, Registers  */
+/***********************************************/
+
+#define MV64340_PCI_SCS_2_BASE_ADDR_LOW                             0x110
+#define MV64340_PCI_SCS_2_BASE_ADDR_HIGH                            0x114
+#define MV64340_PCI_SCS_3_BASE_ADDR_LOW                             0x118
+#define MV64340_PCI_SCS_3_BASE_ADDR_HIGH                            0x11c
+#define MV64340_PCI_INTERNAL_SRAM_BASE_ADDR_LOW                     0x120
+#define MV64340_PCI_INTERNAL_SRAM_BASE_ADDR_HIGH                    0x124
+
+/***********************************************/
+/*  PCI Configuration, Function 2, Registers   */
+/***********************************************/
+
+#define MV64340_PCI_DEVCS_0_BASE_ADDR_LOW                           0x210
+#define MV64340_PCI_DEVCS_0_BASE_ADDR_HIGH                          0x214
+#define MV64340_PCI_DEVCS_1_BASE_ADDR_LOW                           0x218
+#define MV64340_PCI_DEVCS_1_BASE_ADDR_HIGH                          0x21c
+#define MV64340_PCI_DEVCS_2_BASE_ADDR_LOW                           0x220
+#define MV64340_PCI_DEVCS_2_BASE_ADDR_HIGH                          0x224
+
+/***********************************************/
+/*  PCI Configuration, Function 3, Registers   */
+/***********************************************/
+
+#define MV64340_PCI_DEVCS_3_BASE_ADDR_LOW                           0x310
+#define MV64340_PCI_DEVCS_3_BASE_ADDR_HIGH                          0x314
+#define MV64340_PCI_BOOT_CS_BASE_ADDR_LOW                           0x318
+#define MV64340_PCI_BOOT_CS_BASE_ADDR_HIGH                          0x31c
+#define MV64340_PCI_CPU_BASE_ADDR_LOW                               0x220
+#define MV64340_PCI_CPU_BASE_ADDR_HIGH                              0x224
+
+/***********************************************/
+/*  PCI Configuration, Function 4, Registers   */
+/***********************************************/
+
+#define MV64340_PCI_P2P_MEM0_BASE_ADDR_LOW                          0x410
+#define MV64340_PCI_P2P_MEM0_BASE_ADDR_HIGH                         0x414
+#define MV64340_PCI_P2P_MEM1_BASE_ADDR_LOW                          0x418
+#define MV64340_PCI_P2P_MEM1_BASE_ADDR_HIGH                         0x41c
+#define MV64340_PCI_P2P_I_O_BASE_ADDR                               0x420
+#define MV64340_PCI_INTERNAL_REGS_I_O_MAPPED_BASE_ADDR              0x424
+
+/****************************************/
+/* Messaging Unit Registers (I20)       */
+/****************************************/
+
+#define MV64340_I2O_INBOUND_MESSAGE_REG0_PCI_0_SIDE                 0x010
+#define MV64340_I2O_INBOUND_MESSAGE_REG1_PCI_0_SIDE                 0x014
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_PCI_0_SIDE                0x018
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_PCI_0_SIDE                0x01C
+#define MV64340_I2O_INBOUND_DOORBELL_REG_PCI_0_SIDE                 0x020
+#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_PCI_0_SIDE          0x024
+#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_PCI_0_SIDE           0x028
+#define MV64340_I2O_OUTBOUND_DOORBELL_REG_PCI_0_SIDE                0x02C
+#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_PCI_0_SIDE         0x030
+#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_PCI_0_SIDE          0x034
+#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_0_SIDE       0x040
+#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_0_SIDE      0x044
+#define MV64340_I2O_QUEUE_CONTROL_REG_PCI_0_SIDE                    0x050
+#define MV64340_I2O_QUEUE_BASE_ADDR_REG_PCI_0_SIDE                  0x054
+#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_PCI_0_SIDE        0x060
+#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_PCI_0_SIDE        0x064
+#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_PCI_0_SIDE        0x068
+#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_PCI_0_SIDE        0x06C
+#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_PCI_0_SIDE       0x070
+#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_PCI_0_SIDE       0x074
+#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_PCI_0_SIDE       0x0F8
+#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_PCI_0_SIDE       0x0FC
+
+#define MV64340_I2O_INBOUND_MESSAGE_REG0_PCI_1_SIDE                 0x090
+#define MV64340_I2O_INBOUND_MESSAGE_REG1_PCI_1_SIDE                 0x094
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_PCI_1_SIDE                0x098
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_PCI_1_SIDE                0x09C
+#define MV64340_I2O_INBOUND_DOORBELL_REG_PCI_1_SIDE                 0x0A0
+#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_PCI_1_SIDE          0x0A4
+#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_PCI_1_SIDE           0x0A8
+#define MV64340_I2O_OUTBOUND_DOORBELL_REG_PCI_1_SIDE                0x0AC
+#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_PCI_1_SIDE         0x0B0
+#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_PCI_1_SIDE          0x0B4
+#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_1_SIDE       0x0C0
+#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_1_SIDE      0x0C4
+#define MV64340_I2O_QUEUE_CONTROL_REG_PCI_1_SIDE                    0x0D0
+#define MV64340_I2O_QUEUE_BASE_ADDR_REG_PCI_1_SIDE                  0x0D4
+#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_PCI_1_SIDE        0x0E0
+#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_PCI_1_SIDE        0x0E4
+#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_PCI_1_SIDE        0x0E8
+#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_PCI_1_SIDE        0x0EC
+#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_PCI_1_SIDE       0x0F0
+#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_PCI_1_SIDE       0x0F4
+#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_PCI_1_SIDE       0x078
+#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_PCI_1_SIDE       0x07C
+
+#define MV64340_I2O_INBOUND_MESSAGE_REG0_CPU0_SIDE                  0x1C10
+#define MV64340_I2O_INBOUND_MESSAGE_REG1_CPU0_SIDE                  0x1C14
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_CPU0_SIDE                 0x1C18
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_CPU0_SIDE                 0x1C1C
+#define MV64340_I2O_INBOUND_DOORBELL_REG_CPU0_SIDE                  0x1C20
+#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_CPU0_SIDE           0x1C24
+#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_CPU0_SIDE            0x1C28
+#define MV64340_I2O_OUTBOUND_DOORBELL_REG_CPU0_SIDE                 0x1C2C
+#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_CPU0_SIDE          0x1C30
+#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_CPU0_SIDE           0x1C34
+#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_CPU0_SIDE        0x1C40
+#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_CPU0_SIDE       0x1C44
+#define MV64340_I2O_QUEUE_CONTROL_REG_CPU0_SIDE                     0x1C50
+#define MV64340_I2O_QUEUE_BASE_ADDR_REG_CPU0_SIDE                   0x1C54
+#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_CPU0_SIDE         0x1C60
+#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_CPU0_SIDE         0x1C64
+#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_CPU0_SIDE         0x1C68
+#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_CPU0_SIDE         0x1C6C
+#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_CPU0_SIDE        0x1C70
+#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_CPU0_SIDE        0x1C74
+#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_CPU0_SIDE        0x1CF8
+#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_CPU0_SIDE        0x1CFC
+#define MV64340_I2O_INBOUND_MESSAGE_REG0_CPU1_SIDE                  0x1C90
+#define MV64340_I2O_INBOUND_MESSAGE_REG1_CPU1_SIDE                  0x1C94
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_CPU1_SIDE                 0x1C98
+#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_CPU1_SIDE                 0x1C9C
+#define MV64340_I2O_INBOUND_DOORBELL_REG_CPU1_SIDE                  0x1CA0
+#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_CPU1_SIDE           0x1CA4
+#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_CPU1_SIDE            0x1CA8
+#define MV64340_I2O_OUTBOUND_DOORBELL_REG_CPU1_SIDE                 0x1CAC
+#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_CPU1_SIDE          0x1CB0
+#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_CPU1_SIDE           0x1CB4
+#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_CPU1_SIDE        0x1CC0
+#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_CPU1_SIDE       0x1CC4
+#define MV64340_I2O_QUEUE_CONTROL_REG_CPU1_SIDE                     0x1CD0
+#define MV64340_I2O_QUEUE_BASE_ADDR_REG_CPU1_SIDE                   0x1CD4
+#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_CPU1_SIDE         0x1CE0
+#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_CPU1_SIDE         0x1CE4
+#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_CPU1_SIDE         0x1CE8
+#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_CPU1_SIDE         0x1CEC
+#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_CPU1_SIDE        0x1CF0
+#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_CPU1_SIDE        0x1CF4
+#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_CPU1_SIDE        0x1C78
+#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_CPU1_SIDE        0x1C7C
+
+/****************************************/
+/*        Ethernet Unit Registers       */
+/****************************************/
+
+/*******************************************/
+/*          CUNIT  Registers               */
+/*******************************************/
+
+         /* Address Decoding Register Map */
+
+#define MV64340_CUNIT_BASE_ADDR_REG0                                0xf200
+#define MV64340_CUNIT_BASE_ADDR_REG1                                0xf208
+#define MV64340_CUNIT_BASE_ADDR_REG2                                0xf210
+#define MV64340_CUNIT_BASE_ADDR_REG3                                0xf218
+#define MV64340_CUNIT_SIZE0                                         0xf204
+#define MV64340_CUNIT_SIZE1                                         0xf20c
+#define MV64340_CUNIT_SIZE2                                         0xf214
+#define MV64340_CUNIT_SIZE3                                         0xf21c
+#define MV64340_CUNIT_HIGH_ADDR_REMAP_REG0                          0xf240
+#define MV64340_CUNIT_HIGH_ADDR_REMAP_REG1                          0xf244
+#define MV64340_CUNIT_BASE_ADDR_ENABLE_REG                          0xf250
+#define MV64340_MPSC0_ACCESS_PROTECTION_REG                         0xf254
+#define MV64340_MPSC1_ACCESS_PROTECTION_REG                         0xf258
+#define MV64340_CUNIT_INTERNAL_SPACE_BASE_ADDR_REG                  0xf25C
+
+        /*  Error Report Registers  */
+
+#define MV64340_CUNIT_INTERRUPT_CAUSE_REG                           0xf310
+#define MV64340_CUNIT_INTERRUPT_MASK_REG                            0xf314
+#define MV64340_CUNIT_ERROR_ADDR                                    0xf318
+
+        /*  Cunit Control Registers */
+
+#define MV64340_CUNIT_ARBITER_CONTROL_REG                           0xf300
+#define MV64340_CUNIT_CONFIG_REG                                    0xb40c
+#define MV64340_CUNIT_CRROSBAR_TIMEOUT_REG                          0xf304
+
+        /*  Cunit Debug Registers   */
+
+#define MV64340_CUNIT_DEBUG_LOW                                     0xf340
+#define MV64340_CUNIT_DEBUG_HIGH                                    0xf344
+#define MV64340_CUNIT_MMASK                                         0xf380
+
+        /*  MPSCs Clocks Routing Registers  */
+
+#define MV64340_MPSC_ROUTING_REG                                    0xb400
+#define MV64340_MPSC_RX_CLOCK_ROUTING_REG                           0xb404
+#define MV64340_MPSC_TX_CLOCK_ROUTING_REG                           0xb408
+
+        /*  MPSCs Interrupts Registers    */
+
+#define MV64340_MPSC_CAUSE_REG(port)                     (0xb804 + (port << 3))
+#define MV64340_MPSC_MASK_REG(port)                      (0xb884 + (port << 3))
+
+#define MV64340_MPSC_MAIN_CONFIG_LOW(port)              (0x8000 + (port << 12))
+#define MV64340_MPSC_MAIN_CONFIG_HIGH(port)             (0x8004 + (port << 12))
+#define MV64340_MPSC_PROTOCOL_CONFIG(port)              (0x8008 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG1(port)                 (0x800c + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG2(port)                 (0x8010 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG3(port)                 (0x8014 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG4(port)                 (0x8018 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG5(port)                 (0x801c + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG6(port)                 (0x8020 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG7(port)                 (0x8024 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG8(port)                 (0x8028 + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG9(port)                 (0x802c + (port << 12))
+#define MV64340_MPSC_CHANNEL_REG10(port)                (0x8030 + (port << 12))
+
+        /*  MPSC0 Registers      */
+
+
+/***************************************/
+/*          SDMA Registers             */
+/***************************************/
+
+#define MV64340_SDMA_CONFIG_REG(channel)                    (0x4000 + (channel << 13))
+#define MV64340_SDMA_COMMAND_REG(channel)                   (0x4008 + (channel << 13))
+#define MV64340_SDMA_CURRENT_RX_DESCRIPTOR_POINTER(channel) (0x4810 + (channel << 13))
+#define MV64340_SDMA_CURRENT_TX_DESCRIPTOR_POINTER(channel) (0x4c10 + (channel << 13))
+#define MV64340_SDMA_FIRST_TX_DESCRIPTOR_POINTER(channel)   (0x4c14 + (channel << 13))
+
+#define MV64340_SDMA_CAUSE_REG                                      0xb800
+#define MV64340_SDMA_MASK_REG                                       0xb880
+
+/* BRG Interrupts */
+
+#define MV64340_BRG_CONFIG_REG(brg)                       (0xb200 + (brg << 3))
+#define MV64340_BRG_BAUDE_TUNING_REG(brg)                 (0xb208 + (brg << 3))
+#define MV64340_BRG_CAUSE_REG                                       0xb834
+#define MV64340_BRG_MASK_REG                                        0xb8b4
+
+/****************************************/
+/* DMA Channel Control                  */
+/****************************************/
+
+#define MV64340_DMA_CHANNEL0_CONTROL                                0x840
+#define MV64340_DMA_CHANNEL0_CONTROL_HIGH                           0x880
+#define MV64340_DMA_CHANNEL1_CONTROL                                0x844
+#define MV64340_DMA_CHANNEL1_CONTROL_HIGH                           0x884
+#define MV64340_DMA_CHANNEL2_CONTROL                                0x848
+#define MV64340_DMA_CHANNEL2_CONTROL_HIGH                           0x888
+#define MV64340_DMA_CHANNEL3_CONTROL                                0x84C
+#define MV64340_DMA_CHANNEL3_CONTROL_HIGH                           0x88C
+
+
+/****************************************/
+/*           IDMA Registers             */
+/****************************************/
+
+#define MV64340_DMA_CHANNEL0_BYTE_COUNT                             0x800
+#define MV64340_DMA_CHANNEL1_BYTE_COUNT                             0x804
+#define MV64340_DMA_CHANNEL2_BYTE_COUNT                             0x808
+#define MV64340_DMA_CHANNEL3_BYTE_COUNT                             0x80C
+#define MV64340_DMA_CHANNEL0_SOURCE_ADDR                            0x810
+#define MV64340_DMA_CHANNEL1_SOURCE_ADDR                            0x814
+#define MV64340_DMA_CHANNEL2_SOURCE_ADDR                            0x818
+#define MV64340_DMA_CHANNEL3_SOURCE_ADDR                            0x81c
+#define MV64340_DMA_CHANNEL0_DESTINATION_ADDR                       0x820
+#define MV64340_DMA_CHANNEL1_DESTINATION_ADDR                       0x824
+#define MV64340_DMA_CHANNEL2_DESTINATION_ADDR                       0x828
+#define MV64340_DMA_CHANNEL3_DESTINATION_ADDR                       0x82C
+#define MV64340_DMA_CHANNEL0_NEXT_DESCRIPTOR_POINTER                0x830
+#define MV64340_DMA_CHANNEL1_NEXT_DESCRIPTOR_POINTER                0x834
+#define MV64340_DMA_CHANNEL2_NEXT_DESCRIPTOR_POINTER                0x838
+#define MV64340_DMA_CHANNEL3_NEXT_DESCRIPTOR_POINTER                0x83C
+#define MV64340_DMA_CHANNEL0_CURRENT_DESCRIPTOR_POINTER             0x870
+#define MV64340_DMA_CHANNEL1_CURRENT_DESCRIPTOR_POINTER             0x874
+#define MV64340_DMA_CHANNEL2_CURRENT_DESCRIPTOR_POINTER             0x878
+#define MV64340_DMA_CHANNEL3_CURRENT_DESCRIPTOR_POINTER             0x87C
+
+ /*  IDMA Address Decoding Base Address Registers  */
+
+#define MV64340_DMA_BASE_ADDR_REG0                                  0xa00
+#define MV64340_DMA_BASE_ADDR_REG1                                  0xa08
+#define MV64340_DMA_BASE_ADDR_REG2                                  0xa10
+#define MV64340_DMA_BASE_ADDR_REG3                                  0xa18
+#define MV64340_DMA_BASE_ADDR_REG4                                  0xa20
+#define MV64340_DMA_BASE_ADDR_REG5                                  0xa28
+#define MV64340_DMA_BASE_ADDR_REG6                                  0xa30
+#define MV64340_DMA_BASE_ADDR_REG7                                  0xa38
+
+ /*  IDMA Address Decoding Size Address Register   */
+
+#define MV64340_DMA_SIZE_REG0                                       0xa04
+#define MV64340_DMA_SIZE_REG1                                       0xa0c
+#define MV64340_DMA_SIZE_REG2                                       0xa14
+#define MV64340_DMA_SIZE_REG3                                       0xa1c
+#define MV64340_DMA_SIZE_REG4                                       0xa24
+#define MV64340_DMA_SIZE_REG5                                       0xa2c
+#define MV64340_DMA_SIZE_REG6                                       0xa34
+#define MV64340_DMA_SIZE_REG7                                       0xa3C
+
+ /* IDMA Address Decoding High Address Remap and Access Protection Registers */
+
+#define MV64340_DMA_HIGH_ADDR_REMAP_REG0                            0xa60
+#define MV64340_DMA_HIGH_ADDR_REMAP_REG1                            0xa64
+#define MV64340_DMA_HIGH_ADDR_REMAP_REG2                            0xa68
+#define MV64340_DMA_HIGH_ADDR_REMAP_REG3                            0xa6C
+#define MV64340_DMA_BASE_ADDR_ENABLE_REG                            0xa80
+#define MV64340_DMA_CHANNEL0_ACCESS_PROTECTION_REG                  0xa70
+#define MV64340_DMA_CHANNEL1_ACCESS_PROTECTION_REG                  0xa74
+#define MV64340_DMA_CHANNEL2_ACCESS_PROTECTION_REG                  0xa78
+#define MV64340_DMA_CHANNEL3_ACCESS_PROTECTION_REG                  0xa7c
+#define MV64340_DMA_ARBITER_CONTROL                                 0x860
+#define MV64340_DMA_CROSS_BAR_TIMEOUT                               0x8d0
+
+ /*  IDMA Headers Retarget Registers   */
+
+#define MV64340_DMA_HEADERS_RETARGET_CONTROL                        0xa84
+#define MV64340_DMA_HEADERS_RETARGET_BASE                           0xa88
+
+ /*  IDMA Interrupt Register  */
+
+#define MV64340_DMA_INTERRUPT_CAUSE_REG                             0x8c0
+#define MV64340_DMA_INTERRUPT_CAUSE_MASK                            0x8c4
+#define MV64340_DMA_ERROR_ADDR                                      0x8c8
+#define MV64340_DMA_ERROR_SELECT                                    0x8cc
+
+ /*  IDMA Debug Register ( for internal use )    */
+
+#define MV64340_DMA_DEBUG_LOW                                       0x8e0
+#define MV64340_DMA_DEBUG_HIGH                                      0x8e4
+#define MV64340_DMA_SPARE                                           0xA8C
+
+/****************************************/
+/* Timer_Counter                        */
+/****************************************/
+
+#define MV64340_TIMER_COUNTER0                                      0x850
+#define MV64340_TIMER_COUNTER1                                      0x854
+#define MV64340_TIMER_COUNTER2                                      0x858
+#define MV64340_TIMER_COUNTER3                                      0x85C
+#define MV64340_TIMER_COUNTER_0_3_CONTROL                           0x864
+#define MV64340_TIMER_COUNTER_0_3_INTERRUPT_CAUSE                   0x868
+#define MV64340_TIMER_COUNTER_0_3_INTERRUPT_MASK                    0x86c
+
+/****************************************/
+/*         Watchdog registers           */
+/****************************************/
+
+#define MV64340_WATCHDOG_CONFIG_REG                                 0xb410
+#define MV64340_WATCHDOG_VALUE_REG                                  0xb414
+
+/****************************************/
+/* I2C Registers                        */
+/****************************************/
+
+#define MV64XXX_I2C_OFFSET                                          0xc000
+#define MV64XXX_I2C_REG_BLOCK_SIZE                                  0x0020
+
+/****************************************/
+/* GPP Interface Registers              */
+/****************************************/
+
+#define MV64340_GPP_IO_CONTROL                                      0xf100
+#define MV64340_GPP_LEVEL_CONTROL                                   0xf110
+#define MV64340_GPP_VALUE                                           0xf104
+#define MV64340_GPP_INTERRUPT_CAUSE                                 0xf108
+#define MV64340_GPP_INTERRUPT_MASK0                                 0xf10c
+#define MV64340_GPP_INTERRUPT_MASK1                                 0xf114
+#define MV64340_GPP_VALUE_SET                                       0xf118
+#define MV64340_GPP_VALUE_CLEAR                                     0xf11c
+
+/****************************************/
+/* Interrupt Controller Registers       */
+/****************************************/
+
+/****************************************/
+/* Interrupts                           */
+/****************************************/
+
+#define MV64340_MAIN_INTERRUPT_CAUSE_LOW                            0x004
+#define MV64340_MAIN_INTERRUPT_CAUSE_HIGH                           0x00c
+#define MV64340_CPU_INTERRUPT0_MASK_LOW                             0x014
+#define MV64340_CPU_INTERRUPT0_MASK_HIGH                            0x01c
+#define MV64340_CPU_INTERRUPT0_SELECT_CAUSE                         0x024
+#define MV64340_CPU_INTERRUPT1_MASK_LOW                             0x034
+#define MV64340_CPU_INTERRUPT1_MASK_HIGH                            0x03c
+#define MV64340_CPU_INTERRUPT1_SELECT_CAUSE                         0x044
+#define MV64340_INTERRUPT0_MASK_0_LOW                               0x054
+#define MV64340_INTERRUPT0_MASK_0_HIGH                              0x05c
+#define MV64340_INTERRUPT0_SELECT_CAUSE                             0x064
+#define MV64340_INTERRUPT1_MASK_0_LOW                               0x074
+#define MV64340_INTERRUPT1_MASK_0_HIGH                              0x07c
+#define MV64340_INTERRUPT1_SELECT_CAUSE                             0x084
+
+/****************************************/
+/*      MPP Interface Registers         */
+/****************************************/
+
+#define MV64340_MPP_CONTROL0                                        0xf000
+#define MV64340_MPP_CONTROL1                                        0xf004
+#define MV64340_MPP_CONTROL2                                        0xf008
+#define MV64340_MPP_CONTROL3                                        0xf00c
+
+/****************************************/
+/*    Serial Initialization registers   */
+/****************************************/
+
+#define MV64340_SERIAL_INIT_LAST_DATA                               0xf324
+#define MV64340_SERIAL_INIT_CONTROL                                 0xf328
+#define MV64340_SERIAL_INIT_STATUS                                  0xf32c
+
+#endif /* ASM_MV643XX_H */
diff --git a/hw/pci-host/trace-events b/hw/pci-host/trace-events
index 7d8063ac42..dac86ad3f0 100644
--- a/hw/pci-host/trace-events
+++ b/hw/pci-host/trace-events
@@ -3,6 +3,12 @@
 # grackle.c
 grackle_set_irq(int irq_num, int level) "set_irq num %d level %d"
 
+# mv64361.c
+mv64361_region_map(const char *name, uint64_t poffs, uint64_t size, uint64_t moffs) "Mapping %s 0x%"PRIx64"+0x%"PRIx64" @ 0x%"PRIx64
+mv64361_region_enable(const char *op, int num) "Should %s region %d"
+mv64361_reg_read(uint64_t addr, uint32_t val) "0x%"PRIx64" -> 0x%x"
+mv64361_reg_write(uint64_t addr, uint64_t val) "0x%"PRIx64" <- 0x%"PRIx64
+
 # sabre.c
 sabre_set_request(int irq_num) "request irq %d"
 sabre_clear_request(int irq_num) "clear request irq %d"
diff --git a/include/hw/pci-host/mv64361.h b/include/hw/pci-host/mv64361.h
new file mode 100644
index 0000000000..9cdb35cb3c
--- /dev/null
+++ b/include/hw/pci-host/mv64361.h
@@ -0,0 +1,8 @@
+#ifndef MV64361_H
+#define MV64361_H
+
+#define TYPE_MV64361 "mv64361"
+
+PCIBus *mv64361_get_pci_bus(DeviceState *dev, int n);
+
+#endif
diff --git a/include/hw/pci/pci_ids.h b/include/hw/pci/pci_ids.h
index ac0c23ebc7..5c14681b82 100644
--- a/include/hw/pci/pci_ids.h
+++ b/include/hw/pci/pci_ids.h
@@ -214,6 +214,7 @@
 #define PCI_DEVICE_ID_VIA_8231_PM        0x8235
 
 #define PCI_VENDOR_ID_MARVELL            0x11ab
+#define PCI_DEVICE_ID_MARVELL_MV6436X    0x6460
 
 #define PCI_VENDOR_ID_SILICON_MOTION     0x126f
 #define PCI_DEVICE_ID_SM501              0x0501
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* [PATCH v10 2/7] vt82c686: Add VT8231_SUPERIO based on VIA_SUPERIO
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
                   ` (5 preceding siblings ...)
  2021-03-17  1:17 ` [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-23  0:42 ` [PATCH v10 0/7] Pegasos2 emulation David Gibson
  7 siblings, 0 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

The VT8231 south bridge is very similar to VT82C686B but there are
some differences in register addresses and functionality, e.g. the
VT8231 only has one serial port. This commit adds VT8231_SUPERIO
subclass based on the abstract VIA_SUPERIO class to emulate the
superio part of VT8231.

Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
---
 hw/isa/vt82c686.c | 102 ++++++++++++++++++++++++++++++++++++++++++++++
 1 file changed, 102 insertions(+)

diff --git a/hw/isa/vt82c686.c b/hw/isa/vt82c686.c
index 6fb81c4ac6..b3048fd37e 100644
--- a/hw/isa/vt82c686.c
+++ b/hw/isa/vt82c686.c
@@ -417,6 +417,107 @@ static const TypeInfo vt82c686b_superio_info = {
 };
 
 
+#define TYPE_VT8231_SUPERIO "vt8231-superio"
+
+static void vt8231_superio_cfg_write(void *opaque, hwaddr addr,
+                                     uint64_t data, unsigned size)
+{
+    ViaSuperIOState *sc = opaque;
+    uint8_t idx = sc->regs[0];
+
+    if (addr == 0) { /* config index register */
+        sc->regs[0] = data;
+        return;
+    }
+
+    /* config data register */
+    trace_via_superio_write(idx, data);
+    switch (idx) {
+    case 0x00 ... 0xdf:
+    case 0xe7 ... 0xef:
+    case 0xf0 ... 0xf1:
+    case 0xf5:
+    case 0xf8:
+    case 0xfd:
+        /* ignore write to read only registers */
+        return;
+    default:
+        qemu_log_mask(LOG_UNIMP,
+                      "via_superio_cfg: unimplemented register 0x%x\n", idx);
+        break;
+    }
+    sc->regs[idx] = data;
+}
+
+static const MemoryRegionOps vt8231_superio_cfg_ops = {
+    .read = via_superio_cfg_read,
+    .write = vt8231_superio_cfg_write,
+    .endianness = DEVICE_NATIVE_ENDIAN,
+    .impl = {
+        .min_access_size = 1,
+        .max_access_size = 1,
+    },
+};
+
+static void vt8231_superio_reset(DeviceState *dev)
+{
+    ViaSuperIOState *s = VIA_SUPERIO(dev);
+
+    memset(s->regs, 0, sizeof(s->regs));
+    /* Device ID */
+    s->regs[0xf0] = 0x3c;
+    /* Device revision */
+    s->regs[0xf1] = 0x01;
+    /* Function select - all disabled */
+    vt8231_superio_cfg_write(s, 0, 0xf2, 1);
+    vt8231_superio_cfg_write(s, 1, 0x03, 1);
+    /* Serial port base addr */
+    vt8231_superio_cfg_write(s, 0, 0xf4, 1);
+    vt8231_superio_cfg_write(s, 1, 0xfe, 1);
+    /* Parallel port base addr */
+    vt8231_superio_cfg_write(s, 0, 0xf6, 1);
+    vt8231_superio_cfg_write(s, 1, 0xde, 1);
+    /* Floppy ctrl base addr */
+    vt8231_superio_cfg_write(s, 0, 0xf7, 1);
+    vt8231_superio_cfg_write(s, 1, 0xfc, 1);
+
+    vt8231_superio_cfg_write(s, 0, 0, 1);
+}
+
+static void vt8231_superio_init(Object *obj)
+{
+    VIA_SUPERIO(obj)->io_ops = &vt8231_superio_cfg_ops;
+}
+
+static uint16_t vt8231_superio_serial_iobase(ISASuperIODevice *sio,
+                                             uint8_t index)
+{
+        return 0x2f8; /* FIXME: This should be settable via registers f2-f4 */
+}
+
+static void vt8231_superio_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+    ISASuperIOClass *sc = ISA_SUPERIO_CLASS(klass);
+
+    dc->reset = vt8231_superio_reset;
+    sc->serial.count = 1;
+    sc->serial.get_iobase = vt8231_superio_serial_iobase;
+    sc->parallel.count = 1;
+    sc->ide.count = 0; /* emulated by via-ide */
+    sc->floppy.count = 1;
+}
+
+static const TypeInfo vt8231_superio_info = {
+    .name          = TYPE_VT8231_SUPERIO,
+    .parent        = TYPE_VIA_SUPERIO,
+    .instance_size = sizeof(ViaSuperIOState),
+    .instance_init = vt8231_superio_init,
+    .class_size    = sizeof(ISASuperIOClass),
+    .class_init    = vt8231_superio_class_init,
+};
+
+
 OBJECT_DECLARE_SIMPLE_TYPE(VT82C686BISAState, VT82C686B_ISA)
 
 struct VT82C686BISAState {
@@ -540,6 +641,7 @@ static void vt82c686b_register_types(void)
     type_register_static(&vt8231_pm_info);
     type_register_static(&via_superio_info);
     type_register_static(&vt82c686b_superio_info);
+    type_register_static(&vt8231_superio_info);
     type_register_static(&via_info);
 }
 
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* [PATCH v10 0/7] Pegasos2 emulation
@ 2021-03-17  1:17 BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II BALATON Zoltan
                   ` (7 more replies)
  0 siblings, 8 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

Hello,

This is adding a new PPC board called pegasos2. More info on it can be
found at:

https://osdn.net/projects/qmiga/wiki/SubprojectPegasos2

Currently it needs a firmware ROM image that I cannot include due to
original copyright holder (bPlan) did not release it under a free
licence but I have plans to write a replacement in the future. With
the original board firmware it can boot MorphOS now as:

qemu-system-ppc -M pegasos2 -cdrom morphos.iso -device ati-vga,romfile="" -serial stdio

then enter "boot cd boot.img" at the firmware "ok" prompt as described
in the MorphOS.readme. To boot Linux use same command line with e.g.
-cdrom debian-8.11.0-powerpc-netinst.iso then enter
"boot cd install/pegasos"

The last patch adds the actual board code after previous patches
adding VT8231 and MV64361 system controller chip emulation.

Regards,
BALATON Zoltan

v10: Updated comments and added R-b from Mark

v9: Rebased to master

v8: Do not emulate setting of serial port address via register, just
    hard code a default address instead

v7: Fix errp usage in patch 2

v6: Rebased on master, updated commit message about migration change

v5: Changes for review comments from David and Philippe

V4: Rename pegasos2_reset to pegasos2_cpu_reset
    Add new files to MAINTAINERS

BALATON Zoltan (6):
  vt82c686: QOM-ify superio related functionality
  vt82c686: Add VT8231_SUPERIO based on VIA_SUPERIO
  vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it
  vt82c686: Add emulation of VT8231 south bridge
  hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  hw/ppc: Add emulation of Genesi/bPlan Pegasos II

Philippe Mathieu-Daudé (1):
  hw/isa/Kconfig: Add missing dependency VIA VT82C686 -> APM

 MAINTAINERS                             |  10 +
 default-configs/devices/ppc-softmmu.mak |   2 +
 hw/isa/Kconfig                          |   1 +
 hw/isa/vt82c686.c                       | 422 +++++++++--
 hw/pci-host/Kconfig                     |   4 +
 hw/pci-host/meson.build                 |   2 +
 hw/pci-host/mv64361.c                   | 966 ++++++++++++++++++++++++
 hw/pci-host/mv643xx.h                   | 918 ++++++++++++++++++++++
 hw/pci-host/trace-events                |   6 +
 hw/ppc/Kconfig                          |   9 +
 hw/ppc/meson.build                      |   2 +
 hw/ppc/pegasos2.c                       | 144 ++++
 include/hw/isa/vt82c686.h               |   2 +-
 include/hw/pci-host/mv64361.h           |   8 +
 include/hw/pci/pci_ids.h                |   4 +-
 15 files changed, 2418 insertions(+), 82 deletions(-)
 create mode 100644 hw/pci-host/mv64361.c
 create mode 100644 hw/pci-host/mv643xx.h
 create mode 100644 hw/ppc/pegasos2.c
 create mode 100644 include/hw/pci-host/mv64361.h

-- 
2.21.4



^ permalink raw reply	[flat|nested] 24+ messages in thread

* [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-23  0:55   ` David Gibson
  2021-03-17  1:17 ` [PATCH v10 1/7] vt82c686: QOM-ify superio related functionality BALATON Zoltan
                   ` (6 subsequent siblings)
  7 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

Add new machine called pegasos2 emulating the Genesi/bPlan Pegasos II,
a PowerPC board based on the Marvell MV64361 system controller and the
VIA VT8231 integrated south bridge/superio chips. It can run Linux,
AmigaOS and a wide range of MorphOS versions. Currently a firmware ROM
image is needed to boot and only MorphOS has a video driver to produce
graphics output. Linux could work too but distros that supported this
machine don't include usual video drivers so those only run with
serial console for now.

Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
---
 MAINTAINERS                             |  10 ++
 default-configs/devices/ppc-softmmu.mak |   2 +
 hw/ppc/Kconfig                          |   9 ++
 hw/ppc/meson.build                      |   2 +
 hw/ppc/pegasos2.c                       | 144 ++++++++++++++++++++++++
 5 files changed, 167 insertions(+)
 create mode 100644 hw/ppc/pegasos2.c

diff --git a/MAINTAINERS b/MAINTAINERS
index b6ab3d25a7..1c3c55ef09 100644
--- a/MAINTAINERS
+++ b/MAINTAINERS
@@ -1353,6 +1353,16 @@ F: pc-bios/canyonlands.dt[sb]
 F: pc-bios/u-boot-sam460ex-20100605.bin
 F: roms/u-boot-sam460ex
 
+pegasos2
+M: BALATON Zoltan <balaton@eik.bme.hu>
+R: David Gibson <david@gibson.dropbear.id.au>
+L: qemu-ppc@nongnu.org
+S: Maintained
+F: hw/ppc/pegasos2.c
+F: hw/pci-host/mv64361.c
+F: hw/pci-host/mv643xx.h
+F: include/hw/pci-host/mv64361.h
+
 RISC-V Machines
 ---------------
 OpenTitan
diff --git a/default-configs/devices/ppc-softmmu.mak b/default-configs/devices/ppc-softmmu.mak
index 61b78b844d..4535993d8d 100644
--- a/default-configs/devices/ppc-softmmu.mak
+++ b/default-configs/devices/ppc-softmmu.mak
@@ -14,5 +14,7 @@ CONFIG_SAM460EX=y
 CONFIG_MAC_OLDWORLD=y
 CONFIG_MAC_NEWWORLD=y
 
+CONFIG_PEGASOS2=y
+
 # For PReP
 CONFIG_PREP=y
diff --git a/hw/ppc/Kconfig b/hw/ppc/Kconfig
index d11dc30509..e51e0e5e5a 100644
--- a/hw/ppc/Kconfig
+++ b/hw/ppc/Kconfig
@@ -68,6 +68,15 @@ config SAM460EX
     select USB_OHCI
     select FDT_PPC
 
+config PEGASOS2
+    bool
+    select MV64361
+    select VT82C686
+    select IDE_VIA
+    select SMBUS_EEPROM
+# This should come with VT82C686
+    select ACPI_X86
+
 config PREP
     bool
     imply PCI_DEVICES
diff --git a/hw/ppc/meson.build b/hw/ppc/meson.build
index 218631c883..86d6f379d1 100644
--- a/hw/ppc/meson.build
+++ b/hw/ppc/meson.build
@@ -78,5 +78,7 @@ ppc_ss.add(when: 'CONFIG_E500', if_true: files(
 ))
 # PowerPC 440 Xilinx ML507 reference board.
 ppc_ss.add(when: 'CONFIG_VIRTEX', if_true: files('virtex_ml507.c'))
+# Pegasos2
+ppc_ss.add(when: 'CONFIG_PEGASOS2', if_true: files('pegasos2.c'))
 
 hw_arch += {'ppc': ppc_ss}
diff --git a/hw/ppc/pegasos2.c b/hw/ppc/pegasos2.c
new file mode 100644
index 0000000000..0bfd0928aa
--- /dev/null
+++ b/hw/ppc/pegasos2.c
@@ -0,0 +1,144 @@
+/*
+ * QEMU PowerPC CHRP (Genesi/bPlan Pegasos II) hardware System Emulator
+ *
+ * Copyright (c) 2018-2020 BALATON Zoltan
+ *
+ * This work is licensed under the GNU GPL license version 2 or later.
+ *
+ */
+
+#include "qemu/osdep.h"
+#include "qemu-common.h"
+#include "qemu/units.h"
+#include "qapi/error.h"
+#include "hw/hw.h"
+#include "hw/ppc/ppc.h"
+#include "hw/sysbus.h"
+#include "hw/pci/pci_host.h"
+#include "hw/irq.h"
+#include "hw/pci-host/mv64361.h"
+#include "hw/isa/vt82c686.h"
+#include "hw/ide/pci.h"
+#include "hw/i2c/smbus_eeprom.h"
+#include "hw/qdev-properties.h"
+#include "sysemu/reset.h"
+#include "hw/boards.h"
+#include "hw/loader.h"
+#include "hw/fw-path-provider.h"
+#include "elf.h"
+#include "qemu/log.h"
+#include "qemu/error-report.h"
+#include "sysemu/kvm.h"
+#include "kvm_ppc.h"
+#include "exec/address-spaces.h"
+#include "trace.h"
+#include "qemu/datadir.h"
+#include "sysemu/device_tree.h"
+
+#define PROM_FILENAME "pegasos2.rom"
+#define PROM_ADDR     0xfff00000
+#define PROM_SIZE     0x80000
+
+#define BUS_FREQ_HZ 133333333
+
+static void pegasos2_cpu_reset(void *opaque)
+{
+    PowerPCCPU *cpu = opaque;
+
+    cpu_reset(CPU(cpu));
+    cpu->env.spr[SPR_HID1] = 7ULL << 28;
+}
+
+static void pegasos2_init(MachineState *machine)
+{
+    PowerPCCPU *cpu = NULL;
+    MemoryRegion *rom = g_new(MemoryRegion, 1);
+    DeviceState *mv;
+    PCIBus *pci_bus;
+    PCIDevice *dev;
+    I2CBus *i2c_bus;
+    const char *fwname = machine->firmware ?: PROM_FILENAME;
+    char *filename;
+    int sz;
+    uint8_t *spd_data;
+
+    /* init CPU */
+    cpu = POWERPC_CPU(cpu_create(machine->cpu_type));
+    if (PPC_INPUT(&cpu->env) != PPC_FLAGS_INPUT_6xx) {
+        error_report("Incompatible CPU, only 6xx bus supported");
+        exit(1);
+    }
+
+    /* Set time-base frequency */
+    cpu_ppc_tb_init(&cpu->env, BUS_FREQ_HZ / 4);
+    qemu_register_reset(pegasos2_cpu_reset, cpu);
+
+    /* RAM */
+    memory_region_add_subregion(get_system_memory(), 0, machine->ram);
+
+    /* allocate and load firmware */
+    filename = qemu_find_file(QEMU_FILE_TYPE_BIOS, fwname);
+    if (!filename) {
+        error_report("Could not find firmware '%s'", fwname);
+        exit(1);
+    }
+    memory_region_init_rom(rom, NULL, "pegasos2.rom", PROM_SIZE, &error_fatal);
+    memory_region_add_subregion(get_system_memory(), PROM_ADDR, rom);
+    sz = load_elf(filename, NULL, NULL, NULL, NULL, NULL, NULL, NULL, 1,
+                  PPC_ELF_MACHINE, 0, 0);
+    if (sz <= 0) {
+        sz = load_image_targphys(filename, PROM_ADDR, PROM_SIZE);
+    }
+    if (sz <= 0 || sz > PROM_SIZE) {
+        error_report("Could not load firmware '%s'", filename);
+        exit(1);
+    }
+    g_free(filename);
+
+    /* Marvell Discovery II system controller */
+    mv = DEVICE(sysbus_create_simple(TYPE_MV64361, -1,
+                        ((qemu_irq *)cpu->env.irq_inputs)[PPC6xx_INPUT_INT]));
+    pci_bus = mv64361_get_pci_bus(mv, 1);
+
+    /* VIA VT8231 South Bridge (multifunction PCI device) */
+    /* VT8231 function 0: PCI-to-ISA Bridge */
+    dev = pci_create_simple_multifunction(pci_bus, PCI_DEVFN(12, 0), true,
+                                          TYPE_VT8231_ISA);
+    qdev_connect_gpio_out(DEVICE(dev), 0,
+                          qdev_get_gpio_in_named(mv, "gpp", 31));
+
+    /* VT8231 function 1: IDE Controller */
+    dev = pci_create_simple(pci_bus, PCI_DEVFN(12, 1), "via-ide");
+    pci_ide_create_devs(dev);
+
+    /* VT8231 function 2-3: USB Ports */
+    pci_create_simple(pci_bus, PCI_DEVFN(12, 2), "vt82c686b-usb-uhci");
+    pci_create_simple(pci_bus, PCI_DEVFN(12, 3), "vt82c686b-usb-uhci");
+
+    /* VT8231 function 4: Power Management Controller */
+    dev = pci_create_simple(pci_bus, PCI_DEVFN(12, 4), TYPE_VT8231_PM);
+    i2c_bus = I2C_BUS(qdev_get_child_bus(DEVICE(dev), "i2c"));
+    spd_data = spd_data_generate(DDR, machine->ram_size);
+    smbus_eeprom_init_one(i2c_bus, 0x57, spd_data);
+
+    /* VT8231 function 5-6: AC97 Audio & Modem */
+    pci_create_simple(pci_bus, PCI_DEVFN(12, 5), TYPE_VIA_AC97);
+    pci_create_simple(pci_bus, PCI_DEVFN(12, 6), TYPE_VIA_MC97);
+
+    /* other PC hardware */
+    pci_vga_init(pci_bus);
+}
+
+static void pegasos2_machine(MachineClass *mc)
+{
+    mc->desc = "Genesi/bPlan Pegasos II";
+    mc->init = pegasos2_init;
+    mc->block_default_type = IF_IDE;
+    mc->default_boot_order = "cd";
+    mc->default_display = "std";
+    mc->default_cpu_type = POWERPC_CPU_TYPE_NAME("7400_v2.9");
+    mc->default_ram_id = "pegasos2.ram";
+    mc->default_ram_size = 512 * MiB;
+}
+
+DEFINE_MACHINE("pegasos2", pegasos2_machine)
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* [PATCH v10 1/7] vt82c686: QOM-ify superio related functionality
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-17  1:17 ` [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller BALATON Zoltan
                   ` (5 subsequent siblings)
  7 siblings, 0 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

Collect superio functionality and its controlling config registers
handling in an abstract VIA_SUPERIO class that is a subclass of
ISA_SUPERIO and put vt82c686b specific parts in a subclass of this
abstract class.

Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
Reviewed-by: Mark Cave-Ayland <mark.cave-ayland@ilande.co.uk>
---
 hw/isa/vt82c686.c         | 196 +++++++++++++++++++++++++-------------
 include/hw/isa/vt82c686.h |   1 -
 2 files changed, 132 insertions(+), 65 deletions(-)

diff --git a/hw/isa/vt82c686.c b/hw/isa/vt82c686.c
index 05d084f698..6fb81c4ac6 100644
--- a/hw/isa/vt82c686.c
+++ b/hw/isa/vt82c686.c
@@ -249,15 +249,80 @@ static const TypeInfo vt8231_pm_info = {
 };
 
 
-typedef struct SuperIOConfig {
+#define TYPE_VIA_SUPERIO "via-superio"
+OBJECT_DECLARE_SIMPLE_TYPE(ViaSuperIOState, VIA_SUPERIO)
+
+struct ViaSuperIOState {
+    ISASuperIODevice superio;
     uint8_t regs[0x100];
+    const MemoryRegionOps *io_ops;
     MemoryRegion io;
-} SuperIOConfig;
+};
+
+static inline void via_superio_io_enable(ViaSuperIOState *s, bool enable)
+{
+    memory_region_set_enabled(&s->io, enable);
+}
+
+static void via_superio_realize(DeviceState *d, Error **errp)
+{
+    ViaSuperIOState *s = VIA_SUPERIO(d);
+    ISASuperIOClass *ic = ISA_SUPERIO_GET_CLASS(s);
+    Error *local_err = NULL;
+
+    assert(s->io_ops);
+    ic->parent_realize(d, &local_err);
+    if (local_err) {
+        error_propagate(errp, local_err);
+        return;
+    }
+    memory_region_init_io(&s->io, OBJECT(d), s->io_ops, s, "via-superio", 2);
+    memory_region_set_enabled(&s->io, false);
+    /* The floppy also uses 0x3f0 and 0x3f1 but this seems to work anyway */
+    memory_region_add_subregion(isa_address_space_io(ISA_DEVICE(s)), 0x3f0,
+                                &s->io);
+}
+
+static uint64_t via_superio_cfg_read(void *opaque, hwaddr addr, unsigned size)
+{
+    ViaSuperIOState *sc = opaque;
+    uint8_t idx = sc->regs[0];
+    uint8_t val = sc->regs[idx];
+
+    if (addr == 0) {
+        return idx;
+    }
+    if (addr == 1 && idx == 0) {
+        val = 0; /* reading reg 0 where we store index value */
+    }
+    trace_via_superio_read(idx, val);
+    return val;
+}
+
+static void via_superio_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+    ISASuperIOClass *sc = ISA_SUPERIO_CLASS(klass);
+
+    sc->parent_realize = dc->realize;
+    dc->realize = via_superio_realize;
+}
+
+static const TypeInfo via_superio_info = {
+    .name          = TYPE_VIA_SUPERIO,
+    .parent        = TYPE_ISA_SUPERIO,
+    .instance_size = sizeof(ViaSuperIOState),
+    .class_size    = sizeof(ISASuperIOClass),
+    .class_init    = via_superio_class_init,
+    .abstract      = true,
+};
+
+#define TYPE_VT82C686B_SUPERIO "vt82c686b-superio"
 
-static void superio_cfg_write(void *opaque, hwaddr addr, uint64_t data,
-                              unsigned size)
+static void vt82c686b_superio_cfg_write(void *opaque, hwaddr addr,
+                                        uint64_t data, unsigned size)
 {
-    SuperIOConfig *sc = opaque;
+    ViaSuperIOState *sc = opaque;
     uint8_t idx = sc->regs[0];
 
     if (addr == 0) { /* config index register */
@@ -288,25 +353,9 @@ static void superio_cfg_write(void *opaque, hwaddr addr, uint64_t data,
     sc->regs[idx] = data;
 }
 
-static uint64_t superio_cfg_read(void *opaque, hwaddr addr, unsigned size)
-{
-    SuperIOConfig *sc = opaque;
-    uint8_t idx = sc->regs[0];
-    uint8_t val = sc->regs[idx];
-
-    if (addr == 0) {
-        return idx;
-    }
-    if (addr == 1 && idx == 0) {
-        val = 0; /* reading reg 0 where we store index value */
-    }
-    trace_via_superio_read(idx, val);
-    return val;
-}
-
-static const MemoryRegionOps superio_cfg_ops = {
-    .read = superio_cfg_read,
-    .write = superio_cfg_write,
+static const MemoryRegionOps vt82c686b_superio_cfg_ops = {
+    .read = via_superio_cfg_read,
+    .write = vt82c686b_superio_cfg_write,
     .endianness = DEVICE_NATIVE_ENDIAN,
     .impl = {
         .min_access_size = 1,
@@ -314,13 +363,66 @@ static const MemoryRegionOps superio_cfg_ops = {
     },
 };
 
+static void vt82c686b_superio_reset(DeviceState *dev)
+{
+    ViaSuperIOState *s = VIA_SUPERIO(dev);
+
+    memset(s->regs, 0, sizeof(s->regs));
+    /* Device ID */
+    vt82c686b_superio_cfg_write(s, 0, 0xe0, 1);
+    vt82c686b_superio_cfg_write(s, 1, 0x3c, 1);
+    /* Function select - all disabled */
+    vt82c686b_superio_cfg_write(s, 0, 0xe2, 1);
+    vt82c686b_superio_cfg_write(s, 1, 0x03, 1);
+    /* Floppy ctrl base addr 0x3f0-7 */
+    vt82c686b_superio_cfg_write(s, 0, 0xe3, 1);
+    vt82c686b_superio_cfg_write(s, 1, 0xfc, 1);
+    /* Parallel port base addr 0x378-f */
+    vt82c686b_superio_cfg_write(s, 0, 0xe6, 1);
+    vt82c686b_superio_cfg_write(s, 1, 0xde, 1);
+    /* Serial port 1 base addr 0x3f8-f */
+    vt82c686b_superio_cfg_write(s, 0, 0xe7, 1);
+    vt82c686b_superio_cfg_write(s, 1, 0xfe, 1);
+    /* Serial port 2 base addr 0x2f8-f */
+    vt82c686b_superio_cfg_write(s, 0, 0xe8, 1);
+    vt82c686b_superio_cfg_write(s, 1, 0xbe, 1);
+
+    vt82c686b_superio_cfg_write(s, 0, 0, 1);
+}
+
+static void vt82c686b_superio_init(Object *obj)
+{
+    VIA_SUPERIO(obj)->io_ops = &vt82c686b_superio_cfg_ops;
+}
+
+static void vt82c686b_superio_class_init(ObjectClass *klass, void *data)
+{
+    DeviceClass *dc = DEVICE_CLASS(klass);
+    ISASuperIOClass *sc = ISA_SUPERIO_CLASS(klass);
+
+    dc->reset = vt82c686b_superio_reset;
+    sc->serial.count = 2;
+    sc->parallel.count = 1;
+    sc->ide.count = 0; /* emulated by via-ide */
+    sc->floppy.count = 1;
+}
+
+static const TypeInfo vt82c686b_superio_info = {
+    .name          = TYPE_VT82C686B_SUPERIO,
+    .parent        = TYPE_VIA_SUPERIO,
+    .instance_size = sizeof(ViaSuperIOState),
+    .instance_init = vt82c686b_superio_init,
+    .class_size    = sizeof(ISASuperIOClass),
+    .class_init    = vt82c686b_superio_class_init,
+};
+
 
 OBJECT_DECLARE_SIMPLE_TYPE(VT82C686BISAState, VT82C686B_ISA)
 
 struct VT82C686BISAState {
     PCIDevice dev;
     qemu_irq cpu_intr;
-    SuperIOConfig superio_cfg;
+    ViaSuperIOState *via_sio;
 };
 
 static void via_isa_request_i8259_irq(void *opaque, int irq, int level)
@@ -338,7 +440,7 @@ static void vt82c686b_write_config(PCIDevice *d, uint32_t addr,
     pci_default_write_config(d, addr, val, len);
     if (addr == 0x85) {
         /* BIT(1): enable or disable superio config io ports */
-        memory_region_set_enabled(&s->superio_cfg.io, val & BIT(1));
+        via_superio_io_enable(s->via_sio, val & BIT(1));
     }
 }
 
@@ -370,13 +472,6 @@ static void vt82c686b_isa_reset(DeviceState *dev)
     pci_conf[0x5a] = 0x04; /* KBC/RTC Control*/
     pci_conf[0x5f] = 0x04;
     pci_conf[0x77] = 0x10; /* GPIO Control 1/2/3/4 */
-
-    s->superio_cfg.regs[0xe0] = 0x3c; /* Device ID */
-    s->superio_cfg.regs[0xe2] = 0x03; /* Function select */
-    s->superio_cfg.regs[0xe3] = 0xfc; /* Floppy ctrl base addr */
-    s->superio_cfg.regs[0xe6] = 0xde; /* Parallel port base addr */
-    s->superio_cfg.regs[0xe7] = 0xfe; /* Serial port 1 base addr */
-    s->superio_cfg.regs[0xe8] = 0xbe; /* Serial port 2 base addr */
 }
 
 static void vt82c686b_realize(PCIDevice *d, Error **errp)
@@ -394,7 +489,8 @@ static void vt82c686b_realize(PCIDevice *d, Error **errp)
     isa_bus_irqs(isa_bus, i8259_init(isa_bus, *isa_irq));
     i8254_pit_init(isa_bus, 0x40, 0, NULL);
     i8257_dma_init(isa_bus, 0);
-    isa_create_simple(isa_bus, TYPE_VT82C686B_SUPERIO);
+    s->via_sio = VIA_SUPERIO(isa_create_simple(isa_bus,
+                                               TYPE_VT82C686B_SUPERIO));
     mc146818_rtc_init(isa_bus, 2000, NULL);
 
     for (i = 0; i < PCI_CONFIG_HEADER_SIZE; i++) {
@@ -402,16 +498,6 @@ static void vt82c686b_realize(PCIDevice *d, Error **errp)
             d->wmask[i] = 0;
         }
     }
-
-    memory_region_init_io(&s->superio_cfg.io, OBJECT(d), &superio_cfg_ops,
-                          &s->superio_cfg, "superio_cfg", 2);
-    memory_region_set_enabled(&s->superio_cfg.io, false);
-    /*
-     * The floppy also uses 0x3f0 and 0x3f1.
-     * But we do not emulate a floppy, so just set it here.
-     */
-    memory_region_add_subregion(isa_bus->address_space_io, 0x3f0,
-                                &s->superio_cfg.io);
 }
 
 static void via_class_init(ObjectClass *klass, void *data)
@@ -447,32 +533,14 @@ static const TypeInfo via_info = {
 };
 
 
-static void vt82c686b_superio_class_init(ObjectClass *klass, void *data)
-{
-    ISASuperIOClass *sc = ISA_SUPERIO_CLASS(klass);
-
-    sc->serial.count = 2;
-    sc->parallel.count = 1;
-    sc->ide.count = 0;
-    sc->floppy.count = 1;
-}
-
-static const TypeInfo via_superio_info = {
-    .name          = TYPE_VT82C686B_SUPERIO,
-    .parent        = TYPE_ISA_SUPERIO,
-    .instance_size = sizeof(ISASuperIODevice),
-    .class_size    = sizeof(ISASuperIOClass),
-    .class_init    = vt82c686b_superio_class_init,
-};
-
-
 static void vt82c686b_register_types(void)
 {
     type_register_static(&via_pm_info);
     type_register_static(&vt82c686b_pm_info);
     type_register_static(&vt8231_pm_info);
-    type_register_static(&via_info);
     type_register_static(&via_superio_info);
+    type_register_static(&vt82c686b_superio_info);
+    type_register_static(&via_info);
 }
 
 type_init(vt82c686b_register_types)
diff --git a/include/hw/isa/vt82c686.h b/include/hw/isa/vt82c686.h
index 9b6d610e83..0692b9a527 100644
--- a/include/hw/isa/vt82c686.h
+++ b/include/hw/isa/vt82c686.h
@@ -2,7 +2,6 @@
 #define HW_VT82C686_H
 
 #define TYPE_VT82C686B_ISA "vt82c686b-isa"
-#define TYPE_VT82C686B_SUPERIO "vt82c686b-superio"
 #define TYPE_VT82C686B_PM "vt82c686b-pm"
 #define TYPE_VT8231_PM "vt8231-pm"
 #define TYPE_VIA_AC97 "via-ac97"
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
                   ` (4 preceding siblings ...)
  2021-03-17  1:17 ` [PATCH v10 5/7] hw/isa/Kconfig: Add missing dependency VIA VT82C686 -> APM BALATON Zoltan
@ 2021-03-17  1:17 ` BALATON Zoltan
  2021-03-17 18:23   ` Philippe Mathieu-Daudé
  2021-03-17  1:17 ` [PATCH v10 2/7] vt82c686: Add VT8231_SUPERIO based on VIA_SUPERIO BALATON Zoltan
  2021-03-23  0:42 ` [PATCH v10 0/7] Pegasos2 emulation David Gibson
  7 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17  1:17 UTC (permalink / raw)
  To: qemu-devel, qemu-ppc; +Cc: Peter Maydell, Paolo Bonzini, f4bug, David Gibson

To allow reusing ISA bridge emulation for vt8231_isa move the device
state of vt82c686b_isa emulation in an abstract via_isa class. This
change breaks migration back compatibility but this is not an issue
for Fuloong2E machine which is not versioned or migration supported.

Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
---
 hw/isa/vt82c686.c        | 70 ++++++++++++++++++++++------------------
 include/hw/pci/pci_ids.h |  2 +-
 2 files changed, 40 insertions(+), 32 deletions(-)

diff --git a/hw/isa/vt82c686.c b/hw/isa/vt82c686.c
index b3048fd37e..69f073ec9e 100644
--- a/hw/isa/vt82c686.c
+++ b/hw/isa/vt82c686.c
@@ -518,24 +518,48 @@ static const TypeInfo vt8231_superio_info = {
 };
 
 
-OBJECT_DECLARE_SIMPLE_TYPE(VT82C686BISAState, VT82C686B_ISA)
+#define TYPE_VIA_ISA "via-isa"
+OBJECT_DECLARE_SIMPLE_TYPE(ViaISAState, VIA_ISA)
 
-struct VT82C686BISAState {
+struct ViaISAState {
     PCIDevice dev;
     qemu_irq cpu_intr;
     ViaSuperIOState *via_sio;
 };
 
+static const VMStateDescription vmstate_via = {
+    .name = "via-isa",
+    .version_id = 1,
+    .minimum_version_id = 1,
+    .fields = (VMStateField[]) {
+        VMSTATE_PCI_DEVICE(dev, ViaISAState),
+        VMSTATE_END_OF_LIST()
+    }
+};
+
+static const TypeInfo via_isa_info = {
+    .name          = TYPE_VIA_ISA,
+    .parent        = TYPE_PCI_DEVICE,
+    .instance_size = sizeof(ViaISAState),
+    .abstract      = true,
+    .interfaces    = (InterfaceInfo[]) {
+        { INTERFACE_CONVENTIONAL_PCI_DEVICE },
+        { },
+    },
+};
+
 static void via_isa_request_i8259_irq(void *opaque, int irq, int level)
 {
-    VT82C686BISAState *s = opaque;
+    ViaISAState *s = opaque;
     qemu_set_irq(s->cpu_intr, level);
 }
 
+/* TYPE_VT82C686B_ISA */
+
 static void vt82c686b_write_config(PCIDevice *d, uint32_t addr,
                                    uint32_t val, int len)
 {
-    VT82C686BISAState *s = VT82C686B_ISA(d);
+    ViaISAState *s = VIA_ISA(d);
 
     trace_via_isa_write(addr, val, len);
     pci_default_write_config(d, addr, val, len);
@@ -545,19 +569,9 @@ static void vt82c686b_write_config(PCIDevice *d, uint32_t addr,
     }
 }
 
-static const VMStateDescription vmstate_via = {
-    .name = "vt82c686b",
-    .version_id = 1,
-    .minimum_version_id = 1,
-    .fields = (VMStateField[]) {
-        VMSTATE_PCI_DEVICE(dev, VT82C686BISAState),
-        VMSTATE_END_OF_LIST()
-    }
-};
-
 static void vt82c686b_isa_reset(DeviceState *dev)
 {
-    VT82C686BISAState *s = VT82C686B_ISA(dev);
+    ViaISAState *s = VIA_ISA(dev);
     uint8_t *pci_conf = s->dev.config;
 
     pci_set_long(pci_conf + PCI_CAPABILITY_LIST, 0x000000c0);
@@ -577,7 +591,7 @@ static void vt82c686b_isa_reset(DeviceState *dev)
 
 static void vt82c686b_realize(PCIDevice *d, Error **errp)
 {
-    VT82C686BISAState *s = VT82C686B_ISA(d);
+    ViaISAState *s = VIA_ISA(d);
     DeviceState *dev = DEVICE(d);
     ISABus *isa_bus;
     qemu_irq *isa_irq;
@@ -601,7 +615,7 @@ static void vt82c686b_realize(PCIDevice *d, Error **errp)
     }
 }
 
-static void via_class_init(ObjectClass *klass, void *data)
+static void vt82c686b_class_init(ObjectClass *klass, void *data)
 {
     DeviceClass *dc = DEVICE_CLASS(klass);
     PCIDeviceClass *k = PCI_DEVICE_CLASS(klass);
@@ -609,28 +623,21 @@ static void via_class_init(ObjectClass *klass, void *data)
     k->realize = vt82c686b_realize;
     k->config_write = vt82c686b_write_config;
     k->vendor_id = PCI_VENDOR_ID_VIA;
-    k->device_id = PCI_DEVICE_ID_VIA_ISA_BRIDGE;
+    k->device_id = PCI_DEVICE_ID_VIA_82C686B_ISA;
     k->class_id = PCI_CLASS_BRIDGE_ISA;
     k->revision = 0x40;
     dc->reset = vt82c686b_isa_reset;
     dc->desc = "ISA bridge";
     dc->vmsd = &vmstate_via;
-    /*
-     * Reason: part of VIA VT82C686 southbridge, needs to be wired up,
-     * e.g. by mips_fuloong2e_init()
-     */
+    /* Reason: part of VIA VT82C686 southbridge, needs to be wired up */
     dc->user_creatable = false;
 }
 
-static const TypeInfo via_info = {
+static const TypeInfo vt82c686b_isa_info = {
     .name          = TYPE_VT82C686B_ISA,
-    .parent        = TYPE_PCI_DEVICE,
-    .instance_size = sizeof(VT82C686BISAState),
-    .class_init    = via_class_init,
-    .interfaces = (InterfaceInfo[]) {
-        { INTERFACE_CONVENTIONAL_PCI_DEVICE },
-        { },
-    },
+    .parent        = TYPE_VIA_ISA,
+    .instance_size = sizeof(ViaISAState),
+    .class_init    = vt82c686b_class_init,
 };
 
 
@@ -642,7 +649,8 @@ static void vt82c686b_register_types(void)
     type_register_static(&via_superio_info);
     type_register_static(&vt82c686b_superio_info);
     type_register_static(&vt8231_superio_info);
-    type_register_static(&via_info);
+    type_register_static(&via_isa_info);
+    type_register_static(&vt82c686b_isa_info);
 }
 
 type_init(vt82c686b_register_types)
diff --git a/include/hw/pci/pci_ids.h b/include/hw/pci/pci_ids.h
index ea28dcc850..aa3f67eaa4 100644
--- a/include/hw/pci/pci_ids.h
+++ b/include/hw/pci/pci_ids.h
@@ -204,7 +204,7 @@
 #define PCI_VENDOR_ID_XILINX             0x10ee
 
 #define PCI_VENDOR_ID_VIA                0x1106
-#define PCI_DEVICE_ID_VIA_ISA_BRIDGE     0x0686
+#define PCI_DEVICE_ID_VIA_82C686B_ISA    0x0686
 #define PCI_DEVICE_ID_VIA_IDE            0x0571
 #define PCI_DEVICE_ID_VIA_UHCI           0x3038
 #define PCI_DEVICE_ID_VIA_82C686B_PM     0x3057
-- 
2.21.4



^ permalink raw reply related	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it
  2021-03-17  1:17 ` [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it BALATON Zoltan
@ 2021-03-17 18:23   ` Philippe Mathieu-Daudé
  2021-03-17 19:24     ` BALATON Zoltan
  0 siblings, 1 reply; 24+ messages in thread
From: Philippe Mathieu-Daudé @ 2021-03-17 18:23 UTC (permalink / raw)
  To: BALATON Zoltan, qemu-devel, qemu-ppc
  Cc: Peter Maydell, David Gibson, Paolo Bonzini

On 3/17/21 2:17 AM, BALATON Zoltan wrote:
> To allow reusing ISA bridge emulation for vt8231_isa move the device
> state of vt82c686b_isa emulation in an abstract via_isa class. This
> change breaks migration back compatibility but this is not an issue
> for Fuloong2E machine which is not versioned or migration supported.
> 
> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
> ---
>  hw/isa/vt82c686.c        | 70 ++++++++++++++++++++++------------------
>  include/hw/pci/pci_ids.h |  2 +-
>  2 files changed, 40 insertions(+), 32 deletions(-)

Now than "hw/usb: Extract VT82C686 UHCI PCI function into a new unit"
is merged as commit 6f2bcd5fc84, this is acceptable IMHO, so:
Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>


^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it
  2021-03-17 18:23   ` Philippe Mathieu-Daudé
@ 2021-03-17 19:24     ` BALATON Zoltan
  0 siblings, 0 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-17 19:24 UTC (permalink / raw)
  To: Philippe Mathieu-Daudé
  Cc: Peter Maydell, David Gibson, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 990 bytes --]

On Wed, 17 Mar 2021, Philippe Mathieu-Daudé wrote:
> On 3/17/21 2:17 AM, BALATON Zoltan wrote:
>> To allow reusing ISA bridge emulation for vt8231_isa move the device
>> state of vt82c686b_isa emulation in an abstract via_isa class. This
>> change breaks migration back compatibility but this is not an issue
>> for Fuloong2E machine which is not versioned or migration supported.
>>
>> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
>> ---
>>  hw/isa/vt82c686.c        | 70 ++++++++++++++++++++++------------------
>>  include/hw/pci/pci_ids.h |  2 +-
>>  2 files changed, 40 insertions(+), 32 deletions(-)
>
> Now than "hw/usb: Extract VT82C686 UHCI PCI function into a new unit"
> is merged as commit 6f2bcd5fc84, this is acceptable IMHO, so:
> Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>

Still don't see what does the USB function do with this that does not have 
any reference to USB but thanks. Will you send a pull requests with the 
series?

Regards,
BALATON Zoltan

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  2021-03-17  1:17 ` [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller BALATON Zoltan
@ 2021-03-23  0:40   ` David Gibson
  2021-03-23 13:31     ` BALATON Zoltan
  0 siblings, 1 reply; 24+ messages in thread
From: David Gibson @ 2021-03-23  0:40 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, Paolo Bonzini, qemu-ppc, qemu-devel, f4bug

[-- Attachment #1: Type: text/plain, Size: 98036 bytes --]

On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> The Marvell Discovery II aka. MV64361 is a PowerPC system controller
> chip that is used on the pegasos2 PPC board. This adds emulation of it
> that models the device enough to boot guests on this board. The
> mv643xx.h header with register definitions is taken from Linux 4.15.10
> only fixing white space errors, removing not needed parts and changing
> formatting for QEMU coding style.
> 
> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
> ---
>  hw/pci-host/Kconfig           |   4 +
>  hw/pci-host/meson.build       |   2 +
>  hw/pci-host/mv64361.c         | 966 ++++++++++++++++++++++++++++++++++
>  hw/pci-host/mv643xx.h         | 918 ++++++++++++++++++++++++++++++++
>  hw/pci-host/trace-events      |   6 +
>  include/hw/pci-host/mv64361.h |   8 +
>  include/hw/pci/pci_ids.h      |   1 +
>  7 files changed, 1905 insertions(+)
>  create mode 100644 hw/pci-host/mv64361.c
>  create mode 100644 hw/pci-host/mv643xx.h
>  create mode 100644 include/hw/pci-host/mv64361.h

Adding yourself to MAINTAINERS for this would be good.

> 
> diff --git a/hw/pci-host/Kconfig b/hw/pci-host/Kconfig
> index 2ccc96f02c..79c20bf28b 100644
> --- a/hw/pci-host/Kconfig
> +++ b/hw/pci-host/Kconfig
> @@ -72,3 +72,7 @@ config REMOTE_PCIHOST
>  config SH_PCI
>      bool
>      select PCI
> +
> +config MV64361
> +    bool
> +    select PCI
> diff --git a/hw/pci-host/meson.build b/hw/pci-host/meson.build
> index 87a896973e..34b3538beb 100644
> --- a/hw/pci-host/meson.build
> +++ b/hw/pci-host/meson.build
> @@ -19,6 +19,8 @@ pci_ss.add(when: 'CONFIG_GRACKLE_PCI', if_true: files('grackle.c'))
>  pci_ss.add(when: 'CONFIG_UNIN_PCI', if_true: files('uninorth.c'))
>  # PowerPC E500 boards
>  pci_ss.add(when: 'CONFIG_PPCE500_PCI', if_true: files('ppce500.c'))
> +# Pegasos2
> +pci_ss.add(when: 'CONFIG_MV64361', if_true: files('mv64361.c'))
>  
>  # ARM devices
>  pci_ss.add(when: 'CONFIG_VERSATILE_PCI', if_true: files('versatile.c'))
> diff --git a/hw/pci-host/mv64361.c b/hw/pci-host/mv64361.c
> new file mode 100644
> index 0000000000..d71402f8b5
> --- /dev/null
> +++ b/hw/pci-host/mv64361.c
> @@ -0,0 +1,966 @@
> +/*
> + * Marvell Discovery II MV64361 System Controller for
> + * QEMU PowerPC CHRP (Genesi/bPlan Pegasos II) hardware System Emulator
> + *
> + * Copyright (c) 2018-2020 BALATON Zoltan
> + *
> + * This work is licensed under the GNU GPL license version 2 or later.
> + *
> + */
> +
> +#include "qemu/osdep.h"
> +#include "qemu-common.h"
> +#include "qemu/units.h"
> +#include "qapi/error.h"
> +#include "hw/hw.h"
> +#include "hw/sysbus.h"
> +#include "hw/pci/pci.h"
> +#include "hw/pci/pci_host.h"
> +#include "hw/irq.h"
> +#include "hw/intc/i8259.h"
> +#include "hw/qdev-properties.h"
> +#include "exec/address-spaces.h"
> +#include "qemu/log.h"
> +#include "qemu/error-report.h"
> +#include "trace.h"
> +#include "hw/pci-host/mv64361.h"
> +#include "mv643xx.h"
> +
> +#define TYPE_MV64361_PCI_BRIDGE "mv64361-pcibridge"
> +
> +static void mv64361_pcibridge_class_init(ObjectClass *klass, void *data)
> +{
> +    DeviceClass *dc = DEVICE_CLASS(klass);
> +    PCIDeviceClass *k = PCI_DEVICE_CLASS(klass);
> +
> +    k->vendor_id = PCI_VENDOR_ID_MARVELL;
> +    k->device_id = PCI_DEVICE_ID_MARVELL_MV6436X;
> +    k->class_id = PCI_CLASS_BRIDGE_HOST;
> +    /*
> +     * PCI-facing part of the host bridge,
> +     * not usable without the host-facing part
> +     */
> +    dc->user_creatable = false;
> +}
> +
> +static const TypeInfo mv64361_pcibridge_info = {
> +    .name          = TYPE_MV64361_PCI_BRIDGE,
> +    .parent        = TYPE_PCI_DEVICE,
> +    .instance_size = sizeof(PCIDevice),
> +    .class_init    = mv64361_pcibridge_class_init,
> +    .interfaces = (InterfaceInfo[]) {
> +        { INTERFACE_CONVENTIONAL_PCI_DEVICE },
> +        { },
> +    },
> +};
> +
> +
> +#define TYPE_MV64361_PCI "mv64361-pcihost"
> +OBJECT_DECLARE_SIMPLE_TYPE(MV64361PCIState, MV64361_PCI)
> +
> +struct MV64361PCIState {
> +    PCIHostState parent_obj;
> +
> +    uint8_t index;
> +    MemoryRegion io;
> +    MemoryRegion mem;
> +    qemu_irq irq[PCI_NUM_PINS];
> +
> +    uint32_t io_base;
> +    uint32_t io_size;
> +    uint32_t mem_base[4];
> +    uint32_t mem_size[4];
> +    uint64_t remap[5];
> +};
> +
> +static int mv64361_pcihost_map_irq(PCIDevice *pci_dev, int n)
> +{
> +    return (n + PCI_SLOT(pci_dev->devfn)) % PCI_NUM_PINS;
> +}
> +
> +static void mv64361_pcihost_set_irq(void *opaque, int n, int level)
> +{
> +    MV64361PCIState *s = opaque;
> +    qemu_set_irq(s->irq[n], level);
> +}
> +
> +static void mv64361_pcihost_realize(DeviceState *dev, Error **errp)
> +{
> +    MV64361PCIState *s = MV64361_PCI(dev);
> +    PCIHostState *h = PCI_HOST_BRIDGE(dev);
> +    char *name;
> +
> +    name = g_strdup_printf("pci%d-io", s->index);
> +    memory_region_init(&s->io, OBJECT(dev), name, 0x10000);
> +    g_free(name);
> +    name = g_strdup_printf("pci%d-mem", s->index);
> +    memory_region_init(&s->mem, OBJECT(dev), name, 1ULL << 32);
> +    g_free(name);
> +    name = g_strdup_printf("pci.%d", s->index);
> +    h->bus = pci_register_root_bus(dev, name, mv64361_pcihost_set_irq,
> +                                   mv64361_pcihost_map_irq, dev,
> +                                   &s->mem, &s->io, 0, 4, TYPE_PCI_BUS);
> +    g_free(name);
> +    pci_create_simple(h->bus, 0, TYPE_MV64361_PCI_BRIDGE);
> +}
> +
> +static Property mv64361_pcihost_props[] = {
> +    DEFINE_PROP_UINT8("index", MV64361PCIState, index, 0),
> +    DEFINE_PROP_END_OF_LIST()
> +};
> +
> +static void mv64361_pcihost_class_init(ObjectClass *klass, void *data)
> +{
> +    DeviceClass *dc = DEVICE_CLASS(klass);
> +
> +    dc->realize = mv64361_pcihost_realize;
> +    device_class_set_props(dc, mv64361_pcihost_props);
> +    set_bit(DEVICE_CATEGORY_BRIDGE, dc->categories);
> +}
> +
> +static const TypeInfo mv64361_pcihost_info = {
> +       .name          = TYPE_MV64361_PCI,
> +       .parent        = TYPE_PCI_HOST_BRIDGE,
> +       .instance_size = sizeof(MV64361PCIState),
> +       .class_init    = mv64361_pcihost_class_init,
> +};
> +
> +static void mv64361_pci_register_types(void)
> +{
> +   type_register_static(&mv64361_pcihost_info);
> +   type_register_static(&mv64361_pcibridge_info);
> +}
> +
> +type_init(mv64361_pci_register_types)
> +
> +
> +OBJECT_DECLARE_SIMPLE_TYPE(MV64361State, MV64361)
> +
> +struct MV64361State {
> +    SysBusDevice parent_obj;
> +
> +    MemoryRegion regs;
> +    MV64361PCIState pci[2];
> +    MemoryRegion cpu_win[19];
> +    qemu_irq cpu_irq;
> +
> +    /* registers state */
> +    uint32_t cpu_conf;
> +    uint32_t regs_base;
> +    uint32_t base_addr_enable;
> +    uint64_t main_int_cr;
> +    uint64_t cpu0_int_mask;
> +    uint32_t gpp_io;
> +    uint32_t gpp_level;
> +    uint32_t gpp_value;
> +    uint32_t gpp_int_cr;
> +    uint32_t gpp_int_mask;
> +    bool gpp_int_level;
> +};
> +
> +enum mv64361_irq_cause {
> +    MV64361_IRQ_DEVERR = 1,

Since the exact values of each of these matters, I'd prefer to see
explicit values attached to all of them.

> +    MV64361_IRQ_DMAERR,
> +    MV64361_IRQ_CPUERR,
> +    MV64361_IRQ_IDMA0,
> +    MV64361_IRQ_IDMA1,
> +    MV64361_IRQ_IDMA2,
> +    MV64361_IRQ_IDMA3,
> +    MV64361_IRQ_TIMER0,
> +    MV64361_IRQ_TIMER1,
> +    MV64361_IRQ_TIMER2,
> +    MV64361_IRQ_TIMER3,
> +    MV64361_IRQ_PCI0,
> +    MV64361_IRQ_SRAMERR,
> +    MV64361_IRQ_GBEERR,
> +    MV64361_IRQ_CERR,
> +    MV64361_IRQ_PCI1,
> +    MV64361_IRQ_DRAMERR,
> +    MV64361_IRQ_WDNMI,
> +    MV64361_IRQ_WDE,
> +    MV64361_IRQ_PCI0IN,
> +    MV64361_IRQ_PCI0OUT,
> +    MV64361_IRQ_PCI1IN,
> +    MV64361_IRQ_PCI1OUT,
> +    MV64361_IRQ_P1_GPP0_7,
> +    MV64361_IRQ_P1_GPP8_15,
> +    MV64361_IRQ_P1_GPP16_23,
> +    MV64361_IRQ_P1_GPP24_31,
> +    MV64361_IRQ_P1_CPU_DB,
> +    /* 29-31: reserved */
> +    MV64361_IRQ_GBE0 = 32,
> +    MV64361_IRQ_GBE1,
> +    MV64361_IRQ_GBE2,
> +    /* 35: reserved */
> +    MV64361_IRQ_SDMA0 = 36,
> +    MV64361_IRQ_TWSI,
> +    MV64361_IRQ_SDMA1,
> +    MV64361_IRQ_BRG,
> +    MV64361_IRQ_MPSC0,
> +    MV64361_IRQ_MPSC1,
> +    MV64361_IRQ_G0RX,
> +    MV64361_IRQ_G0TX,
> +    MV64361_IRQ_G0MISC,
> +    MV64361_IRQ_G1RX,
> +    MV64361_IRQ_G1TX,
> +    MV64361_IRQ_G1MISC,
> +    MV64361_IRQ_G2RX,
> +    MV64361_IRQ_G2TX,
> +    MV64361_IRQ_G2MISC,
> +    /* 51-55: reserved */
> +    MV64361_IRQ_P0_GPP0_7 = 56,
> +    MV64361_IRQ_P0_GPP8_15,
> +    MV64361_IRQ_P0_GPP16_23,
> +    MV64361_IRQ_P0_GPP24_31,
> +    MV64361_IRQ_P0_CPU_DB,
> +    /* 61-63: reserved */
> +};
> +
> +PCIBus *mv64361_get_pci_bus(DeviceState *dev, int n)
> +{
> +    MV64361State *mv = MV64361(dev);
> +    return PCI_HOST_BRIDGE(&mv->pci[n])->bus;
> +}
> +
> +static void unmap_region(MemoryRegion *mr)
> +{
> +    if (memory_region_is_mapped(mr)) {
> +        memory_region_del_subregion(get_system_memory(), mr);
> +        object_unparent(OBJECT(mr));
> +    }
> +}
> +
> +static void map_pci_region(MemoryRegion *mr, MemoryRegion *parent,
> +                           struct Object *owner, const char *name,
> +                           hwaddr poffs, uint64_t size, hwaddr moffs)
> +{
> +    memory_region_init_alias(mr, owner, name, parent, poffs, size);
> +    memory_region_add_subregion(get_system_memory(), moffs, mr);
> +    trace_mv64361_region_map(name, poffs, size, moffs);
> +}
> +
> +static void setup_mem_windows(MV64361State *s, uint32_t val)
> +{
> +    MV64361PCIState *p;
> +    MemoryRegion *mr;
> +    uint32_t mask;
> +    int i;
> +
> +    val &= 0x1fffff;
> +    for (mask = 1, i = 0; i < 21; i++, mask <<= 1) {

Having a loop, where nearly the entire body is a switch over the loop
variable seems a rather odd choice to me, compared to just unrolling
the whole thing.  Or alternatively, maybe more can be be factored out
of the switch into common body code.

> +        if ((val & mask) != (s->base_addr_enable & mask)) {
> +            trace_mv64361_region_enable(!(val & mask) ? "enable" : "disable", i);
> +            switch (i) {
> +            /*
> +             * 0-3 are SDRAM chip selects but we map all RAM directly
> +             * 4-7 are device chip selects (not sure what those are)
> +             * 8 is Boot device (ROM) chip select but we map that directly too
> +             */
> +            case 9:
> +                p = &s->pci[0];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->io, OBJECT(s), "pci0-io-win",
> +                                   p->remap[4], (p->io_size + 1) << 16,
> +                                   (p->io_base & 0xfffff) << 16);
> +                }
> +                break;
> +            case 10:
> +                p = &s->pci[0];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem0-win",
> +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
> +                                   (p->mem_base[0] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 11:
> +                p = &s->pci[0];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem1-win",
> +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
> +                                   (p->mem_base[1] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 12:
> +                p = &s->pci[0];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem2-win",
> +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
> +                                   (p->mem_base[2] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 13:
> +                p = &s->pci[0];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem3-win",
> +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
> +                                   (p->mem_base[3] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 14:
> +                p = &s->pci[1];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->io, OBJECT(s), "pci1-io-win",
> +                                   p->remap[4], (p->io_size + 1) << 16,
> +                                   (p->io_base & 0xfffff) << 16);
> +                }
> +                break;
> +            case 15:
> +                p = &s->pci[1];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem0-win",
> +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
> +                                   (p->mem_base[0] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 16:
> +                p = &s->pci[1];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem1-win",
> +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
> +                                   (p->mem_base[1] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 17:
> +                p = &s->pci[1];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem2-win",
> +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
> +                                   (p->mem_base[2] & 0xfffff) << 16);
> +                }
> +                break;
> +            case 18:
> +                p = &s->pci[1];
> +                mr = &s->cpu_win[i];
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem3-win",
> +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
> +                                   (p->mem_base[3] & 0xfffff) << 16);
> +                }
> +                break;
> +            /* 19 is integrated SRAM */
> +            case 20:
> +                mr = &s->regs;
> +                unmap_region(mr);
> +                if (!(val & mask)) {
> +                    memory_region_add_subregion(get_system_memory(),
> +                        (s->regs_base & 0xfffff) << 16, mr);
> +                }
> +                break;
> +            }
> +        }
> +    }
> +}
> +
> +static void mv64361_update_irq(void *opaque, int n, int level)
> +{
> +    MV64361State *s = opaque;
> +    uint64_t val = s->main_int_cr;
> +
> +    if (level) {
> +        val |= BIT_ULL(n);
> +    } else {
> +        val &= ~BIT_ULL(n);
> +    }
> +    if ((s->main_int_cr & s->cpu0_int_mask) != (val & s->cpu0_int_mask)) {
> +        qemu_set_irq(s->cpu_irq, level);
> +    }
> +    s->main_int_cr = val;
> +}
> +
> +static uint64_t mv64361_read(void *opaque, hwaddr addr, unsigned int size)
> +{
> +    MV64361State *s = MV64361(opaque);
> +    uint32_t ret = 0;
> +
> +    switch (addr) {
> +    case MV64340_CPU_CONFIG:
> +        ret = s->cpu_conf;
> +        break;
> +    case MV64340_PCI_0_IO_BASE_ADDR:
> +        ret = s->pci[0].io_base;

Reaching into the PCI internal state here doesn't seem great, although
I'll admit I can't quickly see another way to do it that wouldn't be
horribly verbose.

> +        break;
> +    case MV64340_PCI_0_IO_SIZE:
> +        ret = s->pci[0].io_size;
> +        break;
> +    case MV64340_PCI_0_IO_ADDR_REMAP:
> +        ret = s->pci[0].remap[4] >> 16;
> +        break;
> +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
> +        ret = s->pci[0].mem_base[0];
> +        break;
> +    case MV64340_PCI_0_MEMORY0_SIZE:
> +        ret = s->pci[0].mem_size[0];
> +        break;
> +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
> +        ret = (s->pci[0].remap[0] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
> +        ret = s->pci[0].remap[0] >> 32;
> +        break;
> +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
> +        ret = s->pci[0].mem_base[1];
> +        break;
> +    case MV64340_PCI_0_MEMORY1_SIZE:
> +        ret = s->pci[0].mem_size[1];
> +        break;
> +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
> +        ret = (s->pci[0].remap[1] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
> +        ret = s->pci[0].remap[1] >> 32;
> +        break;
> +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
> +        ret = s->pci[0].mem_base[2];
> +        break;
> +    case MV64340_PCI_0_MEMORY2_SIZE:
> +        ret = s->pci[0].mem_size[2];
> +        break;
> +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
> +        ret = (s->pci[0].remap[2] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
> +        ret = s->pci[0].remap[2] >> 32;
> +        break;
> +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
> +        ret = s->pci[0].mem_base[3];
> +        break;
> +    case MV64340_PCI_0_MEMORY3_SIZE:
> +        ret = s->pci[0].mem_size[3];
> +        break;
> +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
> +        ret = (s->pci[0].remap[3] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
> +        ret = s->pci[0].remap[3] >> 32;
> +        break;
> +    case MV64340_PCI_1_IO_BASE_ADDR:
> +        ret = s->pci[1].io_base;
> +        break;
> +    case MV64340_PCI_1_IO_SIZE:
> +        ret = s->pci[1].io_size;
> +        break;
> +    case MV64340_PCI_1_IO_ADDR_REMAP:
> +        ret = s->pci[1].remap[4] >> 16;
> +        break;
> +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
> +        ret = s->pci[1].mem_base[0];
> +        break;
> +    case MV64340_PCI_1_MEMORY0_SIZE:
> +        ret = s->pci[1].mem_size[0];
> +        break;
> +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
> +        ret = (s->pci[1].remap[0] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
> +        ret = s->pci[1].remap[0] >> 32;
> +        break;
> +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
> +        ret = s->pci[1].mem_base[1];
> +        break;
> +    case MV64340_PCI_1_MEMORY1_SIZE:
> +        ret = s->pci[1].mem_size[1];
> +        break;
> +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
> +        ret = (s->pci[1].remap[1] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
> +        ret = s->pci[1].remap[1] >> 32;
> +        break;
> +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
> +        ret = s->pci[1].mem_base[2];
> +        break;
> +    case MV64340_PCI_1_MEMORY2_SIZE:
> +        ret = s->pci[1].mem_size[2];
> +        break;
> +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
> +        ret = (s->pci[1].remap[2] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
> +        ret = s->pci[1].remap[2] >> 32;
> +        break;
> +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
> +        ret = s->pci[1].mem_base[3];
> +        break;
> +    case MV64340_PCI_1_MEMORY3_SIZE:
> +        ret = s->pci[1].mem_size[3];
> +        break;
> +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
> +        ret = (s->pci[1].remap[3] & 0xffff0000) >> 16;
> +        break;
> +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
> +        ret = s->pci[1].remap[3] >> 32;
> +        break;
> +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
> +        ret = s->regs_base;
> +        break;
> +    case MV64340_BASE_ADDR_ENABLE:
> +        ret = s->base_addr_enable;
> +        break;
> +    case MV64340_PCI_0_CONFIG_ADDR:
> +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]), 0, size);
> +        break;
> +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
> +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
> +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]),
> +                  addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, size);
> +        break;
> +    case MV64340_PCI_1_CONFIG_ADDR:
> +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]), 0, size);
> +        break;
> +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
> +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
> +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]),
> +                  addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, size);
> +        break;
> +    case MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG:
> +        /* FIXME: Should this be sent via the PCI bus somehow? */
> +        if (s->gpp_int_level && (s->gpp_value & BIT(31))) {
> +            ret = pic_read_irq(isa_pic);
> +        }
> +        break;
> +    case MV64340_MAIN_INTERRUPT_CAUSE_LOW:
> +        ret = s->main_int_cr;
> +        break;
> +    case MV64340_MAIN_INTERRUPT_CAUSE_HIGH:
> +        ret = s->main_int_cr >> 32;
> +        break;
> +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
> +        ret = s->cpu0_int_mask;
> +        break;
> +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
> +        ret = s->cpu0_int_mask >> 32;
> +        break;
> +    case MV64340_CPU_INTERRUPT0_SELECT_CAUSE:
> +        ret = s->main_int_cr;
> +        if (s->main_int_cr & s->cpu0_int_mask) {
> +            if (!(s->main_int_cr & s->cpu0_int_mask & 0xffffffff)) {
> +                ret = s->main_int_cr >> 32 | BIT(30);
> +            } else if ((s->main_int_cr & s->cpu0_int_mask) >> 32) {
> +                ret |= BIT(31);
> +            }
> +        }
> +        break;
> +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
> +        ret = 0x11ff0000 | (s->gpp_int_level << 10);
> +        break;
> +    case MV64340_GPP_IO_CONTROL:
> +        ret = s->gpp_io;
> +        break;
> +    case MV64340_GPP_LEVEL_CONTROL:
> +        ret = s->gpp_level;
> +        break;
> +    case MV64340_GPP_VALUE:
> +        ret = s->gpp_value;
> +        break;
> +    case MV64340_GPP_VALUE_SET:
> +    case MV64340_GPP_VALUE_CLEAR:
> +        ret = 0;
> +        break;
> +    case MV64340_GPP_INTERRUPT_CAUSE:
> +        ret = s->gpp_int_cr;
> +        break;
> +    case MV64340_GPP_INTERRUPT_MASK0:
> +    case MV64340_GPP_INTERRUPT_MASK1:
> +        ret = s->gpp_int_mask;
> +        break;
> +    default:
> +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register read 0x%"
> +                      HWADDR_PRIx "\n", __func__, addr);
> +        break;
> +    }
> +    if (addr != MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG) {
> +        trace_mv64361_reg_read(addr, ret);
> +    }
> +    return ret;
> +}
> +
> +static void warn_swap_bit(uint64_t val)
> +{
> +    if ((val & 0x3000000ULL) >> 24 != 1) {
> +        qemu_log_mask(LOG_UNIMP, "%s: Data swap not implemented", __func__);
> +    }
> +}
> +
> +static void mv64361_set_pci_mem_remap(MV64361State *s, int bus, int idx,
> +                                      uint64_t val, bool high)
> +{
> +    if (high) {
> +        s->pci[bus].remap[idx] = val;
> +    } else {
> +        s->pci[bus].remap[idx] &= 0xffffffff00000000ULL;
> +        s->pci[bus].remap[idx] |= (val & 0xffffULL) << 16;
> +    }
> +}
> +
> +static void mv64361_write(void *opaque, hwaddr addr, uint64_t val,
> +                          unsigned int size)
> +{
> +    MV64361State *s = MV64361(opaque);
> +
> +    trace_mv64361_reg_write(addr, val);
> +    switch (addr) {
> +    case MV64340_CPU_CONFIG:
> +        s->cpu_conf = val & 0xe4e3bffULL;
> +        s->cpu_conf |= BIT(23);
> +        break;
> +    case MV64340_PCI_0_IO_BASE_ADDR:
> +        s->pci[0].io_base = val & 0x30fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            s->pci[0].remap[4] = (val & 0xffffULL) << 16;
> +        }
> +        break;
> +    case MV64340_PCI_0_IO_SIZE:
> +        s->pci[0].io_size = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_0_IO_ADDR_REMAP:
> +        s->pci[0].remap[4] = (val & 0xffffULL) << 16;
> +        break;
> +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
> +        s->pci[0].mem_base[0] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 0, 0, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_0_MEMORY0_SIZE:
> +        s->pci[0].mem_size[0] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
> +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 0, 0, val,
> +            (addr == MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
> +        s->pci[0].mem_base[1] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 0, 1, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_0_MEMORY1_SIZE:
> +        s->pci[0].mem_size[1] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
> +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 0, 1, val,
> +            (addr == MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
> +        s->pci[0].mem_base[2] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 0, 2, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_0_MEMORY2_SIZE:
> +        s->pci[0].mem_size[2] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
> +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 0, 2, val,
> +            (addr == MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
> +        s->pci[0].mem_base[3] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 0, 3, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_0_MEMORY3_SIZE:
> +        s->pci[0].mem_size[3] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
> +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 0, 3, val,
> +            (addr == MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_1_IO_BASE_ADDR:
> +        s->pci[1].io_base = val & 0x30fffffULL;
> +        warn_swap_bit(val);
> +        break;
> +        if (!(s->cpu_conf & BIT(27))) {
> +            s->pci[1].remap[4] = (val & 0xffffULL) << 16;
> +        }
> +        break;
> +    case MV64340_PCI_1_IO_SIZE:
> +        s->pci[1].io_size = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
> +        s->pci[1].mem_base[0] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 1, 0, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_1_MEMORY0_SIZE:
> +        s->pci[1].mem_size[0] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
> +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 1, 0, val,
> +            (addr == MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
> +        s->pci[1].mem_base[1] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 1, 1, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_1_MEMORY1_SIZE:
> +        s->pci[1].mem_size[1] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
> +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 1, 1, val,
> +            (addr == MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
> +        s->pci[1].mem_base[2] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 1, 2, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_1_MEMORY2_SIZE:
> +        s->pci[1].mem_size[2] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
> +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 1, 2, val,
> +            (addr == MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
> +        s->pci[1].mem_base[3] = val & 0x70fffffULL;
> +        warn_swap_bit(val);
> +        if (!(s->cpu_conf & BIT(27))) {
> +            mv64361_set_pci_mem_remap(s, 1, 3, val, false);
> +        }
> +        break;
> +    case MV64340_PCI_1_MEMORY3_SIZE:
> +        s->pci[1].mem_size[3] = val & 0xffffULL;
> +        break;
> +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
> +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
> +        mv64361_set_pci_mem_remap(s, 1, 3, val,
> +            (addr == MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP));
> +        break;
> +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
> +        s->regs_base = val & 0xfffffULL;
> +        break;
> +    case MV64340_BASE_ADDR_ENABLE:
> +        setup_mem_windows(s, val);
> +        s->base_addr_enable = val & 0x1fffffULL;

AFAICT every call to setup_mem_windows() is followed by an update to
base_addr_enable, so it probably makes sense to fold that update into
setup_mem_windows().

> +        break;
> +    case MV64340_PCI_0_CONFIG_ADDR:
> +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]), 0, val, size);
> +        break;
> +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
> +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
> +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]),
> +            addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, val, size);
> +        break;
> +    case MV64340_PCI_1_CONFIG_ADDR:
> +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]), 0, val, size);
> +        break;
> +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
> +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
> +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]),
> +            addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, val, size);
> +        break;
> +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
> +        s->cpu0_int_mask &= 0xffffffff00000000ULL;
> +        s->cpu0_int_mask |= val & 0xffffffffULL;
> +        break;
> +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
> +        s->cpu0_int_mask &= 0xffffffffULL;
> +        s->cpu0_int_mask |= val << 32;
> +        break;
> +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
> +        s->gpp_int_level = !!(val & BIT(10));
> +        break;
> +    case MV64340_GPP_IO_CONTROL:
> +        s->gpp_io = val;
> +        break;
> +    case MV64340_GPP_LEVEL_CONTROL:
> +        s->gpp_level = val;
> +        break;
> +    case MV64340_GPP_VALUE:
> +        s->gpp_value &= ~s->gpp_io;
> +        s->gpp_value |= val & s->gpp_io;
> +        break;
> +    case MV64340_GPP_VALUE_SET:
> +        s->gpp_value |= val & s->gpp_io;
> +        break;
> +    case MV64340_GPP_VALUE_CLEAR:
> +        s->gpp_value &= ~(val & s->gpp_io);
> +        break;
> +    case MV64340_GPP_INTERRUPT_CAUSE:
> +        if (!s->gpp_int_level && val != s->gpp_int_cr) {
> +            int i;
> +            uint32_t ch = s->gpp_int_cr ^ val;
> +            s->gpp_int_cr = val;
> +            for (i = 0; i < 4; i++) {
> +                if ((ch & 0xff << i) && !(val & 0xff << i)) {
> +                    mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + i, 0);
> +                }
> +            }
> +        } else {
> +            s->gpp_int_cr = val;
> +        }
> +        break;
> +    case MV64340_GPP_INTERRUPT_MASK0:
> +    case MV64340_GPP_INTERRUPT_MASK1:
> +        s->gpp_int_mask = val;
> +        break;
> +    default:
> +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register write 0x%"
> +                      HWADDR_PRIx " = %"PRIx64"\n", __func__, addr, val);
> +        break;
> +    }
> +}
> +
> +static const MemoryRegionOps mv64361_ops = {
> +    .read = mv64361_read,
> +    .write = mv64361_write,
> +    .valid.min_access_size = 1,
> +    .valid.max_access_size = 4,
> +    .endianness = DEVICE_LITTLE_ENDIAN,
> +};
> +
> +static void mv64361_gpp_irq(void *opaque, int n, int level)
> +{
> +    MV64361State *s = opaque;
> +    uint32_t mask = BIT(n);
> +    uint32_t val = s->gpp_value & ~mask;
> +
> +    if (s->gpp_level & mask) {
> +        level = !level;
> +    }
> +    val |= level << n;
> +    if (val > s->gpp_value) {
> +        s->gpp_value = val;
> +        s->gpp_int_cr |= mask;
> +        if (s->gpp_int_mask & mask) {
> +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + n / 8, 1);
> +        }
> +    } else if (val < s->gpp_value) {
> +        int b = n / 8;
> +        s->gpp_value = val;
> +        if (s->gpp_int_level && !(val & 0xff << b)) {
> +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + b, 0);
> +        }
> +    }
> +}
> +
> +static void mv64361_realize(DeviceState *dev, Error **errp)
> +{
> +    MV64361State *s = MV64361(dev);
> +    int i;
> +
> +    s->base_addr_enable = 0x1fffff;
> +    memory_region_init_io(&s->regs, OBJECT(s), &mv64361_ops, s,
> +                          TYPE_MV64361, 0x10000);
> +    for (i = 0; i < 2; i++) {
> +        char *name = g_strdup_printf("pcihost%d", i);
> +        object_initialize_child(OBJECT(dev), name, &s->pci[i],
> +                                TYPE_MV64361_PCI);
> +        g_free(name);

You can avoid some of these awkward free()s using a g_autofree
annotation.

> +        DeviceState *pci = DEVICE(&s->pci[i]);
> +        qdev_prop_set_uint8(pci, "index", i);
> +        sysbus_realize_and_unref(SYS_BUS_DEVICE(pci), &error_fatal);
> +    }
> +    sysbus_init_irq(SYS_BUS_DEVICE(dev), &s->cpu_irq);
> +    qdev_init_gpio_in_named(dev, mv64361_gpp_irq, "gpp", 32);
> +    /* FIXME: PCI IRQ connections may be board specific */
> +    for (i = 0; i < PCI_NUM_PINS; i++) {
> +        s->pci[1].irq[i] = qdev_get_gpio_in_named(dev, "gpp", 12 + i);
> +    }
> +}
> +
> +static void mv64361_reset(DeviceState *dev)
> +{
> +    MV64361State *s = MV64361(dev);
> +    int i, j;
> +
> +    /*
> +     * These values may be board specific
> +     * Real chip supports init from an eprom but that's not modelled
> +     */
> +    setup_mem_windows(s, 0x1fffff);
> +    s->base_addr_enable = 0x1fffff;
> +    s->cpu_conf = 0x28000ff;
> +    s->regs_base = 0x100f100;
> +    s->pci[0].io_base = 0x100f800;
> +    s->pci[0].io_size = 0xff;
> +    s->pci[0].mem_base[0] = 0x100c000;
> +    s->pci[0].mem_size[0] = 0x1fff;
> +    s->pci[0].mem_base[1] = 0x100f900;
> +    s->pci[0].mem_size[1] = 0xff;
> +    s->pci[0].mem_base[2] = 0x100f400;
> +    s->pci[0].mem_size[2] = 0x1ff;
> +    s->pci[0].mem_base[3] = 0x100f600;
> +    s->pci[0].mem_size[3] = 0x1ff;
> +    s->pci[1].io_base = 0x100fe00;
> +    s->pci[1].io_size = 0xff;
> +    s->pci[1].mem_base[0] = 0x1008000;
> +    s->pci[1].mem_size[0] = 0x3fff;
> +    s->pci[1].mem_base[1] = 0x100fd00;
> +    s->pci[1].mem_size[1] = 0xff;
> +    s->pci[1].mem_base[2] = 0x1002600;
> +    s->pci[1].mem_size[2] = 0x1ff;
> +    s->pci[1].mem_base[3] = 0x100ff80;
> +    s->pci[1].mem_size[3] = 0x7f;
> +    for (i = 0; i < 2; i++) {
> +        for (j = 0; j < 4; j++) {
> +            s->pci[i].remap[j] = s->pci[i].mem_base[j] << 16;
> +        }
> +    }
> +    s->pci[0].remap[1] = 0;
> +    s->pci[1].remap[1] = 0;
> +    setup_mem_windows(s, 0xfbfff);
> +    s->base_addr_enable = 0xfbfff;
> +}
> +
> +static void mv64361_class_init(ObjectClass *klass, void *data)
> +{
> +    DeviceClass *dc = DEVICE_CLASS(klass);
> +
> +    dc->realize = mv64361_realize;
> +    dc->reset = mv64361_reset;
> +}
> +
> +static const TypeInfo mv64361_type_info = {
> +    .name = TYPE_MV64361,
> +    .parent = TYPE_SYS_BUS_DEVICE,
> +    .instance_size = sizeof(MV64361State),
> +    .class_init = mv64361_class_init,
> +};
> +
> +static void mv64361_register_types(void)
> +{
> +    type_register_static(&mv64361_type_info);
> +}
> +
> +type_init(mv64361_register_types)
> diff --git a/hw/pci-host/mv643xx.h b/hw/pci-host/mv643xx.h
> new file mode 100644
> index 0000000000..cd26a43f18
> --- /dev/null
> +++ b/hw/pci-host/mv643xx.h
> @@ -0,0 +1,918 @@
> +/*
> + * mv643xx.h - MV-643XX Internal registers definition file.
> + *
> + * Copyright 2002 Momentum Computer, Inc.
> + *      Author: Matthew Dharm <mdharm@momenco.com>
> + * Copyright 2002 GALILEO TECHNOLOGY, LTD.
> + *
> + * This program is free software; you can redistribute  it and/or modify it
> + * under  the terms of  the GNU General  Public License as published by the
> + * Free Software Foundation;  either version 2 of the  License, or (at your
> + * option) any later version.
> + */
> +#ifndef ASM_MV643XX_H
> +#define ASM_MV643XX_H
> +
> +/****************************************/
> +/* Processor Address Space              */
> +/****************************************/
> +
> +/* DDR SDRAM BAR and size registers */
> +
> +#define MV64340_CS_0_BASE_ADDR                                      0x008
> +#define MV64340_CS_0_SIZE                                           0x010
> +#define MV64340_CS_1_BASE_ADDR                                      0x208
> +#define MV64340_CS_1_SIZE                                           0x210
> +#define MV64340_CS_2_BASE_ADDR                                      0x018
> +#define MV64340_CS_2_SIZE                                           0x020
> +#define MV64340_CS_3_BASE_ADDR                                      0x218
> +#define MV64340_CS_3_SIZE                                           0x220
> +
> +/* Devices BAR and size registers */
> +
> +#define MV64340_DEV_CS0_BASE_ADDR                                   0x028
> +#define MV64340_DEV_CS0_SIZE                                        0x030
> +#define MV64340_DEV_CS1_BASE_ADDR                                   0x228
> +#define MV64340_DEV_CS1_SIZE                                        0x230
> +#define MV64340_DEV_CS2_BASE_ADDR                                   0x248
> +#define MV64340_DEV_CS2_SIZE                                        0x250
> +#define MV64340_DEV_CS3_BASE_ADDR                                   0x038
> +#define MV64340_DEV_CS3_SIZE                                        0x040
> +#define MV64340_BOOTCS_BASE_ADDR                                    0x238
> +#define MV64340_BOOTCS_SIZE                                         0x240
> +
> +/* PCI 0 BAR and size registers */
> +
> +#define MV64340_PCI_0_IO_BASE_ADDR                                  0x048
> +#define MV64340_PCI_0_IO_SIZE                                       0x050
> +#define MV64340_PCI_0_MEMORY0_BASE_ADDR                             0x058
> +#define MV64340_PCI_0_MEMORY0_SIZE                                  0x060
> +#define MV64340_PCI_0_MEMORY1_BASE_ADDR                             0x080
> +#define MV64340_PCI_0_MEMORY1_SIZE                                  0x088
> +#define MV64340_PCI_0_MEMORY2_BASE_ADDR                             0x258
> +#define MV64340_PCI_0_MEMORY2_SIZE                                  0x260
> +#define MV64340_PCI_0_MEMORY3_BASE_ADDR                             0x280
> +#define MV64340_PCI_0_MEMORY3_SIZE                                  0x288
> +
> +/* PCI 1 BAR and size registers */
> +#define MV64340_PCI_1_IO_BASE_ADDR                                  0x090
> +#define MV64340_PCI_1_IO_SIZE                                       0x098
> +#define MV64340_PCI_1_MEMORY0_BASE_ADDR                             0x0a0
> +#define MV64340_PCI_1_MEMORY0_SIZE                                  0x0a8
> +#define MV64340_PCI_1_MEMORY1_BASE_ADDR                             0x0b0
> +#define MV64340_PCI_1_MEMORY1_SIZE                                  0x0b8
> +#define MV64340_PCI_1_MEMORY2_BASE_ADDR                             0x2a0
> +#define MV64340_PCI_1_MEMORY2_SIZE                                  0x2a8
> +#define MV64340_PCI_1_MEMORY3_BASE_ADDR                             0x2b0
> +#define MV64340_PCI_1_MEMORY3_SIZE                                  0x2b8
> +
> +/* SRAM base address */
> +#define MV64340_INTEGRATED_SRAM_BASE_ADDR                           0x268
> +
> +/* internal registers space base address */
> +#define MV64340_INTERNAL_SPACE_BASE_ADDR                            0x068
> +
> +/* Enables the CS , DEV_CS , PCI 0 and PCI 1 windows above */
> +#define MV64340_BASE_ADDR_ENABLE                                    0x278
> +
> +/****************************************/
> +/* PCI remap registers                  */
> +/****************************************/
> +
> +      /* PCI 0 */
> +#define MV64340_PCI_0_IO_ADDR_REMAP                                 0x0f0
> +#define MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP                        0x0f8
> +#define MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP                       0x320
> +#define MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP                        0x100
> +#define MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP                       0x328
> +#define MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP                        0x2f8
> +#define MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP                       0x330
> +#define MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP                        0x300
> +#define MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP                       0x338
> +      /* PCI 1 */
> +#define MV64340_PCI_1_IO_ADDR_REMAP                                 0x108
> +#define MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP                        0x110
> +#define MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP                       0x340
> +#define MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP                        0x118
> +#define MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP                       0x348
> +#define MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP                        0x310
> +#define MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP                       0x350
> +#define MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP                        0x318
> +#define MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP                       0x358
> +
> +#define MV64340_CPU_PCI_0_HEADERS_RETARGET_CONTROL                  0x3b0
> +#define MV64340_CPU_PCI_0_HEADERS_RETARGET_BASE                     0x3b8
> +#define MV64340_CPU_PCI_1_HEADERS_RETARGET_CONTROL                  0x3c0
> +#define MV64340_CPU_PCI_1_HEADERS_RETARGET_BASE                     0x3c8
> +#define MV64340_CPU_GE_HEADERS_RETARGET_CONTROL                     0x3d0
> +#define MV64340_CPU_GE_HEADERS_RETARGET_BASE                        0x3d8
> +#define MV64340_CPU_IDMA_HEADERS_RETARGET_CONTROL                   0x3e0
> +#define MV64340_CPU_IDMA_HEADERS_RETARGET_BASE                      0x3e8
> +
> +/****************************************/
> +/*         CPU Control Registers        */
> +/****************************************/
> +
> +#define MV64340_CPU_CONFIG                                          0x000
> +#define MV64340_CPU_MODE                                            0x120
> +#define MV64340_CPU_MASTER_CONTROL                                  0x160
> +#define MV64340_CPU_CROSS_BAR_CONTROL_LOW                           0x150
> +#define MV64340_CPU_CROSS_BAR_CONTROL_HIGH                          0x158
> +#define MV64340_CPU_CROSS_BAR_TIMEOUT                               0x168
> +
> +/****************************************/
> +/* SMP RegisterS                        */
> +/****************************************/
> +
> +#define MV64340_SMP_WHO_AM_I                                        0x200
> +#define MV64340_SMP_CPU0_DOORBELL                                   0x214
> +#define MV64340_SMP_CPU0_DOORBELL_CLEAR                             0x21C
> +#define MV64340_SMP_CPU1_DOORBELL                                   0x224
> +#define MV64340_SMP_CPU1_DOORBELL_CLEAR                             0x22C
> +#define MV64340_SMP_CPU0_DOORBELL_MASK                              0x234
> +#define MV64340_SMP_CPU1_DOORBELL_MASK                              0x23C
> +#define MV64340_SMP_SEMAPHOR0                                       0x244
> +#define MV64340_SMP_SEMAPHOR1                                       0x24c
> +#define MV64340_SMP_SEMAPHOR2                                       0x254
> +#define MV64340_SMP_SEMAPHOR3                                       0x25c
> +#define MV64340_SMP_SEMAPHOR4                                       0x264
> +#define MV64340_SMP_SEMAPHOR5                                       0x26c
> +#define MV64340_SMP_SEMAPHOR6                                       0x274
> +#define MV64340_SMP_SEMAPHOR7                                       0x27c
> +
> +/****************************************/
> +/*  CPU Sync Barrier Register           */
> +/****************************************/
> +
> +#define MV64340_CPU_0_SYNC_BARRIER_TRIGGER                          0x0c0
> +#define MV64340_CPU_0_SYNC_BARRIER_VIRTUAL                          0x0c8
> +#define MV64340_CPU_1_SYNC_BARRIER_TRIGGER                          0x0d0
> +#define MV64340_CPU_1_SYNC_BARRIER_VIRTUAL                          0x0d8
> +
> +/****************************************/
> +/* CPU Access Protect                   */
> +/****************************************/
> +
> +#define MV64340_CPU_PROTECT_WINDOW_0_BASE_ADDR                      0x180
> +#define MV64340_CPU_PROTECT_WINDOW_0_SIZE                           0x188
> +#define MV64340_CPU_PROTECT_WINDOW_1_BASE_ADDR                      0x190
> +#define MV64340_CPU_PROTECT_WINDOW_1_SIZE                           0x198
> +#define MV64340_CPU_PROTECT_WINDOW_2_BASE_ADDR                      0x1a0
> +#define MV64340_CPU_PROTECT_WINDOW_2_SIZE                           0x1a8
> +#define MV64340_CPU_PROTECT_WINDOW_3_BASE_ADDR                      0x1b0
> +#define MV64340_CPU_PROTECT_WINDOW_3_SIZE                           0x1b8
> +
> +
> +/****************************************/
> +/*          CPU Error Report            */
> +/****************************************/
> +
> +#define MV64340_CPU_ERROR_ADDR_LOW                                  0x070
> +#define MV64340_CPU_ERROR_ADDR_HIGH                                 0x078
> +#define MV64340_CPU_ERROR_DATA_LOW                                  0x128
> +#define MV64340_CPU_ERROR_DATA_HIGH                                 0x130
> +#define MV64340_CPU_ERROR_PARITY                                    0x138
> +#define MV64340_CPU_ERROR_CAUSE                                     0x140
> +#define MV64340_CPU_ERROR_MASK                                      0x148
> +
> +/****************************************/
> +/*      CPU Interface Debug Registers   */
> +/****************************************/
> +
> +#define MV64340_PUNIT_SLAVE_DEBUG_LOW                               0x360
> +#define MV64340_PUNIT_SLAVE_DEBUG_HIGH                              0x368
> +#define MV64340_PUNIT_MASTER_DEBUG_LOW                              0x370
> +#define MV64340_PUNIT_MASTER_DEBUG_HIGH                             0x378
> +#define MV64340_PUNIT_MMASK                                         0x3e4
> +
> +/****************************************/
> +/*  Integrated SRAM Registers           */
> +/****************************************/
> +
> +#define MV64340_SRAM_CONFIG                                         0x380
> +#define MV64340_SRAM_TEST_MODE                                      0X3F4
> +#define MV64340_SRAM_ERROR_CAUSE                                    0x388
> +#define MV64340_SRAM_ERROR_ADDR                                     0x390
> +#define MV64340_SRAM_ERROR_ADDR_HIGH                                0X3F8
> +#define MV64340_SRAM_ERROR_DATA_LOW                                 0x398
> +#define MV64340_SRAM_ERROR_DATA_HIGH                                0x3a0
> +#define MV64340_SRAM_ERROR_DATA_PARITY                              0x3a8
> +
> +/****************************************/
> +/* SDRAM Configuration                  */
> +/****************************************/
> +
> +#define MV64340_SDRAM_CONFIG                                        0x1400
> +#define MV64340_D_UNIT_CONTROL_LOW                                  0x1404
> +#define MV64340_D_UNIT_CONTROL_HIGH                                 0x1424
> +#define MV64340_SDRAM_TIMING_CONTROL_LOW                            0x1408
> +#define MV64340_SDRAM_TIMING_CONTROL_HIGH                           0x140c
> +#define MV64340_SDRAM_ADDR_CONTROL                                  0x1410
> +#define MV64340_SDRAM_OPEN_PAGES_CONTROL                            0x1414
> +#define MV64340_SDRAM_OPERATION                                     0x1418
> +#define MV64340_SDRAM_MODE                                          0x141c
> +#define MV64340_EXTENDED_DRAM_MODE                                  0x1420
> +#define MV64340_SDRAM_CROSS_BAR_CONTROL_LOW                         0x1430
> +#define MV64340_SDRAM_CROSS_BAR_CONTROL_HIGH                        0x1434
> +#define MV64340_SDRAM_CROSS_BAR_TIMEOUT                             0x1438
> +#define MV64340_SDRAM_ADDR_CTRL_PADS_CALIBRATION                    0x14c0
> +#define MV64340_SDRAM_DATA_PADS_CALIBRATION                         0x14c4
> +
> +/****************************************/
> +/* SDRAM Error Report                   */
> +/****************************************/
> +
> +#define MV64340_SDRAM_ERROR_DATA_LOW                                0x1444
> +#define MV64340_SDRAM_ERROR_DATA_HIGH                               0x1440
> +#define MV64340_SDRAM_ERROR_ADDR                                    0x1450
> +#define MV64340_SDRAM_RECEIVED_ECC                                  0x1448
> +#define MV64340_SDRAM_CALCULATED_ECC                                0x144c
> +#define MV64340_SDRAM_ECC_CONTROL                                   0x1454
> +#define MV64340_SDRAM_ECC_ERROR_COUNTER                             0x1458
> +
> +/******************************************/
> +/*  Controlled Delay Line (CDL) Registers */
> +/******************************************/
> +
> +#define MV64340_DFCDL_CONFIG0                                       0x1480
> +#define MV64340_DFCDL_CONFIG1                                       0x1484
> +#define MV64340_DLL_WRITE                                           0x1488
> +#define MV64340_DLL_READ                                            0x148c
> +#define MV64340_SRAM_ADDR                                           0x1490
> +#define MV64340_SRAM_DATA0                                          0x1494
> +#define MV64340_SRAM_DATA1                                          0x1498
> +#define MV64340_SRAM_DATA2                                          0x149c
> +#define MV64340_DFCL_PROBE                                          0x14a0
> +
> +/******************************************/
> +/*   Debug Registers                      */
> +/******************************************/
> +
> +#define MV64340_DUNIT_DEBUG_LOW                                     0x1460
> +#define MV64340_DUNIT_DEBUG_HIGH                                    0x1464
> +#define MV64340_DUNIT_MMASK                                         0X1b40
> +
> +/****************************************/
> +/* Device Parameters                    */
> +/****************************************/
> +
> +#define MV64340_DEVICE_BANK0_PARAMETERS                             0x45c
> +#define MV64340_DEVICE_BANK1_PARAMETERS                             0x460
> +#define MV64340_DEVICE_BANK2_PARAMETERS                             0x464
> +#define MV64340_DEVICE_BANK3_PARAMETERS                             0x468
> +#define MV64340_DEVICE_BOOT_BANK_PARAMETERS                         0x46c
> +#define MV64340_DEVICE_INTERFACE_CONTROL                            0x4c0
> +#define MV64340_DEVICE_INTERFACE_CROSS_BAR_CONTROL_LOW              0x4c8
> +#define MV64340_DEVICE_INTERFACE_CROSS_BAR_CONTROL_HIGH             0x4cc
> +#define MV64340_DEVICE_INTERFACE_CROSS_BAR_TIMEOUT                  0x4c4
> +
> +/****************************************/
> +/* Device interrupt registers           */
> +/****************************************/
> +
> +#define MV64340_DEVICE_INTERRUPT_CAUSE                              0x4d0
> +#define MV64340_DEVICE_INTERRUPT_MASK                               0x4d4
> +#define MV64340_DEVICE_ERROR_ADDR                                   0x4d8
> +#define MV64340_DEVICE_ERROR_DATA                                   0x4dc
> +#define MV64340_DEVICE_ERROR_PARITY                                 0x4e0
> +
> +/****************************************/
> +/* Device debug registers               */
> +/****************************************/
> +
> +#define MV64340_DEVICE_DEBUG_LOW                                    0x4e4
> +#define MV64340_DEVICE_DEBUG_HIGH                                   0x4e8
> +#define MV64340_RUNIT_MMASK                                         0x4f0
> +
> +/****************************************/
> +/* PCI Slave Address Decoding registers */
> +/****************************************/
> +
> +#define MV64340_PCI_0_CS_0_BANK_SIZE                                0xc08
> +#define MV64340_PCI_1_CS_0_BANK_SIZE                                0xc88
> +#define MV64340_PCI_0_CS_1_BANK_SIZE                                0xd08
> +#define MV64340_PCI_1_CS_1_BANK_SIZE                                0xd88
> +#define MV64340_PCI_0_CS_2_BANK_SIZE                                0xc0c
> +#define MV64340_PCI_1_CS_2_BANK_SIZE                                0xc8c
> +#define MV64340_PCI_0_CS_3_BANK_SIZE                                0xd0c
> +#define MV64340_PCI_1_CS_3_BANK_SIZE                                0xd8c
> +#define MV64340_PCI_0_DEVCS_0_BANK_SIZE                             0xc10
> +#define MV64340_PCI_1_DEVCS_0_BANK_SIZE                             0xc90
> +#define MV64340_PCI_0_DEVCS_1_BANK_SIZE                             0xd10
> +#define MV64340_PCI_1_DEVCS_1_BANK_SIZE                             0xd90
> +#define MV64340_PCI_0_DEVCS_2_BANK_SIZE                             0xd18
> +#define MV64340_PCI_1_DEVCS_2_BANK_SIZE                             0xd98
> +#define MV64340_PCI_0_DEVCS_3_BANK_SIZE                             0xc14
> +#define MV64340_PCI_1_DEVCS_3_BANK_SIZE                             0xc94
> +#define MV64340_PCI_0_DEVCS_BOOT_BANK_SIZE                          0xd14
> +#define MV64340_PCI_1_DEVCS_BOOT_BANK_SIZE                          0xd94
> +#define MV64340_PCI_0_P2P_MEM0_BAR_SIZE                             0xd1c
> +#define MV64340_PCI_1_P2P_MEM0_BAR_SIZE                             0xd9c
> +#define MV64340_PCI_0_P2P_MEM1_BAR_SIZE                             0xd20
> +#define MV64340_PCI_1_P2P_MEM1_BAR_SIZE                             0xda0
> +#define MV64340_PCI_0_P2P_I_O_BAR_SIZE                              0xd24
> +#define MV64340_PCI_1_P2P_I_O_BAR_SIZE                              0xda4
> +#define MV64340_PCI_0_CPU_BAR_SIZE                                  0xd28
> +#define MV64340_PCI_1_CPU_BAR_SIZE                                  0xda8
> +#define MV64340_PCI_0_INTERNAL_SRAM_BAR_SIZE                        0xe00
> +#define MV64340_PCI_1_INTERNAL_SRAM_BAR_SIZE                        0xe80
> +#define MV64340_PCI_0_EXPANSION_ROM_BAR_SIZE                        0xd2c
> +#define MV64340_PCI_1_EXPANSION_ROM_BAR_SIZE                        0xd9c
> +#define MV64340_PCI_0_BASE_ADDR_REG_ENABLE                          0xc3c
> +#define MV64340_PCI_1_BASE_ADDR_REG_ENABLE                          0xcbc
> +#define MV64340_PCI_0_CS_0_BASE_ADDR_REMAP                          0xc48
> +#define MV64340_PCI_1_CS_0_BASE_ADDR_REMAP                          0xcc8
> +#define MV64340_PCI_0_CS_1_BASE_ADDR_REMAP                          0xd48
> +#define MV64340_PCI_1_CS_1_BASE_ADDR_REMAP                          0xdc8
> +#define MV64340_PCI_0_CS_2_BASE_ADDR_REMAP                          0xc4c
> +#define MV64340_PCI_1_CS_2_BASE_ADDR_REMAP                          0xccc
> +#define MV64340_PCI_0_CS_3_BASE_ADDR_REMAP                          0xd4c
> +#define MV64340_PCI_1_CS_3_BASE_ADDR_REMAP                          0xdcc
> +#define MV64340_PCI_0_CS_0_BASE_HIGH_ADDR_REMAP                     0xF04
> +#define MV64340_PCI_1_CS_0_BASE_HIGH_ADDR_REMAP                     0xF84
> +#define MV64340_PCI_0_CS_1_BASE_HIGH_ADDR_REMAP                     0xF08
> +#define MV64340_PCI_1_CS_1_BASE_HIGH_ADDR_REMAP                     0xF88
> +#define MV64340_PCI_0_CS_2_BASE_HIGH_ADDR_REMAP                     0xF0C
> +#define MV64340_PCI_1_CS_2_BASE_HIGH_ADDR_REMAP                     0xF8C
> +#define MV64340_PCI_0_CS_3_BASE_HIGH_ADDR_REMAP                     0xF10
> +#define MV64340_PCI_1_CS_3_BASE_HIGH_ADDR_REMAP                     0xF90
> +#define MV64340_PCI_0_DEVCS_0_BASE_ADDR_REMAP                       0xc50
> +#define MV64340_PCI_1_DEVCS_0_BASE_ADDR_REMAP                       0xcd0
> +#define MV64340_PCI_0_DEVCS_1_BASE_ADDR_REMAP                       0xd50
> +#define MV64340_PCI_1_DEVCS_1_BASE_ADDR_REMAP                       0xdd0
> +#define MV64340_PCI_0_DEVCS_2_BASE_ADDR_REMAP                       0xd58
> +#define MV64340_PCI_1_DEVCS_2_BASE_ADDR_REMAP                       0xdd8
> +#define MV64340_PCI_0_DEVCS_3_BASE_ADDR_REMAP                       0xc54
> +#define MV64340_PCI_1_DEVCS_3_BASE_ADDR_REMAP                       0xcd4
> +#define MV64340_PCI_0_DEVCS_BOOTCS_BASE_ADDR_REMAP                  0xd54
> +#define MV64340_PCI_1_DEVCS_BOOTCS_BASE_ADDR_REMAP                  0xdd4
> +#define MV64340_PCI_0_P2P_MEM0_BASE_ADDR_REMAP_LOW                  0xd5c
> +#define MV64340_PCI_1_P2P_MEM0_BASE_ADDR_REMAP_LOW                  0xddc
> +#define MV64340_PCI_0_P2P_MEM0_BASE_ADDR_REMAP_HIGH                 0xd60
> +#define MV64340_PCI_1_P2P_MEM0_BASE_ADDR_REMAP_HIGH                 0xde0
> +#define MV64340_PCI_0_P2P_MEM1_BASE_ADDR_REMAP_LOW                  0xd64
> +#define MV64340_PCI_1_P2P_MEM1_BASE_ADDR_REMAP_LOW                  0xde4
> +#define MV64340_PCI_0_P2P_MEM1_BASE_ADDR_REMAP_HIGH                 0xd68
> +#define MV64340_PCI_1_P2P_MEM1_BASE_ADDR_REMAP_HIGH                 0xde8
> +#define MV64340_PCI_0_P2P_I_O_BASE_ADDR_REMAP                       0xd6c
> +#define MV64340_PCI_1_P2P_I_O_BASE_ADDR_REMAP                       0xdec
> +#define MV64340_PCI_0_CPU_BASE_ADDR_REMAP_LOW                       0xd70
> +#define MV64340_PCI_1_CPU_BASE_ADDR_REMAP_LOW                       0xdf0
> +#define MV64340_PCI_0_CPU_BASE_ADDR_REMAP_HIGH                      0xd74
> +#define MV64340_PCI_1_CPU_BASE_ADDR_REMAP_HIGH                      0xdf4
> +#define MV64340_PCI_0_INTEGRATED_SRAM_BASE_ADDR_REMAP               0xf00
> +#define MV64340_PCI_1_INTEGRATED_SRAM_BASE_ADDR_REMAP               0xf80
> +#define MV64340_PCI_0_EXPANSION_ROM_BASE_ADDR_REMAP                 0xf38
> +#define MV64340_PCI_1_EXPANSION_ROM_BASE_ADDR_REMAP                 0xfb8
> +#define MV64340_PCI_0_ADDR_DECODE_CONTROL                           0xd3c
> +#define MV64340_PCI_1_ADDR_DECODE_CONTROL                           0xdbc
> +#define MV64340_PCI_0_HEADERS_RETARGET_CONTROL                      0xF40
> +#define MV64340_PCI_1_HEADERS_RETARGET_CONTROL                      0xFc0
> +#define MV64340_PCI_0_HEADERS_RETARGET_BASE                         0xF44
> +#define MV64340_PCI_1_HEADERS_RETARGET_BASE                         0xFc4
> +#define MV64340_PCI_0_HEADERS_RETARGET_HIGH                         0xF48
> +#define MV64340_PCI_1_HEADERS_RETARGET_HIGH                         0xFc8
> +
> +/***********************************/
> +/*   PCI Control Register Map      */
> +/***********************************/
> +
> +#define MV64340_PCI_0_DLL_STATUS_AND_COMMAND                        0x1d20
> +#define MV64340_PCI_1_DLL_STATUS_AND_COMMAND                        0x1da0
> +#define MV64340_PCI_0_MPP_PADS_DRIVE_CONTROL                        0x1d1C
> +#define MV64340_PCI_1_MPP_PADS_DRIVE_CONTROL                        0x1d9C
> +#define MV64340_PCI_0_COMMAND                                       0xc00
> +#define MV64340_PCI_1_COMMAND                                       0xc80
> +#define MV64340_PCI_0_MODE                                          0xd00
> +#define MV64340_PCI_1_MODE                                          0xd80
> +#define MV64340_PCI_0_RETRY                                         0xc04
> +#define MV64340_PCI_1_RETRY                                         0xc84
> +#define MV64340_PCI_0_READ_BUFFER_DISCARD_TIMER                     0xd04
> +#define MV64340_PCI_1_READ_BUFFER_DISCARD_TIMER                     0xd84
> +#define MV64340_PCI_0_MSI_TRIGGER_TIMER                             0xc38
> +#define MV64340_PCI_1_MSI_TRIGGER_TIMER                             0xcb8
> +#define MV64340_PCI_0_ARBITER_CONTROL                               0x1d00
> +#define MV64340_PCI_1_ARBITER_CONTROL                               0x1d80
> +#define MV64340_PCI_0_CROSS_BAR_CONTROL_LOW                         0x1d08
> +#define MV64340_PCI_1_CROSS_BAR_CONTROL_LOW                         0x1d88
> +#define MV64340_PCI_0_CROSS_BAR_CONTROL_HIGH                        0x1d0c
> +#define MV64340_PCI_1_CROSS_BAR_CONTROL_HIGH                        0x1d8c
> +#define MV64340_PCI_0_CROSS_BAR_TIMEOUT                             0x1d04
> +#define MV64340_PCI_1_CROSS_BAR_TIMEOUT                             0x1d84
> +#define MV64340_PCI_0_SYNC_BARRIER_TRIGGER_REG                      0x1D18
> +#define MV64340_PCI_1_SYNC_BARRIER_TRIGGER_REG                      0x1D98
> +#define MV64340_PCI_0_SYNC_BARRIER_VIRTUAL_REG                      0x1d10
> +#define MV64340_PCI_1_SYNC_BARRIER_VIRTUAL_REG                      0x1d90
> +#define MV64340_PCI_0_P2P_CONFIG                                    0x1d14
> +#define MV64340_PCI_1_P2P_CONFIG                                    0x1d94
> +
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_0_LOW                     0x1e00
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_0_HIGH                    0x1e04
> +#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_0                         0x1e08
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_1_LOW                     0x1e10
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_1_HIGH                    0x1e14
> +#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_1                         0x1e18
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_2_LOW                     0x1e20
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_2_HIGH                    0x1e24
> +#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_2                         0x1e28
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_3_LOW                     0x1e30
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_3_HIGH                    0x1e34
> +#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_3                         0x1e38
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_4_LOW                     0x1e40
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_4_HIGH                    0x1e44
> +#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_4                         0x1e48
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_5_LOW                     0x1e50
> +#define MV64340_PCI_0_ACCESS_CONTROL_BASE_5_HIGH                    0x1e54
> +#define MV64340_PCI_0_ACCESS_CONTROL_SIZE_5                         0x1e58
> +
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_0_LOW                     0x1e80
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_0_HIGH                    0x1e84
> +#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_0                         0x1e88
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_1_LOW                     0x1e90
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_1_HIGH                    0x1e94
> +#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_1                         0x1e98
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_2_LOW                     0x1ea0
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_2_HIGH                    0x1ea4
> +#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_2                         0x1ea8
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_3_LOW                     0x1eb0
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_3_HIGH                    0x1eb4
> +#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_3                         0x1eb8
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_4_LOW                     0x1ec0
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_4_HIGH                    0x1ec4
> +#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_4                         0x1ec8
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_5_LOW                     0x1ed0
> +#define MV64340_PCI_1_ACCESS_CONTROL_BASE_5_HIGH                    0x1ed4
> +#define MV64340_PCI_1_ACCESS_CONTROL_SIZE_5                         0x1ed8
> +
> +/****************************************/
> +/*   PCI Configuration Access Registers */
> +/****************************************/
> +
> +#define MV64340_PCI_0_CONFIG_ADDR                                   0xcf8
> +#define MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG                       0xcfc
> +#define MV64340_PCI_1_CONFIG_ADDR                                   0xc78
> +#define MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG                       0xc7c
> +#define MV64340_PCI_0_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG             0xc34
> +#define MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG             0xcb4
> +
> +/****************************************/
> +/*   PCI Error Report Registers         */
> +/****************************************/
> +
> +#define MV64340_PCI_0_SERR_MASK                                     0xc28
> +#define MV64340_PCI_1_SERR_MASK                                     0xca8
> +#define MV64340_PCI_0_ERROR_ADDR_LOW                                0x1d40
> +#define MV64340_PCI_1_ERROR_ADDR_LOW                                0x1dc0
> +#define MV64340_PCI_0_ERROR_ADDR_HIGH                               0x1d44
> +#define MV64340_PCI_1_ERROR_ADDR_HIGH                               0x1dc4
> +#define MV64340_PCI_0_ERROR_ATTRIBUTE                               0x1d48
> +#define MV64340_PCI_1_ERROR_ATTRIBUTE                               0x1dc8
> +#define MV64340_PCI_0_ERROR_COMMAND                                 0x1d50
> +#define MV64340_PCI_1_ERROR_COMMAND                                 0x1dd0
> +#define MV64340_PCI_0_ERROR_CAUSE                                   0x1d58
> +#define MV64340_PCI_1_ERROR_CAUSE                                   0x1dd8
> +#define MV64340_PCI_0_ERROR_MASK                                    0x1d5c
> +#define MV64340_PCI_1_ERROR_MASK                                    0x1ddc
> +
> +/****************************************/
> +/*   PCI Debug Registers                */
> +/****************************************/
> +
> +#define MV64340_PCI_0_MMASK                                         0X1D24
> +#define MV64340_PCI_1_MMASK                                         0X1DA4
> +
> +/*********************************************/
> +/* PCI Configuration, Function 0, Registers  */
> +/*********************************************/
> +
> +#define MV64340_PCI_DEVICE_AND_VENDOR_ID                            0x000
> +#define MV64340_PCI_STATUS_AND_COMMAND                              0x004
> +#define MV64340_PCI_CLASS_CODE_AND_REVISION_ID                      0x008
> +#define MV64340_PCI_BIST_HEADER_TYPE_LATENCY_TIMER_CACHE_LINE       0x00C
> +
> +#define MV64340_PCI_SCS_0_BASE_ADDR_LOW                             0x010
> +#define MV64340_PCI_SCS_0_BASE_ADDR_HIGH                            0x014
> +#define MV64340_PCI_SCS_1_BASE_ADDR_LOW                             0x018
> +#define MV64340_PCI_SCS_1_BASE_ADDR_HIGH                            0x01C
> +#define MV64340_PCI_INTERNAL_REG_MEM_MAPPED_BASE_ADDR_LOW           0x020
> +#define MV64340_PCI_INTERNAL_REG_MEM_MAPPED_BASE_ADDR_HIGH          0x024
> +#define MV64340_PCI_SUBSYSTEM_ID_AND_SUBSYSTEM_VENDOR_ID            0x02c
> +#define MV64340_PCI_EXPANSION_ROM_BASE_ADDR_REG                     0x030
> +#define MV64340_PCI_CAPABILTY_LIST_POINTER                          0x034
> +#define MV64340_PCI_INTERRUPT_PIN_AND_LINE                          0x03C
> +       /* capability list */
> +#define MV64340_PCI_POWER_MANAGEMENT_CAPABILITY                     0x040
> +#define MV64340_PCI_POWER_MANAGEMENT_STATUS_AND_CONTROL             0x044
> +#define MV64340_PCI_VPD_ADDR                                        0x048
> +#define MV64340_PCI_VPD_DATA                                        0x04c
> +#define MV64340_PCI_MSI_MESSAGE_CONTROL                             0x050
> +#define MV64340_PCI_MSI_MESSAGE_ADDR                                0x054
> +#define MV64340_PCI_MSI_MESSAGE_UPPER_ADDR                          0x058
> +#define MV64340_PCI_MSI_MESSAGE_DATA                                0x05c
> +#define MV64340_PCI_X_COMMAND                                       0x060
> +#define MV64340_PCI_X_STATUS                                        0x064
> +#define MV64340_PCI_COMPACT_PCI_HOT_SWAP                            0x068
> +
> +/***********************************************/
> +/*   PCI Configuration, Function 1, Registers  */
> +/***********************************************/
> +
> +#define MV64340_PCI_SCS_2_BASE_ADDR_LOW                             0x110
> +#define MV64340_PCI_SCS_2_BASE_ADDR_HIGH                            0x114
> +#define MV64340_PCI_SCS_3_BASE_ADDR_LOW                             0x118
> +#define MV64340_PCI_SCS_3_BASE_ADDR_HIGH                            0x11c
> +#define MV64340_PCI_INTERNAL_SRAM_BASE_ADDR_LOW                     0x120
> +#define MV64340_PCI_INTERNAL_SRAM_BASE_ADDR_HIGH                    0x124
> +
> +/***********************************************/
> +/*  PCI Configuration, Function 2, Registers   */
> +/***********************************************/
> +
> +#define MV64340_PCI_DEVCS_0_BASE_ADDR_LOW                           0x210
> +#define MV64340_PCI_DEVCS_0_BASE_ADDR_HIGH                          0x214
> +#define MV64340_PCI_DEVCS_1_BASE_ADDR_LOW                           0x218
> +#define MV64340_PCI_DEVCS_1_BASE_ADDR_HIGH                          0x21c
> +#define MV64340_PCI_DEVCS_2_BASE_ADDR_LOW                           0x220
> +#define MV64340_PCI_DEVCS_2_BASE_ADDR_HIGH                          0x224
> +
> +/***********************************************/
> +/*  PCI Configuration, Function 3, Registers   */
> +/***********************************************/
> +
> +#define MV64340_PCI_DEVCS_3_BASE_ADDR_LOW                           0x310
> +#define MV64340_PCI_DEVCS_3_BASE_ADDR_HIGH                          0x314
> +#define MV64340_PCI_BOOT_CS_BASE_ADDR_LOW                           0x318
> +#define MV64340_PCI_BOOT_CS_BASE_ADDR_HIGH                          0x31c
> +#define MV64340_PCI_CPU_BASE_ADDR_LOW                               0x220
> +#define MV64340_PCI_CPU_BASE_ADDR_HIGH                              0x224
> +
> +/***********************************************/
> +/*  PCI Configuration, Function 4, Registers   */
> +/***********************************************/
> +
> +#define MV64340_PCI_P2P_MEM0_BASE_ADDR_LOW                          0x410
> +#define MV64340_PCI_P2P_MEM0_BASE_ADDR_HIGH                         0x414
> +#define MV64340_PCI_P2P_MEM1_BASE_ADDR_LOW                          0x418
> +#define MV64340_PCI_P2P_MEM1_BASE_ADDR_HIGH                         0x41c
> +#define MV64340_PCI_P2P_I_O_BASE_ADDR                               0x420
> +#define MV64340_PCI_INTERNAL_REGS_I_O_MAPPED_BASE_ADDR              0x424
> +
> +/****************************************/
> +/* Messaging Unit Registers (I20)       */
> +/****************************************/
> +
> +#define MV64340_I2O_INBOUND_MESSAGE_REG0_PCI_0_SIDE                 0x010
> +#define MV64340_I2O_INBOUND_MESSAGE_REG1_PCI_0_SIDE                 0x014
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_PCI_0_SIDE                0x018
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_PCI_0_SIDE                0x01C
> +#define MV64340_I2O_INBOUND_DOORBELL_REG_PCI_0_SIDE                 0x020
> +#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_PCI_0_SIDE          0x024
> +#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_PCI_0_SIDE           0x028
> +#define MV64340_I2O_OUTBOUND_DOORBELL_REG_PCI_0_SIDE                0x02C
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_PCI_0_SIDE         0x030
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_PCI_0_SIDE          0x034
> +#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_0_SIDE       0x040
> +#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_0_SIDE      0x044
> +#define MV64340_I2O_QUEUE_CONTROL_REG_PCI_0_SIDE                    0x050
> +#define MV64340_I2O_QUEUE_BASE_ADDR_REG_PCI_0_SIDE                  0x054
> +#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_PCI_0_SIDE        0x060
> +#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_PCI_0_SIDE        0x064
> +#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_PCI_0_SIDE        0x068
> +#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_PCI_0_SIDE        0x06C
> +#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_PCI_0_SIDE       0x070
> +#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_PCI_0_SIDE       0x074
> +#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_PCI_0_SIDE       0x0F8
> +#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_PCI_0_SIDE       0x0FC
> +
> +#define MV64340_I2O_INBOUND_MESSAGE_REG0_PCI_1_SIDE                 0x090
> +#define MV64340_I2O_INBOUND_MESSAGE_REG1_PCI_1_SIDE                 0x094
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_PCI_1_SIDE                0x098
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_PCI_1_SIDE                0x09C
> +#define MV64340_I2O_INBOUND_DOORBELL_REG_PCI_1_SIDE                 0x0A0
> +#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_PCI_1_SIDE          0x0A4
> +#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_PCI_1_SIDE           0x0A8
> +#define MV64340_I2O_OUTBOUND_DOORBELL_REG_PCI_1_SIDE                0x0AC
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_PCI_1_SIDE         0x0B0
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_PCI_1_SIDE          0x0B4
> +#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_1_SIDE       0x0C0
> +#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_PCI_1_SIDE      0x0C4
> +#define MV64340_I2O_QUEUE_CONTROL_REG_PCI_1_SIDE                    0x0D0
> +#define MV64340_I2O_QUEUE_BASE_ADDR_REG_PCI_1_SIDE                  0x0D4
> +#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_PCI_1_SIDE        0x0E0
> +#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_PCI_1_SIDE        0x0E4
> +#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_PCI_1_SIDE        0x0E8
> +#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_PCI_1_SIDE        0x0EC
> +#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_PCI_1_SIDE       0x0F0
> +#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_PCI_1_SIDE       0x0F4
> +#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_PCI_1_SIDE       0x078
> +#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_PCI_1_SIDE       0x07C
> +
> +#define MV64340_I2O_INBOUND_MESSAGE_REG0_CPU0_SIDE                  0x1C10
> +#define MV64340_I2O_INBOUND_MESSAGE_REG1_CPU0_SIDE                  0x1C14
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_CPU0_SIDE                 0x1C18
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_CPU0_SIDE                 0x1C1C
> +#define MV64340_I2O_INBOUND_DOORBELL_REG_CPU0_SIDE                  0x1C20
> +#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_CPU0_SIDE           0x1C24
> +#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_CPU0_SIDE            0x1C28
> +#define MV64340_I2O_OUTBOUND_DOORBELL_REG_CPU0_SIDE                 0x1C2C
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_CPU0_SIDE          0x1C30
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_CPU0_SIDE           0x1C34
> +#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_CPU0_SIDE        0x1C40
> +#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_CPU0_SIDE       0x1C44
> +#define MV64340_I2O_QUEUE_CONTROL_REG_CPU0_SIDE                     0x1C50
> +#define MV64340_I2O_QUEUE_BASE_ADDR_REG_CPU0_SIDE                   0x1C54
> +#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_CPU0_SIDE         0x1C60
> +#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_CPU0_SIDE         0x1C64
> +#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_CPU0_SIDE         0x1C68
> +#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_CPU0_SIDE         0x1C6C
> +#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_CPU0_SIDE        0x1C70
> +#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_CPU0_SIDE        0x1C74
> +#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_CPU0_SIDE        0x1CF8
> +#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_CPU0_SIDE        0x1CFC
> +#define MV64340_I2O_INBOUND_MESSAGE_REG0_CPU1_SIDE                  0x1C90
> +#define MV64340_I2O_INBOUND_MESSAGE_REG1_CPU1_SIDE                  0x1C94
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG0_CPU1_SIDE                 0x1C98
> +#define MV64340_I2O_OUTBOUND_MESSAGE_REG1_CPU1_SIDE                 0x1C9C
> +#define MV64340_I2O_INBOUND_DOORBELL_REG_CPU1_SIDE                  0x1CA0
> +#define MV64340_I2O_INBOUND_INTERRUPT_CAUSE_REG_CPU1_SIDE           0x1CA4
> +#define MV64340_I2O_INBOUND_INTERRUPT_MASK_REG_CPU1_SIDE            0x1CA8
> +#define MV64340_I2O_OUTBOUND_DOORBELL_REG_CPU1_SIDE                 0x1CAC
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_CAUSE_REG_CPU1_SIDE          0x1CB0
> +#define MV64340_I2O_OUTBOUND_INTERRUPT_MASK_REG_CPU1_SIDE           0x1CB4
> +#define MV64340_I2O_INBOUND_QUEUE_PORT_VIRTUAL_REG_CPU1_SIDE        0x1CC0
> +#define MV64340_I2O_OUTBOUND_QUEUE_PORT_VIRTUAL_REG_CPU1_SIDE       0x1CC4
> +#define MV64340_I2O_QUEUE_CONTROL_REG_CPU1_SIDE                     0x1CD0
> +#define MV64340_I2O_QUEUE_BASE_ADDR_REG_CPU1_SIDE                   0x1CD4
> +#define MV64340_I2O_INBOUND_FREE_HEAD_POINTER_REG_CPU1_SIDE         0x1CE0
> +#define MV64340_I2O_INBOUND_FREE_TAIL_POINTER_REG_CPU1_SIDE         0x1CE4
> +#define MV64340_I2O_INBOUND_POST_HEAD_POINTER_REG_CPU1_SIDE         0x1CE8
> +#define MV64340_I2O_INBOUND_POST_TAIL_POINTER_REG_CPU1_SIDE         0x1CEC
> +#define MV64340_I2O_OUTBOUND_FREE_HEAD_POINTER_REG_CPU1_SIDE        0x1CF0
> +#define MV64340_I2O_OUTBOUND_FREE_TAIL_POINTER_REG_CPU1_SIDE        0x1CF4
> +#define MV64340_I2O_OUTBOUND_POST_HEAD_POINTER_REG_CPU1_SIDE        0x1C78
> +#define MV64340_I2O_OUTBOUND_POST_TAIL_POINTER_REG_CPU1_SIDE        0x1C7C
> +
> +/****************************************/
> +/*        Ethernet Unit Registers       */
> +/****************************************/
> +
> +/*******************************************/
> +/*          CUNIT  Registers               */
> +/*******************************************/
> +
> +         /* Address Decoding Register Map */
> +
> +#define MV64340_CUNIT_BASE_ADDR_REG0                                0xf200
> +#define MV64340_CUNIT_BASE_ADDR_REG1                                0xf208
> +#define MV64340_CUNIT_BASE_ADDR_REG2                                0xf210
> +#define MV64340_CUNIT_BASE_ADDR_REG3                                0xf218
> +#define MV64340_CUNIT_SIZE0                                         0xf204
> +#define MV64340_CUNIT_SIZE1                                         0xf20c
> +#define MV64340_CUNIT_SIZE2                                         0xf214
> +#define MV64340_CUNIT_SIZE3                                         0xf21c
> +#define MV64340_CUNIT_HIGH_ADDR_REMAP_REG0                          0xf240
> +#define MV64340_CUNIT_HIGH_ADDR_REMAP_REG1                          0xf244
> +#define MV64340_CUNIT_BASE_ADDR_ENABLE_REG                          0xf250
> +#define MV64340_MPSC0_ACCESS_PROTECTION_REG                         0xf254
> +#define MV64340_MPSC1_ACCESS_PROTECTION_REG                         0xf258
> +#define MV64340_CUNIT_INTERNAL_SPACE_BASE_ADDR_REG                  0xf25C
> +
> +        /*  Error Report Registers  */
> +
> +#define MV64340_CUNIT_INTERRUPT_CAUSE_REG                           0xf310
> +#define MV64340_CUNIT_INTERRUPT_MASK_REG                            0xf314
> +#define MV64340_CUNIT_ERROR_ADDR                                    0xf318
> +
> +        /*  Cunit Control Registers */
> +
> +#define MV64340_CUNIT_ARBITER_CONTROL_REG                           0xf300
> +#define MV64340_CUNIT_CONFIG_REG                                    0xb40c
> +#define MV64340_CUNIT_CRROSBAR_TIMEOUT_REG                          0xf304
> +
> +        /*  Cunit Debug Registers   */
> +
> +#define MV64340_CUNIT_DEBUG_LOW                                     0xf340
> +#define MV64340_CUNIT_DEBUG_HIGH                                    0xf344
> +#define MV64340_CUNIT_MMASK                                         0xf380
> +
> +        /*  MPSCs Clocks Routing Registers  */
> +
> +#define MV64340_MPSC_ROUTING_REG                                    0xb400
> +#define MV64340_MPSC_RX_CLOCK_ROUTING_REG                           0xb404
> +#define MV64340_MPSC_TX_CLOCK_ROUTING_REG                           0xb408
> +
> +        /*  MPSCs Interrupts Registers    */
> +
> +#define MV64340_MPSC_CAUSE_REG(port)                     (0xb804 + (port << 3))
> +#define MV64340_MPSC_MASK_REG(port)                      (0xb884 + (port << 3))
> +
> +#define MV64340_MPSC_MAIN_CONFIG_LOW(port)              (0x8000 + (port << 12))
> +#define MV64340_MPSC_MAIN_CONFIG_HIGH(port)             (0x8004 + (port << 12))
> +#define MV64340_MPSC_PROTOCOL_CONFIG(port)              (0x8008 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG1(port)                 (0x800c + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG2(port)                 (0x8010 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG3(port)                 (0x8014 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG4(port)                 (0x8018 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG5(port)                 (0x801c + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG6(port)                 (0x8020 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG7(port)                 (0x8024 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG8(port)                 (0x8028 + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG9(port)                 (0x802c + (port << 12))
> +#define MV64340_MPSC_CHANNEL_REG10(port)                (0x8030 + (port << 12))
> +
> +        /*  MPSC0 Registers      */
> +
> +
> +/***************************************/
> +/*          SDMA Registers             */
> +/***************************************/
> +
> +#define MV64340_SDMA_CONFIG_REG(channel)                    (0x4000 + (channel << 13))
> +#define MV64340_SDMA_COMMAND_REG(channel)                   (0x4008 + (channel << 13))
> +#define MV64340_SDMA_CURRENT_RX_DESCRIPTOR_POINTER(channel) (0x4810 + (channel << 13))
> +#define MV64340_SDMA_CURRENT_TX_DESCRIPTOR_POINTER(channel) (0x4c10 + (channel << 13))
> +#define MV64340_SDMA_FIRST_TX_DESCRIPTOR_POINTER(channel)   (0x4c14 + (channel << 13))
> +
> +#define MV64340_SDMA_CAUSE_REG                                      0xb800
> +#define MV64340_SDMA_MASK_REG                                       0xb880
> +
> +/* BRG Interrupts */
> +
> +#define MV64340_BRG_CONFIG_REG(brg)                       (0xb200 + (brg << 3))
> +#define MV64340_BRG_BAUDE_TUNING_REG(brg)                 (0xb208 + (brg << 3))
> +#define MV64340_BRG_CAUSE_REG                                       0xb834
> +#define MV64340_BRG_MASK_REG                                        0xb8b4
> +
> +/****************************************/
> +/* DMA Channel Control                  */
> +/****************************************/
> +
> +#define MV64340_DMA_CHANNEL0_CONTROL                                0x840
> +#define MV64340_DMA_CHANNEL0_CONTROL_HIGH                           0x880
> +#define MV64340_DMA_CHANNEL1_CONTROL                                0x844
> +#define MV64340_DMA_CHANNEL1_CONTROL_HIGH                           0x884
> +#define MV64340_DMA_CHANNEL2_CONTROL                                0x848
> +#define MV64340_DMA_CHANNEL2_CONTROL_HIGH                           0x888
> +#define MV64340_DMA_CHANNEL3_CONTROL                                0x84C
> +#define MV64340_DMA_CHANNEL3_CONTROL_HIGH                           0x88C
> +
> +
> +/****************************************/
> +/*           IDMA Registers             */
> +/****************************************/
> +
> +#define MV64340_DMA_CHANNEL0_BYTE_COUNT                             0x800
> +#define MV64340_DMA_CHANNEL1_BYTE_COUNT                             0x804
> +#define MV64340_DMA_CHANNEL2_BYTE_COUNT                             0x808
> +#define MV64340_DMA_CHANNEL3_BYTE_COUNT                             0x80C
> +#define MV64340_DMA_CHANNEL0_SOURCE_ADDR                            0x810
> +#define MV64340_DMA_CHANNEL1_SOURCE_ADDR                            0x814
> +#define MV64340_DMA_CHANNEL2_SOURCE_ADDR                            0x818
> +#define MV64340_DMA_CHANNEL3_SOURCE_ADDR                            0x81c
> +#define MV64340_DMA_CHANNEL0_DESTINATION_ADDR                       0x820
> +#define MV64340_DMA_CHANNEL1_DESTINATION_ADDR                       0x824
> +#define MV64340_DMA_CHANNEL2_DESTINATION_ADDR                       0x828
> +#define MV64340_DMA_CHANNEL3_DESTINATION_ADDR                       0x82C
> +#define MV64340_DMA_CHANNEL0_NEXT_DESCRIPTOR_POINTER                0x830
> +#define MV64340_DMA_CHANNEL1_NEXT_DESCRIPTOR_POINTER                0x834
> +#define MV64340_DMA_CHANNEL2_NEXT_DESCRIPTOR_POINTER                0x838
> +#define MV64340_DMA_CHANNEL3_NEXT_DESCRIPTOR_POINTER                0x83C
> +#define MV64340_DMA_CHANNEL0_CURRENT_DESCRIPTOR_POINTER             0x870
> +#define MV64340_DMA_CHANNEL1_CURRENT_DESCRIPTOR_POINTER             0x874
> +#define MV64340_DMA_CHANNEL2_CURRENT_DESCRIPTOR_POINTER             0x878
> +#define MV64340_DMA_CHANNEL3_CURRENT_DESCRIPTOR_POINTER             0x87C
> +
> + /*  IDMA Address Decoding Base Address Registers  */
> +
> +#define MV64340_DMA_BASE_ADDR_REG0                                  0xa00
> +#define MV64340_DMA_BASE_ADDR_REG1                                  0xa08
> +#define MV64340_DMA_BASE_ADDR_REG2                                  0xa10
> +#define MV64340_DMA_BASE_ADDR_REG3                                  0xa18
> +#define MV64340_DMA_BASE_ADDR_REG4                                  0xa20
> +#define MV64340_DMA_BASE_ADDR_REG5                                  0xa28
> +#define MV64340_DMA_BASE_ADDR_REG6                                  0xa30
> +#define MV64340_DMA_BASE_ADDR_REG7                                  0xa38
> +
> + /*  IDMA Address Decoding Size Address Register   */
> +
> +#define MV64340_DMA_SIZE_REG0                                       0xa04
> +#define MV64340_DMA_SIZE_REG1                                       0xa0c
> +#define MV64340_DMA_SIZE_REG2                                       0xa14
> +#define MV64340_DMA_SIZE_REG3                                       0xa1c
> +#define MV64340_DMA_SIZE_REG4                                       0xa24
> +#define MV64340_DMA_SIZE_REG5                                       0xa2c
> +#define MV64340_DMA_SIZE_REG6                                       0xa34
> +#define MV64340_DMA_SIZE_REG7                                       0xa3C
> +
> + /* IDMA Address Decoding High Address Remap and Access Protection Registers */
> +
> +#define MV64340_DMA_HIGH_ADDR_REMAP_REG0                            0xa60
> +#define MV64340_DMA_HIGH_ADDR_REMAP_REG1                            0xa64
> +#define MV64340_DMA_HIGH_ADDR_REMAP_REG2                            0xa68
> +#define MV64340_DMA_HIGH_ADDR_REMAP_REG3                            0xa6C
> +#define MV64340_DMA_BASE_ADDR_ENABLE_REG                            0xa80
> +#define MV64340_DMA_CHANNEL0_ACCESS_PROTECTION_REG                  0xa70
> +#define MV64340_DMA_CHANNEL1_ACCESS_PROTECTION_REG                  0xa74
> +#define MV64340_DMA_CHANNEL2_ACCESS_PROTECTION_REG                  0xa78
> +#define MV64340_DMA_CHANNEL3_ACCESS_PROTECTION_REG                  0xa7c
> +#define MV64340_DMA_ARBITER_CONTROL                                 0x860
> +#define MV64340_DMA_CROSS_BAR_TIMEOUT                               0x8d0
> +
> + /*  IDMA Headers Retarget Registers   */
> +
> +#define MV64340_DMA_HEADERS_RETARGET_CONTROL                        0xa84
> +#define MV64340_DMA_HEADERS_RETARGET_BASE                           0xa88
> +
> + /*  IDMA Interrupt Register  */
> +
> +#define MV64340_DMA_INTERRUPT_CAUSE_REG                             0x8c0
> +#define MV64340_DMA_INTERRUPT_CAUSE_MASK                            0x8c4
> +#define MV64340_DMA_ERROR_ADDR                                      0x8c8
> +#define MV64340_DMA_ERROR_SELECT                                    0x8cc
> +
> + /*  IDMA Debug Register ( for internal use )    */
> +
> +#define MV64340_DMA_DEBUG_LOW                                       0x8e0
> +#define MV64340_DMA_DEBUG_HIGH                                      0x8e4
> +#define MV64340_DMA_SPARE                                           0xA8C
> +
> +/****************************************/
> +/* Timer_Counter                        */
> +/****************************************/
> +
> +#define MV64340_TIMER_COUNTER0                                      0x850
> +#define MV64340_TIMER_COUNTER1                                      0x854
> +#define MV64340_TIMER_COUNTER2                                      0x858
> +#define MV64340_TIMER_COUNTER3                                      0x85C
> +#define MV64340_TIMER_COUNTER_0_3_CONTROL                           0x864
> +#define MV64340_TIMER_COUNTER_0_3_INTERRUPT_CAUSE                   0x868
> +#define MV64340_TIMER_COUNTER_0_3_INTERRUPT_MASK                    0x86c
> +
> +/****************************************/
> +/*         Watchdog registers           */
> +/****************************************/
> +
> +#define MV64340_WATCHDOG_CONFIG_REG                                 0xb410
> +#define MV64340_WATCHDOG_VALUE_REG                                  0xb414
> +
> +/****************************************/
> +/* I2C Registers                        */
> +/****************************************/
> +
> +#define MV64XXX_I2C_OFFSET                                          0xc000
> +#define MV64XXX_I2C_REG_BLOCK_SIZE                                  0x0020
> +
> +/****************************************/
> +/* GPP Interface Registers              */
> +/****************************************/
> +
> +#define MV64340_GPP_IO_CONTROL                                      0xf100
> +#define MV64340_GPP_LEVEL_CONTROL                                   0xf110
> +#define MV64340_GPP_VALUE                                           0xf104
> +#define MV64340_GPP_INTERRUPT_CAUSE                                 0xf108
> +#define MV64340_GPP_INTERRUPT_MASK0                                 0xf10c
> +#define MV64340_GPP_INTERRUPT_MASK1                                 0xf114
> +#define MV64340_GPP_VALUE_SET                                       0xf118
> +#define MV64340_GPP_VALUE_CLEAR                                     0xf11c
> +
> +/****************************************/
> +/* Interrupt Controller Registers       */
> +/****************************************/
> +
> +/****************************************/
> +/* Interrupts                           */
> +/****************************************/
> +
> +#define MV64340_MAIN_INTERRUPT_CAUSE_LOW                            0x004
> +#define MV64340_MAIN_INTERRUPT_CAUSE_HIGH                           0x00c
> +#define MV64340_CPU_INTERRUPT0_MASK_LOW                             0x014
> +#define MV64340_CPU_INTERRUPT0_MASK_HIGH                            0x01c
> +#define MV64340_CPU_INTERRUPT0_SELECT_CAUSE                         0x024
> +#define MV64340_CPU_INTERRUPT1_MASK_LOW                             0x034
> +#define MV64340_CPU_INTERRUPT1_MASK_HIGH                            0x03c
> +#define MV64340_CPU_INTERRUPT1_SELECT_CAUSE                         0x044
> +#define MV64340_INTERRUPT0_MASK_0_LOW                               0x054
> +#define MV64340_INTERRUPT0_MASK_0_HIGH                              0x05c
> +#define MV64340_INTERRUPT0_SELECT_CAUSE                             0x064
> +#define MV64340_INTERRUPT1_MASK_0_LOW                               0x074
> +#define MV64340_INTERRUPT1_MASK_0_HIGH                              0x07c
> +#define MV64340_INTERRUPT1_SELECT_CAUSE                             0x084
> +
> +/****************************************/
> +/*      MPP Interface Registers         */
> +/****************************************/
> +
> +#define MV64340_MPP_CONTROL0                                        0xf000
> +#define MV64340_MPP_CONTROL1                                        0xf004
> +#define MV64340_MPP_CONTROL2                                        0xf008
> +#define MV64340_MPP_CONTROL3                                        0xf00c
> +
> +/****************************************/
> +/*    Serial Initialization registers   */
> +/****************************************/
> +
> +#define MV64340_SERIAL_INIT_LAST_DATA                               0xf324
> +#define MV64340_SERIAL_INIT_CONTROL                                 0xf328
> +#define MV64340_SERIAL_INIT_STATUS                                  0xf32c
> +
> +#endif /* ASM_MV643XX_H */
> diff --git a/hw/pci-host/trace-events b/hw/pci-host/trace-events
> index 7d8063ac42..dac86ad3f0 100644
> --- a/hw/pci-host/trace-events
> +++ b/hw/pci-host/trace-events
> @@ -3,6 +3,12 @@
>  # grackle.c
>  grackle_set_irq(int irq_num, int level) "set_irq num %d level %d"
>  
> +# mv64361.c
> +mv64361_region_map(const char *name, uint64_t poffs, uint64_t size, uint64_t moffs) "Mapping %s 0x%"PRIx64"+0x%"PRIx64" @ 0x%"PRIx64
> +mv64361_region_enable(const char *op, int num) "Should %s region %d"
> +mv64361_reg_read(uint64_t addr, uint32_t val) "0x%"PRIx64" -> 0x%x"
> +mv64361_reg_write(uint64_t addr, uint64_t val) "0x%"PRIx64" <- 0x%"PRIx64
> +
>  # sabre.c
>  sabre_set_request(int irq_num) "request irq %d"
>  sabre_clear_request(int irq_num) "clear request irq %d"
> diff --git a/include/hw/pci-host/mv64361.h b/include/hw/pci-host/mv64361.h
> new file mode 100644
> index 0000000000..9cdb35cb3c
> --- /dev/null
> +++ b/include/hw/pci-host/mv64361.h
> @@ -0,0 +1,8 @@
> +#ifndef MV64361_H
> +#define MV64361_H
> +
> +#define TYPE_MV64361 "mv64361"
> +
> +PCIBus *mv64361_get_pci_bus(DeviceState *dev, int n);
> +
> +#endif
> diff --git a/include/hw/pci/pci_ids.h b/include/hw/pci/pci_ids.h
> index ac0c23ebc7..5c14681b82 100644
> --- a/include/hw/pci/pci_ids.h
> +++ b/include/hw/pci/pci_ids.h
> @@ -214,6 +214,7 @@
>  #define PCI_DEVICE_ID_VIA_8231_PM        0x8235
>  
>  #define PCI_VENDOR_ID_MARVELL            0x11ab
> +#define PCI_DEVICE_ID_MARVELL_MV6436X    0x6460
>  
>  #define PCI_VENDOR_ID_SILICON_MOTION     0x126f
>  #define PCI_DEVICE_ID_SM501              0x0501

-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 0/7] Pegasos2 emulation
  2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
                   ` (6 preceding siblings ...)
  2021-03-17  1:17 ` [PATCH v10 2/7] vt82c686: Add VT8231_SUPERIO based on VIA_SUPERIO BALATON Zoltan
@ 2021-03-23  0:42 ` David Gibson
  2021-03-23 12:57   ` BALATON Zoltan
  7 siblings, 1 reply; 24+ messages in thread
From: David Gibson @ 2021-03-23  0:42 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, Paolo Bonzini, qemu-ppc, qemu-devel, f4bug

[-- Attachment #1: Type: text/plain, Size: 1307 bytes --]

On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> Hello,
> 
> This is adding a new PPC board called pegasos2. More info on it can be
> found at:
> 
> https://osdn.net/projects/qmiga/wiki/SubprojectPegasos2
> 
> Currently it needs a firmware ROM image that I cannot include due to
> original copyright holder (bPlan) did not release it under a free
> licence but I have plans to write a replacement in the future. With
> the original board firmware it can boot MorphOS now as:
> 
> qemu-system-ppc -M pegasos2 -cdrom morphos.iso -device ati-vga,romfile="" -serial stdio
> 
> then enter "boot cd boot.img" at the firmware "ok" prompt as described
> in the MorphOS.readme. To boot Linux use same command line with e.g.
> -cdrom debian-8.11.0-powerpc-netinst.iso then enter
> "boot cd install/pegasos"
> 
> The last patch adds the actual board code after previous patches
> adding VT8231 and MV64361 system controller chip emulation.

I've applied 1..5 to a new ppc-for-6.1 branch.  Sorry it didn't make
it for 6.0, I just didn't have time to look this over until too late.

-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II
  2021-03-17  1:17 ` [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II BALATON Zoltan
@ 2021-03-23  0:55   ` David Gibson
  2021-03-23 13:01     ` BALATON Zoltan
  0 siblings, 1 reply; 24+ messages in thread
From: David Gibson @ 2021-03-23  0:55 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, Paolo Bonzini, qemu-ppc, qemu-devel, f4bug

[-- Attachment #1: Type: text/plain, Size: 8615 bytes --]

On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> Add new machine called pegasos2 emulating the Genesi/bPlan Pegasos II,
> a PowerPC board based on the Marvell MV64361 system controller and the
> VIA VT8231 integrated south bridge/superio chips. It can run Linux,
> AmigaOS and a wide range of MorphOS versions. Currently a firmware ROM
> image is needed to boot and only MorphOS has a video driver to produce
> graphics output. Linux could work too but distros that supported this
> machine don't include usual video drivers so those only run with
> serial console for now.
> 
> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
> Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
> ---
>  MAINTAINERS                             |  10 ++
>  default-configs/devices/ppc-softmmu.mak |   2 +
>  hw/ppc/Kconfig                          |   9 ++
>  hw/ppc/meson.build                      |   2 +
>  hw/ppc/pegasos2.c                       | 144 ++++++++++++++++++++++++
>  5 files changed, 167 insertions(+)
>  create mode 100644 hw/ppc/pegasos2.c
> 
> diff --git a/MAINTAINERS b/MAINTAINERS
> index b6ab3d25a7..1c3c55ef09 100644
> --- a/MAINTAINERS
> +++ b/MAINTAINERS
> @@ -1353,6 +1353,16 @@ F: pc-bios/canyonlands.dt[sb]
>  F: pc-bios/u-boot-sam460ex-20100605.bin
>  F: roms/u-boot-sam460ex
>  
> +pegasos2
> +M: BALATON Zoltan <balaton@eik.bme.hu>
> +R: David Gibson <david@gibson.dropbear.id.au>
> +L: qemu-ppc@nongnu.org
> +S: Maintained
> +F: hw/ppc/pegasos2.c
> +F: hw/pci-host/mv64361.c
> +F: hw/pci-host/mv643xx.h
> +F: include/hw/pci-host/mv64361.h

Oh, sorry about the comment in the previous patch.

>  RISC-V Machines
>  ---------------
>  OpenTitan
> diff --git a/default-configs/devices/ppc-softmmu.mak b/default-configs/devices/ppc-softmmu.mak
> index 61b78b844d..4535993d8d 100644
> --- a/default-configs/devices/ppc-softmmu.mak
> +++ b/default-configs/devices/ppc-softmmu.mak
> @@ -14,5 +14,7 @@ CONFIG_SAM460EX=y
>  CONFIG_MAC_OLDWORLD=y
>  CONFIG_MAC_NEWWORLD=y
>  
> +CONFIG_PEGASOS2=y

I don't think we can have this default to enabled while it requires a
non-free ROM to start.

>  # For PReP
>  CONFIG_PREP=y
> diff --git a/hw/ppc/Kconfig b/hw/ppc/Kconfig
> index d11dc30509..e51e0e5e5a 100644
> --- a/hw/ppc/Kconfig
> +++ b/hw/ppc/Kconfig
> @@ -68,6 +68,15 @@ config SAM460EX
>      select USB_OHCI
>      select FDT_PPC
>  
> +config PEGASOS2
> +    bool
> +    select MV64361
> +    select VT82C686
> +    select IDE_VIA
> +    select SMBUS_EEPROM
> +# This should come with VT82C686
> +    select ACPI_X86
> +
>  config PREP
>      bool
>      imply PCI_DEVICES
> diff --git a/hw/ppc/meson.build b/hw/ppc/meson.build
> index 218631c883..86d6f379d1 100644
> --- a/hw/ppc/meson.build
> +++ b/hw/ppc/meson.build
> @@ -78,5 +78,7 @@ ppc_ss.add(when: 'CONFIG_E500', if_true: files(
>  ))
>  # PowerPC 440 Xilinx ML507 reference board.
>  ppc_ss.add(when: 'CONFIG_VIRTEX', if_true: files('virtex_ml507.c'))
> +# Pegasos2
> +ppc_ss.add(when: 'CONFIG_PEGASOS2', if_true: files('pegasos2.c'))
>  
>  hw_arch += {'ppc': ppc_ss}
> diff --git a/hw/ppc/pegasos2.c b/hw/ppc/pegasos2.c
> new file mode 100644
> index 0000000000..0bfd0928aa
> --- /dev/null
> +++ b/hw/ppc/pegasos2.c
> @@ -0,0 +1,144 @@
> +/*
> + * QEMU PowerPC CHRP (Genesi/bPlan Pegasos II) hardware System Emulator
> + *
> + * Copyright (c) 2018-2020 BALATON Zoltan
> + *
> + * This work is licensed under the GNU GPL license version 2 or later.
> + *
> + */
> +
> +#include "qemu/osdep.h"
> +#include "qemu-common.h"
> +#include "qemu/units.h"
> +#include "qapi/error.h"
> +#include "hw/hw.h"
> +#include "hw/ppc/ppc.h"
> +#include "hw/sysbus.h"
> +#include "hw/pci/pci_host.h"
> +#include "hw/irq.h"
> +#include "hw/pci-host/mv64361.h"
> +#include "hw/isa/vt82c686.h"
> +#include "hw/ide/pci.h"
> +#include "hw/i2c/smbus_eeprom.h"
> +#include "hw/qdev-properties.h"
> +#include "sysemu/reset.h"
> +#include "hw/boards.h"
> +#include "hw/loader.h"
> +#include "hw/fw-path-provider.h"
> +#include "elf.h"
> +#include "qemu/log.h"
> +#include "qemu/error-report.h"
> +#include "sysemu/kvm.h"
> +#include "kvm_ppc.h"
> +#include "exec/address-spaces.h"
> +#include "trace.h"
> +#include "qemu/datadir.h"
> +#include "sysemu/device_tree.h"
> +
> +#define PROM_FILENAME "pegasos2.rom"
> +#define PROM_ADDR     0xfff00000
> +#define PROM_SIZE     0x80000
> +
> +#define BUS_FREQ_HZ 133333333
> +
> +static void pegasos2_cpu_reset(void *opaque)
> +{
> +    PowerPCCPU *cpu = opaque;
> +
> +    cpu_reset(CPU(cpu));
> +    cpu->env.spr[SPR_HID1] = 7ULL << 28;
> +}
> +
> +static void pegasos2_init(MachineState *machine)
> +{
> +    PowerPCCPU *cpu = NULL;
> +    MemoryRegion *rom = g_new(MemoryRegion, 1);
> +    DeviceState *mv;
> +    PCIBus *pci_bus;
> +    PCIDevice *dev;
> +    I2CBus *i2c_bus;
> +    const char *fwname = machine->firmware ?: PROM_FILENAME;
> +    char *filename;
> +    int sz;
> +    uint8_t *spd_data;
> +
> +    /* init CPU */
> +    cpu = POWERPC_CPU(cpu_create(machine->cpu_type));
> +    if (PPC_INPUT(&cpu->env) != PPC_FLAGS_INPUT_6xx) {
> +        error_report("Incompatible CPU, only 6xx bus supported");
> +        exit(1);
> +    }
> +
> +    /* Set time-base frequency */
> +    cpu_ppc_tb_init(&cpu->env, BUS_FREQ_HZ / 4);
> +    qemu_register_reset(pegasos2_cpu_reset, cpu);
> +
> +    /* RAM */
> +    memory_region_add_subregion(get_system_memory(), 0, machine->ram);
> +
> +    /* allocate and load firmware */
> +    filename = qemu_find_file(QEMU_FILE_TYPE_BIOS, fwname);
> +    if (!filename) {
> +        error_report("Could not find firmware '%s'", fwname);
> +        exit(1);
> +    }
> +    memory_region_init_rom(rom, NULL, "pegasos2.rom", PROM_SIZE, &error_fatal);
> +    memory_region_add_subregion(get_system_memory(), PROM_ADDR, rom);
> +    sz = load_elf(filename, NULL, NULL, NULL, NULL, NULL, NULL, NULL, 1,
> +                  PPC_ELF_MACHINE, 0, 0);
> +    if (sz <= 0) {
> +        sz = load_image_targphys(filename, PROM_ADDR, PROM_SIZE);
> +    }
> +    if (sz <= 0 || sz > PROM_SIZE) {
> +        error_report("Could not load firmware '%s'", filename);
> +        exit(1);
> +    }
> +    g_free(filename);
> +
> +    /* Marvell Discovery II system controller */
> +    mv = DEVICE(sysbus_create_simple(TYPE_MV64361, -1,
> +                        ((qemu_irq *)cpu->env.irq_inputs)[PPC6xx_INPUT_INT]));
> +    pci_bus = mv64361_get_pci_bus(mv, 1);
> +
> +    /* VIA VT8231 South Bridge (multifunction PCI device) */
> +    /* VT8231 function 0: PCI-to-ISA Bridge */
> +    dev = pci_create_simple_multifunction(pci_bus, PCI_DEVFN(12, 0), true,
> +                                          TYPE_VT8231_ISA);
> +    qdev_connect_gpio_out(DEVICE(dev), 0,
> +                          qdev_get_gpio_in_named(mv, "gpp", 31));
> +
> +    /* VT8231 function 1: IDE Controller */
> +    dev = pci_create_simple(pci_bus, PCI_DEVFN(12, 1), "via-ide");
> +    pci_ide_create_devs(dev);
> +
> +    /* VT8231 function 2-3: USB Ports */
> +    pci_create_simple(pci_bus, PCI_DEVFN(12, 2), "vt82c686b-usb-uhci");
> +    pci_create_simple(pci_bus, PCI_DEVFN(12, 3), "vt82c686b-usb-uhci");
> +
> +    /* VT8231 function 4: Power Management Controller */
> +    dev = pci_create_simple(pci_bus, PCI_DEVFN(12, 4), TYPE_VT8231_PM);
> +    i2c_bus = I2C_BUS(qdev_get_child_bus(DEVICE(dev), "i2c"));
> +    spd_data = spd_data_generate(DDR, machine->ram_size);
> +    smbus_eeprom_init_one(i2c_bus, 0x57, spd_data);
> +
> +    /* VT8231 function 5-6: AC97 Audio & Modem */
> +    pci_create_simple(pci_bus, PCI_DEVFN(12, 5), TYPE_VIA_AC97);
> +    pci_create_simple(pci_bus, PCI_DEVFN(12, 6), TYPE_VIA_MC97);
> +
> +    /* other PC hardware */
> +    pci_vga_init(pci_bus);
> +}
> +
> +static void pegasos2_machine(MachineClass *mc)
> +{
> +    mc->desc = "Genesi/bPlan Pegasos II";
> +    mc->init = pegasos2_init;
> +    mc->block_default_type = IF_IDE;
> +    mc->default_boot_order = "cd";
> +    mc->default_display = "std";
> +    mc->default_cpu_type = POWERPC_CPU_TYPE_NAME("7400_v2.9");
> +    mc->default_ram_id = "pegasos2.ram";
> +    mc->default_ram_size = 512 * MiB;
> +}
> +
> +DEFINE_MACHINE("pegasos2", pegasos2_machine)

-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 0/7] Pegasos2 emulation
  2021-03-23  0:42 ` [PATCH v10 0/7] Pegasos2 emulation David Gibson
@ 2021-03-23 12:57   ` BALATON Zoltan
  2021-03-25  1:54     ` David Gibson
  0 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-23 12:57 UTC (permalink / raw)
  To: David Gibson; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

On Tue, 23 Mar 2021, David Gibson wrote:
> On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
>> Hello,
>>
>> This is adding a new PPC board called pegasos2. More info on it can be
>> found at:
>>
>> https://osdn.net/projects/qmiga/wiki/SubprojectPegasos2
>>
>> Currently it needs a firmware ROM image that I cannot include due to
>> original copyright holder (bPlan) did not release it under a free
>> licence but I have plans to write a replacement in the future. With
>> the original board firmware it can boot MorphOS now as:
>>
>> qemu-system-ppc -M pegasos2 -cdrom morphos.iso -device ati-vga,romfile="" -serial stdio
>>
>> then enter "boot cd boot.img" at the firmware "ok" prompt as described
>> in the MorphOS.readme. To boot Linux use same command line with e.g.
>> -cdrom debian-8.11.0-powerpc-netinst.iso then enter
>> "boot cd install/pegasos"
>>
>> The last patch adds the actual board code after previous patches
>> adding VT8231 and MV64361 system controller chip emulation.
>
> I've applied 1..5 to a new ppc-for-6.1 branch.  Sorry it didn't make
> it for 6.0, I just didn't have time to look this over until too late.

Thanks but maybe you should wait if the dropped original first patch can 
be reviewed now and brought back. It would be easier for me to resubmit 
whole series rebased than port the dropped patch on top of a partly 
applied series. Also first patches without the last two is not much useful 
as the vt8231 model these add would not be used by anything else.

Regards,
BALATON Zoltan


^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II
  2021-03-23  0:55   ` David Gibson
@ 2021-03-23 13:01     ` BALATON Zoltan
  2021-03-24  1:45       ` David Gibson
  0 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-23 13:01 UTC (permalink / raw)
  To: David Gibson; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 2669 bytes --]

On Tue, 23 Mar 2021, David Gibson wrote:
> On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
>> Add new machine called pegasos2 emulating the Genesi/bPlan Pegasos II,
>> a PowerPC board based on the Marvell MV64361 system controller and the
>> VIA VT8231 integrated south bridge/superio chips. It can run Linux,
>> AmigaOS and a wide range of MorphOS versions. Currently a firmware ROM
>> image is needed to boot and only MorphOS has a video driver to produce
>> graphics output. Linux could work too but distros that supported this
>> machine don't include usual video drivers so those only run with
>> serial console for now.
>>
>> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
>> Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
>> ---
>>  MAINTAINERS                             |  10 ++
>>  default-configs/devices/ppc-softmmu.mak |   2 +
>>  hw/ppc/Kconfig                          |   9 ++
>>  hw/ppc/meson.build                      |   2 +
>>  hw/ppc/pegasos2.c                       | 144 ++++++++++++++++++++++++
>>  5 files changed, 167 insertions(+)
>>  create mode 100644 hw/ppc/pegasos2.c
>>
>> diff --git a/MAINTAINERS b/MAINTAINERS
>> index b6ab3d25a7..1c3c55ef09 100644
>> --- a/MAINTAINERS
>> +++ b/MAINTAINERS
>> @@ -1353,6 +1353,16 @@ F: pc-bios/canyonlands.dt[sb]
>>  F: pc-bios/u-boot-sam460ex-20100605.bin
>>  F: roms/u-boot-sam460ex
>>
>> +pegasos2
>> +M: BALATON Zoltan <balaton@eik.bme.hu>
>> +R: David Gibson <david@gibson.dropbear.id.au>
>> +L: qemu-ppc@nongnu.org
>> +S: Maintained
>> +F: hw/ppc/pegasos2.c
>> +F: hw/pci-host/mv64361.c
>> +F: hw/pci-host/mv643xx.h
>> +F: include/hw/pci-host/mv64361.h
>
> Oh, sorry about the comment in the previous patch.
>
>>  RISC-V Machines
>>  ---------------
>>  OpenTitan
>> diff --git a/default-configs/devices/ppc-softmmu.mak b/default-configs/devices/ppc-softmmu.mak
>> index 61b78b844d..4535993d8d 100644
>> --- a/default-configs/devices/ppc-softmmu.mak
>> +++ b/default-configs/devices/ppc-softmmu.mak
>> @@ -14,5 +14,7 @@ CONFIG_SAM460EX=y
>>  CONFIG_MAC_OLDWORLD=y
>>  CONFIG_MAC_NEWWORLD=y
>>
>> +CONFIG_PEGASOS2=y
>
> I don't think we can have this default to enabled while it requires a
> non-free ROM to start.

Not having it enabled though does not help those who might want to use it 
as they are not people who can compile their own QEMU but rely on binaries 
so adding it without also enabling it is like it wasn't there at all in 
practice. I can attempt to make some guests boot without a ROM but since 
guests expect an OpenFirmware client interface, I'll need something to 
provide that. I'm waiting for VOF to be merged for this.

Regards,
BALATON Zoltan

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  2021-03-23  0:40   ` David Gibson
@ 2021-03-23 13:31     ` BALATON Zoltan
  2021-03-24  1:48       ` David Gibson
  0 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-23 13:31 UTC (permalink / raw)
  To: David Gibson; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

On Tue, 23 Mar 2021, David Gibson wrote:
> On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
>> The Marvell Discovery II aka. MV64361 is a PowerPC system controller
>> chip that is used on the pegasos2 PPC board. This adds emulation of it
>> that models the device enough to boot guests on this board. The
>> mv643xx.h header with register definitions is taken from Linux 4.15.10
>> only fixing white space errors, removing not needed parts and changing
>> formatting for QEMU coding style.
>>
>> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
>> ---
>>  hw/pci-host/Kconfig           |   4 +
>>  hw/pci-host/meson.build       |   2 +
>>  hw/pci-host/mv64361.c         | 966 ++++++++++++++++++++++++++++++++++
>>  hw/pci-host/mv643xx.h         | 918 ++++++++++++++++++++++++++++++++
>>  hw/pci-host/trace-events      |   6 +
>>  include/hw/pci-host/mv64361.h |   8 +
>>  include/hw/pci/pci_ids.h      |   1 +
>>  7 files changed, 1905 insertions(+)
>>  create mode 100644 hw/pci-host/mv64361.c
>>  create mode 100644 hw/pci-host/mv643xx.h
>>  create mode 100644 include/hw/pci-host/mv64361.h
>
> Adding yourself to MAINTAINERS for this would be good.
>
>>
>> diff --git a/hw/pci-host/Kconfig b/hw/pci-host/Kconfig
>> index 2ccc96f02c..79c20bf28b 100644
>> --- a/hw/pci-host/Kconfig
>> +++ b/hw/pci-host/Kconfig
>> @@ -72,3 +72,7 @@ config REMOTE_PCIHOST
>>  config SH_PCI
>>      bool
>>      select PCI
>> +
>> +config MV64361
>> +    bool
>> +    select PCI
>> diff --git a/hw/pci-host/meson.build b/hw/pci-host/meson.build
>> index 87a896973e..34b3538beb 100644
>> --- a/hw/pci-host/meson.build
>> +++ b/hw/pci-host/meson.build
>> @@ -19,6 +19,8 @@ pci_ss.add(when: 'CONFIG_GRACKLE_PCI', if_true: files('grackle.c'))
>>  pci_ss.add(when: 'CONFIG_UNIN_PCI', if_true: files('uninorth.c'))
>>  # PowerPC E500 boards
>>  pci_ss.add(when: 'CONFIG_PPCE500_PCI', if_true: files('ppce500.c'))
>> +# Pegasos2
>> +pci_ss.add(when: 'CONFIG_MV64361', if_true: files('mv64361.c'))
>>
>>  # ARM devices
>>  pci_ss.add(when: 'CONFIG_VERSATILE_PCI', if_true: files('versatile.c'))
>> diff --git a/hw/pci-host/mv64361.c b/hw/pci-host/mv64361.c
>> new file mode 100644
>> index 0000000000..d71402f8b5
>> --- /dev/null
>> +++ b/hw/pci-host/mv64361.c
>> @@ -0,0 +1,966 @@
>> +/*
>> + * Marvell Discovery II MV64361 System Controller for
>> + * QEMU PowerPC CHRP (Genesi/bPlan Pegasos II) hardware System Emulator
>> + *
>> + * Copyright (c) 2018-2020 BALATON Zoltan
>> + *
>> + * This work is licensed under the GNU GPL license version 2 or later.
>> + *
>> + */
>> +
>> +#include "qemu/osdep.h"
>> +#include "qemu-common.h"
>> +#include "qemu/units.h"
>> +#include "qapi/error.h"
>> +#include "hw/hw.h"
>> +#include "hw/sysbus.h"
>> +#include "hw/pci/pci.h"
>> +#include "hw/pci/pci_host.h"
>> +#include "hw/irq.h"
>> +#include "hw/intc/i8259.h"
>> +#include "hw/qdev-properties.h"
>> +#include "exec/address-spaces.h"
>> +#include "qemu/log.h"
>> +#include "qemu/error-report.h"
>> +#include "trace.h"
>> +#include "hw/pci-host/mv64361.h"
>> +#include "mv643xx.h"
>> +
>> +#define TYPE_MV64361_PCI_BRIDGE "mv64361-pcibridge"
>> +
>> +static void mv64361_pcibridge_class_init(ObjectClass *klass, void *data)
>> +{
>> +    DeviceClass *dc = DEVICE_CLASS(klass);
>> +    PCIDeviceClass *k = PCI_DEVICE_CLASS(klass);
>> +
>> +    k->vendor_id = PCI_VENDOR_ID_MARVELL;
>> +    k->device_id = PCI_DEVICE_ID_MARVELL_MV6436X;
>> +    k->class_id = PCI_CLASS_BRIDGE_HOST;
>> +    /*
>> +     * PCI-facing part of the host bridge,
>> +     * not usable without the host-facing part
>> +     */
>> +    dc->user_creatable = false;
>> +}
>> +
>> +static const TypeInfo mv64361_pcibridge_info = {
>> +    .name          = TYPE_MV64361_PCI_BRIDGE,
>> +    .parent        = TYPE_PCI_DEVICE,
>> +    .instance_size = sizeof(PCIDevice),
>> +    .class_init    = mv64361_pcibridge_class_init,
>> +    .interfaces = (InterfaceInfo[]) {
>> +        { INTERFACE_CONVENTIONAL_PCI_DEVICE },
>> +        { },
>> +    },
>> +};
>> +
>> +
>> +#define TYPE_MV64361_PCI "mv64361-pcihost"
>> +OBJECT_DECLARE_SIMPLE_TYPE(MV64361PCIState, MV64361_PCI)
>> +
>> +struct MV64361PCIState {
>> +    PCIHostState parent_obj;
>> +
>> +    uint8_t index;
>> +    MemoryRegion io;
>> +    MemoryRegion mem;
>> +    qemu_irq irq[PCI_NUM_PINS];
>> +
>> +    uint32_t io_base;
>> +    uint32_t io_size;
>> +    uint32_t mem_base[4];
>> +    uint32_t mem_size[4];
>> +    uint64_t remap[5];
>> +};
>> +
>> +static int mv64361_pcihost_map_irq(PCIDevice *pci_dev, int n)
>> +{
>> +    return (n + PCI_SLOT(pci_dev->devfn)) % PCI_NUM_PINS;
>> +}
>> +
>> +static void mv64361_pcihost_set_irq(void *opaque, int n, int level)
>> +{
>> +    MV64361PCIState *s = opaque;
>> +    qemu_set_irq(s->irq[n], level);
>> +}
>> +
>> +static void mv64361_pcihost_realize(DeviceState *dev, Error **errp)
>> +{
>> +    MV64361PCIState *s = MV64361_PCI(dev);
>> +    PCIHostState *h = PCI_HOST_BRIDGE(dev);
>> +    char *name;
>> +
>> +    name = g_strdup_printf("pci%d-io", s->index);
>> +    memory_region_init(&s->io, OBJECT(dev), name, 0x10000);
>> +    g_free(name);
>> +    name = g_strdup_printf("pci%d-mem", s->index);
>> +    memory_region_init(&s->mem, OBJECT(dev), name, 1ULL << 32);
>> +    g_free(name);
>> +    name = g_strdup_printf("pci.%d", s->index);
>> +    h->bus = pci_register_root_bus(dev, name, mv64361_pcihost_set_irq,
>> +                                   mv64361_pcihost_map_irq, dev,
>> +                                   &s->mem, &s->io, 0, 4, TYPE_PCI_BUS);
>> +    g_free(name);
>> +    pci_create_simple(h->bus, 0, TYPE_MV64361_PCI_BRIDGE);
>> +}
>> +
>> +static Property mv64361_pcihost_props[] = {
>> +    DEFINE_PROP_UINT8("index", MV64361PCIState, index, 0),
>> +    DEFINE_PROP_END_OF_LIST()
>> +};
>> +
>> +static void mv64361_pcihost_class_init(ObjectClass *klass, void *data)
>> +{
>> +    DeviceClass *dc = DEVICE_CLASS(klass);
>> +
>> +    dc->realize = mv64361_pcihost_realize;
>> +    device_class_set_props(dc, mv64361_pcihost_props);
>> +    set_bit(DEVICE_CATEGORY_BRIDGE, dc->categories);
>> +}
>> +
>> +static const TypeInfo mv64361_pcihost_info = {
>> +       .name          = TYPE_MV64361_PCI,
>> +       .parent        = TYPE_PCI_HOST_BRIDGE,
>> +       .instance_size = sizeof(MV64361PCIState),
>> +       .class_init    = mv64361_pcihost_class_init,
>> +};
>> +
>> +static void mv64361_pci_register_types(void)
>> +{
>> +   type_register_static(&mv64361_pcihost_info);
>> +   type_register_static(&mv64361_pcibridge_info);
>> +}
>> +
>> +type_init(mv64361_pci_register_types)
>> +
>> +
>> +OBJECT_DECLARE_SIMPLE_TYPE(MV64361State, MV64361)
>> +
>> +struct MV64361State {
>> +    SysBusDevice parent_obj;
>> +
>> +    MemoryRegion regs;
>> +    MV64361PCIState pci[2];
>> +    MemoryRegion cpu_win[19];
>> +    qemu_irq cpu_irq;
>> +
>> +    /* registers state */
>> +    uint32_t cpu_conf;
>> +    uint32_t regs_base;
>> +    uint32_t base_addr_enable;
>> +    uint64_t main_int_cr;
>> +    uint64_t cpu0_int_mask;
>> +    uint32_t gpp_io;
>> +    uint32_t gpp_level;
>> +    uint32_t gpp_value;
>> +    uint32_t gpp_int_cr;
>> +    uint32_t gpp_int_mask;
>> +    bool gpp_int_level;
>> +};
>> +
>> +enum mv64361_irq_cause {
>> +    MV64361_IRQ_DEVERR = 1,
>
> Since the exact values of each of these matters, I'd prefer to see
> explicit values attached to all of them.

OK, I'll change that.

>> +    MV64361_IRQ_DMAERR,
>> +    MV64361_IRQ_CPUERR,
>> +    MV64361_IRQ_IDMA0,
>> +    MV64361_IRQ_IDMA1,
>> +    MV64361_IRQ_IDMA2,
>> +    MV64361_IRQ_IDMA3,
>> +    MV64361_IRQ_TIMER0,
>> +    MV64361_IRQ_TIMER1,
>> +    MV64361_IRQ_TIMER2,
>> +    MV64361_IRQ_TIMER3,
>> +    MV64361_IRQ_PCI0,
>> +    MV64361_IRQ_SRAMERR,
>> +    MV64361_IRQ_GBEERR,
>> +    MV64361_IRQ_CERR,
>> +    MV64361_IRQ_PCI1,
>> +    MV64361_IRQ_DRAMERR,
>> +    MV64361_IRQ_WDNMI,
>> +    MV64361_IRQ_WDE,
>> +    MV64361_IRQ_PCI0IN,
>> +    MV64361_IRQ_PCI0OUT,
>> +    MV64361_IRQ_PCI1IN,
>> +    MV64361_IRQ_PCI1OUT,
>> +    MV64361_IRQ_P1_GPP0_7,
>> +    MV64361_IRQ_P1_GPP8_15,
>> +    MV64361_IRQ_P1_GPP16_23,
>> +    MV64361_IRQ_P1_GPP24_31,
>> +    MV64361_IRQ_P1_CPU_DB,
>> +    /* 29-31: reserved */
>> +    MV64361_IRQ_GBE0 = 32,
>> +    MV64361_IRQ_GBE1,
>> +    MV64361_IRQ_GBE2,
>> +    /* 35: reserved */
>> +    MV64361_IRQ_SDMA0 = 36,
>> +    MV64361_IRQ_TWSI,
>> +    MV64361_IRQ_SDMA1,
>> +    MV64361_IRQ_BRG,
>> +    MV64361_IRQ_MPSC0,
>> +    MV64361_IRQ_MPSC1,
>> +    MV64361_IRQ_G0RX,
>> +    MV64361_IRQ_G0TX,
>> +    MV64361_IRQ_G0MISC,
>> +    MV64361_IRQ_G1RX,
>> +    MV64361_IRQ_G1TX,
>> +    MV64361_IRQ_G1MISC,
>> +    MV64361_IRQ_G2RX,
>> +    MV64361_IRQ_G2TX,
>> +    MV64361_IRQ_G2MISC,
>> +    /* 51-55: reserved */
>> +    MV64361_IRQ_P0_GPP0_7 = 56,
>> +    MV64361_IRQ_P0_GPP8_15,
>> +    MV64361_IRQ_P0_GPP16_23,
>> +    MV64361_IRQ_P0_GPP24_31,
>> +    MV64361_IRQ_P0_CPU_DB,
>> +    /* 61-63: reserved */
>> +};
>> +
>> +PCIBus *mv64361_get_pci_bus(DeviceState *dev, int n)
>> +{
>> +    MV64361State *mv = MV64361(dev);
>> +    return PCI_HOST_BRIDGE(&mv->pci[n])->bus;
>> +}
>> +
>> +static void unmap_region(MemoryRegion *mr)
>> +{
>> +    if (memory_region_is_mapped(mr)) {
>> +        memory_region_del_subregion(get_system_memory(), mr);
>> +        object_unparent(OBJECT(mr));
>> +    }
>> +}
>> +
>> +static void map_pci_region(MemoryRegion *mr, MemoryRegion *parent,
>> +                           struct Object *owner, const char *name,
>> +                           hwaddr poffs, uint64_t size, hwaddr moffs)
>> +{
>> +    memory_region_init_alias(mr, owner, name, parent, poffs, size);
>> +    memory_region_add_subregion(get_system_memory(), moffs, mr);
>> +    trace_mv64361_region_map(name, poffs, size, moffs);
>> +}
>> +
>> +static void setup_mem_windows(MV64361State *s, uint32_t val)
>> +{
>> +    MV64361PCIState *p;
>> +    MemoryRegion *mr;
>> +    uint32_t mask;
>> +    int i;
>> +
>> +    val &= 0x1fffff;
>> +    for (mask = 1, i = 0; i < 21; i++, mask <<= 1) {
>
> Having a loop, where nearly the entire body is a switch over the loop
> variable seems a rather odd choice to me, compared to just unrolling
> the whole thing.  Or alternatively, maybe more can be be factored out
> of the switch into common body code.

The loop is really over the bits in val that say which memory regions to 
enable so depending on this we need to touch different mem regions. For 
selecting those memory regions and what to do with them a switch seems to 
be obvious choice. I probably can't factor out anything as these lines in 
switch cases are similar but all differ in some little details (like which 
PCI bus, name of the region, etc.). Unrolling could be possible but would 
just add lines between cases that's now in the loop head so I really don't 
see why would that be better. Maybe renaming the loop variable from i to 
something that makes the intent clearer could help but I don't know what 
you'd like better. Can you suggest something?

>> +        if ((val & mask) != (s->base_addr_enable & mask)) {
>> +            trace_mv64361_region_enable(!(val & mask) ? "enable" : "disable", i);
>> +            switch (i) {
>> +            /*
>> +             * 0-3 are SDRAM chip selects but we map all RAM directly
>> +             * 4-7 are device chip selects (not sure what those are)
>> +             * 8 is Boot device (ROM) chip select but we map that directly too
>> +             */
>> +            case 9:
>> +                p = &s->pci[0];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->io, OBJECT(s), "pci0-io-win",
>> +                                   p->remap[4], (p->io_size + 1) << 16,
>> +                                   (p->io_base & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 10:
>> +                p = &s->pci[0];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem0-win",
>> +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
>> +                                   (p->mem_base[0] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 11:
>> +                p = &s->pci[0];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem1-win",
>> +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
>> +                                   (p->mem_base[1] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 12:
>> +                p = &s->pci[0];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem2-win",
>> +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
>> +                                   (p->mem_base[2] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 13:
>> +                p = &s->pci[0];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem3-win",
>> +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
>> +                                   (p->mem_base[3] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 14:
>> +                p = &s->pci[1];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->io, OBJECT(s), "pci1-io-win",
>> +                                   p->remap[4], (p->io_size + 1) << 16,
>> +                                   (p->io_base & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 15:
>> +                p = &s->pci[1];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem0-win",
>> +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
>> +                                   (p->mem_base[0] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 16:
>> +                p = &s->pci[1];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem1-win",
>> +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
>> +                                   (p->mem_base[1] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 17:
>> +                p = &s->pci[1];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem2-win",
>> +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
>> +                                   (p->mem_base[2] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            case 18:
>> +                p = &s->pci[1];
>> +                mr = &s->cpu_win[i];
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem3-win",
>> +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
>> +                                   (p->mem_base[3] & 0xfffff) << 16);
>> +                }
>> +                break;
>> +            /* 19 is integrated SRAM */
>> +            case 20:
>> +                mr = &s->regs;
>> +                unmap_region(mr);
>> +                if (!(val & mask)) {
>> +                    memory_region_add_subregion(get_system_memory(),
>> +                        (s->regs_base & 0xfffff) << 16, mr);
>> +                }
>> +                break;
>> +            }
>> +        }
>> +    }
>> +}
>> +
>> +static void mv64361_update_irq(void *opaque, int n, int level)
>> +{
>> +    MV64361State *s = opaque;
>> +    uint64_t val = s->main_int_cr;
>> +
>> +    if (level) {
>> +        val |= BIT_ULL(n);
>> +    } else {
>> +        val &= ~BIT_ULL(n);
>> +    }
>> +    if ((s->main_int_cr & s->cpu0_int_mask) != (val & s->cpu0_int_mask)) {
>> +        qemu_set_irq(s->cpu_irq, level);
>> +    }
>> +    s->main_int_cr = val;
>> +}
>> +
>> +static uint64_t mv64361_read(void *opaque, hwaddr addr, unsigned int size)
>> +{
>> +    MV64361State *s = MV64361(opaque);
>> +    uint32_t ret = 0;
>> +
>> +    switch (addr) {
>> +    case MV64340_CPU_CONFIG:
>> +        ret = s->cpu_conf;
>> +        break;
>> +    case MV64340_PCI_0_IO_BASE_ADDR:
>> +        ret = s->pci[0].io_base;
>
> Reaching into the PCI internal state here doesn't seem great, although
> I'll admit I can't quickly see another way to do it that wouldn't be
> horribly verbose.

The MV64361PCIState is an internal class representing a PCI bus so 
touching it from the parent object should not be that bad as this is only 
put in a separate object for separating it from the other functions and 
make it easy to have two of them without much repetition. Otherwise it's 
part of the implementation of the main MV64361 class so touching it from 
that should be OK. I'd consider touching internals of an unrelated object 
bad but that's not the case here. The MV64361 and MV64361PCI are friend 
classes.

>> +        break;
>> +    case MV64340_PCI_0_IO_SIZE:
>> +        ret = s->pci[0].io_size;
>> +        break;
>> +    case MV64340_PCI_0_IO_ADDR_REMAP:
>> +        ret = s->pci[0].remap[4] >> 16;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
>> +        ret = s->pci[0].mem_base[0];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_SIZE:
>> +        ret = s->pci[0].mem_size[0];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
>> +        ret = (s->pci[0].remap[0] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
>> +        ret = s->pci[0].remap[0] >> 32;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
>> +        ret = s->pci[0].mem_base[1];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_SIZE:
>> +        ret = s->pci[0].mem_size[1];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
>> +        ret = (s->pci[0].remap[1] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
>> +        ret = s->pci[0].remap[1] >> 32;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
>> +        ret = s->pci[0].mem_base[2];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_SIZE:
>> +        ret = s->pci[0].mem_size[2];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
>> +        ret = (s->pci[0].remap[2] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
>> +        ret = s->pci[0].remap[2] >> 32;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
>> +        ret = s->pci[0].mem_base[3];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_SIZE:
>> +        ret = s->pci[0].mem_size[3];
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
>> +        ret = (s->pci[0].remap[3] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
>> +        ret = s->pci[0].remap[3] >> 32;
>> +        break;
>> +    case MV64340_PCI_1_IO_BASE_ADDR:
>> +        ret = s->pci[1].io_base;
>> +        break;
>> +    case MV64340_PCI_1_IO_SIZE:
>> +        ret = s->pci[1].io_size;
>> +        break;
>> +    case MV64340_PCI_1_IO_ADDR_REMAP:
>> +        ret = s->pci[1].remap[4] >> 16;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
>> +        ret = s->pci[1].mem_base[0];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_SIZE:
>> +        ret = s->pci[1].mem_size[0];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
>> +        ret = (s->pci[1].remap[0] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
>> +        ret = s->pci[1].remap[0] >> 32;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
>> +        ret = s->pci[1].mem_base[1];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_SIZE:
>> +        ret = s->pci[1].mem_size[1];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
>> +        ret = (s->pci[1].remap[1] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
>> +        ret = s->pci[1].remap[1] >> 32;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
>> +        ret = s->pci[1].mem_base[2];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_SIZE:
>> +        ret = s->pci[1].mem_size[2];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
>> +        ret = (s->pci[1].remap[2] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
>> +        ret = s->pci[1].remap[2] >> 32;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
>> +        ret = s->pci[1].mem_base[3];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_SIZE:
>> +        ret = s->pci[1].mem_size[3];
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
>> +        ret = (s->pci[1].remap[3] & 0xffff0000) >> 16;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
>> +        ret = s->pci[1].remap[3] >> 32;
>> +        break;
>> +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
>> +        ret = s->regs_base;
>> +        break;
>> +    case MV64340_BASE_ADDR_ENABLE:
>> +        ret = s->base_addr_enable;
>> +        break;
>> +    case MV64340_PCI_0_CONFIG_ADDR:
>> +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]), 0, size);
>> +        break;
>> +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
>> +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
>> +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]),
>> +                  addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, size);
>> +        break;
>> +    case MV64340_PCI_1_CONFIG_ADDR:
>> +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]), 0, size);
>> +        break;
>> +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
>> +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
>> +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]),
>> +                  addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, size);
>> +        break;
>> +    case MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG:
>> +        /* FIXME: Should this be sent via the PCI bus somehow? */
>> +        if (s->gpp_int_level && (s->gpp_value & BIT(31))) {
>> +            ret = pic_read_irq(isa_pic);
>> +        }
>> +        break;
>> +    case MV64340_MAIN_INTERRUPT_CAUSE_LOW:
>> +        ret = s->main_int_cr;
>> +        break;
>> +    case MV64340_MAIN_INTERRUPT_CAUSE_HIGH:
>> +        ret = s->main_int_cr >> 32;
>> +        break;
>> +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
>> +        ret = s->cpu0_int_mask;
>> +        break;
>> +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
>> +        ret = s->cpu0_int_mask >> 32;
>> +        break;
>> +    case MV64340_CPU_INTERRUPT0_SELECT_CAUSE:
>> +        ret = s->main_int_cr;
>> +        if (s->main_int_cr & s->cpu0_int_mask) {
>> +            if (!(s->main_int_cr & s->cpu0_int_mask & 0xffffffff)) {
>> +                ret = s->main_int_cr >> 32 | BIT(30);
>> +            } else if ((s->main_int_cr & s->cpu0_int_mask) >> 32) {
>> +                ret |= BIT(31);
>> +            }
>> +        }
>> +        break;
>> +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
>> +        ret = 0x11ff0000 | (s->gpp_int_level << 10);
>> +        break;
>> +    case MV64340_GPP_IO_CONTROL:
>> +        ret = s->gpp_io;
>> +        break;
>> +    case MV64340_GPP_LEVEL_CONTROL:
>> +        ret = s->gpp_level;
>> +        break;
>> +    case MV64340_GPP_VALUE:
>> +        ret = s->gpp_value;
>> +        break;
>> +    case MV64340_GPP_VALUE_SET:
>> +    case MV64340_GPP_VALUE_CLEAR:
>> +        ret = 0;
>> +        break;
>> +    case MV64340_GPP_INTERRUPT_CAUSE:
>> +        ret = s->gpp_int_cr;
>> +        break;
>> +    case MV64340_GPP_INTERRUPT_MASK0:
>> +    case MV64340_GPP_INTERRUPT_MASK1:
>> +        ret = s->gpp_int_mask;
>> +        break;
>> +    default:
>> +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register read 0x%"
>> +                      HWADDR_PRIx "\n", __func__, addr);
>> +        break;
>> +    }
>> +    if (addr != MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG) {
>> +        trace_mv64361_reg_read(addr, ret);
>> +    }
>> +    return ret;
>> +}
>> +
>> +static void warn_swap_bit(uint64_t val)
>> +{
>> +    if ((val & 0x3000000ULL) >> 24 != 1) {
>> +        qemu_log_mask(LOG_UNIMP, "%s: Data swap not implemented", __func__);
>> +    }
>> +}
>> +
>> +static void mv64361_set_pci_mem_remap(MV64361State *s, int bus, int idx,
>> +                                      uint64_t val, bool high)
>> +{
>> +    if (high) {
>> +        s->pci[bus].remap[idx] = val;
>> +    } else {
>> +        s->pci[bus].remap[idx] &= 0xffffffff00000000ULL;
>> +        s->pci[bus].remap[idx] |= (val & 0xffffULL) << 16;
>> +    }
>> +}
>> +
>> +static void mv64361_write(void *opaque, hwaddr addr, uint64_t val,
>> +                          unsigned int size)
>> +{
>> +    MV64361State *s = MV64361(opaque);
>> +
>> +    trace_mv64361_reg_write(addr, val);
>> +    switch (addr) {
>> +    case MV64340_CPU_CONFIG:
>> +        s->cpu_conf = val & 0xe4e3bffULL;
>> +        s->cpu_conf |= BIT(23);
>> +        break;
>> +    case MV64340_PCI_0_IO_BASE_ADDR:
>> +        s->pci[0].io_base = val & 0x30fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            s->pci[0].remap[4] = (val & 0xffffULL) << 16;
>> +        }
>> +        break;
>> +    case MV64340_PCI_0_IO_SIZE:
>> +        s->pci[0].io_size = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_0_IO_ADDR_REMAP:
>> +        s->pci[0].remap[4] = (val & 0xffffULL) << 16;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
>> +        s->pci[0].mem_base[0] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 0, 0, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_SIZE:
>> +        s->pci[0].mem_size[0] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 0, 0, val,
>> +            (addr == MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
>> +        s->pci[0].mem_base[1] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 0, 1, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_SIZE:
>> +        s->pci[0].mem_size[1] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 0, 1, val,
>> +            (addr == MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
>> +        s->pci[0].mem_base[2] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 0, 2, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_SIZE:
>> +        s->pci[0].mem_size[2] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 0, 2, val,
>> +            (addr == MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
>> +        s->pci[0].mem_base[3] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 0, 3, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_SIZE:
>> +        s->pci[0].mem_size[3] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 0, 3, val,
>> +            (addr == MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_1_IO_BASE_ADDR:
>> +        s->pci[1].io_base = val & 0x30fffffULL;
>> +        warn_swap_bit(val);
>> +        break;
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            s->pci[1].remap[4] = (val & 0xffffULL) << 16;
>> +        }
>> +        break;
>> +    case MV64340_PCI_1_IO_SIZE:
>> +        s->pci[1].io_size = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
>> +        s->pci[1].mem_base[0] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 1, 0, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_SIZE:
>> +        s->pci[1].mem_size[0] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 1, 0, val,
>> +            (addr == MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
>> +        s->pci[1].mem_base[1] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 1, 1, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_SIZE:
>> +        s->pci[1].mem_size[1] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 1, 1, val,
>> +            (addr == MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
>> +        s->pci[1].mem_base[2] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 1, 2, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_SIZE:
>> +        s->pci[1].mem_size[2] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 1, 2, val,
>> +            (addr == MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
>> +        s->pci[1].mem_base[3] = val & 0x70fffffULL;
>> +        warn_swap_bit(val);
>> +        if (!(s->cpu_conf & BIT(27))) {
>> +            mv64361_set_pci_mem_remap(s, 1, 3, val, false);
>> +        }
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_SIZE:
>> +        s->pci[1].mem_size[3] = val & 0xffffULL;
>> +        break;
>> +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
>> +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
>> +        mv64361_set_pci_mem_remap(s, 1, 3, val,
>> +            (addr == MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP));
>> +        break;
>> +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
>> +        s->regs_base = val & 0xfffffULL;
>> +        break;
>> +    case MV64340_BASE_ADDR_ENABLE:
>> +        setup_mem_windows(s, val);
>> +        s->base_addr_enable = val & 0x1fffffULL;
>
> AFAICT every call to setup_mem_windows() is followed by an update to
> base_addr_enable, so it probably makes sense to fold that update into
> setup_mem_windows().

Maybe that could be done. It would probably save about two lines of code 
and I'd rename setup_mem_windows to something like set_mem_windows then. 
Is that worth the effort?

>> +        break;
>> +    case MV64340_PCI_0_CONFIG_ADDR:
>> +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]), 0, val, size);
>> +        break;
>> +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
>> +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
>> +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]),
>> +            addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, val, size);
>> +        break;
>> +    case MV64340_PCI_1_CONFIG_ADDR:
>> +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]), 0, val, size);
>> +        break;
>> +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
>> +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
>> +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]),
>> +            addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, val, size);
>> +        break;
>> +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
>> +        s->cpu0_int_mask &= 0xffffffff00000000ULL;
>> +        s->cpu0_int_mask |= val & 0xffffffffULL;
>> +        break;
>> +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
>> +        s->cpu0_int_mask &= 0xffffffffULL;
>> +        s->cpu0_int_mask |= val << 32;
>> +        break;
>> +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
>> +        s->gpp_int_level = !!(val & BIT(10));
>> +        break;
>> +    case MV64340_GPP_IO_CONTROL:
>> +        s->gpp_io = val;
>> +        break;
>> +    case MV64340_GPP_LEVEL_CONTROL:
>> +        s->gpp_level = val;
>> +        break;
>> +    case MV64340_GPP_VALUE:
>> +        s->gpp_value &= ~s->gpp_io;
>> +        s->gpp_value |= val & s->gpp_io;
>> +        break;
>> +    case MV64340_GPP_VALUE_SET:
>> +        s->gpp_value |= val & s->gpp_io;
>> +        break;
>> +    case MV64340_GPP_VALUE_CLEAR:
>> +        s->gpp_value &= ~(val & s->gpp_io);
>> +        break;
>> +    case MV64340_GPP_INTERRUPT_CAUSE:
>> +        if (!s->gpp_int_level && val != s->gpp_int_cr) {
>> +            int i;
>> +            uint32_t ch = s->gpp_int_cr ^ val;
>> +            s->gpp_int_cr = val;
>> +            for (i = 0; i < 4; i++) {
>> +                if ((ch & 0xff << i) && !(val & 0xff << i)) {
>> +                    mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + i, 0);
>> +                }
>> +            }
>> +        } else {
>> +            s->gpp_int_cr = val;
>> +        }
>> +        break;
>> +    case MV64340_GPP_INTERRUPT_MASK0:
>> +    case MV64340_GPP_INTERRUPT_MASK1:
>> +        s->gpp_int_mask = val;
>> +        break;
>> +    default:
>> +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register write 0x%"
>> +                      HWADDR_PRIx " = %"PRIx64"\n", __func__, addr, val);
>> +        break;
>> +    }
>> +}
>> +
>> +static const MemoryRegionOps mv64361_ops = {
>> +    .read = mv64361_read,
>> +    .write = mv64361_write,
>> +    .valid.min_access_size = 1,
>> +    .valid.max_access_size = 4,
>> +    .endianness = DEVICE_LITTLE_ENDIAN,
>> +};
>> +
>> +static void mv64361_gpp_irq(void *opaque, int n, int level)
>> +{
>> +    MV64361State *s = opaque;
>> +    uint32_t mask = BIT(n);
>> +    uint32_t val = s->gpp_value & ~mask;
>> +
>> +    if (s->gpp_level & mask) {
>> +        level = !level;
>> +    }
>> +    val |= level << n;
>> +    if (val > s->gpp_value) {
>> +        s->gpp_value = val;
>> +        s->gpp_int_cr |= mask;
>> +        if (s->gpp_int_mask & mask) {
>> +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + n / 8, 1);
>> +        }
>> +    } else if (val < s->gpp_value) {
>> +        int b = n / 8;
>> +        s->gpp_value = val;
>> +        if (s->gpp_int_level && !(val & 0xff << b)) {
>> +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + b, 0);
>> +        }
>> +    }
>> +}
>> +
>> +static void mv64361_realize(DeviceState *dev, Error **errp)
>> +{
>> +    MV64361State *s = MV64361(dev);
>> +    int i;
>> +
>> +    s->base_addr_enable = 0x1fffff;
>> +    memory_region_init_io(&s->regs, OBJECT(s), &mv64361_ops, s,
>> +                          TYPE_MV64361, 0x10000);
>> +    for (i = 0; i < 2; i++) {
>> +        char *name = g_strdup_printf("pcihost%d", i);
>> +        object_initialize_child(OBJECT(dev), name, &s->pci[i],
>> +                                TYPE_MV64361_PCI);
>> +        g_free(name);
>
> You can avoid some of these awkward free()s using a g_autofree
> annotation.

This one is pretty simple but for others it might help a little. I need to 
find out how to use g_autofree...

Regards,
BALATON Zoltan


^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II
  2021-03-23 13:01     ` BALATON Zoltan
@ 2021-03-24  1:45       ` David Gibson
  2021-03-24 11:21         ` BALATON Zoltan
  0 siblings, 1 reply; 24+ messages in thread
From: David Gibson @ 2021-03-24  1:45 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 3436 bytes --]

On Tue, Mar 23, 2021 at 02:01:27PM +0100, BALATON Zoltan wrote:
> On Tue, 23 Mar 2021, David Gibson wrote:
> > On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> > > Add new machine called pegasos2 emulating the Genesi/bPlan Pegasos II,
> > > a PowerPC board based on the Marvell MV64361 system controller and the
> > > VIA VT8231 integrated south bridge/superio chips. It can run Linux,
> > > AmigaOS and a wide range of MorphOS versions. Currently a firmware ROM
> > > image is needed to boot and only MorphOS has a video driver to produce
> > > graphics output. Linux could work too but distros that supported this
> > > machine don't include usual video drivers so those only run with
> > > serial console for now.
> > > 
> > > Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
> > > Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
> > > ---
> > >  MAINTAINERS                             |  10 ++
> > >  default-configs/devices/ppc-softmmu.mak |   2 +
> > >  hw/ppc/Kconfig                          |   9 ++
> > >  hw/ppc/meson.build                      |   2 +
> > >  hw/ppc/pegasos2.c                       | 144 ++++++++++++++++++++++++
> > >  5 files changed, 167 insertions(+)
> > >  create mode 100644 hw/ppc/pegasos2.c
> > > 
> > > diff --git a/MAINTAINERS b/MAINTAINERS
> > > index b6ab3d25a7..1c3c55ef09 100644
> > > --- a/MAINTAINERS
> > > +++ b/MAINTAINERS
> > > @@ -1353,6 +1353,16 @@ F: pc-bios/canyonlands.dt[sb]
> > >  F: pc-bios/u-boot-sam460ex-20100605.bin
> > >  F: roms/u-boot-sam460ex
> > > 
> > > +pegasos2
> > > +M: BALATON Zoltan <balaton@eik.bme.hu>
> > > +R: David Gibson <david@gibson.dropbear.id.au>
> > > +L: qemu-ppc@nongnu.org
> > > +S: Maintained
> > > +F: hw/ppc/pegasos2.c
> > > +F: hw/pci-host/mv64361.c
> > > +F: hw/pci-host/mv643xx.h
> > > +F: include/hw/pci-host/mv64361.h
> > 
> > Oh, sorry about the comment in the previous patch.
> > 
> > >  RISC-V Machines
> > >  ---------------
> > >  OpenTitan
> > > diff --git a/default-configs/devices/ppc-softmmu.mak b/default-configs/devices/ppc-softmmu.mak
> > > index 61b78b844d..4535993d8d 100644
> > > --- a/default-configs/devices/ppc-softmmu.mak
> > > +++ b/default-configs/devices/ppc-softmmu.mak
> > > @@ -14,5 +14,7 @@ CONFIG_SAM460EX=y
> > >  CONFIG_MAC_OLDWORLD=y
> > >  CONFIG_MAC_NEWWORLD=y
> > > 
> > > +CONFIG_PEGASOS2=y
> > 
> > I don't think we can have this default to enabled while it requires a
> > non-free ROM to start.
> 
> Not having it enabled though does not help those who might want to use it as
> they are not people who can compile their own QEMU but rely on binaries so
> adding it without also enabling it is like it wasn't there at all in
> practice.

Not convinced, sorry.  If it's not usable out of the box, having to
build from source is kind of expected.  Or you could convince someone
(or do it yourself) to provide prebuild binaries for this purpose that
have the right things enabled.

> I can attempt to make some guests boot without a ROM but since
> guests expect an OpenFirmware client interface, I'll need something to
> provide that. I'm waiting for VOF to be merged for this.
> 
> Regards,
> BALATON Zoltan


-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  2021-03-23 13:31     ` BALATON Zoltan
@ 2021-03-24  1:48       ` David Gibson
  2021-03-24 11:11         ` BALATON Zoltan
  0 siblings, 1 reply; 24+ messages in thread
From: David Gibson @ 2021-03-24  1:48 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 30792 bytes --]

On Tue, Mar 23, 2021 at 02:31:07PM +0100, BALATON Zoltan wrote:
> On Tue, 23 Mar 2021, David Gibson wrote:
> > On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
[snip]
> > > +static void setup_mem_windows(MV64361State *s, uint32_t val)
> > > +{
> > > +    MV64361PCIState *p;
> > > +    MemoryRegion *mr;
> > > +    uint32_t mask;
> > > +    int i;
> > > +
> > > +    val &= 0x1fffff;
> > > +    for (mask = 1, i = 0; i < 21; i++, mask <<= 1) {
> > 
> > Having a loop, where nearly the entire body is a switch over the loop
> > variable seems a rather odd choice to me, compared to just unrolling
> > the whole thing.  Or alternatively, maybe more can be be factored out
> > of the switch into common body code.
> 
> The loop is really over the bits in val that say which memory regions to

I see that, but it doesn't change the point.

> enable so depending on this we need to touch different mem regions. For
> selecting those memory regions and what to do with them a switch seems to be
> obvious choice. I probably can't factor out anything as these lines in
> switch cases are similar but all differ in some little details (like which
> PCI bus, name of the region, etc.). Unrolling could be possible but would
> just add lines between cases that's now in the loop head so I really don't

I see only 2 common lines, which basically balances about the case and
break lines in every switchcase.

> see why would that be better. Maybe renaming the loop variable from i to
> something that makes the intent clearer could help but I don't know what
> you'd like better. Can you suggest something?
> 
> > > +        if ((val & mask) != (s->base_addr_enable & mask)) {
> > > +            trace_mv64361_region_enable(!(val & mask) ? "enable" : "disable", i);
> > > +            switch (i) {
> > > +            /*
> > > +             * 0-3 are SDRAM chip selects but we map all RAM directly
> > > +             * 4-7 are device chip selects (not sure what those are)
> > > +             * 8 is Boot device (ROM) chip select but we map that directly too
> > > +             */
> > > +            case 9:
> > > +                p = &s->pci[0];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->io, OBJECT(s), "pci0-io-win",
> > > +                                   p->remap[4], (p->io_size + 1) << 16,
> > > +                                   (p->io_base & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 10:
> > > +                p = &s->pci[0];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem0-win",
> > > +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
> > > +                                   (p->mem_base[0] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 11:
> > > +                p = &s->pci[0];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem1-win",
> > > +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
> > > +                                   (p->mem_base[1] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 12:
> > > +                p = &s->pci[0];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem2-win",
> > > +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
> > > +                                   (p->mem_base[2] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 13:
> > > +                p = &s->pci[0];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem3-win",
> > > +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
> > > +                                   (p->mem_base[3] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 14:
> > > +                p = &s->pci[1];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->io, OBJECT(s), "pci1-io-win",
> > > +                                   p->remap[4], (p->io_size + 1) << 16,
> > > +                                   (p->io_base & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 15:
> > > +                p = &s->pci[1];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem0-win",
> > > +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
> > > +                                   (p->mem_base[0] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 16:
> > > +                p = &s->pci[1];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem1-win",
> > > +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
> > > +                                   (p->mem_base[1] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 17:
> > > +                p = &s->pci[1];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem2-win",
> > > +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
> > > +                                   (p->mem_base[2] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            case 18:
> > > +                p = &s->pci[1];
> > > +                mr = &s->cpu_win[i];
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem3-win",
> > > +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
> > > +                                   (p->mem_base[3] & 0xfffff) << 16);
> > > +                }
> > > +                break;
> > > +            /* 19 is integrated SRAM */
> > > +            case 20:
> > > +                mr = &s->regs;
> > > +                unmap_region(mr);
> > > +                if (!(val & mask)) {
> > > +                    memory_region_add_subregion(get_system_memory(),
> > > +                        (s->regs_base & 0xfffff) << 16, mr);
> > > +                }
> > > +                break;
> > > +            }
> > > +        }
> > > +    }
> > > +}
> > > +
> > > +static void mv64361_update_irq(void *opaque, int n, int level)
> > > +{
> > > +    MV64361State *s = opaque;
> > > +    uint64_t val = s->main_int_cr;
> > > +
> > > +    if (level) {
> > > +        val |= BIT_ULL(n);
> > > +    } else {
> > > +        val &= ~BIT_ULL(n);
> > > +    }
> > > +    if ((s->main_int_cr & s->cpu0_int_mask) != (val & s->cpu0_int_mask)) {
> > > +        qemu_set_irq(s->cpu_irq, level);
> > > +    }
> > > +    s->main_int_cr = val;
> > > +}
> > > +
> > > +static uint64_t mv64361_read(void *opaque, hwaddr addr, unsigned int size)
> > > +{
> > > +    MV64361State *s = MV64361(opaque);
> > > +    uint32_t ret = 0;
> > > +
> > > +    switch (addr) {
> > > +    case MV64340_CPU_CONFIG:
> > > +        ret = s->cpu_conf;
> > > +        break;
> > > +    case MV64340_PCI_0_IO_BASE_ADDR:
> > > +        ret = s->pci[0].io_base;
> > 
> > Reaching into the PCI internal state here doesn't seem great, although
> > I'll admit I can't quickly see another way to do it that wouldn't be
> > horribly verbose.
> 
> The MV64361PCIState is an internal class representing a PCI bus so touching
> it from the parent object should not be that bad as this is only put in a
> separate object for separating it from the other functions and make it easy
> to have two of them without much repetition. Otherwise it's part of the
> implementation of the main MV64361 class so touching it from that should be
> OK. I'd consider touching internals of an unrelated object bad but that's
> not the case here. The MV64361 and MV64361PCI are friend classes.

Not really a QOM concept AFAIK, but sure, I'll buy it.

> > > +        break;
> > > +    case MV64340_PCI_0_IO_SIZE:
> > > +        ret = s->pci[0].io_size;
> > > +        break;
> > > +    case MV64340_PCI_0_IO_ADDR_REMAP:
> > > +        ret = s->pci[0].remap[4] >> 16;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
> > > +        ret = s->pci[0].mem_base[0];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_SIZE:
> > > +        ret = s->pci[0].mem_size[0];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[0].remap[0] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[0].remap[0] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
> > > +        ret = s->pci[0].mem_base[1];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_SIZE:
> > > +        ret = s->pci[0].mem_size[1];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[0].remap[1] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[0].remap[1] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
> > > +        ret = s->pci[0].mem_base[2];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_SIZE:
> > > +        ret = s->pci[0].mem_size[2];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[0].remap[2] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[0].remap[2] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
> > > +        ret = s->pci[0].mem_base[3];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_SIZE:
> > > +        ret = s->pci[0].mem_size[3];
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[0].remap[3] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[0].remap[3] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_1_IO_BASE_ADDR:
> > > +        ret = s->pci[1].io_base;
> > > +        break;
> > > +    case MV64340_PCI_1_IO_SIZE:
> > > +        ret = s->pci[1].io_size;
> > > +        break;
> > > +    case MV64340_PCI_1_IO_ADDR_REMAP:
> > > +        ret = s->pci[1].remap[4] >> 16;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
> > > +        ret = s->pci[1].mem_base[0];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_SIZE:
> > > +        ret = s->pci[1].mem_size[0];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[1].remap[0] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[1].remap[0] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
> > > +        ret = s->pci[1].mem_base[1];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_SIZE:
> > > +        ret = s->pci[1].mem_size[1];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[1].remap[1] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[1].remap[1] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
> > > +        ret = s->pci[1].mem_base[2];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_SIZE:
> > > +        ret = s->pci[1].mem_size[2];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[1].remap[2] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[1].remap[2] >> 32;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
> > > +        ret = s->pci[1].mem_base[3];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_SIZE:
> > > +        ret = s->pci[1].mem_size[3];
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
> > > +        ret = (s->pci[1].remap[3] & 0xffff0000) >> 16;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
> > > +        ret = s->pci[1].remap[3] >> 32;
> > > +        break;
> > > +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
> > > +        ret = s->regs_base;
> > > +        break;
> > > +    case MV64340_BASE_ADDR_ENABLE:
> > > +        ret = s->base_addr_enable;
> > > +        break;
> > > +    case MV64340_PCI_0_CONFIG_ADDR:
> > > +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]), 0, size);
> > > +        break;
> > > +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
> > > +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
> > > +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]),
> > > +                  addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, size);
> > > +        break;
> > > +    case MV64340_PCI_1_CONFIG_ADDR:
> > > +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]), 0, size);
> > > +        break;
> > > +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
> > > +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
> > > +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]),
> > > +                  addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, size);
> > > +        break;
> > > +    case MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG:
> > > +        /* FIXME: Should this be sent via the PCI bus somehow? */
> > > +        if (s->gpp_int_level && (s->gpp_value & BIT(31))) {
> > > +            ret = pic_read_irq(isa_pic);
> > > +        }
> > > +        break;
> > > +    case MV64340_MAIN_INTERRUPT_CAUSE_LOW:
> > > +        ret = s->main_int_cr;
> > > +        break;
> > > +    case MV64340_MAIN_INTERRUPT_CAUSE_HIGH:
> > > +        ret = s->main_int_cr >> 32;
> > > +        break;
> > > +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
> > > +        ret = s->cpu0_int_mask;
> > > +        break;
> > > +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
> > > +        ret = s->cpu0_int_mask >> 32;
> > > +        break;
> > > +    case MV64340_CPU_INTERRUPT0_SELECT_CAUSE:
> > > +        ret = s->main_int_cr;
> > > +        if (s->main_int_cr & s->cpu0_int_mask) {
> > > +            if (!(s->main_int_cr & s->cpu0_int_mask & 0xffffffff)) {
> > > +                ret = s->main_int_cr >> 32 | BIT(30);
> > > +            } else if ((s->main_int_cr & s->cpu0_int_mask) >> 32) {
> > > +                ret |= BIT(31);
> > > +            }
> > > +        }
> > > +        break;
> > > +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
> > > +        ret = 0x11ff0000 | (s->gpp_int_level << 10);
> > > +        break;
> > > +    case MV64340_GPP_IO_CONTROL:
> > > +        ret = s->gpp_io;
> > > +        break;
> > > +    case MV64340_GPP_LEVEL_CONTROL:
> > > +        ret = s->gpp_level;
> > > +        break;
> > > +    case MV64340_GPP_VALUE:
> > > +        ret = s->gpp_value;
> > > +        break;
> > > +    case MV64340_GPP_VALUE_SET:
> > > +    case MV64340_GPP_VALUE_CLEAR:
> > > +        ret = 0;
> > > +        break;
> > > +    case MV64340_GPP_INTERRUPT_CAUSE:
> > > +        ret = s->gpp_int_cr;
> > > +        break;
> > > +    case MV64340_GPP_INTERRUPT_MASK0:
> > > +    case MV64340_GPP_INTERRUPT_MASK1:
> > > +        ret = s->gpp_int_mask;
> > > +        break;
> > > +    default:
> > > +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register read 0x%"
> > > +                      HWADDR_PRIx "\n", __func__, addr);
> > > +        break;
> > > +    }
> > > +    if (addr != MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG) {
> > > +        trace_mv64361_reg_read(addr, ret);
> > > +    }
> > > +    return ret;
> > > +}
> > > +
> > > +static void warn_swap_bit(uint64_t val)
> > > +{
> > > +    if ((val & 0x3000000ULL) >> 24 != 1) {
> > > +        qemu_log_mask(LOG_UNIMP, "%s: Data swap not implemented", __func__);
> > > +    }
> > > +}
> > > +
> > > +static void mv64361_set_pci_mem_remap(MV64361State *s, int bus, int idx,
> > > +                                      uint64_t val, bool high)
> > > +{
> > > +    if (high) {
> > > +        s->pci[bus].remap[idx] = val;
> > > +    } else {
> > > +        s->pci[bus].remap[idx] &= 0xffffffff00000000ULL;
> > > +        s->pci[bus].remap[idx] |= (val & 0xffffULL) << 16;
> > > +    }
> > > +}
> > > +
> > > +static void mv64361_write(void *opaque, hwaddr addr, uint64_t val,
> > > +                          unsigned int size)
> > > +{
> > > +    MV64361State *s = MV64361(opaque);
> > > +
> > > +    trace_mv64361_reg_write(addr, val);
> > > +    switch (addr) {
> > > +    case MV64340_CPU_CONFIG:
> > > +        s->cpu_conf = val & 0xe4e3bffULL;
> > > +        s->cpu_conf |= BIT(23);
> > > +        break;
> > > +    case MV64340_PCI_0_IO_BASE_ADDR:
> > > +        s->pci[0].io_base = val & 0x30fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            s->pci[0].remap[4] = (val & 0xffffULL) << 16;
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_0_IO_SIZE:
> > > +        s->pci[0].io_size = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_0_IO_ADDR_REMAP:
> > > +        s->pci[0].remap[4] = (val & 0xffffULL) << 16;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
> > > +        s->pci[0].mem_base[0] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 0, 0, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_SIZE:
> > > +        s->pci[0].mem_size[0] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 0, 0, val,
> > > +            (addr == MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
> > > +        s->pci[0].mem_base[1] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 0, 1, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_SIZE:
> > > +        s->pci[0].mem_size[1] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 0, 1, val,
> > > +            (addr == MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
> > > +        s->pci[0].mem_base[2] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 0, 2, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_SIZE:
> > > +        s->pci[0].mem_size[2] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 0, 2, val,
> > > +            (addr == MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
> > > +        s->pci[0].mem_base[3] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 0, 3, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_SIZE:
> > > +        s->pci[0].mem_size[3] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 0, 3, val,
> > > +            (addr == MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_1_IO_BASE_ADDR:
> > > +        s->pci[1].io_base = val & 0x30fffffULL;
> > > +        warn_swap_bit(val);
> > > +        break;
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            s->pci[1].remap[4] = (val & 0xffffULL) << 16;
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_1_IO_SIZE:
> > > +        s->pci[1].io_size = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
> > > +        s->pci[1].mem_base[0] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 1, 0, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_SIZE:
> > > +        s->pci[1].mem_size[0] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 1, 0, val,
> > > +            (addr == MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
> > > +        s->pci[1].mem_base[1] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 1, 1, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_SIZE:
> > > +        s->pci[1].mem_size[1] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 1, 1, val,
> > > +            (addr == MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
> > > +        s->pci[1].mem_base[2] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 1, 2, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_SIZE:
> > > +        s->pci[1].mem_size[2] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 1, 2, val,
> > > +            (addr == MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
> > > +        s->pci[1].mem_base[3] = val & 0x70fffffULL;
> > > +        warn_swap_bit(val);
> > > +        if (!(s->cpu_conf & BIT(27))) {
> > > +            mv64361_set_pci_mem_remap(s, 1, 3, val, false);
> > > +        }
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_SIZE:
> > > +        s->pci[1].mem_size[3] = val & 0xffffULL;
> > > +        break;
> > > +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
> > > +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
> > > +        mv64361_set_pci_mem_remap(s, 1, 3, val,
> > > +            (addr == MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP));
> > > +        break;
> > > +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
> > > +        s->regs_base = val & 0xfffffULL;
> > > +        break;
> > > +    case MV64340_BASE_ADDR_ENABLE:
> > > +        setup_mem_windows(s, val);
> > > +        s->base_addr_enable = val & 0x1fffffULL;
> > 
> > AFAICT every call to setup_mem_windows() is followed by an update to
> > base_addr_enable, so it probably makes sense to fold that update into
> > setup_mem_windows().
> 
> Maybe that could be done. It would probably save about two lines of code and
> I'd rename setup_mem_windows to something like set_mem_windows then. Is that
> worth the effort?

Sure.  Small reward, trivial effort.

> 
> > > +        break;
> > > +    case MV64340_PCI_0_CONFIG_ADDR:
> > > +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]), 0, val, size);
> > > +        break;
> > > +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
> > > +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
> > > +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]),
> > > +            addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, val, size);
> > > +        break;
> > > +    case MV64340_PCI_1_CONFIG_ADDR:
> > > +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]), 0, val, size);
> > > +        break;
> > > +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
> > > +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
> > > +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]),
> > > +            addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, val, size);
> > > +        break;
> > > +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
> > > +        s->cpu0_int_mask &= 0xffffffff00000000ULL;
> > > +        s->cpu0_int_mask |= val & 0xffffffffULL;
> > > +        break;
> > > +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
> > > +        s->cpu0_int_mask &= 0xffffffffULL;
> > > +        s->cpu0_int_mask |= val << 32;
> > > +        break;
> > > +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
> > > +        s->gpp_int_level = !!(val & BIT(10));
> > > +        break;
> > > +    case MV64340_GPP_IO_CONTROL:
> > > +        s->gpp_io = val;
> > > +        break;
> > > +    case MV64340_GPP_LEVEL_CONTROL:
> > > +        s->gpp_level = val;
> > > +        break;
> > > +    case MV64340_GPP_VALUE:
> > > +        s->gpp_value &= ~s->gpp_io;
> > > +        s->gpp_value |= val & s->gpp_io;
> > > +        break;
> > > +    case MV64340_GPP_VALUE_SET:
> > > +        s->gpp_value |= val & s->gpp_io;
> > > +        break;
> > > +    case MV64340_GPP_VALUE_CLEAR:
> > > +        s->gpp_value &= ~(val & s->gpp_io);
> > > +        break;
> > > +    case MV64340_GPP_INTERRUPT_CAUSE:
> > > +        if (!s->gpp_int_level && val != s->gpp_int_cr) {
> > > +            int i;
> > > +            uint32_t ch = s->gpp_int_cr ^ val;
> > > +            s->gpp_int_cr = val;
> > > +            for (i = 0; i < 4; i++) {
> > > +                if ((ch & 0xff << i) && !(val & 0xff << i)) {
> > > +                    mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + i, 0);
> > > +                }
> > > +            }
> > > +        } else {
> > > +            s->gpp_int_cr = val;
> > > +        }
> > > +        break;
> > > +    case MV64340_GPP_INTERRUPT_MASK0:
> > > +    case MV64340_GPP_INTERRUPT_MASK1:
> > > +        s->gpp_int_mask = val;
> > > +        break;
> > > +    default:
> > > +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register write 0x%"
> > > +                      HWADDR_PRIx " = %"PRIx64"\n", __func__, addr, val);
> > > +        break;
> > > +    }
> > > +}
> > > +
> > > +static const MemoryRegionOps mv64361_ops = {
> > > +    .read = mv64361_read,
> > > +    .write = mv64361_write,
> > > +    .valid.min_access_size = 1,
> > > +    .valid.max_access_size = 4,
> > > +    .endianness = DEVICE_LITTLE_ENDIAN,
> > > +};
> > > +
> > > +static void mv64361_gpp_irq(void *opaque, int n, int level)
> > > +{
> > > +    MV64361State *s = opaque;
> > > +    uint32_t mask = BIT(n);
> > > +    uint32_t val = s->gpp_value & ~mask;
> > > +
> > > +    if (s->gpp_level & mask) {
> > > +        level = !level;
> > > +    }
> > > +    val |= level << n;
> > > +    if (val > s->gpp_value) {
> > > +        s->gpp_value = val;
> > > +        s->gpp_int_cr |= mask;
> > > +        if (s->gpp_int_mask & mask) {
> > > +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + n / 8, 1);
> > > +        }
> > > +    } else if (val < s->gpp_value) {
> > > +        int b = n / 8;
> > > +        s->gpp_value = val;
> > > +        if (s->gpp_int_level && !(val & 0xff << b)) {
> > > +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + b, 0);
> > > +        }
> > > +    }
> > > +}
> > > +
> > > +static void mv64361_realize(DeviceState *dev, Error **errp)
> > > +{
> > > +    MV64361State *s = MV64361(dev);
> > > +    int i;
> > > +
> > > +    s->base_addr_enable = 0x1fffff;
> > > +    memory_region_init_io(&s->regs, OBJECT(s), &mv64361_ops, s,
> > > +                          TYPE_MV64361, 0x10000);
> > > +    for (i = 0; i < 2; i++) {
> > > +        char *name = g_strdup_printf("pcihost%d", i);
> > > +        object_initialize_child(OBJECT(dev), name, &s->pci[i],
> > > +                                TYPE_MV64361_PCI);
> > > +        g_free(name);
> > 
> > You can avoid some of these awkward free()s using a g_autofree
> > annotation.
> 
> This one is pretty simple but for others it might help a little. I need to
> find out how to use g_autofree...
> 
> Regards,
> BALATON Zoltan
> 

-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  2021-03-24  1:48       ` David Gibson
@ 2021-03-24 11:11         ` BALATON Zoltan
  2021-03-25  1:56           ` David Gibson
  0 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-24 11:11 UTC (permalink / raw)
  To: David Gibson; +Cc: Peter Maydell, Paolo Bonzini, qemu-ppc, f4bug, qemu-devel

On Wed, 24 Mar 2021, David Gibson wrote:
> On Tue, Mar 23, 2021 at 02:31:07PM +0100, BALATON Zoltan wrote:
>> On Tue, 23 Mar 2021, David Gibson wrote:
>>> On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> [snip]
>>>> +static void setup_mem_windows(MV64361State *s, uint32_t val)
>>>> +{
>>>> +    MV64361PCIState *p;
>>>> +    MemoryRegion *mr;
>>>> +    uint32_t mask;
>>>> +    int i;
>>>> +
>>>> +    val &= 0x1fffff;
>>>> +    for (mask = 1, i = 0; i < 21; i++, mask <<= 1) {
>>>
>>> Having a loop, where nearly the entire body is a switch over the loop
>>> variable seems a rather odd choice to me, compared to just unrolling
>>> the whole thing.  Or alternatively, maybe more can be be factored out
>>> of the switch into common body code.
>>
>> The loop is really over the bits in val that say which memory regions to
>
> I see that, but it doesn't change the point.
>
>> enable so depending on this we need to touch different mem regions. For
>> selecting those memory regions and what to do with them a switch seems to be
>> obvious choice. I probably can't factor out anything as these lines in
>> switch cases are similar but all differ in some little details (like which
>> PCI bus, name of the region, etc.). Unrolling could be possible but would
>> just add lines between cases that's now in the loop head so I really don't
>
> I see only 2 common lines, which basically balances about the case and
> break lines in every switchcase.

Not sure what you mean by that. To me that means that these cannot be 
factored out as they are in the middle so can't put them neither before 
nor after the switch (without adding more local variables that would just 
make the result longer than it is now).

Does that mean you prefer this to be unrolled then (written without a for 
just repeating the if ((val & mask) != (s->base_addr_enable & mask)) at 
every case)? That would also be longer by about 20 lines as we also log 
regions that are not in the switch that would need their enable bits 
checked separately if it's unrolled. Basically the trace is the common 
part of the loop and handling of the individual regions are branching out 
from the switch as they are different enough that factoring out the common 
parts is not shorter than this way due to then needing local variables to 
hold the different parts (name, address, size, base) the assigning of 
which are as many or more lines than the map_pci_region call that could be 
factored out that way.

I don't see why it is a problem to have a switch in a loop. If you insist 
I can try to turn the switch into if else but I don't see how would that 
be any better. Please explain a bit more what would you prefer here as I'm 
not sure what to do with this. To me this is the shortest and simplest way 
to write this.

Regards,
BALATON Zoltan

>> see why would that be better. Maybe renaming the loop variable from i to
>> something that makes the intent clearer could help but I don't know what
>> you'd like better. Can you suggest something?
>>
>>>> +        if ((val & mask) != (s->base_addr_enable & mask)) {
>>>> +            trace_mv64361_region_enable(!(val & mask) ? "enable" : "disable", i);
>>>> +            switch (i) {
>>>> +            /*
>>>> +             * 0-3 are SDRAM chip selects but we map all RAM directly
>>>> +             * 4-7 are device chip selects (not sure what those are)
>>>> +             * 8 is Boot device (ROM) chip select but we map that directly too
>>>> +             */
>>>> +            case 9:
>>>> +                p = &s->pci[0];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->io, OBJECT(s), "pci0-io-win",
>>>> +                                   p->remap[4], (p->io_size + 1) << 16,
>>>> +                                   (p->io_base & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 10:
>>>> +                p = &s->pci[0];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem0-win",
>>>> +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
>>>> +                                   (p->mem_base[0] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 11:
>>>> +                p = &s->pci[0];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem1-win",
>>>> +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
>>>> +                                   (p->mem_base[1] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 12:
>>>> +                p = &s->pci[0];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem2-win",
>>>> +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
>>>> +                                   (p->mem_base[2] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 13:
>>>> +                p = &s->pci[0];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci0-mem3-win",
>>>> +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
>>>> +                                   (p->mem_base[3] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 14:
>>>> +                p = &s->pci[1];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->io, OBJECT(s), "pci1-io-win",
>>>> +                                   p->remap[4], (p->io_size + 1) << 16,
>>>> +                                   (p->io_base & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 15:
>>>> +                p = &s->pci[1];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem0-win",
>>>> +                                   p->remap[0], (p->mem_size[0] + 1) << 16,
>>>> +                                   (p->mem_base[0] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 16:
>>>> +                p = &s->pci[1];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem1-win",
>>>> +                                   p->remap[1], (p->mem_size[1] + 1) << 16,
>>>> +                                   (p->mem_base[1] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 17:
>>>> +                p = &s->pci[1];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem2-win",
>>>> +                                   p->remap[2], (p->mem_size[2] + 1) << 16,
>>>> +                                   (p->mem_base[2] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            case 18:
>>>> +                p = &s->pci[1];
>>>> +                mr = &s->cpu_win[i];
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    map_pci_region(mr, &p->mem, OBJECT(s), "pci1-mem3-win",
>>>> +                                   p->remap[3], (p->mem_size[3] + 1) << 16,
>>>> +                                   (p->mem_base[3] & 0xfffff) << 16);
>>>> +                }
>>>> +                break;
>>>> +            /* 19 is integrated SRAM */
>>>> +            case 20:
>>>> +                mr = &s->regs;
>>>> +                unmap_region(mr);
>>>> +                if (!(val & mask)) {
>>>> +                    memory_region_add_subregion(get_system_memory(),
>>>> +                        (s->regs_base & 0xfffff) << 16, mr);
>>>> +                }
>>>> +                break;
>>>> +            }
>>>> +        }
>>>> +    }
>>>> +}
>>>> +
>>>> +static void mv64361_update_irq(void *opaque, int n, int level)
>>>> +{
>>>> +    MV64361State *s = opaque;
>>>> +    uint64_t val = s->main_int_cr;
>>>> +
>>>> +    if (level) {
>>>> +        val |= BIT_ULL(n);
>>>> +    } else {
>>>> +        val &= ~BIT_ULL(n);
>>>> +    }
>>>> +    if ((s->main_int_cr & s->cpu0_int_mask) != (val & s->cpu0_int_mask)) {
>>>> +        qemu_set_irq(s->cpu_irq, level);
>>>> +    }
>>>> +    s->main_int_cr = val;
>>>> +}
>>>> +
>>>> +static uint64_t mv64361_read(void *opaque, hwaddr addr, unsigned int size)
>>>> +{
>>>> +    MV64361State *s = MV64361(opaque);
>>>> +    uint32_t ret = 0;
>>>> +
>>>> +    switch (addr) {
>>>> +    case MV64340_CPU_CONFIG:
>>>> +        ret = s->cpu_conf;
>>>> +        break;
>>>> +    case MV64340_PCI_0_IO_BASE_ADDR:
>>>> +        ret = s->pci[0].io_base;
>>>
>>> Reaching into the PCI internal state here doesn't seem great, although
>>> I'll admit I can't quickly see another way to do it that wouldn't be
>>> horribly verbose.
>>
>> The MV64361PCIState is an internal class representing a PCI bus so touching
>> it from the parent object should not be that bad as this is only put in a
>> separate object for separating it from the other functions and make it easy
>> to have two of them without much repetition. Otherwise it's part of the
>> implementation of the main MV64361 class so touching it from that should be
>> OK. I'd consider touching internals of an unrelated object bad but that's
>> not the case here. The MV64361 and MV64361PCI are friend classes.
>
> Not really a QOM concept AFAIK, but sure, I'll buy it.
>
>>>> +        break;
>>>> +    case MV64340_PCI_0_IO_SIZE:
>>>> +        ret = s->pci[0].io_size;
>>>> +        break;
>>>> +    case MV64340_PCI_0_IO_ADDR_REMAP:
>>>> +        ret = s->pci[0].remap[4] >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
>>>> +        ret = s->pci[0].mem_base[0];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_SIZE:
>>>> +        ret = s->pci[0].mem_size[0];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[0].remap[0] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[0].remap[0] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
>>>> +        ret = s->pci[0].mem_base[1];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_SIZE:
>>>> +        ret = s->pci[0].mem_size[1];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[0].remap[1] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[0].remap[1] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
>>>> +        ret = s->pci[0].mem_base[2];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_SIZE:
>>>> +        ret = s->pci[0].mem_size[2];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[0].remap[2] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[0].remap[2] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
>>>> +        ret = s->pci[0].mem_base[3];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_SIZE:
>>>> +        ret = s->pci[0].mem_size[3];
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[0].remap[3] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[0].remap[3] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_1_IO_BASE_ADDR:
>>>> +        ret = s->pci[1].io_base;
>>>> +        break;
>>>> +    case MV64340_PCI_1_IO_SIZE:
>>>> +        ret = s->pci[1].io_size;
>>>> +        break;
>>>> +    case MV64340_PCI_1_IO_ADDR_REMAP:
>>>> +        ret = s->pci[1].remap[4] >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
>>>> +        ret = s->pci[1].mem_base[0];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_SIZE:
>>>> +        ret = s->pci[1].mem_size[0];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[1].remap[0] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[1].remap[0] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
>>>> +        ret = s->pci[1].mem_base[1];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_SIZE:
>>>> +        ret = s->pci[1].mem_size[1];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[1].remap[1] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[1].remap[1] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
>>>> +        ret = s->pci[1].mem_base[2];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_SIZE:
>>>> +        ret = s->pci[1].mem_size[2];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[1].remap[2] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[1].remap[2] >> 32;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
>>>> +        ret = s->pci[1].mem_base[3];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_SIZE:
>>>> +        ret = s->pci[1].mem_size[3];
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
>>>> +        ret = (s->pci[1].remap[3] & 0xffff0000) >> 16;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
>>>> +        ret = s->pci[1].remap[3] >> 32;
>>>> +        break;
>>>> +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
>>>> +        ret = s->regs_base;
>>>> +        break;
>>>> +    case MV64340_BASE_ADDR_ENABLE:
>>>> +        ret = s->base_addr_enable;
>>>> +        break;
>>>> +    case MV64340_PCI_0_CONFIG_ADDR:
>>>> +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]), 0, size);
>>>> +        break;
>>>> +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
>>>> +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
>>>> +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[0]),
>>>> +                  addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, size);
>>>> +        break;
>>>> +    case MV64340_PCI_1_CONFIG_ADDR:
>>>> +        ret = pci_host_conf_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]), 0, size);
>>>> +        break;
>>>> +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
>>>> +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
>>>> +        ret = pci_host_data_le_ops.read(PCI_HOST_BRIDGE(&s->pci[1]),
>>>> +                  addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, size);
>>>> +        break;
>>>> +    case MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG:
>>>> +        /* FIXME: Should this be sent via the PCI bus somehow? */
>>>> +        if (s->gpp_int_level && (s->gpp_value & BIT(31))) {
>>>> +            ret = pic_read_irq(isa_pic);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_MAIN_INTERRUPT_CAUSE_LOW:
>>>> +        ret = s->main_int_cr;
>>>> +        break;
>>>> +    case MV64340_MAIN_INTERRUPT_CAUSE_HIGH:
>>>> +        ret = s->main_int_cr >> 32;
>>>> +        break;
>>>> +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
>>>> +        ret = s->cpu0_int_mask;
>>>> +        break;
>>>> +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
>>>> +        ret = s->cpu0_int_mask >> 32;
>>>> +        break;
>>>> +    case MV64340_CPU_INTERRUPT0_SELECT_CAUSE:
>>>> +        ret = s->main_int_cr;
>>>> +        if (s->main_int_cr & s->cpu0_int_mask) {
>>>> +            if (!(s->main_int_cr & s->cpu0_int_mask & 0xffffffff)) {
>>>> +                ret = s->main_int_cr >> 32 | BIT(30);
>>>> +            } else if ((s->main_int_cr & s->cpu0_int_mask) >> 32) {
>>>> +                ret |= BIT(31);
>>>> +            }
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
>>>> +        ret = 0x11ff0000 | (s->gpp_int_level << 10);
>>>> +        break;
>>>> +    case MV64340_GPP_IO_CONTROL:
>>>> +        ret = s->gpp_io;
>>>> +        break;
>>>> +    case MV64340_GPP_LEVEL_CONTROL:
>>>> +        ret = s->gpp_level;
>>>> +        break;
>>>> +    case MV64340_GPP_VALUE:
>>>> +        ret = s->gpp_value;
>>>> +        break;
>>>> +    case MV64340_GPP_VALUE_SET:
>>>> +    case MV64340_GPP_VALUE_CLEAR:
>>>> +        ret = 0;
>>>> +        break;
>>>> +    case MV64340_GPP_INTERRUPT_CAUSE:
>>>> +        ret = s->gpp_int_cr;
>>>> +        break;
>>>> +    case MV64340_GPP_INTERRUPT_MASK0:
>>>> +    case MV64340_GPP_INTERRUPT_MASK1:
>>>> +        ret = s->gpp_int_mask;
>>>> +        break;
>>>> +    default:
>>>> +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register read 0x%"
>>>> +                      HWADDR_PRIx "\n", __func__, addr);
>>>> +        break;
>>>> +    }
>>>> +    if (addr != MV64340_PCI_1_INTERRUPT_ACKNOWLEDGE_VIRTUAL_REG) {
>>>> +        trace_mv64361_reg_read(addr, ret);
>>>> +    }
>>>> +    return ret;
>>>> +}
>>>> +
>>>> +static void warn_swap_bit(uint64_t val)
>>>> +{
>>>> +    if ((val & 0x3000000ULL) >> 24 != 1) {
>>>> +        qemu_log_mask(LOG_UNIMP, "%s: Data swap not implemented", __func__);
>>>> +    }
>>>> +}
>>>> +
>>>> +static void mv64361_set_pci_mem_remap(MV64361State *s, int bus, int idx,
>>>> +                                      uint64_t val, bool high)
>>>> +{
>>>> +    if (high) {
>>>> +        s->pci[bus].remap[idx] = val;
>>>> +    } else {
>>>> +        s->pci[bus].remap[idx] &= 0xffffffff00000000ULL;
>>>> +        s->pci[bus].remap[idx] |= (val & 0xffffULL) << 16;
>>>> +    }
>>>> +}
>>>> +
>>>> +static void mv64361_write(void *opaque, hwaddr addr, uint64_t val,
>>>> +                          unsigned int size)
>>>> +{
>>>> +    MV64361State *s = MV64361(opaque);
>>>> +
>>>> +    trace_mv64361_reg_write(addr, val);
>>>> +    switch (addr) {
>>>> +    case MV64340_CPU_CONFIG:
>>>> +        s->cpu_conf = val & 0xe4e3bffULL;
>>>> +        s->cpu_conf |= BIT(23);
>>>> +        break;
>>>> +    case MV64340_PCI_0_IO_BASE_ADDR:
>>>> +        s->pci[0].io_base = val & 0x30fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            s->pci[0].remap[4] = (val & 0xffffULL) << 16;
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_0_IO_SIZE:
>>>> +        s->pci[0].io_size = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_0_IO_ADDR_REMAP:
>>>> +        s->pci[0].remap[4] = (val & 0xffffULL) << 16;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_BASE_ADDR:
>>>> +        s->pci[0].mem_base[0] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 0, 0, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_SIZE:
>>>> +        s->pci[0].mem_size[0] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY0_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 0, 0, val,
>>>> +            (addr == MV64340_PCI_0_MEMORY0_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_BASE_ADDR:
>>>> +        s->pci[0].mem_base[1] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 0, 1, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_SIZE:
>>>> +        s->pci[0].mem_size[1] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY1_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 0, 1, val,
>>>> +            (addr == MV64340_PCI_0_MEMORY1_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_BASE_ADDR:
>>>> +        s->pci[0].mem_base[2] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 0, 2, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_SIZE:
>>>> +        s->pci[0].mem_size[2] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY2_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 0, 2, val,
>>>> +            (addr == MV64340_PCI_0_MEMORY2_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_BASE_ADDR:
>>>> +        s->pci[0].mem_base[3] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 0, 3, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_SIZE:
>>>> +        s->pci[0].mem_size[3] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_0_MEMORY3_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 0, 3, val,
>>>> +            (addr == MV64340_PCI_0_MEMORY3_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_1_IO_BASE_ADDR:
>>>> +        s->pci[1].io_base = val & 0x30fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        break;
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            s->pci[1].remap[4] = (val & 0xffffULL) << 16;
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_1_IO_SIZE:
>>>> +        s->pci[1].io_size = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_BASE_ADDR:
>>>> +        s->pci[1].mem_base[0] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 1, 0, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_SIZE:
>>>> +        s->pci[1].mem_size[0] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY0_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 1, 0, val,
>>>> +            (addr == MV64340_PCI_1_MEMORY0_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_BASE_ADDR:
>>>> +        s->pci[1].mem_base[1] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 1, 1, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_SIZE:
>>>> +        s->pci[1].mem_size[1] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY1_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 1, 1, val,
>>>> +            (addr == MV64340_PCI_1_MEMORY1_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_BASE_ADDR:
>>>> +        s->pci[1].mem_base[2] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 1, 2, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_SIZE:
>>>> +        s->pci[1].mem_size[2] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY2_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 1, 2, val,
>>>> +            (addr == MV64340_PCI_1_MEMORY2_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_BASE_ADDR:
>>>> +        s->pci[1].mem_base[3] = val & 0x70fffffULL;
>>>> +        warn_swap_bit(val);
>>>> +        if (!(s->cpu_conf & BIT(27))) {
>>>> +            mv64361_set_pci_mem_remap(s, 1, 3, val, false);
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_SIZE:
>>>> +        s->pci[1].mem_size[3] = val & 0xffffULL;
>>>> +        break;
>>>> +    case MV64340_PCI_1_MEMORY3_LOW_ADDR_REMAP:
>>>> +    case MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP:
>>>> +        mv64361_set_pci_mem_remap(s, 1, 3, val,
>>>> +            (addr == MV64340_PCI_1_MEMORY3_HIGH_ADDR_REMAP));
>>>> +        break;
>>>> +    case MV64340_INTERNAL_SPACE_BASE_ADDR:
>>>> +        s->regs_base = val & 0xfffffULL;
>>>> +        break;
>>>> +    case MV64340_BASE_ADDR_ENABLE:
>>>> +        setup_mem_windows(s, val);
>>>> +        s->base_addr_enable = val & 0x1fffffULL;
>>>
>>> AFAICT every call to setup_mem_windows() is followed by an update to
>>> base_addr_enable, so it probably makes sense to fold that update into
>>> setup_mem_windows().
>>
>> Maybe that could be done. It would probably save about two lines of code and
>> I'd rename setup_mem_windows to something like set_mem_windows then. Is that
>> worth the effort?
>
> Sure.  Small reward, trivial effort.
>
>>
>>>> +        break;
>>>> +    case MV64340_PCI_0_CONFIG_ADDR:
>>>> +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]), 0, val, size);
>>>> +        break;
>>>> +    case MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG ...
>>>> +         MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG + 3:
>>>> +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[0]),
>>>> +            addr - MV64340_PCI_0_CONFIG_DATA_VIRTUAL_REG, val, size);
>>>> +        break;
>>>> +    case MV64340_PCI_1_CONFIG_ADDR:
>>>> +        pci_host_conf_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]), 0, val, size);
>>>> +        break;
>>>> +    case MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG ...
>>>> +         MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG + 3:
>>>> +        pci_host_data_le_ops.write(PCI_HOST_BRIDGE(&s->pci[1]),
>>>> +            addr - MV64340_PCI_1_CONFIG_DATA_VIRTUAL_REG, val, size);
>>>> +        break;
>>>> +    case MV64340_CPU_INTERRUPT0_MASK_LOW:
>>>> +        s->cpu0_int_mask &= 0xffffffff00000000ULL;
>>>> +        s->cpu0_int_mask |= val & 0xffffffffULL;
>>>> +        break;
>>>> +    case MV64340_CPU_INTERRUPT0_MASK_HIGH:
>>>> +        s->cpu0_int_mask &= 0xffffffffULL;
>>>> +        s->cpu0_int_mask |= val << 32;
>>>> +        break;
>>>> +    case MV64340_CUNIT_ARBITER_CONTROL_REG:
>>>> +        s->gpp_int_level = !!(val & BIT(10));
>>>> +        break;
>>>> +    case MV64340_GPP_IO_CONTROL:
>>>> +        s->gpp_io = val;
>>>> +        break;
>>>> +    case MV64340_GPP_LEVEL_CONTROL:
>>>> +        s->gpp_level = val;
>>>> +        break;
>>>> +    case MV64340_GPP_VALUE:
>>>> +        s->gpp_value &= ~s->gpp_io;
>>>> +        s->gpp_value |= val & s->gpp_io;
>>>> +        break;
>>>> +    case MV64340_GPP_VALUE_SET:
>>>> +        s->gpp_value |= val & s->gpp_io;
>>>> +        break;
>>>> +    case MV64340_GPP_VALUE_CLEAR:
>>>> +        s->gpp_value &= ~(val & s->gpp_io);
>>>> +        break;
>>>> +    case MV64340_GPP_INTERRUPT_CAUSE:
>>>> +        if (!s->gpp_int_level && val != s->gpp_int_cr) {
>>>> +            int i;
>>>> +            uint32_t ch = s->gpp_int_cr ^ val;
>>>> +            s->gpp_int_cr = val;
>>>> +            for (i = 0; i < 4; i++) {
>>>> +                if ((ch & 0xff << i) && !(val & 0xff << i)) {
>>>> +                    mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + i, 0);
>>>> +                }
>>>> +            }
>>>> +        } else {
>>>> +            s->gpp_int_cr = val;
>>>> +        }
>>>> +        break;
>>>> +    case MV64340_GPP_INTERRUPT_MASK0:
>>>> +    case MV64340_GPP_INTERRUPT_MASK1:
>>>> +        s->gpp_int_mask = val;
>>>> +        break;
>>>> +    default:
>>>> +        qemu_log_mask(LOG_UNIMP, "%s: Unimplemented register write 0x%"
>>>> +                      HWADDR_PRIx " = %"PRIx64"\n", __func__, addr, val);
>>>> +        break;
>>>> +    }
>>>> +}
>>>> +
>>>> +static const MemoryRegionOps mv64361_ops = {
>>>> +    .read = mv64361_read,
>>>> +    .write = mv64361_write,
>>>> +    .valid.min_access_size = 1,
>>>> +    .valid.max_access_size = 4,
>>>> +    .endianness = DEVICE_LITTLE_ENDIAN,
>>>> +};
>>>> +
>>>> +static void mv64361_gpp_irq(void *opaque, int n, int level)
>>>> +{
>>>> +    MV64361State *s = opaque;
>>>> +    uint32_t mask = BIT(n);
>>>> +    uint32_t val = s->gpp_value & ~mask;
>>>> +
>>>> +    if (s->gpp_level & mask) {
>>>> +        level = !level;
>>>> +    }
>>>> +    val |= level << n;
>>>> +    if (val > s->gpp_value) {
>>>> +        s->gpp_value = val;
>>>> +        s->gpp_int_cr |= mask;
>>>> +        if (s->gpp_int_mask & mask) {
>>>> +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + n / 8, 1);
>>>> +        }
>>>> +    } else if (val < s->gpp_value) {
>>>> +        int b = n / 8;
>>>> +        s->gpp_value = val;
>>>> +        if (s->gpp_int_level && !(val & 0xff << b)) {
>>>> +            mv64361_update_irq(opaque, MV64361_IRQ_P0_GPP0_7 + b, 0);
>>>> +        }
>>>> +    }
>>>> +}
>>>> +
>>>> +static void mv64361_realize(DeviceState *dev, Error **errp)
>>>> +{
>>>> +    MV64361State *s = MV64361(dev);
>>>> +    int i;
>>>> +
>>>> +    s->base_addr_enable = 0x1fffff;
>>>> +    memory_region_init_io(&s->regs, OBJECT(s), &mv64361_ops, s,
>>>> +                          TYPE_MV64361, 0x10000);
>>>> +    for (i = 0; i < 2; i++) {
>>>> +        char *name = g_strdup_printf("pcihost%d", i);
>>>> +        object_initialize_child(OBJECT(dev), name, &s->pci[i],
>>>> +                                TYPE_MV64361_PCI);
>>>> +        g_free(name);
>>>
>>> You can avoid some of these awkward free()s using a g_autofree
>>> annotation.
>>
>> This one is pretty simple but for others it might help a little. I need to
>> find out how to use g_autofree...
>>
>> Regards,
>> BALATON Zoltan
>>
>
>


^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II
  2021-03-24  1:45       ` David Gibson
@ 2021-03-24 11:21         ` BALATON Zoltan
  2021-03-25  2:00           ` David Gibson
  0 siblings, 1 reply; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-24 11:21 UTC (permalink / raw)
  To: David Gibson; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 4074 bytes --]

On Wed, 24 Mar 2021, David Gibson wrote:
> On Tue, Mar 23, 2021 at 02:01:27PM +0100, BALATON Zoltan wrote:
>> On Tue, 23 Mar 2021, David Gibson wrote:
>>> On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
>>>> Add new machine called pegasos2 emulating the Genesi/bPlan Pegasos II,
>>>> a PowerPC board based on the Marvell MV64361 system controller and the
>>>> VIA VT8231 integrated south bridge/superio chips. It can run Linux,
>>>> AmigaOS and a wide range of MorphOS versions. Currently a firmware ROM
>>>> image is needed to boot and only MorphOS has a video driver to produce
>>>> graphics output. Linux could work too but distros that supported this
>>>> machine don't include usual video drivers so those only run with
>>>> serial console for now.
>>>>
>>>> Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
>>>> Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
>>>> ---
>>>>  MAINTAINERS                             |  10 ++
>>>>  default-configs/devices/ppc-softmmu.mak |   2 +
>>>>  hw/ppc/Kconfig                          |   9 ++
>>>>  hw/ppc/meson.build                      |   2 +
>>>>  hw/ppc/pegasos2.c                       | 144 ++++++++++++++++++++++++
>>>>  5 files changed, 167 insertions(+)
>>>>  create mode 100644 hw/ppc/pegasos2.c
>>>>
>>>> diff --git a/MAINTAINERS b/MAINTAINERS
>>>> index b6ab3d25a7..1c3c55ef09 100644
>>>> --- a/MAINTAINERS
>>>> +++ b/MAINTAINERS
>>>> @@ -1353,6 +1353,16 @@ F: pc-bios/canyonlands.dt[sb]
>>>>  F: pc-bios/u-boot-sam460ex-20100605.bin
>>>>  F: roms/u-boot-sam460ex
>>>>
>>>> +pegasos2
>>>> +M: BALATON Zoltan <balaton@eik.bme.hu>
>>>> +R: David Gibson <david@gibson.dropbear.id.au>
>>>> +L: qemu-ppc@nongnu.org
>>>> +S: Maintained
>>>> +F: hw/ppc/pegasos2.c
>>>> +F: hw/pci-host/mv64361.c
>>>> +F: hw/pci-host/mv643xx.h
>>>> +F: include/hw/pci-host/mv64361.h
>>>
>>> Oh, sorry about the comment in the previous patch.
>>>
>>>>  RISC-V Machines
>>>>  ---------------
>>>>  OpenTitan
>>>> diff --git a/default-configs/devices/ppc-softmmu.mak b/default-configs/devices/ppc-softmmu.mak
>>>> index 61b78b844d..4535993d8d 100644
>>>> --- a/default-configs/devices/ppc-softmmu.mak
>>>> +++ b/default-configs/devices/ppc-softmmu.mak
>>>> @@ -14,5 +14,7 @@ CONFIG_SAM460EX=y
>>>>  CONFIG_MAC_OLDWORLD=y
>>>>  CONFIG_MAC_NEWWORLD=y
>>>>
>>>> +CONFIG_PEGASOS2=y
>>>
>>> I don't think we can have this default to enabled while it requires a
>>> non-free ROM to start.
>>
>> Not having it enabled though does not help those who might want to use it as
>> they are not people who can compile their own QEMU but rely on binaries so
>> adding it without also enabling it is like it wasn't there at all in
>> practice.
>
> Not convinced, sorry.  If it's not usable out of the box, having to
> build from source is kind of expected.  Or you could convince someone

I accept your point however there's a difference of only needing a ROM 
image to be able to use it from your distro's binary and having to rebuild 
it from source. So to me needing a ROM does not make it expected having to 
rebuild it. Needing to configure it some way would make that expected.

> (or do it yourself) to provide prebuild binaries for this purpose that
> have the right things enabled.

There are people who provide binaries with patches for such purposes but 
that limits the availability of it compared to having it in all distro 
binaries without further effort. As I said I also plan to solve this 
eventually but I'd probably need VOF for that. Will that be merged at 
last? Other alternatives would be modifying SLOF, OpenBIOS or OpenFirmware 
or rewrite SmartFirmware to free it from its non-distributable parts but I 
think VOF would be a simpler way also avoiding adding another full OF 
implementation to QEMU that already has more than there should be.

Regards,
BALATON Zoltan

>> I can attempt to make some guests boot without a ROM but since
>> guests expect an OpenFirmware client interface, I'll need something to
>> provide that. I'm waiting for VOF to be merged for this.
>>
>> Regards,
>> BALATON Zoltan
>
>
>

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 0/7] Pegasos2 emulation
  2021-03-23 12:57   ` BALATON Zoltan
@ 2021-03-25  1:54     ` David Gibson
  2021-03-25 14:04       ` BALATON Zoltan
  0 siblings, 1 reply; 24+ messages in thread
From: David Gibson @ 2021-03-25  1:54 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 1983 bytes --]

On Tue, Mar 23, 2021 at 01:57:25PM +0100, BALATON Zoltan wrote:
> On Tue, 23 Mar 2021, David Gibson wrote:
> > On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> > > Hello,
> > > 
> > > This is adding a new PPC board called pegasos2. More info on it can be
> > > found at:
> > > 
> > > https://osdn.net/projects/qmiga/wiki/SubprojectPegasos2
> > > 
> > > Currently it needs a firmware ROM image that I cannot include due to
> > > original copyright holder (bPlan) did not release it under a free
> > > licence but I have plans to write a replacement in the future. With
> > > the original board firmware it can boot MorphOS now as:
> > > 
> > > qemu-system-ppc -M pegasos2 -cdrom morphos.iso -device ati-vga,romfile="" -serial stdio
> > > 
> > > then enter "boot cd boot.img" at the firmware "ok" prompt as described
> > > in the MorphOS.readme. To boot Linux use same command line with e.g.
> > > -cdrom debian-8.11.0-powerpc-netinst.iso then enter
> > > "boot cd install/pegasos"
> > > 
> > > The last patch adds the actual board code after previous patches
> > > adding VT8231 and MV64361 system controller chip emulation.
> > 
> > I've applied 1..5 to a new ppc-for-6.1 branch.  Sorry it didn't make
> > it for 6.0, I just didn't have time to look this over until too late.
> 
> Thanks but maybe you should wait if the dropped original first patch can be
> reviewed now and brought back. It would be easier for me to resubmit whole
> series rebased than port the dropped patch on top of a partly applied
> series. Also first patches without the last two is not much useful as the
> vt8231 model these add would not be used by anything else.

Ok, I've dropped your patches from ppc-for-6.1 again, and I'll wait
for the next posting.

-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller
  2021-03-24 11:11         ` BALATON Zoltan
@ 2021-03-25  1:56           ` David Gibson
  0 siblings, 0 replies; 24+ messages in thread
From: David Gibson @ 2021-03-25  1:56 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, Paolo Bonzini, qemu-ppc, f4bug, qemu-devel

[-- Attachment #1: Type: text/plain, Size: 3567 bytes --]

On Wed, Mar 24, 2021 at 12:11:50PM +0100, BALATON Zoltan wrote:
> On Wed, 24 Mar 2021, David Gibson wrote:
> > On Tue, Mar 23, 2021 at 02:31:07PM +0100, BALATON Zoltan wrote:
> > > On Tue, 23 Mar 2021, David Gibson wrote:
> > > > On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> > [snip]
> > > > > +static void setup_mem_windows(MV64361State *s, uint32_t val)
> > > > > +{
> > > > > +    MV64361PCIState *p;
> > > > > +    MemoryRegion *mr;
> > > > > +    uint32_t mask;
> > > > > +    int i;
> > > > > +
> > > > > +    val &= 0x1fffff;
> > > > > +    for (mask = 1, i = 0; i < 21; i++, mask <<= 1) {
> > > > 
> > > > Having a loop, where nearly the entire body is a switch over the loop
> > > > variable seems a rather odd choice to me, compared to just unrolling
> > > > the whole thing.  Or alternatively, maybe more can be be factored out
> > > > of the switch into common body code.
> > > 
> > > The loop is really over the bits in val that say which memory regions to
> > 
> > I see that, but it doesn't change the point.
> > 
> > > enable so depending on this we need to touch different mem regions. For
> > > selecting those memory regions and what to do with them a switch seems to be
> > > obvious choice. I probably can't factor out anything as these lines in
> > > switch cases are similar but all differ in some little details (like which
> > > PCI bus, name of the region, etc.). Unrolling could be possible but would
> > > just add lines between cases that's now in the loop head so I really don't
> > 
> > I see only 2 common lines, which basically balances about the case and
> > break lines in every switchcase.
> 
> Not sure what you mean by that. To me that means that these cannot be
> factored out as they are in the middle so can't put them neither before nor
> after the switch (without adding more local variables that would just make
> the result longer than it is now).

I'm saying that I don't think unrolling would actually make things
longer, because the small amount of duplication would balanced by
removing the switch boilerplate.

> Does that mean you prefer this to be unrolled then (written without a for
> just repeating the if ((val & mask) != (s->base_addr_enable & mask)) at
> every case)? That would also be longer by about 20 lines as we also log
> regions that are not in the switch that would need their enable bits checked
> separately if it's unrolled. Basically the trace is the common part of the
> loop and handling of the individual regions are branching out from the
> switch as they are different enough that factoring out the common parts is
> not shorter than this way due to then needing local variables to hold the
> different parts (name, address, size, base) the assigning of which are as
> many or more lines than the map_pci_region call that could be factored out
> that way.
> 
> I don't see why it is a problem to have a switch in a loop. If you insist I
> can try to turn the switch into if else but I don't see how would that be
> any better. Please explain a bit more what would you prefer here as I'm not
> sure what to do with this. To me this is the shortest and simplest way to
> write this.

Hmm... you know, it still seems kinda weird to me, but I am getting
too hung up on details here.  It's good enough, go with it.

-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II
  2021-03-24 11:21         ` BALATON Zoltan
@ 2021-03-25  2:00           ` David Gibson
  0 siblings, 0 replies; 24+ messages in thread
From: David Gibson @ 2021-03-25  2:00 UTC (permalink / raw)
  To: BALATON Zoltan; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

[-- Attachment #1: Type: text/plain, Size: 5411 bytes --]

On Wed, Mar 24, 2021 at 12:21:02PM +0100, BALATON Zoltan wrote:
> On Wed, 24 Mar 2021, David Gibson wrote:
> > On Tue, Mar 23, 2021 at 02:01:27PM +0100, BALATON Zoltan wrote:
> > > On Tue, 23 Mar 2021, David Gibson wrote:
> > > > On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
> > > > > Add new machine called pegasos2 emulating the Genesi/bPlan Pegasos II,
> > > > > a PowerPC board based on the Marvell MV64361 system controller and the
> > > > > VIA VT8231 integrated south bridge/superio chips. It can run Linux,
> > > > > AmigaOS and a wide range of MorphOS versions. Currently a firmware ROM
> > > > > image is needed to boot and only MorphOS has a video driver to produce
> > > > > graphics output. Linux could work too but distros that supported this
> > > > > machine don't include usual video drivers so those only run with
> > > > > serial console for now.
> > > > > 
> > > > > Signed-off-by: BALATON Zoltan <balaton@eik.bme.hu>
> > > > > Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
> > > > > ---
> > > > >  MAINTAINERS                             |  10 ++
> > > > >  default-configs/devices/ppc-softmmu.mak |   2 +
> > > > >  hw/ppc/Kconfig                          |   9 ++
> > > > >  hw/ppc/meson.build                      |   2 +
> > > > >  hw/ppc/pegasos2.c                       | 144 ++++++++++++++++++++++++
> > > > >  5 files changed, 167 insertions(+)
> > > > >  create mode 100644 hw/ppc/pegasos2.c
> > > > > 
> > > > > diff --git a/MAINTAINERS b/MAINTAINERS
> > > > > index b6ab3d25a7..1c3c55ef09 100644
> > > > > --- a/MAINTAINERS
> > > > > +++ b/MAINTAINERS
> > > > > @@ -1353,6 +1353,16 @@ F: pc-bios/canyonlands.dt[sb]
> > > > >  F: pc-bios/u-boot-sam460ex-20100605.bin
> > > > >  F: roms/u-boot-sam460ex
> > > > > 
> > > > > +pegasos2
> > > > > +M: BALATON Zoltan <balaton@eik.bme.hu>
> > > > > +R: David Gibson <david@gibson.dropbear.id.au>
> > > > > +L: qemu-ppc@nongnu.org
> > > > > +S: Maintained
> > > > > +F: hw/ppc/pegasos2.c
> > > > > +F: hw/pci-host/mv64361.c
> > > > > +F: hw/pci-host/mv643xx.h
> > > > > +F: include/hw/pci-host/mv64361.h
> > > > 
> > > > Oh, sorry about the comment in the previous patch.
> > > > 
> > > > >  RISC-V Machines
> > > > >  ---------------
> > > > >  OpenTitan
> > > > > diff --git a/default-configs/devices/ppc-softmmu.mak b/default-configs/devices/ppc-softmmu.mak
> > > > > index 61b78b844d..4535993d8d 100644
> > > > > --- a/default-configs/devices/ppc-softmmu.mak
> > > > > +++ b/default-configs/devices/ppc-softmmu.mak
> > > > > @@ -14,5 +14,7 @@ CONFIG_SAM460EX=y
> > > > >  CONFIG_MAC_OLDWORLD=y
> > > > >  CONFIG_MAC_NEWWORLD=y
> > > > > 
> > > > > +CONFIG_PEGASOS2=y
> > > > 
> > > > I don't think we can have this default to enabled while it requires a
> > > > non-free ROM to start.
> > > 
> > > Not having it enabled though does not help those who might want to use it as
> > > they are not people who can compile their own QEMU but rely on binaries so
> > > adding it without also enabling it is like it wasn't there at all in
> > > practice.
> > 
> > Not convinced, sorry.  If it's not usable out of the box, having to
> > build from source is kind of expected.  Or you could convince someone
> 
> I accept your point however there's a difference of only needing a ROM image
> to be able to use it from your distro's binary and having to rebuild it from
> source. So to me needing a ROM does not make it expected having to rebuild
> it. Needing to configure it some way would make that expected.

Well, you could always lobby the distro to include it.  Honestly I
think the distros would probably choose not to include something for
such a niche case, even if it was enabled by default upstream.   At
least.. if they were paying attention, which they're likely not.  But
sneaking something in via a side route is not something I'm going to
encourage.

> > (or do it yourself) to provide prebuild binaries for this purpose that
> > have the right things enabled.
> 
> There are people who provide binaries with patches for such purposes but
> that limits the availability of it compared to having it in all distro
> binaries without further effort. As I said I also plan to solve this
> eventually but I'd probably need VOF for that. Will that be merged at last?

I hope so, yes.  I've finally had some time to review recent rounds.
It'll be 6.1 material as well, obviously.  Note that there are some
issues you'll have to sort out to use this for Pegasos which doesn't
have an actual hypervisor mode.

> Other alternatives would be modifying SLOF, OpenBIOS or OpenFirmware or
> rewrite SmartFirmware to free it from its non-distributable parts but I
> think VOF would be a simpler way also avoiding adding another full OF
> implementation to QEMU that already has more than there should be.
> 
> Regards,
> BALATON Zoltan
> 
> > > I can attempt to make some guests boot without a ROM but since
> > > guests expect an OpenFirmware client interface, I'll need something to
> > > provide that. I'm waiting for VOF to be merged for this.
> > > 
> > > Regards,
> > > BALATON Zoltan
> > 
> > 
> > 


-- 
David Gibson			| I'll have my music baroque, and my code
david AT gibson.dropbear.id.au	| minimalist, thank you.  NOT _the_ _other_
				| _way_ _around_!
http://www.ozlabs.org/~dgibson

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 833 bytes --]

^ permalink raw reply	[flat|nested] 24+ messages in thread

* Re: [PATCH v10 0/7] Pegasos2 emulation
  2021-03-25  1:54     ` David Gibson
@ 2021-03-25 14:04       ` BALATON Zoltan
  0 siblings, 0 replies; 24+ messages in thread
From: BALATON Zoltan @ 2021-03-25 14:04 UTC (permalink / raw)
  To: David Gibson; +Cc: Peter Maydell, f4bug, qemu-ppc, qemu-devel, Paolo Bonzini

On Thu, 25 Mar 2021, David Gibson wrote:
> On Tue, Mar 23, 2021 at 01:57:25PM +0100, BALATON Zoltan wrote:
>> On Tue, 23 Mar 2021, David Gibson wrote:
>>> On Wed, Mar 17, 2021 at 02:17:51AM +0100, BALATON Zoltan wrote:
>>>> Hello,
>>>>
>>>> This is adding a new PPC board called pegasos2. More info on it can be
>>>> found at:
>>>>
>>>> https://osdn.net/projects/qmiga/wiki/SubprojectPegasos2
>>>>
>>>> Currently it needs a firmware ROM image that I cannot include due to
>>>> original copyright holder (bPlan) did not release it under a free
>>>> licence but I have plans to write a replacement in the future. With
>>>> the original board firmware it can boot MorphOS now as:
>>>>
>>>> qemu-system-ppc -M pegasos2 -cdrom morphos.iso -device ati-vga,romfile="" -serial stdio
>>>>
>>>> then enter "boot cd boot.img" at the firmware "ok" prompt as described
>>>> in the MorphOS.readme. To boot Linux use same command line with e.g.
>>>> -cdrom debian-8.11.0-powerpc-netinst.iso then enter
>>>> "boot cd install/pegasos"
>>>>
>>>> The last patch adds the actual board code after previous patches
>>>> adding VT8231 and MV64361 system controller chip emulation.
>>>
>>> I've applied 1..5 to a new ppc-for-6.1 branch.  Sorry it didn't make
>>> it for 6.0, I just didn't have time to look this over until too late.
>>
>> Thanks but maybe you should wait if the dropped original first patch can be
>> reviewed now and brought back. It would be easier for me to resubmit whole
>> series rebased than port the dropped patch on top of a partly applied
>> series. Also first patches without the last two is not much useful as the
>> vt8231 model these add would not be used by anything else.
>
> Ok, I've dropped your patches from ppc-for-6.1 again, and I'll wait
> for the next posting.

Should've waited for the outcome of the review of the patch in question as 
it turns out it was voted against so the ones you dropped will stay. Sorry 
for the churn, I've posted v11 with all patches again changing last two 
patches according to your review. Let me know if anything else is needed.

Thank you,
BALATON Zoltan


^ permalink raw reply	[flat|nested] 24+ messages in thread

end of thread, other threads:[~2021-03-25 14:13 UTC | newest]

Thread overview: 24+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2021-03-17  1:17 [PATCH v10 0/7] Pegasos2 emulation BALATON Zoltan
2021-03-17  1:17 ` [PATCH v10 7/7] hw/ppc: Add emulation of Genesi/bPlan Pegasos II BALATON Zoltan
2021-03-23  0:55   ` David Gibson
2021-03-23 13:01     ` BALATON Zoltan
2021-03-24  1:45       ` David Gibson
2021-03-24 11:21         ` BALATON Zoltan
2021-03-25  2:00           ` David Gibson
2021-03-17  1:17 ` [PATCH v10 1/7] vt82c686: QOM-ify superio related functionality BALATON Zoltan
2021-03-17  1:17 ` [PATCH v10 6/7] hw/pci-host: Add emulation of Marvell MV64361 PPC system controller BALATON Zoltan
2021-03-23  0:40   ` David Gibson
2021-03-23 13:31     ` BALATON Zoltan
2021-03-24  1:48       ` David Gibson
2021-03-24 11:11         ` BALATON Zoltan
2021-03-25  1:56           ` David Gibson
2021-03-17  1:17 ` [PATCH v10 4/7] vt82c686: Add emulation of VT8231 south bridge BALATON Zoltan
2021-03-17  1:17 ` [PATCH v10 5/7] hw/isa/Kconfig: Add missing dependency VIA VT82C686 -> APM BALATON Zoltan
2021-03-17  1:17 ` [PATCH v10 3/7] vt82c686: Introduce abstract TYPE_VIA_ISA and base vt82c686b_isa on it BALATON Zoltan
2021-03-17 18:23   ` Philippe Mathieu-Daudé
2021-03-17 19:24     ` BALATON Zoltan
2021-03-17  1:17 ` [PATCH v10 2/7] vt82c686: Add VT8231_SUPERIO based on VIA_SUPERIO BALATON Zoltan
2021-03-23  0:42 ` [PATCH v10 0/7] Pegasos2 emulation David Gibson
2021-03-23 12:57   ` BALATON Zoltan
2021-03-25  1:54     ` David Gibson
2021-03-25 14:04       ` BALATON Zoltan

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for NNTP newsgroup(s).