From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: X-Spam-Checker-Version: SpamAssassin 3.4.0 (2014-02-07) on aws-us-west-2-korg-lkml-1.web.codeaurora.org X-Spam-Level: X-Spam-Status: No, score=-10.5 required=3.0 tests=BAYES_00, HEADER_FROM_DIFFERENT_DOMAINS,MAILING_LIST_MULTI,MENTIONS_GIT_HOSTING, SPF_HELO_NONE,SPF_PASS,URIBL_BLOCKED,USER_AGENT_SANE_1 autolearn=ham autolearn_force=no version=3.4.0 Received: from mail.kernel.org (mail.kernel.org [198.145.29.99]) by smtp.lore.kernel.org (Postfix) with ESMTP id B50B3C433E0 for ; Thu, 6 Aug 2020 02:18:26 +0000 (UTC) Received: from silver.osuosl.org (smtp3.osuosl.org [140.211.166.136]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mail.kernel.org (Postfix) with ESMTPS id 7EAB62173E for ; Thu, 6 Aug 2020 02:18:26 +0000 (UTC) DMARC-Filter: OpenDMARC Filter v1.3.2 mail.kernel.org 7EAB62173E Authentication-Results: mail.kernel.org; dmarc=fail (p=none dis=none) header.from=intel.com Authentication-Results: mail.kernel.org; spf=pass smtp.mailfrom=virtualization-bounces@lists.linux-foundation.org Received: from localhost (localhost [127.0.0.1]) by silver.osuosl.org (Postfix) with ESMTP id 43E9523B2B; Thu, 6 Aug 2020 02:18:26 +0000 (UTC) X-Virus-Scanned: amavisd-new at osuosl.org Received: from silver.osuosl.org ([127.0.0.1]) by localhost (.osuosl.org [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id bKpt-JDLxmtB; Thu, 6 Aug 2020 02:18:23 +0000 (UTC) Received: from lists.linuxfoundation.org (lf-lists.osuosl.org [140.211.9.56]) by silver.osuosl.org (Postfix) with ESMTP id 2CB07237C8; Thu, 6 Aug 2020 02:18:23 +0000 (UTC) Received: from lf-lists.osuosl.org (localhost [127.0.0.1]) by lists.linuxfoundation.org (Postfix) with ESMTP id 0F5BDC0050; Thu, 6 Aug 2020 02:18:23 +0000 (UTC) Received: from silver.osuosl.org (smtp3.osuosl.org [140.211.166.136]) by lists.linuxfoundation.org (Postfix) with ESMTP id C9E8AC004C for ; Thu, 6 Aug 2020 02:18:21 +0000 (UTC) Received: from localhost (localhost [127.0.0.1]) by silver.osuosl.org (Postfix) with ESMTP id B6BCF23A18 for ; Thu, 6 Aug 2020 02:18:21 +0000 (UTC) X-Virus-Scanned: amavisd-new at osuosl.org Received: from silver.osuosl.org ([127.0.0.1]) by localhost (.osuosl.org [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id AAo3S0z7PTMT for ; Thu, 6 Aug 2020 02:18:19 +0000 (UTC) X-Greylist: domain auto-whitelisted by SQLgrey-1.7.6 Received: from mga18.intel.com (mga18.intel.com [134.134.136.126]) by silver.osuosl.org (Postfix) with ESMTPS id 1C8B4237C8 for ; Thu, 6 Aug 2020 02:18:19 +0000 (UTC) IronPort-SDR: l5dlD1l6aIuJr3T4z2c4zBokySqq62LsEOKVa7jnqxqzruk5X+bGxRZdKIbPTrH7jOiK/remuf eT6btal30cNw== X-IronPort-AV: E=McAfee;i="6000,8403,9704"; a="140300424" X-IronPort-AV: E=Sophos;i="5.75,440,1589266800"; d="gz'50?scan'50,208,50";a="140300424" X-Amp-Result: UNKNOWN X-Amp-Original-Verdict: FILE UNKNOWN X-Amp-File-Uploaded: False Received: from fmsmga002.fm.intel.com ([10.253.24.26]) by orsmga106.jf.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384; 05 Aug 2020 19:18:18 -0700 IronPort-SDR: ziZ9sqTdpKKL3NsJw56vl0L1Xaym3IN9XgQHCdxnZqScv38y6d5j0c/7VF5aDSBotGIkCtgDN0 NOOoskMs94Uw== X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.75,440,1589266800"; d="gz'50?scan'50,208,50";a="325232784" Received: from lkp-server02.sh.intel.com (HELO 37a337f97289) ([10.239.97.151]) by fmsmga002.fm.intel.com with ESMTP; 05 Aug 2020 19:18:15 -0700 Received: from kbuild by 37a337f97289 with local (Exim 4.92) (envelope-from ) id 1k3VTz-00011n-13; Thu, 06 Aug 2020 02:18:15 +0000 Date: Thu, 6 Aug 2020 10:17:29 +0800 From: kernel test robot To: "Michael S. Tsirkin" Subject: [vhost:vhost 32/65] drivers/virtio/virtio_input.c:247:3: warning: comparison of distinct pointer types ('typeof (_Generic((virtio_cread_v), __u8: (virtio_cread_v), __le16: (__builtin_constant_p((__u16)((__u16)(__le16)(virtio_cread_v))) ((__u16)((((__u16)((__u16)(__le16)(vi... Message-ID: <202008061024.CfgVFa5E%lkp@intel.com> MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="a8Wt8u1KmwUX3Y2C" Content-Disposition: inline User-Agent: Mutt/1.10.1 (2018-07-13) Cc: clang-built-linux@googlegroups.com, netdev@vger.kernel.org, kbuild-all@lists.01.org, kvm@vger.kernel.org, virtualization@lists.linux-foundation.org X-BeenThere: virtualization@lists.linux-foundation.org X-Mailman-Version: 2.1.15 Precedence: list List-Id: Linux virtualization List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: virtualization-bounces@lists.linux-foundation.org Sender: "Virtualization" --a8Wt8u1KmwUX3Y2C Content-Type: text/plain; charset=us-ascii Content-Disposition: inline Content-Transfer-Encoding: quoted-printable tree: https://git.kernel.org/pub/scm/linux/kernel/git/mst/vhost.git vhost head: 1a86b377aa2147a7c866b03142e848c18e5f3cb8 commit: b025584098e621d88894d28e80af686958e273af [32/65] virtio_input: conv= ert to LE accessors config: powerpc-randconfig-r031-20200805 (attached as .config) compiler: clang version 12.0.0 (https://github.com/llvm/llvm-project 076b12= 0bebfd727b502208601012a44ab2e1028e) reproduce (this is a W=3D1 build): wget https://raw.githubusercontent.com/intel/lkp-tests/master/sbin/= make.cross -O ~/bin/make.cross chmod +x ~/bin/make.cross # install powerpc cross compiling tool for clang build # apt-get install binutils-powerpc-linux-gnu git checkout b025584098e621d88894d28e80af686958e273af # save the attached .config to linux build tree COMPILER_INSTALL_PATH=3D$HOME/0day COMPILER=3Dclang make.cross ARCH= =3Dpowerpc=20 If you fix the issue, kindly add following tag as appropriate Reported-by: kernel test robot All warnings (new ones prefixed by >>): >> drivers/virtio/virtio_input.c:247:3: warning: comparison of distinct poi= nter types ('typeof (_Generic((virtio_cread_v), __u8: (virtio_cread_v), __l= e16: (__builtin_constant_p((__u16)((__u16)(__le16)(virtio_cread_v))) ? ((__= u16)((((__u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)255U) << 8) | (((_= _u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)65280U) >> 8))) : __fswab16= ((__u16)(__le16)(virtio_cread_v))), __le32: (__builtin_constant_p((__u32)((= __u32)(__le32)(virtio_cread_v))) ? ((__u32)((((__u32)((__u32)(__le32)(virti= o_cread_v)) & (__u32)255UL) << 24) | (((__u32)((__u32)(__le32)(virtio_cread= _v)) & (__u32)65280UL) << 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) &= (__u32)16711680UL) >> 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) & (_= _u32)4278190080UL) >> 24))) : __fswab32((__u32)(__le32)(virtio_cread_v))), = __le64: (__builtin_constant_p((__u64)((__u64)(__le64)(virtio_cread_v))) ? (= (__u64)((((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)255ULL) << 56) = | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)65280ULL) << 40) | ((= (__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)16711680ULL) << 24) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)4278190080ULL) << 8) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)1095216660480ULL) >> 8) | = (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)280375465082880ULL) >> = 24) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)71776119061217280= ULL) >> 40) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)183746864= 79671623680ULL) >> 56))) : __fswab64((__u64)(__le64)(virtio_cread_v))))) *'= (aka 'int *') and 'typeof (*(&vi->idev->id.bustype)) *' (aka 'unsigned sho= rt *')) [-Wcompare-distinct-pointer-types] virtio_cread_le(vi->vdev, struct virtio_input_config, ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ include/linux/virtio_config.h:405:3: note: expanded from macro 'virtio_c= read_le' typecheck(typeof(virtio_le_to_cpu(virtio_cread_v)), *(pt= r)); \ ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~= ~~~ include/linux/typecheck.h:12:18: note: expanded from macro 'typecheck' (void)(&__dummy =3D=3D &__dummy2); \ ~~~~~~~~ ^ ~~~~~~~~~ drivers/virtio/virtio_input.c:249:3: warning: comparison of distinct poi= nter types ('typeof (_Generic((virtio_cread_v), __u8: (virtio_cread_v), __l= e16: (__builtin_constant_p((__u16)((__u16)(__le16)(virtio_cread_v))) ? ((__= u16)((((__u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)255U) << 8) | (((_= _u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)65280U) >> 8))) : __fswab16= ((__u16)(__le16)(virtio_cread_v))), __le32: (__builtin_constant_p((__u32)((= __u32)(__le32)(virtio_cread_v))) ? ((__u32)((((__u32)((__u32)(__le32)(virti= o_cread_v)) & (__u32)255UL) << 24) | (((__u32)((__u32)(__le32)(virtio_cread= _v)) & (__u32)65280UL) << 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) &= (__u32)16711680UL) >> 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) & (_= _u32)4278190080UL) >> 24))) : __fswab32((__u32)(__le32)(virtio_cread_v))), = __le64: (__builtin_constant_p((__u64)((__u64)(__le64)(virtio_cread_v))) ? (= (__u64)((((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)255ULL) << 56) = | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)65280ULL) << 40) | ((= (__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)16711680ULL) << 24) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)4278190080ULL) << 8) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)1095216660480ULL) >> 8) | = (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)280375465082880ULL) >> = 24) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)71776119061217280= ULL) >> 40) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)183746864= 79671623680ULL) >> 56))) : __fswab64((__u64)(__le64)(virtio_cread_v))))) *'= (aka 'int *') and 'typeof (*(&vi->idev->id.vendor)) *' (aka 'unsigned shor= t *')) [-Wcompare-distinct-pointer-types] virtio_cread_le(vi->vdev, struct virtio_input_config, ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ include/linux/virtio_config.h:405:3: note: expanded from macro 'virtio_c= read_le' typecheck(typeof(virtio_le_to_cpu(virtio_cread_v)), *(pt= r)); \ ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~= ~~~ include/linux/typecheck.h:12:18: note: expanded from macro 'typecheck' (void)(&__dummy =3D=3D &__dummy2); \ ~~~~~~~~ ^ ~~~~~~~~~ drivers/virtio/virtio_input.c:251:3: warning: comparison of distinct poi= nter types ('typeof (_Generic((virtio_cread_v), __u8: (virtio_cread_v), __l= e16: (__builtin_constant_p((__u16)((__u16)(__le16)(virtio_cread_v))) ? ((__= u16)((((__u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)255U) << 8) | (((_= _u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)65280U) >> 8))) : __fswab16= ((__u16)(__le16)(virtio_cread_v))), __le32: (__builtin_constant_p((__u32)((= __u32)(__le32)(virtio_cread_v))) ? ((__u32)((((__u32)((__u32)(__le32)(virti= o_cread_v)) & (__u32)255UL) << 24) | (((__u32)((__u32)(__le32)(virtio_cread= _v)) & (__u32)65280UL) << 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) &= (__u32)16711680UL) >> 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) & (_= _u32)4278190080UL) >> 24))) : __fswab32((__u32)(__le32)(virtio_cread_v))), = __le64: (__builtin_constant_p((__u64)((__u64)(__le64)(virtio_cread_v))) ? (= (__u64)((((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)255ULL) << 56) = | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)65280ULL) << 40) | ((= (__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)16711680ULL) << 24) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)4278190080ULL) << 8) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)1095216660480ULL) >> 8) | = (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)280375465082880ULL) >> = 24) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)71776119061217280= ULL) >> 40) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)183746864= 79671623680ULL) >> 56))) : __fswab64((__u64)(__le64)(virtio_cread_v))))) *'= (aka 'int *') and 'typeof (*(&vi->idev->id.product)) *' (aka 'unsigned sho= rt *')) [-Wcompare-distinct-pointer-types] virtio_cread_le(vi->vdev, struct virtio_input_config, ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ include/linux/virtio_config.h:405:3: note: expanded from macro 'virtio_c= read_le' typecheck(typeof(virtio_le_to_cpu(virtio_cread_v)), *(pt= r)); \ ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~= ~~~ include/linux/typecheck.h:12:18: note: expanded from macro 'typecheck' (void)(&__dummy =3D=3D &__dummy2); \ ~~~~~~~~ ^ ~~~~~~~~~ drivers/virtio/virtio_input.c:253:3: warning: comparison of distinct poi= nter types ('typeof (_Generic((virtio_cread_v), __u8: (virtio_cread_v), __l= e16: (__builtin_constant_p((__u16)((__u16)(__le16)(virtio_cread_v))) ? ((__= u16)((((__u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)255U) << 8) | (((_= _u16)((__u16)(__le16)(virtio_cread_v)) & (__u16)65280U) >> 8))) : __fswab16= ((__u16)(__le16)(virtio_cread_v))), __le32: (__builtin_constant_p((__u32)((= __u32)(__le32)(virtio_cread_v))) ? ((__u32)((((__u32)((__u32)(__le32)(virti= o_cread_v)) & (__u32)255UL) << 24) | (((__u32)((__u32)(__le32)(virtio_cread= _v)) & (__u32)65280UL) << 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) &= (__u32)16711680UL) >> 8) | (((__u32)((__u32)(__le32)(virtio_cread_v)) & (_= _u32)4278190080UL) >> 24))) : __fswab32((__u32)(__le32)(virtio_cread_v))), = __le64: (__builtin_constant_p((__u64)((__u64)(__le64)(virtio_cread_v))) ? (= (__u64)((((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)255ULL) << 56) = | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)65280ULL) << 40) | ((= (__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)16711680ULL) << 24) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)4278190080ULL) << 8) | (((= __u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)1095216660480ULL) >> 8) | = (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)280375465082880ULL) >> = 24) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)71776119061217280= ULL) >> 40) | (((__u64)((__u64)(__le64)(virtio_cread_v)) & (__u64)183746864= 79671623680ULL) >> 56))) : __fswab64((__u64)(__le64)(virtio_cread_v))))) *'= (aka 'int *') and 'typeof (*(&vi->idev->id.version)) *' (aka 'unsigned sho= rt *')) [-Wcompare-distinct-pointer-types] virtio_cread_le(vi->vdev, struct virtio_input_config, ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ include/linux/virtio_config.h:405:3: note: expanded from macro 'virtio_c= read_le' typecheck(typeof(virtio_le_to_cpu(virtio_cread_v)), *(pt= r)); \ ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~= ~~~ include/linux/typecheck.h:12:18: note: expanded from macro 'typecheck' (void)(&__dummy =3D=3D &__dummy2); \ ~~~~~~~~ ^ ~~~~~~~~~ 4 warnings generated. vim +247 drivers/virtio/virtio_input.c 201=09 202 static int virtinput_probe(struct virtio_device *vdev) 203 { 204 struct virtio_input *vi; 205 unsigned long flags; 206 size_t size; 207 int abs, err; 208=09 209 if (!virtio_has_feature(vdev, VIRTIO_F_VERSION_1)) 210 return -ENODEV; 211=09 212 vi =3D kzalloc(sizeof(*vi), GFP_KERNEL); 213 if (!vi) 214 return -ENOMEM; 215=09 216 vdev->priv =3D vi; 217 vi->vdev =3D vdev; 218 spin_lock_init(&vi->lock); 219=09 220 err =3D virtinput_init_vqs(vi); 221 if (err) 222 goto err_init_vq; 223=09 224 vi->idev =3D input_allocate_device(); 225 if (!vi->idev) { 226 err =3D -ENOMEM; 227 goto err_input_alloc; 228 } 229 input_set_drvdata(vi->idev, vi); 230=09 231 size =3D virtinput_cfg_select(vi, VIRTIO_INPUT_CFG_ID_NAME, 0); 232 virtio_cread_bytes(vi->vdev, offsetof(struct virtio_input_config, 233 u.string), 234 vi->name, min(size, sizeof(vi->name))); 235 size =3D virtinput_cfg_select(vi, VIRTIO_INPUT_CFG_ID_SERIAL, 0); 236 virtio_cread_bytes(vi->vdev, offsetof(struct virtio_input_config, 237 u.string), 238 vi->serial, min(size, sizeof(vi->serial))); 239 snprintf(vi->phys, sizeof(vi->phys), 240 "virtio%d/input0", vdev->index); 241 vi->idev->name =3D vi->name; 242 vi->idev->phys =3D vi->phys; 243 vi->idev->uniq =3D vi->serial; 244=09 245 size =3D virtinput_cfg_select(vi, VIRTIO_INPUT_CFG_ID_DEVIDS, 0); 246 if (size >=3D sizeof(struct virtio_input_devids)) { > 247 virtio_cread_le(vi->vdev, struct virtio_input_config, 248 u.ids.bustype, &vi->idev->id.bustype); 249 virtio_cread_le(vi->vdev, struct virtio_input_config, 250 u.ids.vendor, &vi->idev->id.vendor); 251 virtio_cread_le(vi->vdev, struct virtio_input_config, 252 u.ids.product, &vi->idev->id.product); 253 virtio_cread_le(vi->vdev, struct virtio_input_config, 254 u.ids.version, &vi->idev->id.version); 255 } else { 256 vi->idev->id.bustype =3D BUS_VIRTUAL; 257 } 258=09 259 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_PROP_BITS, 0, 260 vi->idev->propbit, INPUT_PROP_CNT); 261 size =3D virtinput_cfg_select(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_REP); 262 if (size) 263 __set_bit(EV_REP, vi->idev->evbit); 264=09 265 vi->idev->dev.parent =3D &vdev->dev; 266 vi->idev->event =3D virtinput_status; 267=09 268 /* device -> kernel */ 269 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_KEY, 270 vi->idev->keybit, KEY_CNT); 271 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_REL, 272 vi->idev->relbit, REL_CNT); 273 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_ABS, 274 vi->idev->absbit, ABS_CNT); 275 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_MSC, 276 vi->idev->mscbit, MSC_CNT); 277 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_SW, 278 vi->idev->swbit, SW_CNT); 279=09 280 /* kernel -> device */ 281 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_LED, 282 vi->idev->ledbit, LED_CNT); 283 virtinput_cfg_bits(vi, VIRTIO_INPUT_CFG_EV_BITS, EV_SND, 284 vi->idev->sndbit, SND_CNT); 285=09 286 if (test_bit(EV_ABS, vi->idev->evbit)) { 287 for (abs =3D 0; abs < ABS_CNT; abs++) { 288 if (!test_bit(abs, vi->idev->absbit)) 289 continue; 290 virtinput_cfg_abs(vi, abs); 291 } 292 } 293=09 294 virtio_device_ready(vdev); 295 vi->ready =3D true; 296 err =3D input_register_device(vi->idev); 297 if (err) 298 goto err_input_register; 299=09 300 virtinput_fill_evt(vi); 301 return 0; 302=09 303 err_input_register: 304 spin_lock_irqsave(&vi->lock, flags); 305 vi->ready =3D false; 306 spin_unlock_irqrestore(&vi->lock, flags); 307 input_free_device(vi->idev); 308 err_input_alloc: 309 vdev->config->del_vqs(vdev); 310 err_init_vq: 311 kfree(vi); 312 return err; 313 } 314=09 --- 0-DAY CI Kernel Test Service, Intel Corporation https://lists.01.org/hyperkitty/list/kbuild-all@lists.01.org --a8Wt8u1KmwUX3Y2C Content-Type: application/gzip Content-Disposition: attachment; filename=".config.gz" Content-Transfer-Encoding: base64 H4sICLVfK18AAy5jb25maWcAjDxdd9u2ku/9FTrpy92HNpLsOO7u8QMEgiIqkmAAULL9wqPY Sqq9juSV5DT99zsDkiIAgsrtQ481M/gaDOab+fWXX0fk7bT/tj5tn9YvL/+Mvm52m8P6tHke fdm+bP5nFIlRLvSIRVz/DsTpdvf24/3r/u/N4fVp9OH329/Ho8XmsNu8jOh+92X79Q0Gb/e7 X379hYo85vOK0mrJpOIirzS713fvnl7Wu6+j75vDEehGk+nvY5jjX1+3p/9+/x7+/217OOwP 719evn+rXg/7/908nUbjjzefJ9Px583nL88fpx8/fxhPp+Pbm/FkPJmur6/Xn6ebyXh6u/mv d+2q827Zu3ELTKM+DOi4qmhK8vndPxYhANM06kCG4jwcNgP/WXMkRFVEZdVcaGENchGVKHVR 6iCe5ynPmYUSudKypFpI1UG5/FSthFx0kFnJ00jzjFWazFJWKSGtBXQiGYHD5LGA/wGJwqFw Ob+O5uaiX0bHzenttbuumRQLlldwWyorrIVzriuWLysigT084/ruagqznHebFRxW10zp0fY4 2u1POPGZn4KStOXdu3chcEVKm3PmWJUiqbboE7Jk1YLJnKXV/JFb27Mx6WNGwpj7x6ERuPL5 MNYK9ll8PK5zCX//GOCEs2IDi1hMylQbHlunbcGJUDonGbt796/dfocyfl5JPaglL2hwF4VQ /L7KPpWsZEGCFdE0qXr49kalUKrKWCbkQ0W0JjTpdlwqlvKZzTNSgoIITGPYTCQsZChgw3Dl aSuDIM6j49vn4z/H0+ZbJ4NzljPJqZF2lYhVt7CPqVK2ZGkYTxNbQhASiYzw3IUpnoWIqoQz ift+6E+eKY6Ug4jgOrGQlEXNg+S2slEFkYo1M54Zap8kYrNyHiv3Fje759H+i8dCf0dGMSw7 rntoCi9wARzMtQogM6GqsoiIZu196e03UNuhK9OcLkBpMLgUS/3kokoeUTlkIrcPB8AC1hAR pwGZqUfxKGX2GAMNCnLC50klmTKnlWE29XbeLlZIxrJCw/S5s1wLX4q0zDWRD+FHVlMFDtGO pwKGt/yjRfler4//Hp1gO6M1bO14Wp+Oo/XT0/5td9ruvnochQEVoWaOWmbOKy+51B66yonm y9BjRiEyohCea6Yi2K+gDF48UOjgUdF2KE20CjNC8SDf/4MTG85IWo5USKzyhwpwnUjBj4rd g/RYYqYcCjPGA+HezdBGuAOoHqiMWAiuJaEtwmVOh6qM1c1mQZa4Rz1f0aL+w9Iai7MoCWov xhcJTO9J+tmkou2MQTnyWN9Nx5048lwvwKDGzKOZXNU3oJ7+2jy/vWwOoy+b9entsDkacLPp APZsKeZSlIWydwhmg85DRsWQVoomLLLpY8JlZeGCIgby/jOSZv6CR2EpbfAyGrDcDT6Gt/vI 5PABIrbk1FEXDQLEffABtZtjMr6EN8o+SIBOABgLeKahnSWMLgoBt4yqELxGZ3uGZcbFMosE pwcrEStYHjQXBZ0fBRaRLCWWQZylC2SFcVyk5Sub3ySD2ZQowexZTo2MWs+tu9eomgFoGr70 qOdndRjbnzOEwvt97Wg5IVAd499h/tNKFKAl+SNDa20uSsiM5DToH3nUCv7wvEtwYCPUA1SA JgEzSiqGnjNqadccXiQMrI32XFvmvP4NapGyQptACxWRt5uCqmIBp0qJxmNZt1jE3Q9ftWbg gXJw96QjTXOmM9B4VeNYXBCnAEX75hOS1ybecVhrG25BjeLyf1d5xu1gwTFnLI2BlTJ0a30m dOJBwAmLy/BeSwhdu/XMT9AyFtsKYftXis9zksbWkzDHsgHG67IBKgGt2f0k3IlJuKhKOHlI qZJoyWHrDad9PTwjUvKgLlsg9UNm8bqFVI6zeIYaDqEKQC/DYV0RX7holB4TydinNSEBxr7d FiscPyN04RwBXONPgUlhFIsiFvlCDktVvkNb0Mn4unXBmmRFsTl82R++rXdPmxH7vtmBS0LA 0lF0SsBR7DwQd8azPfwPp2lnWWb1HLU7WAu4EzwTDZH3IvTWU+IEWiotZ+H3loohBJkBm+Wc tSHlwDLG7qVcgQWBpymc16GSMo4hwC8ITAP3BJE72JgBn1jEPA3LqlFMxko53HRzEWfWF/Rq 6vjkBb25Dr3qgqJ2X1ypygwwF10c9k+b43F/AKf/9XV/OFl32tHf/PjhrXDGjCfh4wHJ7Ycf P4aRA7jr8QD8Ogxn0/E4cNhzeFY4Hii7Go/pFKHhyRB9NYieX/uoHkOs9wSw2F2dpKgTQsEc Ete5lpIVPqsRdnkMCYwhF8cUWVmpsiicPJgBokFyT2EeN9Xuc1RZaP7cOA8Jk+YVE/CnrFwd +l41sKWhD7Z892XxrBgiJYzMtlNBRDfD+8ojTqwUwtV0xm2znJWeLs0yAo5tDr4U16BVyf3d 5OMlAp7fTSZhglYf/Wwih86ZL5cYcqq7D5PpWcFo0Ox1gNS7nRoMI+KUzFUfj1kK8Ev7iPYt JCvG54l2LtcytUSmD31HguRNgkSUEAXdnkOl2lcWGdegEMHlroy+Mh6Qwwby0DpWVRxRV7DK aDavJjcfPoytUZgAM2P7B6g9mAbYJv5KnoG29W0mnzFZe4boMSk+S30SVaoC5GcYbbio6kjD aHSj0IfISlDoM2a9nEeRgymxk6zFvE4+m2ycupvarMCkGIhIZl5yrZlf1ie0mn3FrECk2myX /+5pIofefVZQkDRHkdegCThHoWCpGWBlA7qlouzqZhw0Xu1SU2MzfOB4jLnEwvFoAcdiviAu KOWaIb03CYMX34Cdg7ezI1f4/cC+iox4Ing7/eFz5Hb6cTrAkeLTNAaM7dHeTq9vrcNkcyps aYQRzoDb6c3Y/vVx6tDiuvBUOLjN1D/h7dUlc3rjIj0H0DPg4HeYLJUXOHXKXWMeFp9zEA1M +nh9fZsUdDpkY8DRf3D4uszYh0nQVGvFJ+NbcOx4ZHNuDkqFljMWSIyWajYHWyKMAgkZ/2ao PWbFeYCSZHxOhF1RWoATOi+Z0vYlkwKiISIJphNd+cl7bj5CRVzH0RjfQ8zD83Btweg/qcG/ B4ASaTApWdh5uMyTC/xdR03B9wtI0Pky6g3J1Dw0AvfOb6cf/nAPiVu0cga44Sbb5j7AyfjG yuGO4sPm/942u6d/Rsen9Uudtu3ceTDh4El/chnT5UMDo9uJ+fPLZvR82H7fHAB0Xg7B/gqY Hh9coR5gQeyJ7axl2Bv8xKp5wUUo61FkjrNiBaygS4GB1HF7fEVvR2D7V6wTH+1zYSIf4sFw kv+x8h6ZjZp+GERdBZ9mPZ2lsJLHu4lV0jUmkOXGqjVFsEToIrXtdJhGwl/uu1mw+6BrTCVR SRWVdp3VzAlukIYJm7mtvFqasjlJW4ekWpK0ZF0pG2X1emEMuu/nYtTWpHvPxrmpDTfg67P3 gfknA8SXbuUBOgR4S+dUCKpT9BKNVyBkBH4S+IGdqGYRKgkzVYgFNdpKELJ7UC0gmRCuQoz4 zi521m5N2FBkgckVo+iiBsL2VvbOjFUEHZqKmJyOEcnZ29ESUc9da+hdJnNw2SWjGq2clStS aaVsjYKAHkU6s3UOWMnGmp43bm/H7I88f8esw7NfvyfREjOWkclOgu61vegVChUmKr2btTB3 4x9X4/q/zlEG4RFxrJgvERYGxj214/xCONhjqYckwKaxJ2mXSB4Up6QjGE/9VbTJRLanCpnh lsDdZMNZj5GuozarZMLcGBQtZUlS/thzMpy2ivXh6a/tafOEtZLfnjevsNpmd+qLlAkhRJ0z cbVG7XYHxf1P0BlVSmYsdFwzI4tjTjnGqmUOm53nmP6nWOHzdE2pmGm20DyvZmpF/KYKLkCh QcAHu9EeauEHBjVUMh1G1FBsLYlDCeq4zKkJa5iUAgLI/E9Gm0y5TeZkfrv2AjNjIsTCsUjm tUJAb8xlo/ECSUjQYprHD225wiUwoTeKeeUzAPt2MhE1HSz+eSWDWJZAHGZC34b7jYpx6BT7 5IGSFTiNjNT1nFCKE+cOwTHX2qzn2pWOUZ3sOOkEcC11AoPrQA4zgUE0Fjd/QlIbG6cc0jC6 vr26CEmz4p4mvjVdwaExxcww1Uzop5JLf5oVAaHmxqhh20TbVRQ4aaP/K3hZTvg+BG+6sQz7 UNwZNl+1jQH27IHau/9qggV3mwLkptllwSiPHVdYRGUKDwWfJtYyMOUemJ/do1jmdRcL7jkg 2Ga4Seb2y1P9rNCllJKV3TGjqSgeWhdCp74wmvH5EoIE0G0WkqaYO8Ak/4pIO3oU2O3F542N 78GJpwma1FP9NJHRofWXuH+PMyGYIa7NJRiaxiDJ1X2An0qDQtEujRVbeshQWNbOhIat0sJ1 IzDRZRcKVOuNQOy9/O3z+ghm6t+1C/N62H/Z+qEHkjXrX1rbkDUmp6n1dKn4Syv5+fqfGLku rqoyLJnZJsEUk1SGq088wXdiOgNq3MxUkLD711CV+SWKVk1fmkFJeu5HHKhrtpQDVeQGjQIq Qd9fosGs26rKuFJ1805T3a94ZhJv4cA6B60AT+Ihm4k0TAJSmLV0CyzchQLoRsVAtIJsFYvS 8XFmKIghCVL5pLvCMq+bVkGFgfOAzO+9qnMSkWhQQRBvZ6vAqwIVW0HMJFNSFMgKEkXIu8qw o30C7Mfm6e20/gyBLHYmj0zd7WR5UjOex5lGlWclONKYCtuENESKSl7oHhjugtpKRrLGip4l f2gXZovZ5tv+8M8oW+/WXzffgu5eE9pZ3j8AgAGRCSGrrOd/xUTpal76IeKCscLUTl2mqyIF hVhoo0jAQqm7c1zXRKgzlDo36dSAaqVKB3oOOqTn/0uGN+wYuIzPJXFJjckEdTcrLR2ATkMu wPPibn/BQoXCuTYtbsxSBhKHYnJ3Pf7jpqXIGYh8gZVksM0Li8kUHIq8LdpYsWmor+SxqGOc M9njrAz1wjxexSJ18k+PRp2JUKjfuqJ1KaLxqa3APmpLq+jGLhxm1jWIpXFGnGwck3jM4ZY8 EJpqxnKaZCRYWe5MkWa1F0IcSzAszR2/7Sa8xQyDd5a3vrB5Evnm9Pf+8G+wHqGED0jUgoXK 0aBa7h1Fcw9P1ilIG1jESVgL6wHdeB/LzDjJ4QYrhtb7IbAfXh+1a8co6s4YSlS42QsIzpG4 FKUOpjGBqMhtMTC/qyihhbcYgjHvGu7caggkkWE8nosXA93sNXIusTsgK0M+S01R6TLPmfMy 1AMqDbHgA2FqPXCp+SA2FuEMZIPrlg0vgNdSkWQYBxZ1GAlBhAjWeg32fFwbiALngTQtWrA7 fRkVwwJqKCRZ/YQCsXAv4FmKcAMyrg5/dnmfUP6jpaHlzI4zWoXa4u/ePb193j69c2fPog+e r3OWuuWNK6bLm0bWsfs53ORoiOrGOQXPp4oG/DU8/c2lq725eLc3gct195Dx4mYY68msjVJc 904NsOpGhnhv0HkEfoUx8vqhYL3RtaRd2CpqmiJtvvAZeAmG0HB/GK/Y/KZKVz9bz5CB1Qh/ V1Jfc5EGJ2oNe6GppdfMz5681FBcp/fZkK0H8HMnDNl9K9ajgYjKhIJgEbPCaz+yieuwP4id FReQoIsiSgc1sKID2lkONCProS93wEMMwtPpwAp1gXEoFWj0iCI26xtQcLJlSvLqdjydfAqi I0ZzFr6sNKXhJl6iSRq+u/vph/BUpAg3sxWJGFr+BqKpgoTLvpwxhmf6cD0kFRe6yiM6C/A2 yhV2Wgv8gO3um+1N64ygc7oMTiYKli/Vimsa1m1LhZ/kDLh0sE8scA8bjawYsJR4wlyFl0zU sDtU7zRi4cMgRXoFUYtCpT9E9Unq4QVy6n850nrndTs80hTSLUaGaGhKII4OqWBjae8x8nio 3Dbd2SfHncE21j/dr9hsH3Z02hxPXsLF7G6hwb0fPGAkBRhRkfNev2TjZ/em9xC272xdGskk iYb4MvAMZuGXQ2JgkBzSRnG1oKF4bMUxUavcVtZ4js9s0uPhGbHbbJ6Po9N+9HkD58RA+hmD 6BGYAUPQhcotBEMcjFMSU2as6zjdiisO0LDejRc82JCMt/KHZZ7q3yZEdZuuG8TwFxmU8IFv OViRYPkoLBXxwBebimD/0LArHYdxFwxxpHrNivBkYHteo3hMeIoZmMAUTCcaIuJW93jpCdo8 mjbeizbft0+bUWRaDfwyl1v2NCk9B+T/aD6kVC6w9zUjAE0OwsktNNX6uuUICJzQGX6TAStv cCpYT0YUBMuZP1U1W4WpsffN3fvQF6KIw2KH2/tuWOALn80JXc7cOYj2mMUo8fdbcbEcmBAU rU9ckLBWtfgbZjodxKjEdGfWvYCUj572u9Nh/4Iflz2f5cbZRKzh/+EeK0TjF9JdM5WP6H3y Z+7sHlvU7zuxPW6/7lbrw8bsiO7hD9VrG8dx0cqbKFqZZfpQVvRh+OFJGDowiUF5jdMoR+B4 5EFrcukkdZJy/xl4vH1B9MY/aZf8GaaqL2f9vMGPHgy6u8BjoNkeT0JJxHK7smpD+5xqEQ27 3NdmIw3Xhp6qQ8icNO7Pt3/uYQoL6Fl42e75db/duQfG9m2vdmtDm6/QYv+tgirVdX+es/x5 ifOix7+3p6e/wg/H1g+rxn/TjPqTDk9hs5sSOfAxJim453107Q/bp8YAjEQ/51fW9a2EpUXQ 3oAXqbPC5k0LAS+qtFkKfkEekdSpzxaynj7mMlsRWfc2RO0zj7eHb3/j03jZgwAcrLT8yhSX 7GrwGWQSsxF+MWvVFUyXUruI1b7UjTKl+PqUoUktNFjf+sMnxyKfKcOlpOYq/ROdnTOskuO3 mVYRo3XoTNkpjPOgVtSHreKR5MsBs9kQsKUcSFDUBCjezTRV3SgXDo2RjKiHnLbEpnfkQmLd VONLLQxddx7J5k6ivf5d8SntwVTKM8eDaOF2w0YDW016oCzjor+O/a9EYIOZSkBcjCzFbskD kbHRVqaRJXjXA2/r3K72bHwvSwdk4l67eess4RgIBae3p7A8WQGuJfVil5b5ud1OhL8qkOq6 jGADM/zyPIRQXMYdpqtcIK6c3TeoUIJJu52/OjIyo3r6qFgfTlvk0+h1fTh6rgUOI/IjdpLo YJUU8G0Do6Hx1xTxxbFw1aZZuh0bQNUtg6YwZyp5v03cFZwpTC+X+fQumOft02N3hshT91uk HkcMS0r4E6w+fuNff8OoD+vd8cX8Y06jdP2PY1zM2UXR4weuyrHwBzJeJwV6FyJJ9l6K7H38 sj6C+flr+9q3XYbvMfdn/5NFjA4pAiTAFmRPATRTYTrG6oh0pkV0LrD1LZxSaUhmYEsesFK2 Cn5/1pKlFll/G3MmMqblg4tBVTEj+QKC2Ugn1eQidnoRe+2fzsPfDmzd38LNxVXczzLbw/HJ Bb7w8JDQ55xn5G3vvelLrMem6BT/ibH+7WcQCPc0BmLAhwgVgVt0qXnqPVySeQDhAchMsdxx 4i7IfO2Sr19fMcvTAE0+xFCtn/CzQe9hCEwS3OOVYJa7/waTB5UNimgdlDkDDNuqJXa6Bb+1 wFHgQcsmkGwDhJ/suf43RTYvX35DF3O93W2eRzBVY2FCgd7/c3YlXW7jSPqv5Gle96GmRWqj DnWgSEqCk1sSlET5wue2c6b8xlWu58yaqf73EwGQEgKMkGrm4EXxBUEQayAQi3lRkSyX0jBC A/RdHusDbe8ruT83qjUWgWp3kXhgCE2mSHKow/lzuOQvhJBF6zZcCvZACOfQOiJaH+6h8MeD 7Yn069t//VT99lOCbSppVcynVcl+7ug0k4ONHdcXjlH/jdr+vLh14uP+8eZfmZWxYIJvJ8e5 v8sAwtSEwXxuXqdp8/Rv9t8QTijF06/W/kAYKfYBTo55XBRTJ8GXHfHjlrsLRORwAemdyIxp 6wiW1c4daSArHEvVChHyAEVLoJYY1QLRGoyw0HO1/UAI6aWMC0UqMJpSERqRSuE3MeOA30Xq irIVGqCCIHbCPd21XLIA3n8QmjXkcuaftSVF/9yrvyzICYMj7+2saUlT5fupyDgFBaHbVfTr 2+epDByny3DZ9XDwdj7SIQ5ngZu624FgZHAH1GNRXGgj1gc4brn7QKt2RZ94QXgMcd11fFwB lejNPNQLIewAnA3ySh/hRIt9oRLhmHWAQ0fOeW7Fdao30SyMXVtdpfNwM5vNfUroOEbBZqar RvctIMSfeQS2h2C9Jl6rI2LeuZlxNiWHIlnNl44sk+pgFTm/ccQr1A0l9XzQl5BXeGvm2BGu luWqSxlAq/LrdbrLBAX8qUancKbcJBzGqzVFzEDKLKaqLkvv4zZcOKqCK3E5IaI7V0K8SQeg iLtVtF4yNRkYNvOkW03K28y7brFiykvVXl9gzUrwhoYfX5YRxLs+2hzqTHO9NjBlWTCbLVxp wGuRa7Nt18FsMg8sVVJsO2gfa30srlK7DUH4+uentyf129v7jz9+NQFX3n759AM2r3c8r+Db n77BZvb0BVaDr7/jf92do0WJj901/h/lTgd8rvTcXzV4Jlh1uEmKxgcxCqX1LUbmb++v355g XYct7cfrNxOadzLyTlVNTSuB4PbPvUKunZscyO0XGsNCjRIMhZXwn2RY4KDZ+RzjLI/h0BD3 sSKSo7tO3zjRqyG9WvtqvBYeZBEmTIBWaMLslso9cNW6HamLiP1trxP32c9BGHlIXu331gjT dkGWZU/BfLN4+tvu64/XM/z5+7RWOzjKn4m/ykjpqwMVu69AyVo/3uBKk7P73Yo43RInsP5V 6FxqtGecfgLebKPYOYPG3KN7k3VblalkTGN2QhbB2u+PkvI4ezEOdHesMNtMkpjjBA1UBCMc ETp1EoIKREELuY2b7JjyWoG9YIoD9dPC7gLflUyd4W9wux06hVeJHvn6A70/mY4zAXuFwk9Z K5ibmEtvfxzeKpUXQiAFkOq8h8Zjy/uPr//8AxcYba8YYsdJhEjz443VX3zkuk6hlxmRWfHz T7Dtw0o1T2jcqhNs2xlvH9Be6kPF2ro75cVpXI+3J1cJ0ZCMRyrO1AcF7DM6obI2mAeSme34 UB4neJY1oWJuG0iukkoLk/n2aJtR8/44yTyh5gbZ/abVjz6iiD+6CyiBiF4FfkZBEPTSeKtx 1My54BpumXVNfWGLiUp8nKKelSJTFqw1ZativvJNwtNxiFVEtRK3uWTclvMSOwJ8rRGROuTR yDg2VUNs+SylL7dRxF6QOw9vmypOvQmyXfAmcdukwKWRXxa2Zcc3RiKNtFbtq3IuFsbPUH3R bVb4x0L3QW73pB+Ml8Dke0tO3+c8c7uqdocfZwFIHjqpY8GOpeSQ5ZraFQ2kvuUHzhXm2+sK 8x13g0+7B5UG6Y3Uy18nmEeMqw8Zf/usUKW6rsq8oMDLOU7BKV1jrQF+rthIbM5Tg0XS7UV5 yBua6mOZCpFcnfKy4phnxKdzm4UP6559HELN3xrSUPqyxgBqJWwBBd5J+9NpWhL6VKNxExl9 Oz150sHyflcIoo2JNPFi1Dki3u3R/lpkOSYJdLCwlu9VXO5iXojDsrHW/GpwRfsTbz93Y/Cr Nm20fVXtaYvt2SDoziOHY3zOFDtdMUhQ1/EQKspJN/MmSdkQWYbwzQS7/D1vIwh0oWlUJz0C gPASRKTiFlLNAJCeEXbjXRHM+Omn9vxA+FA86Kkibk5ZTlq9OK0W864TRYziJA5n/bzna66f Lw9kkgJqEZcVWRyKvFv0gukvYMtJFGIX1ee78I6zKXTro5KGjsZnHUULfltGaMlvNhaCN/K3 G8/6I5Qqne+9+lSTdbBMwujDio/NBGAXLgDlYWjtNfTzX3irzgp+LhcXas+Iv4OZMAR2WZyX D15Xxu3wsttOZUn86UlH8yh8IJTBfzEVBhHZdSgM4FPH+nnQ4pqqrAqaO2P3YCMt6Tcp2Bey /9vWFc03M7qDh8+PR015Uqki4oTNk+LN7emD1TOpMfCzfrfOE9bXFL5kr0oaY+AAxxsYuWyD XzK0ltqpB8fEOis1htlgh+FLXu1pcLuXPIYVjBd6X3JRiIYyu6zsJfiFtQpxK3JEdV5B5P+X JF7PMHaloLUc8WMsSOEvGLMzk7zFmuLhyGlS0jbNarZ4MGWaDA+uRPCLgvlG8M1CqK34+dRE wWrz6GUwXGLN9myDvjoNC+m4AJmT3lngNuxvW8yTmRsPyAWqPG528IemdhC8CYCOhoXJIw2H VnlMF59kE87m3CU8eYrGTFZ6I6zjAAWbBx2qC03GQFarRIr2h7ybIBBOjAguHi25ukrQRqnj VUi6NbsK+by2QDH5cdcdaZqjuK4vRRYLCRJgeAjBDhP0ZSqFTUVxcbrdSlzKqoajMzkXnZO+ y/cPtSVtdji2ZGW1lAdP0SfQ9h+kG/TH1IKfaeupY5ky4cBGFR1DNNWHnXCiGwr87JuDFKgU URAwYUC0nN+/U+xZfSypMaWl9Gc/+uuUgY9B6RR+9Ua4PjtcVuLCivGC2fIHnrhT8gI88OQ5 9KTEs0tTfqyBOMdegaOAfUuC4xLtJdRN4jK0BOPRKH7wWQ7VbmO6WI6l9cWxm0Qj5bnQBLfJ BMdIwjg4VHdSFGBkvv/Og9IKREapRQ2Pql8Ws2BzlyGarXg1jmGA1QxOwEoJdyHI0tUJG8/5 cLEZ+sbF5lwfyEVzjsnoGrXfo3H1gYx9a02h1BPSZXstTGPnP3oDi1TGBpWszNBF0Xqz2ooM MKLWID/dw6P1PXxQhN4rYLkIFrO7b1hEUSAyJCqJU/kTB0WaiKcxTK47FUxrPFqEd/E2iQK5 gqaERXQfX60f4BsfH5cU1WVmBLhjTiV1ftRiidYQsTvHF5El16hpDGZBkMg8XStigzrhIQ6n RJnHnLzvwuYg/Bc4Wrl7ridbkcMmAYjlmpQdvOFDDLKSPBPiNprNZfjlbg0GOfwObkRnGQfx +W5LoTgng20WzDpe5sdrLdheVSK//AS7qtaZiA8b5x4WwrDBv+8NhmcdbTZLIatcXQsJ1zz9 tllcD9/f3n96+/rl9emot+PdvuF6ff0y+EojMnqNx18+/f7+yiS5OXti/eiu3Z/ZBADIfrv0 LOzxisNacicJP+/4RwO6lLQAtNDCDbXjQs6dFYOOVxgMNGprBajRimjP0JFQ8ACoG6WLJWep 7hZ601RyICZ3ENu0ialnNcGuZ10OdP2LXcB1JXXprcD/8ZK6R1wXMpt1VppLH2v9Zrz2n85f 0fH+b9MgBX9H7/6319en919GLkZ6OEuWGkWHN8SS/gOETi2IQyhOc37st/1Fp9N4zeq33/94 Fy2NVEnydZufKDppn7bboXGsHw7BYhh0wjPrILhNAPxMI8IZpIhBROuendwp6KbzDdOofsUE Y//x6fMradXhsQqDP95544fqArD/tuxkiV5p2cmb3k67SYbp9snn7LKtYjcz5EiBJaZeLl0z U4pExPXDwziFzY2lfd6m7MMvIDcIaQIIz5o7qzkcYbDi6p0OkVmaVbRk4PzZ1sun4zGDrS4C ZuiwWr0rW5vEq4XrruMi0SKIGMQOK66SRTQP5wIw5wCY3eu5yaox/YKCPZnc4LoJwoAps8zO rWttcgUwdg7emWj2dYPG7X4H76s83Sl9GFL63WfWbXWOQRx9wHUsoWsflVTUnOL+yqBe9Crk uqSCyb/gercI+7Y6JgegMHAnToMkrlEivFeZbVJwXd3CVk78C5zVxlED4M++1iFD6uPcjQZ9 o28vKUdGxTn8W9ccqC9lXKOIdxcEGZLGHL2yJJe68dbrG2jicBozck5ncWXLctwc3YxeU+xa A+Y16CmW5YI+36mN6Wh1vy67KkFRga+MUIepg63HYEyxzOvvMOFpebNmXfkMnlziOvZrhc1D HbEp3XfM8FDzQXfqdNJd18W8QG45hBQ3Q8Ncxw9bjRuMsjh/mB02WgxzKFyKGxYT1I/r2QHG ttdwyMicFdEhosMrpuxVriGvi8epXkeLlQSuo/WaaFl9lNttCVMTzMKA9iTBUbbvi64V33KE fU51ieK8D13G7TGEk/9cKsfA4aPq4nkTY9CrpIzmQSQVllyipC3igL2KmjLug2AmF9W2upYy Ek85Fz0NWc1xeIOSY5Eu9FzeNN7MlrzJAGHD0d5wk8XlOsRFrQ9KqnqWedcELraPcyGK15Tt 3qJFuLsEU9k8qPXu+EG1+sjXeV9VqSsokc9VaeYGrnExlSsYi8KDeqUv61UgtcX+WH58NFCy 53YXBqE4cTPJ2p0y8fptl+ccoxLyHM0Er7Qpr+fYwnKC0BgE0V8oEiTI5Uy4XCF8hQ4Cbv8h TFm+w4TTypWnCIP5IfRo0a2Oed9qYZVTZdZRQZ6U/LwOHk8zkG5NhJtHnZ/CcbNddjNhTTf/ b2g+1Al+VtJ2YlZi6UPOaWsU67z7EuGEE0PQSeXArmkc2Sst3W3RYRDM19H8wRvN/xUcz+bC d+vELB1iLwFDOJvx1zFTPs45b8olTtE6EbRNLlNT9GxwEbKcqJwkNaKYljdm3Qahm3qYYsWu FQQKc5YRoGOzi5NsToPmEI4uWi2F+dfWerWcrYVl82PWrsJQ6NuPxmyIx5rqUAzCgfA0HL6s zaevR1GaG+ZNofw92pBoVCGk0NhBhlJsPcrOdb8dKdeB6tLDdPAh9PmDYEIJfcqcyCcDjVsy LbRcjhqnw6cfX0yEKfWP6gm1Y46ax6sl4+ntcZifvYpmi9Anwt80ubMl14kiZ0lLzdXWUm/K dENvYs5s0mKDQr+rdc+UOLjCMAiQ8MJ48kCT9Gwd4hrrxmv8DYPV7GjO4PTotRfme/VSXg+U vtTLZcTQ8wVDzIpjMHsOGGRXwEb8s+NjyPX3zY2UUZZa/eMvn358+owXERMP/LYll4AnKd/D Jurr9uImLDTe0SLRpkj6OVxe83/kJs46hgHD0GnjANavP75++jaNMmTFSBthIXH1TQMQhdTb /Urs06xuMhOFaZpl0eUzCcDj/hQDqaTRo1y2Hd5HcBk6XKbEug4KFXKTSLkAicHpAlkXNzxS NsbWz8kc46INJjMrsisL+0EmDUgqRNN2GWNdY8qWk29cyDWSzqX2S88PX9S0YRQJJmOWDUN5 5XEL+8g0Fkv5/befsBigmKFkruTenJgUtCgQb+ei9ZrLcrdC2Ca+5Q/loFuQQ3QGi18q5gn/ qPKKV5OOZdT8DctYeZsD/R7LB323BK12SnC6HThe7qI6SUrh5vfKEayUXgs2tgPTsOZ/aOO9 aN5KWR+xqV236gR794Fl2IZgF3r4zkaw7LRwU8ubDMAmrWz96B2GS5W7POsesSZoMmnCSqq9 SmCJ5W/Zxg6ofefva8whshx7w7dI2iafXIoMYIkRjDDmp+BXjjlbbUrzw8lEYUsOktf3eA3Q toLtRL8XhnBZfawke/8jmtkJJZq4jjDyS14ZeDjZbOQiKGSEH5oGA3cSPbdDN00K1fJD7gAJ 77bLlq/R4Bqe3PFZV3WhQNQr01zIb1RsBzNBe+e+o1lfz0NuSoZkU7+rikQcuqHXWO0TJIGv pZaEqMJGWyqmglA38gL4/UwIJoUlwSeNmMAfNlw4TPT84mndR5oJUHznmTF21BiueCJdOaL8 0F7NUZsky60NGzu9sIUj+/R+2z2yoFrdXPXAekCmHwI24yY/ChA+wHP8jTOgxfEaabv449v7 19+/vf4JH4NVMvHNuHrB8re1ojKUnedZuc9oVUfDUL+mls6nshrxvE0W89mKexQO5pvlgjN/ pxx/cg9L5qcjXuRdUuf8wni3Zdw6DFGDUc6lLeJddZlGzPfVVrVTInzE2CX4sqvUj1Fgb90x RJx+gpKB/sv3t/e7Qadt4SpYzpf+G4G4mvtNZsgdp9gxaJGul5M+GiIMCM8oe5pxKZpGUUBa rVTH63kQLY0ygTueGdS4LMEIO3qNr+BAtllOiCt68h6omxUvmSB8Uvy11YB5mvghguTnh31E W6A6Z41nvXxbJv719v7669M/MSDwEN7xb79Cud/+9fT66z9fv6Ad2j8Grp9ANsa4j3+noyDB RWyYnS65LighzbTalyZStx95xoN1HrNuvR7bKMSLryFHIsSyIjuF/ouFy0Ezj2tvzaxGmwS3 fZPYrQopu3lm3RrtyChstBGHRjMVZH/CNvAbCFAA/cN2+afBBFDo6jaudA8b3aSrq/df7FIz lOP0txufRVwevEHdHrkgCQbCrptMgtzkqbeBw6ThbsOAiX6yNxZc0h6wSHGv3Y3xWuu5mwcW Uz0BZQhp7IgDZ5ZMtH4YXdK8n5KYZ4boilZnUSuY02/Yq8ltLqfTDsbn7NmEkw0R7JT51/o9 0hfe3C4c4iRVg/2Ccf4QsRyRM2of+JOAhXnzzwEcwqaTZ9BuGQ8kfPhF5JicD7D1pqfSKQrH rsRrdACCkAHsyWg7JZI1bCTaPicVMjEMxGBwwFDB3FQlZz2PaN3FoRuI4Ebzg/giMppSC4XB cTiCrWgW0vLsOXzSkp0SDp4AduhAKrxl6sWE1I+X8qWo+/3LvbaAXX2yPplJ4AhF0zhwWNmb aIn89Y/v798/f/82zJ43ygx/PBNHpOZVVWP6hUkaQoenzbNV2M285hvWNZ80ZqQnb7GIDWmD h6q2qbjI9rqm/uUHzU2CmsZeh59TK2wru9X66fO3rzag4VRjhQ8muUIX72dz4hLO1Vcuo2J9 xORvntea/KfJG//+/cdUxmxrqOf3z//F1rKt+2AZRVC+l5fcNUi2Lk9PaBwrpoR0LJM/ffli wuDDVmpe/Pbv7qY3rY9THVXikZrpFvxwsmYMBJPFu0Zb+VwVII3DijNyVDtPTBofUc2LH07B 7mOCaGKOSjC83MwphjbJf2SoxnBzdjuW2QjJv376/XcQ7swrGHHCPLledNbXjtes1NfLFKmS kx3GUNNzXG/drzVU1FNL5exa/GdGLW/cT2Y1uh5nc685D/k59appfPlPk9bcRivt3lxaKm46 s9mUGnWdz6vjIl6mIQyuanv0sckyPZArTo4cB0JCY0oY8jlJN/OF+NR0AbfdWaT9zrcApFnC uYFzPU8Y6uufv8PUJEuyLfxq781QaZjlASnraYdjpizOTtoZ65N+QGo4/dqBjq+WCjQagPn0 0YF+/9FdtJyMlLZWSRgNI9mRS72Ws1N1l05b1Oswa11/Z4I26mPFRkIz8DZdz5ZhNPm+bbpZ roPizIeotNN4YlI2QZeTcj/E5ce+baUltc/r+WYx92diHa3nfkMicbmavgE7db1iAwMYvEmW 7TLyXzGaOtCyjJ3CJhDLGvDQ7+NzjqEtpnPSWMrILQr4ZrPgJ990KFxzhN2ddNs2mqxAJjUg uhS73gQjklnIDWxt2y1N5uFg5+NkF+MqheLZ3UrBxhKsFlzXzYMNGzLTmd2BP7uT+TyK/Dlf K13pxiN2Ddp5+n3vJE8aby6mH0BXpv2+yfZxW/kvKEBqOTqmgiZ9lGmT4Kf/+TqcqicC6zkY E+uiv0bVuc9fU+7qcBER5YWLBWc2b+uVgwoeN7rek4DNTCXdyutvn/77ldZ7kIIPGVV+XBFd CIEvrhz4YayJFeWISPVdwOQ+GpKqcRzUjpg+zOcBITwhp7R0OaLZUnzBnFs6KEcgVNt1wvGA PnGjmVIwkuqyZKPzuxxrdxJRQKhklM0WEhKsmZE1jCBHwkbtZB+f+Nswi2JSCjb1o0H1sa5z xzHGpfr6GIIdzjSLKPrwI+58kFmvexxbZFJb8sh8uyDCXHaGyn4MXpxgDAbcsmcr3iR2G7cw LS99cg5nATcpRgbsFdc5zaVHEj0Q6OGUrrc02/NQdyAzlbLhw5rhIa+k7Uu4JkKwB9CbKR88 pC8ymLb9EboN2r0vTwXzcUZCcb/DQYIlNzdHBjRcXnt7uIdxog9hCalV7NiGIBf+L2NX0iS3 raT/ik5zm3jcl4MPKG4FNbcmWJsuFXqybCnGthyyPPH87ycTJItYEtVzaKk7vyR2JDKBRAL6 P6TEysYCn2e5F1Jfo9oTpE++1UX9nqLsIhto5zCJfSonrEMUp8/yKqtZvmm38CZxQmUMVckz G4BOjPz46gByjwaCOKWBVD2IUoA406PVPUZydwij9OlEXbxUyYhWGkvgp/bYa9ipqfD0Mcgj Yt5tbgk2Ms2xp8r+LatpziNdod6QUyF8z3OEad5aYtHzn1QFNP48Vx12Dfko/7yfuXbXcCGu e/dHIshB//EHmIiUS976cEyZhr6SqUKPnHRtjduRDq8nkY4TKkdMJYpA4k6VumqkcairuAr4 aUoCeRAR7+uwck6vvgMIXUCkb4vo0PP2AI4kcH6curybVB5qkXpwiDClCi0KMNB8Mt8rv9es f7Zr+kgEHQqJxOfrSCZdCtoo3HHfUahlGYH6Ui7iGhMxtnj8cmeqK/gG1GkcprGwgUY7n1iJ 68UILARVxHoGdf4041L4pIxNG/uZ6Igs2zjwSACUDEaSyWGzbNaRAd82liM/Jn5IjIr3he4p vlBBnZr8gHqlCt9qZU1FAFLmxlT5Fih1Xl0y+d584Af58ufTBB1QfEdIXpUn0PU9midwOQIq PNGzKSk5ElJgLNDzgsr7XP7bPImXPK+NZHJETNN4kuxNnpxSURSGEFQxcrguWPi8//CBruTp uiI5wpyYtAhQo1oC1AtrEsiJNWMpak59UoyhFxDrz1xo9292sV1oh41b73dJSFEpAQ5UmpeQ f0AlqgPUjKJm9MjsMjpMjMLwxuTpMlrX2xlIPU+BiW4EakiXN48D8sqNxhERnbYApPDq52LZ aOGCfqT7wVjMYAOSIx6h3HHx7cEzyph7TzKQO9u5UvqxMzxSVz4zFIGqGgVPVYcDxl2rK+pj fujuRV2Pz1Y63ovxNN35KEaiXHwK44CaMgBgmEYKGEUcedQnok0yWJuprgzAzksIAFeNlFRi Vwi9C08te97NwBtmPjHlVgFMVGORqB6p5QAWeCm5YaWzxK7PQUBlz/oUWaKIUnzRSEwyskXG awULxbNSgQ0WgTVOzE9A4jBJCcl8Ksrc84iSIBBQwLUcK59eRj60ifsSyFqLS2fqRRaPOM5v qADAETxfe4EjdF3XeHAUzxYzy7lxAypQQSOPlHcABWADPUkVOBLc0rKTxcB/Udr5lHwV8yyW 4WZ91CUJKSRhdfODrMx8ytTdmUSaBcQCJIGUsuWg/BkpMXoWeDkppnr04Hkqo1hISqG5SIm5 Ox+7glIZ5m70PWrwI53sLok8ax9giGghgchTXQgYYp9QDs6cJVlCmBLnOQso6/mShWkaNlQh EMp8152znSf3qXNijSMoXRnkzzUOyfJM2AFDC+JwJpafBUo0d7wN2u7Sr3S53rPWIuCTdDMX ekykDau6amqqHu9O4mb0UNf3smrZ7d6JnzyTedvl2XexV2CgX4TZYHyBHePZYGjhkd6931jL qmandr43wxlDeY73CxeOUADEFzXjE0gtRsdUIT7Am7UYaU+7Jb7y6QlS1XYWkuBDl8q77lep ws8Kgu9PyaiuNmQ6Sm4H2hsDdYrD5uJYDko5Norla/0A+uHCbsOJvujx4Fqu/MjbJveqxw6n 5tSDHeO2ST8sSBjGmp2edFuydgkvH398+vLzt1/fjd8///j6++dvf/9413z738/f//imnZNu qYxTtWaCDU3UWmeA6dX+9PtbTP0wjGRLGXyj4+Voil8dl2v6eoVdYRXFUM9qt+4CSAWUrKjD iGXPlxgd646WA4gJYPFPIMqjAUtcAN7zuWCOZ4bWw7PtC6LY67VJuwwfOJ/weNFGVj8zqkIX gohWNr4rRdXnMduelFDMGB3PJ1JmLe9S3/MxfIqaKk9Cz6vEAelks6wOZE48+89//uP+GGNr Bb6Jm+MSLx1uA3As+H//++Nfn3/eh2Lx8fvPygjEcAwFIVjKWb9qDpUaByH4QbuyLg4GS8Ex 7q7Kug/pHacaG1BR8uHp5xuD4/vlcWTjaOxQdIwoOpINpiXrgju4H7haqh0Q5HtFEl/LRX26 FRlfIyk6akdVYzPc5BeM9BOWfta//P3HJ3SJtYP+b0OqLq2lA2msmLM8ih3R9pBBhCl56rCB qqGG00gJEKsnxOYgSz1XNDXJIgPO4QUC46nTHTy2BbltjxwymKGnboZJ6ub5ppPZdQy8K0Wz 4gbWGCy1NNxid58GrDPKWfJq0AONAz2vVWRrN04Uuh5ecaPHZrmQmtB7yA+YsuNW0FcNEKQ1 bK7Q/9o4tZBNUPjh1WzclWhXYwOsenRjkAS5TjvyBKwQI0Qp2LWwNAteaDYPUiFN2mW0HQFU 44AhQWhhNWs7YCvSpBtj0Q2loaoB9FJ11r1PBc6ysaOf791Rq9skOSEdeJbRtpzUGy23rmT2 2ES6Iz7yzpDRvlE7g8NCejBkkWskLe4NKVGwLA8oo+qB5nYdde8CSZyTMPFsWm7nWPV14B86 SkJUH+T931FPp1hJWjKghFEvNSG0OXsoMm+lrEd5JlVfo2TqD8dLlWh4CUia6dkqiS+Zl1kF 7uM5IbdIEBVVYUQckVQepcmVArpY3dh5kIiqiJdbBiM1MMuDm0DkYGKHa+w9XQM2x93F8XTu vn76/u3zb58//fj+7Y+vn/56t8Rj5lsMdULTRgZThi9E6/hvcw/9/2ejFdXy1EfqjFeiwjC+ Yhw5+owZ2UzH6IWWpZkx+CG5tjuZmYys7RwRJ9CFxfdiR0xO6QJDbr8qMdz0+ki6Lj0sODem p+1Hs9XFcP1WyIbzt5IMfWT4YMgSlyDd/L2Jwmle3irVXrIeiHFVcMVA+oeOgJiXNvJC53Bf 3cqJKXhp/SANCaDtwjgMrUIUYZzldI9L/LW7OjvwfM1svaIdimPPGvIGj9SUllsIlo62kB1B JlUOS2EoRJS2qpO6bIcu9j1LuiCVHMILuK4qxie4rDgbCODIuYabe3g7zR4qK50YKIjE3pOW WS4LWJJdhkAsUz8j955VFvPeh/456Su2CFxp/BqCfe5qs8rLBSQ1B2m9EwGS9cAYLttkS/xx Nrbn9yCZDr87sDxTdR7aeXEa2Qv1YMFQM6cl/JE4dWScm50ZN/fk3t6DncoVFLcGxA0FoSWV JTEJlXGoKjUKshlQRPEXa4QcszvTZvM8rds+OAhot46I5AtnsFOli9yhqA0mShXUWAJVVBuI T44C1sdhrMuvHXVcENwZFsODSnhBznFIFoiLNg89sq/x/DhIfUZhIL2TkBw8qA2kZEEkEtBI luqX4HSMtEd1FlfDrcvxm9/rR7wKtqxIb4wJ5EpSal3aeWxTSMdg8XdAlq2koVkSvVU8yZVQ q4LOs1g+NBQ75vZq/LydNi02FnssoKu+Wt7mbo/OQQdl1nmynBx3XTH6oPLR2BhrT9qoSJbF uQuhRWo3vqZ5QM5AtP9831E/wALamNWZYmpN1Fl0VWLHTLlIMJiGooLVpw+V75EVG89Z5iVu KHNDOQ1dOoosH/9eQxZYoGV5KtBqf9rAZsESrSWCbmQetYuo8whXl4q4y9Lk+XxRjFEbaxt8 65dsIEsBUiBI0UtIWQ5QFkQO8YuOKj4MwjfGIBoWQfiGiFkMrIDsDMpmM1HSD85g8kOy1RQr zpV8EL2loTy5c2sxOaTl2RG0YecwdXQNWdTqDTH3QiYMA6TsCrV80l+1xyhExVCCekjXtFgD JVL+a4W19YKUfph5zVUNU74yKDH1JuBOxStuRvhCDMQ/nlpRZchBFg1ZJsZ7cWTlcHGyLdms WVjnC833j39+wS0RK4gLa5Rmgz8wFnQPSSj7reeGYTRAi4ArGAZiEz/5jxjQpRr6C/7A9x74 vTxwiio0Awvp5XhnpysVzlBnk3dbyEA4Oyyqtsbbf3rOL51YI/jZ9PpAQktyULRO4INA49AO zQ1GpxrqA/nqA4b7JJwIdhAfR2QtGOY/gSCz4bZiMmqKkFd0zcbByJJ36OYS7Kapu9DOF2sr FmoYM6TNs9ExGFqTrC5wkvQGA+fg+Zmj9VwYfieOUB8SFcWxesQKR8P68x+fvv38+fu7b9/f ffn825/wG8a3U/YG8asl5mXqqY9wbHTBW1/1s9zo8j1esELy7PoEjK0gEK4CyRKzqbNDrssW GWBGMjUtlVXlnFhZmaNloUl7dpwncyCwroRp55wd/XA6V8yNn5vKNXPO0JF6SZiYzey7hjUB udMii16wCU/4j2VnTHqJtOfSyOH12uqEw1AchZnpGg7XqLfCMLJePjgru6X8+tefv3385934 8Y/Pvxk9IxlBfkGasBzAVFWPjhUGcRL3D54HU76Lx/jez2Cj5gnFehgqsDVR1Q/SvDRLvvPM Z9/zLyfooZYymHbmtZGIZATvxtY17xeWquUlu7+UYTz7quq6c9QVv/L+/oI+EbwLDky1AzS2 GzpT1Tcv9YKo5EHCQq+kWDmGSn+B//JQ3wkhWHieZT69iCncfT+0GOzVS/MPBX1ou3O/LzkY olDKrvKcLxbt7C+8b0ouRnS/eym9PC096l6A0h8VK7Hw7fwC6R9DP0ouVDMofFCMY+ln6imp 0ovLW5n3tsw91fVaSQnAgxfGr3TXINyASR3Sbd2jftJmXpQdW8fFIIV5OOPbyMsAJ1U8kjf3 fHIyDC3vquu9LUr8tT/BSBvoUg4TF5V8onGY8fg1pwLiKOyixB8YtHMQZ+k9DmfHJIF/mRh6 XtzP56vv1V4Y9U55tXwyMTEeqmm6YbA57c0/Iv2J3UoO03jqktTP32pfhTsL3hybGElQtsn7 oxenUOz8rZIP/WG4TwcY/aUe69YebyIp/aR8nt7OW4VHRo4+hSUJ33tXj5QyGlf3ZsmqLGMe LG0CrL+qdrxORn/I2FutKir+Mtyj8HKufcp5TOEE1XO8t68wzCZfXNVDVItJeGF6TsvLG0xR OPttpftuqxJ9hi7kVzBh05Q0rx28WX52pDj0tzsrrlEQsRd649dmjpOYvbjUgoV1HgfQkbwg m2GAklVeOaKwmyvmqK/kGRv68Edhm07tbV100/vl9dowOr0zF6BsD1ecX3mQU7fCd2YQRmMF o+Y6jl4cF0EaqBqaoTVoOsnEy4bUEx6Ipnjsh86H719//tXUDmVM21IYClJxhN6dIU1Ubc2l e1uwgNTL+A46jCrDHS1cQ+/v8MGeIx/x4kY5XnFTsanuhyz2zuG9Nlax/tI6TTPUkMe5DyPH +xpLi6Dieh9FlgRUJA6Dx1z6QHOHH54lgQXw3AuuNjEII7Oci4a0douzpPOR9xgiqUhCaDkf 1BtHcedBHPmBLcezqWlXGGj6FM0MFFaaeox8zyKLPomhB7LE/mAs/UB4fmzWGlY8DI14hV+u SUjeNjbZUi0GmYaWow7IwO/lOY193wnYJuduBOjG+0K2dzKMWWhPITXxau7ZmZ/1HFeifUND 1m4qxuZklqa7ipoKnC3nI58mMAJeK913A6MGI3y8ZmGc0l5lGw+quwHpPqVyhOqtUxWI1DGw AR0HGRy+zjYy4fMrRvyjFYIVIybdBxSGNIwtW3N58MvRQNV1ecQMN/8qMQtKPoKWV/Wz3BG5 v5749GJwYbDVxzskUobW3z/+/vndv//+5RcMum0a1/XhXnRlq0XTBprcjrupJOX3dbtEbp5o X5WquxemDD81b9sJJKwFFMN4g1SYBYDp11SHluufiJug00KATAsBOi1o4Yo3/b3qS856DToM 83GnP/oOEfhvAcghChyQzQyy0mYyajGoF3ax2aoadOWqvKu+ZMh8bpgWgLfGTUJ0yaz0BDB6 V6u/kYqswLduEunsaKNjm8BYbchR8mULkG/5TGMXyWlsNM7YUQsUct/ADgi0YwaVug4ZNSn9 UpbyzSDjpWjpYEhcMIo6jXhm7csNpomRbjEncUwGxAKQwWKJz9oZ3/BOzPR9BMynYT4lArAO oBZt7yionwi/lCeR9FfLYxz6PFze5zBc93bA8n8neB6jg8514mdmJI4kh1vOhm5OKNZnb+TG 08gzBrQeDvJBAsGMD+Vwo3c3EJ+ifz2ZnbyiziZZcXfdjN3DB0n3a9rJ9NRbQcNTB8fZfPNV r5MHSUtILTGbqeUCx1KoC4uQmEuCnZlDbUOU08c3OHSqASQnd7QSTC9dUoVlfTWyRhJYQkVF 35HaOJw9cR6Gchh8I9XzDOosdUyPYg101KrXO4JNL5akcnxesKlblkFNaixUWFsZLNBn8mqi xlOcxDzoQxbfTij5Se8u3aMYZ8ahuzfXOYoNWamEUdNaYvHkouvSVWjZDp2+qGNcb+0Vhp0m r/401vjZUNyEcuS0cpjTY7EbdJIA4ac6hshmSH3NaCS1FblCHT5++p/fvv765ce7/3rXFqX5 cOtjicKtsqJlQqxnkHt+iLRR7YF5EszqLosEOgFqYFOrLkySPp/D2HvVNgeQvqii9Envhodk NC5E53IIos5M89w0QRQGjLKeEKfe4EE660SY5HXjiPW6Vg8G3EtNhjBAhkX91us+zF0I6rZ6 V2yTUmYTPzLbOda49UR+O8/DeddCNB+NnWzeG9oR6b1xaauSLo0dmNpi2W9pUVCW6TGlDDCl ulqpzu77QqQgveA8asPW4Mkd349ZHD+vnO2btmObm8jTBKg4kI+u1m8d7pmeoT3TdqSwQ5n4 +gUZpUWn4lr05I3APe21p1fB8YZ42L4HpQ3jCihSAZQkWOxIXdm0t8GcN96JWDO3HAK2FMRw 6vX4C739NMyRl7YYO6q2Ffyxh62dp6pvVI8CQCem7D2drG/3VzOWOyR/fv6Ez5ZixsTDGPgF i3DbnOgACRbT6arnIEn3ujaoo7YISNIJ7LTWqFrVvnDN3kJqccQTBEcRiiOHv27WN8OJ9tBH EGwn1rb2N9LZg5ScEr6NoMhTniyIQss3Q49HL6odvtGWBtGSq9Azgo47IeG2ol/3lOCHl8oq flN1B+54Q1bi9eRKr2mHiQ9qWCukQh7yzMag3ioz5wtr54HeEUf4zKuLPDdyF+02yZ0MR/E4 3nnXS8Fng/CeHSamk+YL74+qWb9Uqhdg7s6DNczawopnraL6erKQ+uFMOVFLcGg4zhw9942K f4yjIVIWpKbMXkSnU3doq5GVgTGaEGzyyHMNJ8Qvx6pqzQGnTQlQ8jsYAkazdtC109CbxFsN q/7RbJCpWka8Kw9eTAPGdDBSw835qboZ1FM78234abn0Mx2oErFhMt6c19ARDHuQJTDYqRuy kqOaWXvrDZk24sPQhdX/K/mub3SSLKQxTHLikvUmT1W6xNDGUvDJqEPLennKVRizfJzQxUKn CYZOBSZNngwaRAxM2/Le5J0r9YHhlQQDEBYffS9EQqd+bE+uGk3Gy2EoLvAMmQlO6UkywY5N 8/vhhqlqa65CfyZ7Z+6c1iDnhBaLVxKPIFE6k4ZPFz8eRNw3jhW6ezqecCG/j6ppLwUt590w W/L3yvuOfrcK0Q/VNDxp3w+3EtZsWxwuYZruR/L9S7mAt+tzbdtNUUKZ2F/ipVQb+ewv17Q3 i3cDVOJDv8FoGLT3J0wR3Aeg93+Q4dTiU5Hm45kKA/zau27JIC7DvxyZuB91yQCY44sl9IVs EmTCqiha14M+fvnnr6+foCHbj//Q75/2wygTvBYVp705EZXRas6u90Gf5GQkw8qmouXWfBuf edcObXUXFz6T6mPXaXsM42US1SsoReT99BV97CXsMxqf7zmxidpkhKTuq6PqEgykK/4lyn/h J++O+J4w+Qap8rGxa4ckUR7VgDAP0h0fyikKUA8H1W7Y8dH8DLTw4Wi2gsLfzjX9mAzyXA7C EXUBwO7qcGXG9uB1dxfU6ocotcMki0Q+WYpIcUi1G8SdfMsZciAqdoKa8QRGBXmZFBN7tRr3 KF51wnb2a7VnNytrUAfK9cwLgvLo1P9j7Mqa28aV9V9R5WmmKnOO9uUhDxBISRxxMxdZ9gtL sRVHFVtySfKZyf31txvggqWp5GEmVncTxEag0ej+WskPmF4PTz+o76x+KA9TtnAxOUuu27+s Un49saoyxVCobqE152+hKIXFYKoHnFf8ZDSjTuihey80A8V046LzAtpnKFph6XCCN09QTwlh Khere3QZD5eufVRFbDTrUkg8z8JBtz+aMeOVLB2MhyOLikCZA7sOPBgPyKjchj2amo0y4Sok Nel2e8NejzSloYDr90b97kC7mRIMYZLqWgUKMh290vBJ41rJ1VCya+JM9cOoqd2eSZUBXAZR Zugziy2phlFGsAiSAF0YEsSRVd14NBJBdIGWNKPm6ZkFGnJ7pwBXB9kvydMR6blVcTVAiIpo WOeanmiBfagFxmRQqGBXoesZy3LzWzINkSWR9/rDtDsd2XUh05cJlhrtbXwQTn/apT562eps MJqZc8IyYsrpI+MbDWrGGcZKmVSfj2a97daqDRGhaX8DIyppteCuM6c/ntnj7aWD3sIf9FrQ GlQZA23WWJI6307nztfXw/HHH70/haaTLOedEs7xA3PcUcpq549Gl//TWNTmeMYJrBpLlJP2 2gb+Fsa0rR8wDMEuEtXSh4y6ZZGDIjBPmo/P6vjxLwZm3J+0roXpMhj0xL1s3aPZ+fDyYq/y qFsvNRupShawmHbbKm4Eu8sqonQ2TSzInJbiVy6ofHOXZS188g5Vk+AtgR6aEONwEvT0e1da suWcoMlU+Jhi2ET/Ht6vmOP50rnKTm6mZ7i/fju8XjEo5nT8dnjp/IFjcd2dX/ZXc27WfZ6w MPW0i0+9yQzGxNyAK2aMQJ+tvRW6WVvcmFEKmqYp+4/er7mBJSZVZ2+OwQx0b3vw/xAUv5DS XV1YbgtYNxFPLuVJrnjKCBZxRkQ6UVKS8ULztEECgoGPp72pzal0q7pYJK44KKkP1HEbuZgq PVpxvZySWN2ifTpfn7qfVAHLywKJ4cZIOCkmFXA6h8rHT/ls8QkvzBZmNu2aHicRN18hGMbQ q9VKNtoRC0/v+H5LM6yE2Xw+enRVs0bDcaPHGUXfTlUswppuo4OUHCfF22VyFqkiEzozhCIy nlA7biWwegimozHRElMxqeiIxDrrdqkql1AJNytUQh7cqJGFGqcwBMgd9WoRs36j0CQd8cGE 7Ggv9Xv9mw9LCRUF0+CQVdoCh8TXKPkiJUd/QD0qWDSsoyYyoAZOcMbt5dKwEFUfD3vZlB5c wWkBrK2ECIyimnU36K9vfYAWkFc9dhZUQclI4Ygy6zLqbQvQAMjEGHWh8D32yIYCZzQlgQyU R9WcdBXdDeDIOCGL3ADn5vREcAeqhaOAKi91YGmYWmsmGjhurls4hjPiNYI+tOliDSKmvaAT PYD0IVG+oBNfNNJnxIiLNUYDGan6aTZR3YyaERmOpj16MMd0LIm2MAzJGStXuhaEh+aL6vfI I2FdCo8nM6OvhGN86JQgYvXY7Y7Pv957nBQOzi07BnJkeoDbKwdUmRgNMUtnnBhvyakTD0g4 6tfdFQ4ob7dry4MoJce9T6/jwBmRWMSqwIhc3HCrm46KBQs8n7pmV+QmQ3JS94dd6iMwTsYa nfgI0mzdm2SMnlLDaUb62asCA6JQpI8IvSJIg3Gfas38bjilPt0kHnHqE8IRJr7EGnWDmvlt OXErkceH8C6oAfRPx7/wuHJzujSOHdb7SsD4G69bZPBXl9pBdFDY5sus4I7tcYr5qEdmTakl KuQgs3/1dPd17csq1A4y6f54geP97d6wMs46iFItkEgommnqVzgbzWAMDDt0gqUPIS+ybZk0 QthMRQyguPvQSgWRpRZigbQai08+p9ewiBRXGubD8YrB3F06KnC7cy+SiQJN9b1EZ1NVCgmu RvFEVJwHtLEOrigGcYuPk2t47N/gxbyYw5EADRSkgHDPW+FLi2AZUDpRI6E10RHw8AZyV0kn Sqme0G4KgOia5SIBpVRIfzgNSbF61PnrYX+8UqOulxcwAxylHnSEm3GUIuf5onN6R/RHFaEX C11o2AnpvaA2hFw+rHWDoBRBtHHLkB2y70uxCsKFvvwshVYuM3PdVJFket2VY3y+LcMp6UtB 0kaCs7+Q0P56lARM19WmSubd8qBqxS6hLAI3zC2iNgkaWhmGZbE2Tsws4hwRZlSDd0n3wjjX bAtVRQK6vVrZ3oJvlA98I7IoeFHmz01iIoN0mrcIKrbWvpBCxObL6du1s/r5vj//tem8fOwv V+UCvkEh/YVo875l4j7MSS+CNGNLo3IcYVpoN50k832PuiKXXuCj2vqYvu93Pz7e0QJ2Ob3u O5f3/f7pu1r5FgmjXhLDqSqVHZ/Pp8Ozes3HBIwOUSNPt7BiqB1aagTkjgn9WaHRlMUrV9Rl LeYRa3HOW3iJew//FegeQ3ryV/tZbTmr6GmxiJcMMxapFc1DD+qZxqQDpLQcF9xfF1s/3OIf 94+J5sKAMRQL8kodpxwUEEehG6pxiZIBe6a2jSAxdFsLcrygbxRhxDet0wkNyB17Q+FCLVG4 dpcf+6uCF9S44+qc6umt5+OGiXGECzV+xXN9B6Z4IdtRUlcB3mni1E8LI8UneieXvCoqzacd CKGMOIkWsAtoA3XnLym9zFZf6gkQe7HqoYcgwzCADQV+CMSrKFrnsS2ISZRgWqiYa/V0oGgW eJzCUqxKJHM2nI5IngGTqHBSb6TF7BqsUSurN2zjDFs5k66xYlU87nB30qUOG4bQrE83kKci pJHHLS8o4RypFwC3hD5veZa+PlQENnzU8mg7VLYiJLGjzbxsq3s4Zod+xNfWZsNfT08/Ounp 40zl1vGm/dEAIdP1OTr3HcnS1gt0e0BQBZjl2Xg4JxdY8nVKGczz5xF9gehBK/NWLL9k/3a6 7t/PpyfiXOGi211tIC+rQjwhS3p/u7wQhcSgt2tnJiQIUD7quCSYtY7TvFQrvN7qMLwA95B6 8zx9HJ/vD+e9clyRjIh3/kh/Xq77t0507PDvh/c/ceN8Onw7PCnuKnKzfHs9vQA5PXHNP6ba 7Ai2fA534ufWx2yuDOw6n3bPT6e3tudIvhAIt/F/F+f9/vK0AzXg7nT27oxCqgU39zhvDmF1 0b8qQF7X/SfYttXN4gnm3cfuFSrc2iKSr6gOEXpsWTN1e3g9HP+lG1gmlNvwXG0e9UStRP3W hFAOeIjcuFkk7h11E7eFg19t6XL/vYJq1pr3TwqDos9gq1CMDyVd91EpiTbmdcMYDFSrUkM3 kx6UnDgLRz0yDrwUSLLpbDJgVpFpMNIgnkty5XOpqDSwbCRanIZHHgnCbK4KwU844lMud8jx 9Gx7SJKGhqzFFxMlYPFegtJGuSIgO4vUeHrxgJssdIq4Xi6vUasjSOCWKpEYbvhZYoooY92c V0CYs1kPAZTIeqJAlnq9IWXvR+aCrV3tXafd+Zl+lYfyk6l+jVQ/aE1IrQ7olkH6oCoe3pjj 2rhHRZJhRhKZsOEYu8iMR0WarS7TiWbWG6QJvyTdiUi8WmTgMZvmJXcCQ5NwtE7ucF9VDUmw 0WubmfVw/WzM+LrQct6Lo0yBuRH7+n2mPMDAIxHPyINM4qZupujK2nYoePOEBylMN/jFGR0y LgWl8Wp53/oWRPypshBJw/vqoZN+fL2Ita7pnNJ0igCxisLdEEvoJo095whFGjL86Pv6k/hE laMzi5LE1cEkVDaWSc00RST13CRhbQWkzN/Qjv8ohVPPC7bT4K7FKV02buv6VBORGW9Z0Z+G cN5N1fRzGgt7wKxfwOJ4BWfEInCC8ZhE2kOxiLt+lOGscVwtlEAfqfoRDM8yU5LxufUdxPsz 3q/sjvCNv52Oh+vpTJk+bonVM4lpBz5o69B6XWNRqD6u0EkiNfKyJBRzL3TcBD4P3sZTFxTj qcp8/enrAV2EPn//p/zjf8dn+dcn5WRqvbE2+t02W5TPO2pEkPAzMX6aq19JjAP46BxWYw6t 7jvX8+7pcHyxF6VUXRXhB56QMzSzpZ6Zn6xkIWgOmfYUJJw8CB708kApTsqcNZERNtBwa1cy yv4jlhg1wraimGlMa/oyo4IdanaarcjHgpR2R2vel1H6QM1u/IOqcBm742szR7xk6k4grhRi nCSWdd1iCuQBynYHZRbBMqmfSPUsViafb2KCWSqv9JMw+YfdFl7A+Gob9QluDSNotgkUWPeR wrPTFek4EQj3eeyrCNui6MRdGgbCaKFy2rrJWfhGSUApFoGOOKLQsYk3ChMiJpCixqxrajLZ IidfCrObtlSSWqkI1YQO2oouahLvvr/u/6UDmIJ8WzBnOZn1qRC+kpv2hqqZCKmGeg2U2lCh ZtUyXlyvCV6k3Vnib1Rs2rw3U98LNL0HCfJ2CpMu6KtCwiWApGoQysNMmzWw6tzlzNHAJNHs qTbA0E0lJtcBjdtiM1SOTxuGiNQZ6MUpoj+m2qtSzN+MgIRcqafElVtoW1pFK+ZopCmimLLw 44WUMOJ4oZZaPHTQW/3B5CvzBc7aPHmIzeBuVWIDihaNbZTW6HPN5ZR9u1UPguBUXtVVGcwu 4y6PMmresTyLFumw0DZhQdNIixzj+RUC16Kmy9sfvZcxQQGijC5SS33gu6fvGhAf6L18pS8G kiTiD1qQmkqJlZdm0TJhlI2wkrERu0pGNP8bJnDhey1KQllTqWNd9h/Pp843mJnWxEQ7mdF+ QVq35HoUzE1gbj4KuVR+cJOnMgsJSdT1M2WyC2LMli4GmHsasKtgwYHIdxJXWRbXbhKq42qo OHDm0hslCM13Rg6MlNmyLCPTRboivWPiakAk8p9q1jX6qt3lqu00lffG8oKK+ohDN8P01aqU or8Zkxx/b/rGb81aKylmw1Xm8MubIT4saPzpJIJjQLig57asmpiirXz8JOVdLqwQZONLIRxj UJlASG+b46XCdyN3YspdHEQox3P40mBWxrCARWqoAiyE5k/sDe2FZvRUmoeJei6Qv4ulGrsH BMxXDLRincw1q0ApXjXDC0EwR2jOkGOULt2z1UOt2IHcjVfGmlVxPJgtyvDib7k+UT7TgovX 5/dNzeqrd72Me5Gr5R4jq1d0nVAqjxHBpZ3f9sEJprUANlTaMtXwxQqEwCh0h0rB36jfrfnM I4cVLd8CE8+SrFlMj1SoOhTBjyoO5cunw+WEeef+6ikHRxRAuGuxcA4HVFYxTWQymOilN5yJ NkE13rQlI7shRI+GITT6HSHatV4XInONGSK99iaNyZhcXWRw43EqKMsQGbV09XQ8vlEwBd2u icwG45aCZdoe+pl+6ytnw1++cjoZ6gV7aYRzsZi2vK/Xb60KsKxhYSn3SAO68qoeXYM+TR7Q 5JZmjGjymCZPaPKMJvdaqtIbmr1Qc6hoChRYR960SPTiBC03i0JPuiQKSDjjis9dOAdy6knu wkkoT2hTZS2URCyjAZNrkYfE833VFFlxlsz16XcjWAwVPlHxQa/0WejYRXphrsJFa72goUVX nCxP1gZ6ArLybEFn13Z82kMyDz2c3KQOrh0F5YXu/unjfLj+tH0JcZtSK4O/i8S9y1101jH3 n0o3dpMUDgAwYCiPTmeq4b8ptaSUxzvXMejwq3BWiH8skb1UtRr3fzjxFU7gpsJYnyUe19St SoQ6lJcsVVkV3i0it10IFcEDIOKAC4WDm+HUlhh9ngL9Dw+T0khIK08Ivs5FMQgaKDEDKT/U MuyzabbqpeunwZdP6NLwfPrn+Pnn7m33+fW0e34/HD9fdt/2UM7h+TOG873gGH/++v7tkxz2 9f583L8KhO79Ec18zfAroBSdw/FwPexeD/+3Q24zNzgX2DV4vC02LIHJrs51/IUN5OsijHQo XIXVpuEIkSiU/a+Evd4URntcq2xl3KHbVLHbu6S+6za/lbo7cBJHlfWKn3++X0+dp9N536Sn U/pOCEPzlky1N2rkvk13mUMSbdF0zb14pZoxDIb9yIqp7mIK0RZNVBtOQyMFa2XRqnhrTVhb 5ddxbEuv49guAT0MbdHSE7iNrukjJavlKld/sD40GbkWSqnlotefBrlvMcLcp4lUTcQ/1BGy anOerdyQE0+adzbS/PLx9fXw9NeP/c/Ok5isLwg8+tOao0nKrCo69kRxOSdopGDiEEXCyrZx +6NRb1Z9Quzj+n1/vB6edtf9c8c9ilrCJ9f553D93mGXy+npIFjO7rqzqs15AIdLYxx4YL93 BbsY63fjyH/QA+vq72vppT09rrL6lty7FvCsuq0rBqvTxur8uXBBezs9q2a7qkZzuye5mjSj omX2RObE7HO5/ayf3Fu0aDEn2hhDddrn3JZ4H+zb94l+xVp1JSazyHJaZalqm6ZEf612l+9t 3YUhK+ZIr2Qci1n49mZjNvKhMlvUy/5ytV+W8EGfGB4kW9TtllxS5z5bu317TCTd7k8oPOt1 HW9hrytk+a0zOXCGVkcFDiU3whgcm+7BdBY3/VTfJoHTI+NsFb6aeL0h90djijzo29LpivVI IllhYFBlA3nUI7bMFRvYxICgZaBozKMl0QnZMumRyFol/z6Wb5Y6wuH9u+bNVq849iQAWpER mkKYzz1COuFDYn5F97pLuMGwDIrVBGSBC0cmYs1m0peffijN7KmFVHtAHB3Qs6QuxL/tfble sUdCH0qZnzJi6lQLPbmOu3RYRc1PYjjN3BQJaCyIegembosq5n1EjktJb3pYzprT2/t5f7no unjVkQtfvwcol/vHiGj2tMWLrn6Isic1zJX9wT2mWR2eluyOz6e3Tvjx9nV/7iz3x/25OkBY +0KYegWPE9K5sGpaMl8agVkqp2XBlzzDDkyIUHspMizi3x7C07jofBY/EC9EdbEA5f2G8dkQ rBTy3xI2uqhVDg8F9jWhPJO8Hr6ed3AGOp8+rocjsaNi3i9qDRJ0amVBRrl7KVHErTIkT36e Nx+XIjSr1h5vl6AqmTbbaWl0taOCLuw9ul96t0Ruvb51Z25ad0MRRaGWHW1la3MYwrPyFmEx mY22t7nlcc76dkAG/f84I++CFamM+V4W2X2n8HotLyjZNxcilGNZgAm0Oe2fbAliP3WHdHix Iiwv2m83DmE7t1wkMKeK4JiN+JeVChBAnRfLLW3uYOlDgHl2QAStXnjdZn+9+/MV/enhyHMR 6HSXw8txd/047ztP3/dPPw7HF3VpLaMM4ZNDHLO0NsXR1/K/UXZjwAtZ8oCY32G2qBZ7v3VN wXSALME8gkv160KPYM0TZO6BVoUBvMruVXnQgsIV8vihWCRRYDhnqCK+G7ZwQzcr8szzdTUj ShyPhApOvMCFY3gw19DppBVSzTdRe/gK9N6AaXYIDlMDNguN1Bvrs4gXUrMnZyAvvCwv9AL0 Uwb8rH0yjYKR43vcnT/QhmNNhN7nhQBL7pmOFi4ZMFxt5ZLXUNzYN7iKFOnN7XMVV65xzIOU TEqpN75kPeIq6YWGGvQoV3eDClqRiETFlBw6Ff31bDpoPKT8kJRHXYgQF2RKfvtYSK+uui8l pdiSoCUlUzg0q0efku4ZeAwlmZH5OxpmtoJZbxWGYcD2K+b8b4tmABfUzSyWj2rsgMLYPpJk qbFS9KH9/alW+mo5UVEz4Idw4c1EimPVZ0W43W0YJrV2lZnB0jTiHnzvGxd6JWFqYgmW4reu ejNLksi3qq0BSNeQHUI4ZxSpBHTwjcQ7gicQLVhcGGmFapx45GNcQsBSJRgSqdBFPkvQU3nl JhqAY/2wwIVAWUwKa+Yzo6V4nLfUAoOAiZchK4zCilEEWocgt2bFWsAQshLXknY8zNFac5p7 L+Chnmv5nVS739KXs0Ip7k5duf1orv8i1pLQRxcQYroJmEg14TT3H0GT0cxnXnKH+h7l2RTE ngYDCT8WjvLeSORBWcKmnTwQ3R+jm7x2bVCzgCO6Sqw7LIMu8JYhIZdLtMxi4efpqvIVrLoO L2YcN44ylYaqg77XlKqDtfPrV0uVXiKo7+fD8fpDQG09v+0vL/Z9o9Aq1gL7Ve3MkowOMbQ1 XvrnY5oaH5QIv75zmLRK3OWem30Z1mMC3YGeAFYJQ2XSPYQM8UHbJp3GtxzhQcWbR7DfFm6S gBx1cSofhP9AD5pHqRZe2tp3tVHg8Lr/63p4K1W2ixB9kvSz3dPyXbBTautsRcM8PDnX8xUp 3DT2W/Z/Rci5Z8mCtoosnTmirHpxRrkblRhIQY7WpZWrxn0vYPl2Cyg4/DLtzfrqVSqUBus2 RpWQrosJnIhFsSCjtmoFdFDz0KksY+TnKpuUwjqE6B2BlwZMw2QyOaJ6RRTq2cFkKbCocvf/ KzuW3cZx2K/0OIdF0QEWe+vBieXG28T2+FF3TkE3YxSDwbRBm8z285cPOaIkypjtpa1I6y2K pPiwBmpaCOGZH//d5eTgFahb+X6YD10+/XN+fsbHy/Ll/fR2/ukHHaI0TSgetDIvgiu8vKDy MtzefHwWNqICLxlqxA41fF0n6nMPSy+nBf/X7KgvlGrVZRWwoVXZg+iNNN3VSrDgX/QxbcKy Fbq2+15YVI4Wr7r5RNSqbpmLVhCEq67ib62LP2lsWxqeSOznrZdvwlUmaCfSL/PYY3YyqZDl OhAa3og+YD530XstVVyPlZSsqKypS0zK5msPfAhwAzyPupFEgIx5hpIbinBbU4QDYJvzLj5s FqC6ramIBTOBiWooILlGWnw0NJBOdHHfrgciOCk40AQgCbHbh48VrNPnsMPdNlMTLuEhtNsM GIUtEKF4sDNkgbiz5cbQZarTUwcUO7c4pspDAu6YSKrrYbdv7nqiM8FgH3Zx5wAb3+gSZkcX nHYVVwbNgPR3p+wS14UlOmRxOcxeVP2lOKibvffJQGV5QWi+0MOj2NZjWH0CKIhq5hHCAIBz 5h98a7nD0FhhyVDcx3yAHR3Mc19mDRoOK3RUngD10KM2SJkJhpfVNkiazeXzZkqskUC6vfEL 3ch9mk3QJfsgR16j3b9BN/dQOUf4V/Xr8f2Pq+3r4cf5yBf25unlWbK3GCIfTZXquvGUYaIY nbIGI0815jtED4ChgT70QAJq/bGAgfvNAKvWg4SozNX4BbgT4FHyWqjeaEq4AclxLo+JDQeB N/l2piRF8X3ElCCylKdixQ1ptq9SqvSPA9Lhe2MavndY/YjWFO5+/fR+/P6CFhbQ85/n0/Qx wR/T6XB9fS0zH9RzLicKruZcNi5CB4ZCVFzSGIDZ9aiKCqYvUqzKNnCwSXqFSoOhN49eVFHe aTZcUsQN6OjjyBAg//UIwt8mRGjHzvPX4VLqYUAfyN3ENDE9s4DkYOYECltjGq0hnGd685qj R8omqCewt3t07Ujc126Qmij6P3bBZe+T+w0c6fl2kFIwAV0Z8fUwVZjLy5gcNjcrIpVblK/q BJn4wfzgt6fT0xUyggdUtEeiGSrt45qb0LXO3zJ38RfkvFjqnAtxFdU+z3pUzLTtQF6WMRFI 9NhvfA0yo6l6kAku4VyA31E5VT5A6yE8bMgf2XHP6yP2gxwbYmKAmdRGQfjSt7S0ie/MF+ng MwfN8oYSTjLQVRbt2oW8MBmw5uuvQZpjC8R8jNQnmXMV7/FiqFi8XIbegdiz0XFmtUQRbGeu gA/AjlhO4K7xUSRAQa9I3PWECby6F7WRowLaD7kWsXhU99onYqRJWg1FITtqHlAliPjeoxD8 gsPe2+hE0fAa4Nd3sGVBGFU7F9VnCzT3vCLaEe7GyjBnpHZ+BHtIwStK66LmK07YON3iRDTh +Prv9HY8eOfEXTXCIW80bVurYYUAiYFyamAqLOcEFBtug7/+lPhmh2nCmFP3bPgweX2DLEKg 8HPThPH9gBXRHp52XYkvQ6TCVmOFiB7gqiFnQ8rsBQfCx13C7HuVl+ncoBjP9HGPm8e7uXHk Wbv9mtThFVm55Sh/Yi7hq6ZHt72Q1e02WV6P+7ooOtPf3nxMN/wj1HbR6kr9aD+9n/CqQrZq /fprent6nuTy3w+65DDT9D2tOjDOf7MOSuiQCzqTaWw5LZybScdT5wjVQ7JRd4pg+hLiJ80s yfABt0GAAm91WeY1oaiU6CvKgsreKH4v/K/dZY6ey72qQr4c5ft1LUK3WmEIFhuKLUFrfNkG AOr+bIEW4kNyz/xhFGfZnRqzS0bVWdwokdcCa9v/A0ECOla8jgEA --a8Wt8u1KmwUX3Y2C Content-Type: text/plain; charset="us-ascii" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit Content-Disposition: inline _______________________________________________ Virtualization mailing list Virtualization@lists.linux-foundation.org https://lists.linuxfoundation.org/mailman/listinfo/virtualization --a8Wt8u1KmwUX3Y2C--