All of lore.kernel.org
 help / color / mirror / Atom feed
From: "Michael S. Tsirkin" <mst@redhat.com>
To: qemu-devel@nongnu.org
Cc: "Peter Maydell" <peter.maydell@linaro.org>,
	"Ben Widawsky" <ben.widawsky@intel.com>,
	"Jonathan Cameron" <Jonathan.Cameron@huawei.com>,
	"Alex Bennée" <alex.bennee@linaro.org>,
	"Igor Mammedov" <imammedo@redhat.com>,
	"Ani Sinha" <ani@anisinha.ca>,
	"Marcel Apfelbaum" <marcel.apfelbaum@gmail.com>,
	"Paolo Bonzini" <pbonzini@redhat.com>,
	"Richard Henderson" <richard.henderson@linaro.org>,
	"Eduardo Habkost" <eduardo@habkost.net>
Subject: [PULL 27/91] acpi/cxl: Create the CEDT (9.14.1)
Date: Mon, 16 May 2022 06:37:09 -0400	[thread overview]
Message-ID: <20220516095448.507876-28-mst@redhat.com> (raw)
In-Reply-To: <20220516095448.507876-1-mst@redhat.com>

From: Ben Widawsky <ben.widawsky@intel.com>

The CXL Early Discovery Table is defined in the CXL 2.0 specification as
a way for the OS to get CXL specific information from the system
firmware.

CXL 2.0 specification adds an _HID, ACPI0016, for CXL capable host
bridges, with a _CID of PNP0A08 (PCIe host bridge). CXL aware software
is able to use this initiate the proper _OSC method, and get the _UID
which is referenced by the CEDT. Therefore the existence of an ACPI0016
device allows a CXL aware driver perform the necessary actions. For a
CXL capable OS, this works. For a CXL unaware OS, this works.

CEDT awaremess requires more. The motivation for ACPI0017 is to provide
the possibility of having a Linux CXL module that can work on a legacy
Linux kernel. Linux core PCI/ACPI which won't be built as a module,
will see the _CID of PNP0A08 and bind a driver to it. If we later loaded
a driver for ACPI0016, Linux won't be able to bind it to the hardware
because it has already bound the PNP0A08 driver. The ACPI0017 device is
an opportunity to have an object to bind a driver will be used by a
Linux driver to walk the CXL topology and do everything that we would
have preferred to do with ACPI0016.

There is another motivation for an ACPI0017 device which isn't
implemented here. An operating system needs an attach point for a
non-volatile region provider that understands cross-hostbridge
interleaving. Since QEMU emulation doesn't support interleaving yet,
this is more important on the OS side, for now.

As of CXL 2.0 spec, only 1 sub structure is defined, the CXL Host Bridge
Structure (CHBS) which is primarily useful for telling the OS exactly
where the MMIO for the host bridge is.

Link: https://lore.kernel.org/linux-cxl/20210115034911.nkgpzc756d6qmjpl@intel.com/T/#t
Signed-off-by: Ben Widawsky <ben.widawsky@intel.com>
Signed-off-by: Jonathan Cameron <Jonathan.Cameron@huawei.com>
Reviewed-by: Alex Bennée <alex.bennee@linaro.org>
Message-Id: <20220429144110.25167-26-Jonathan.Cameron@huawei.com>
Reviewed-by: Michael S. Tsirkin <mst@redhat.com>
Signed-off-by: Michael S. Tsirkin <mst@redhat.com>
---
 include/hw/acpi/cxl.h               |  5 +++
 include/hw/pci/pci_bridge.h         | 20 +++++++++
 hw/acpi/cxl.c                       | 68 +++++++++++++++++++++++++++++
 hw/i386/acpi-build.c                | 27 ++++++++++++
 hw/pci-bridge/pci_expander_bridge.c | 17 --------
 5 files changed, 120 insertions(+), 17 deletions(-)

diff --git a/include/hw/acpi/cxl.h b/include/hw/acpi/cxl.h
index 7b8f3b8a2e..0c496538c0 100644
--- a/include/hw/acpi/cxl.h
+++ b/include/hw/acpi/cxl.h
@@ -18,6 +18,11 @@
 #ifndef HW_ACPI_CXL_H
 #define HW_ACPI_CXL_H
 
+#include "hw/acpi/bios-linker-loader.h"
+
+void cxl_build_cedt(MachineState *ms, GArray *table_offsets, GArray *table_data,
+                    BIOSLinker *linker, const char *oem_id,
+                    const char *oem_table_id);
 void build_cxl_osc_method(Aml *dev);
 
 #endif
diff --git a/include/hw/pci/pci_bridge.h b/include/hw/pci/pci_bridge.h
index 30691a6e57..ba4bafac7c 100644
--- a/include/hw/pci/pci_bridge.h
+++ b/include/hw/pci/pci_bridge.h
@@ -28,6 +28,7 @@
 
 #include "hw/pci/pci.h"
 #include "hw/pci/pci_bus.h"
+#include "hw/cxl/cxl.h"
 #include "qom/object.h"
 
 typedef struct PCIBridgeWindows PCIBridgeWindows;
@@ -80,6 +81,25 @@ struct PCIBridge {
 #define PCI_BRIDGE_DEV_PROP_CHASSIS_NR "chassis_nr"
 #define PCI_BRIDGE_DEV_PROP_MSI        "msi"
 #define PCI_BRIDGE_DEV_PROP_SHPC       "shpc"
+typedef struct CXLHost CXLHost;
+
+struct PXBDev {
+    /*< private >*/
+    PCIDevice parent_obj;
+    /*< public >*/
+
+    uint8_t bus_nr;
+    uint16_t numa_node;
+    bool bypass_iommu;
+    struct cxl_dev {
+        CXLHost *cxl_host_bridge; /* Pointer to a CXLHost */
+    } cxl;
+};
+
+typedef struct PXBDev PXBDev;
+#define TYPE_PXB_CXL_DEVICE "pxb-cxl"
+DECLARE_INSTANCE_CHECKER(PXBDev, PXB_CXL_DEV,
+                         TYPE_PXB_CXL_DEVICE)
 
 int pci_bridge_ssvid_init(PCIDevice *dev, uint8_t offset,
                           uint16_t svid, uint16_t ssid,
diff --git a/hw/acpi/cxl.c b/hw/acpi/cxl.c
index ca1f04f359..aa4af86a4c 100644
--- a/hw/acpi/cxl.c
+++ b/hw/acpi/cxl.c
@@ -18,7 +18,11 @@
  */
 
 #include "qemu/osdep.h"
+#include "hw/sysbus.h"
+#include "hw/pci/pci_bridge.h"
+#include "hw/pci/pci_host.h"
 #include "hw/cxl/cxl.h"
+#include "hw/mem/memory-device.h"
 #include "hw/acpi/acpi.h"
 #include "hw/acpi/aml-build.h"
 #include "hw/acpi/bios-linker-loader.h"
@@ -26,6 +30,70 @@
 #include "qapi/error.h"
 #include "qemu/uuid.h"
 
+static void cedt_build_chbs(GArray *table_data, PXBDev *cxl)
+{
+    SysBusDevice *sbd = SYS_BUS_DEVICE(cxl->cxl.cxl_host_bridge);
+    struct MemoryRegion *mr = sbd->mmio[0].memory;
+
+    /* Type */
+    build_append_int_noprefix(table_data, 0, 1);
+
+    /* Reserved */
+    build_append_int_noprefix(table_data, 0, 1);
+
+    /* Record Length */
+    build_append_int_noprefix(table_data, 32, 2);
+
+    /* UID - currently equal to bus number */
+    build_append_int_noprefix(table_data, cxl->bus_nr, 4);
+
+    /* Version */
+    build_append_int_noprefix(table_data, 1, 4);
+
+    /* Reserved */
+    build_append_int_noprefix(table_data, 0, 4);
+
+    /* Base - subregion within a container that is in PA space */
+    build_append_int_noprefix(table_data, mr->container->addr + mr->addr, 8);
+
+    /* Length */
+    build_append_int_noprefix(table_data, memory_region_size(mr), 8);
+}
+
+static int cxl_foreach_pxb_hb(Object *obj, void *opaque)
+{
+    Aml *cedt = opaque;
+
+    if (object_dynamic_cast(obj, TYPE_PXB_CXL_DEVICE)) {
+        cedt_build_chbs(cedt->buf, PXB_CXL_DEV(obj));
+    }
+
+    return 0;
+}
+
+void cxl_build_cedt(MachineState *ms, GArray *table_offsets, GArray *table_data,
+                    BIOSLinker *linker, const char *oem_id,
+                    const char *oem_table_id)
+{
+    Aml *cedt;
+    AcpiTable table = { .sig = "CEDT", .rev = 1, .oem_id = oem_id,
+                        .oem_table_id = oem_table_id };
+
+    acpi_add_table(table_offsets, table_data);
+    acpi_table_begin(&table, table_data);
+    cedt = init_aml_allocator();
+
+    /* reserve space for CEDT header */
+
+    object_child_foreach_recursive(object_get_root(), cxl_foreach_pxb_hb, cedt);
+
+    /* copy AML table into ACPI tables blob and patch header there */
+    g_array_append_vals(table_data, cedt->buf->data, cedt->buf->len);
+    free_aml_allocator();
+
+    acpi_table_end(linker, &table);
+}
+
 static Aml *__build_cxl_osc_method(void)
 {
     Aml *method, *if_uuid, *else_uuid, *if_arg1_not_1, *if_cxl, *if_caps_masked;
diff --git a/hw/i386/acpi-build.c b/hw/i386/acpi-build.c
index 59ede8b2e9..c125939ed6 100644
--- a/hw/i386/acpi-build.c
+++ b/hw/i386/acpi-build.c
@@ -77,6 +77,7 @@
 #include "hw/acpi/ipmi.h"
 #include "hw/acpi/hmat.h"
 #include "hw/acpi/viot.h"
+#include "hw/acpi/cxl.h"
 
 #include CONFIG_DEVICES
 
@@ -1411,6 +1412,22 @@ static void build_smb0(Aml *table, I2CBus *smbus, int devnr, int func)
     aml_append(table, scope);
 }
 
+static void build_acpi0017(Aml *table)
+{
+    Aml *dev, *scope, *method;
+
+    scope =  aml_scope("_SB");
+    dev = aml_device("CXLM");
+    aml_append(dev, aml_name_decl("_HID", aml_string("ACPI0017")));
+
+    method = aml_method("_STA", 0, AML_NOTSERIALIZED);
+    aml_append(method, aml_return(aml_int(0x01)));
+    aml_append(dev, method);
+
+    aml_append(scope, dev);
+    aml_append(table, scope);
+}
+
 static void
 build_dsdt(GArray *table_data, BIOSLinker *linker,
            AcpiPmInfo *pm, AcpiMiscInfo *misc,
@@ -1430,6 +1447,7 @@ build_dsdt(GArray *table_data, BIOSLinker *linker,
 #ifdef CONFIG_TPM
     TPMIf *tpm = tpm_find();
 #endif
+    bool cxl_present = false;
     int i;
     VMBusBridge *vmbus_bridge = vmbus_bridge_find();
     AcpiTable table = { .sig = "DSDT", .rev = 1, .oem_id = x86ms->oem_id,
@@ -1618,12 +1636,17 @@ build_dsdt(GArray *table_data, BIOSLinker *linker,
                 MemoryRegion *mr = &machine->cxl_devices_state->host_mr;
                 uint64_t base = mr->addr;
 
+                cxl_present = true;
                 crs_range_insert(crs_range_set.mem_ranges, base,
                                  base + memory_region_size(mr) - 1);
             }
         }
     }
 
+    if (cxl_present) {
+        build_acpi0017(dsdt);
+    }
+
     /*
      * At this point crs_range_set has all the ranges used by pci
      * busses *other* than PCI0.  These ranges will be excluded from
@@ -2688,6 +2711,10 @@ void acpi_build(AcpiBuildTables *tables, MachineState *machine)
                           machine->nvdimms_state, machine->ram_slots,
                           x86ms->oem_id, x86ms->oem_table_id);
     }
+    if (machine->cxl_devices_state->is_enabled) {
+        cxl_build_cedt(machine, table_offsets, tables_blob, tables->linker,
+                       x86ms->oem_id, x86ms->oem_table_id);
+    }
 
     acpi_add_table(table_offsets, tables_blob);
     build_waet(tables_blob, tables->linker, x86ms->oem_id, x86ms->oem_table_id);
diff --git a/hw/pci-bridge/pci_expander_bridge.c b/hw/pci-bridge/pci_expander_bridge.c
index 8fb4f2ea91..22feda1ff0 100644
--- a/hw/pci-bridge/pci_expander_bridge.c
+++ b/hw/pci-bridge/pci_expander_bridge.c
@@ -57,23 +57,6 @@ DECLARE_INSTANCE_CHECKER(PXBDev, PXB_DEV,
 DECLARE_INSTANCE_CHECKER(PXBDev, PXB_PCIE_DEV,
                          TYPE_PXB_PCIE_DEVICE)
 
-#define TYPE_PXB_CXL_DEVICE "pxb-cxl"
-DECLARE_INSTANCE_CHECKER(PXBDev, PXB_CXL_DEV,
-                         TYPE_PXB_CXL_DEVICE)
-
-struct PXBDev {
-    /*< private >*/
-    PCIDevice parent_obj;
-    /*< public >*/
-
-    uint8_t bus_nr;
-    uint16_t numa_node;
-    bool bypass_iommu;
-    struct cxl_dev {
-        CXLHost *cxl_host_bridge;
-    } cxl;
-};
-
 static PXBDev *convert_to_pxb(PCIDevice *dev)
 {
     /* A CXL PXB's parent bus is PCIe, so the normal check won't work */
-- 
MST



  parent reply	other threads:[~2022-05-16 11:19 UTC|newest]

Thread overview: 98+ messages / expand[flat|nested]  mbox.gz  Atom feed  top
2022-05-16 10:35 [PULL 00/91] virtio,pc,pci: fixes,cleanups,features Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 01/91] virtio: fix feature negotiation for ACCESS_PLATFORM Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 02/91] intel-iommu: correct the value used for error_setg_errno() Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 03/91] hw/pci/cxl: Add a CXL component type (interface) Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 04/91] hw/cxl/component: Introduce CXL components (8.1.x, 8.2.5) Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 05/91] MAINTAINERS: Add entry for Compute Express Link Emulation Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 06/91] hw/cxl/device: Introduce a CXL device (8.2.8) Michael S. Tsirkin
2022-05-16 10:35 ` [PULL 07/91] hw/cxl/device: Implement the CAP array (8.2.8.1-2) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 08/91] hw/cxl/device: Implement basic mailbox (8.2.8.4) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 09/91] hw/cxl/device: Add memory device utilities Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 10/91] hw/cxl/device: Add cheap EVENTS implementation (8.2.9.1) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 11/91] hw/cxl/device: Timestamp implementation (8.2.9.3) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 12/91] hw/cxl/device: Add log commands (8.2.9.4) + CEL Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 13/91] hw/pxb: Use a type for realizing expanders Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 14/91] hw/pci/cxl: Create a CXL bus type Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 15/91] cxl: Machine level control on whether CXL support is enabled Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 16/91] hw/pxb: Allow creation of a CXL PXB (host bridge) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 17/91] qtest/cxl: Introduce initial test for pxb-cxl only Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 18/91] hw/cxl/rp: Add a root port Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 19/91] hw/cxl/device: Add a memory device (8.2.8.5) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 20/91] hw/cxl/device: Implement MMIO HDM decoding (8.2.5.12) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 21/91] hw/cxl/device: Add some trivial commands Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 22/91] hw/cxl/device: Plumb real Label Storage Area (LSA) sizing Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 23/91] hw/cxl/device: Implement get/set Label Storage Area (LSA) Michael S. Tsirkin
2022-05-16 10:36 ` [PULL 24/91] qtests/cxl: Add initial root port and CXL type3 tests Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 25/91] hw/cxl/component: Implement host bridge MMIO (8.2.5, table 142) Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 26/91] acpi/cxl: Add _OSC implementation (9.14.2) Michael S. Tsirkin
2022-05-16 10:37 ` Michael S. Tsirkin [this message]
2022-05-16 10:37 ` [PULL 28/91] hw/cxl/component: Add utils for interleave parameter encoding/decoding Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 29/91] hw/cxl/host: Add support for CXL Fixed Memory Windows Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 30/91] acpi/cxl: Introduce CFMWS structures in CEDT Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 31/91] hw/pci-host/gpex-acpi: Add support for dsdt construction for pxb-cxl Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 32/91] pci/pcie_port: Add pci_find_port_by_pn() Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 33/91] CXL/cxl_component: Add cxl_get_hb_cstate() Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 34/91] mem/cxl_type3: Add read and write functions for associated hostmem Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 35/91] cxl/cxl-host: Add memops for CFMWS region Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 36/91] hw/cxl/component Add a dumb HDM decoder handler Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 37/91] i386/pc: Enable CXL fixed memory windows Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 38/91] tests/acpi: q35: Allow addition of a CXL test Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 39/91] qtests/bios-tables-test: Add a test for CXL emulation Michael S. Tsirkin
2022-05-16 10:37 ` [PULL 40/91] tests/acpi: Add tables " Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 41/91] qtest/cxl: Add more complex test cases with CFMWs Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 42/91] docs/cxl: Add initial Compute eXpress Link (CXL) documentation Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 43/91] vhost: Track descriptor chain in private at SVQ Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 44/91] vhost: Fix device's used descriptor dequeue Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 45/91] vdpa: Fix bad index calculus at vhost_vdpa_get_vring_base Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 46/91] vdpa: Fix index calculus at vhost_vdpa_svqs_start Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 47/91] hw/virtio: Replace g_memdup() by g_memdup2() Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 48/91] vhost: Fix element in vhost_svq_add failure Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 49/91] target/i386: Fix sanity check on max APIC ID / X2APIC enablement Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 50/91] intel_iommu: Support IR-only mode without DMA translation Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 51/91] intel_iommu: Only allow interrupt remapping to be enabled if it's supported Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 52/91] intel_iommu: Fix irqchip / X2APIC configuration checks Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 53/91] intel-iommu: remove VTD_FR_RESERVED_ERR Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 54/91] intel-iommu: block output address in interrupt address range Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 55/91] intel-iommu: update root_scalable before switching as during post_load Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 56/91] intel-iommu: update iq_dw during post load Michael S. Tsirkin
2022-05-16 10:38 ` [PULL 57/91] vhost_net: Print feature masks in hex Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 58/91] hw/virtio: move virtio-pci.h into shared include space Michael S. Tsirkin
2022-05-16 10:39   ` [Virtio-fs] " Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 59/91] virtio-pci: add notification trace points Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 60/91] hw/virtio: add vhost_user_[read|write] " Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 61/91] docs: vhost-user: clean up request/reply description Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 62/91] docs: vhost-user: rewrite section on ring state machine Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 63/91] docs: vhost-user: replace master/slave with front-end/back-end Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 64/91] vhost-user.rst: add clarifying language about protocol negotiation Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 65/91] libvhost-user: expose vu_request_to_string Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 66/91] docs/devel: start documenting writing VirtIO devices Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 67/91] include/hw: start documenting the vhost API Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 68/91] hw/virtio/vhost-user: don't suppress F_CONFIG when supported Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 69/91] virtio/vhost-user: dynamically assign VhostUserHostNotifiers Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 70/91] virtio: drop name parameter for virtio_init() Michael S. Tsirkin
2022-05-16 10:39   ` [Virtio-fs] " Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 71/91] virtio: add vhost support for virtio devices Michael S. Tsirkin
2022-05-16 10:39   ` [Virtio-fs] " Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 72/91] qmp: add QMP command x-query-virtio Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 73/91] qmp: add QMP command x-query-virtio-status Michael S. Tsirkin
2022-05-16 10:39 ` [PULL 74/91] qmp: decode feature & status bits in virtio-status Michael S. Tsirkin
2022-05-16 10:39   ` [Virtio-fs] " Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 75/91] qmp: add QMP commands for virtio/vhost queue-status Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 76/91] qmp: add QMP command x-query-virtio-queue-element Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 77/91] hmp: add virtio commands Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 78/91] vhost-user: more master/slave things Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 79/91] docs/vhost-user: Clarifications for VHOST_USER_ADD/REM_MEM_REG Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 80/91] include/hw/pci/pcie_host: Correct PCIE_MMCFG_BUS_MASK Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 81/91] include/hw/pci/pcie_host: Correct PCIE_MMCFG_SIZE_MAX Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 82/91] hw/i386: Make pit a property of common x86 base machine type Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 83/91] hw/i386: Make pic " Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 84/91] hw/i386/amd_iommu: Fix IOMMU event log encoding errors Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 85/91] virtio-net: setup vhost_dev and notifiers for cvq only when feature is negotiated Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 86/91] virtio-net: align ctrl_vq index for non-mq guest for vhost_vdpa Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 87/91] vhost-vdpa: fix improper cleanup in net_init_vhost_vdpa Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 88/91] vhost-net: fix improper cleanup in vhost_net_start Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 89/91] vhost-vdpa: backend feature should set only once Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 90/91] vhost-vdpa: change name and polarity for vhost_vdpa_one_time_request() Michael S. Tsirkin
2022-05-16 10:40 ` [PULL 91/91] virtio-net: don't handle mq request in userspace handler for vhost-vdpa Michael S. Tsirkin
2022-05-16 19:01 ` [PULL 00/91] virtio,pc,pci: fixes,cleanups,features Richard Henderson
2022-05-16 20:05   ` Michael S. Tsirkin

Reply instructions:

You may reply publicly to this message via plain-text email
using any one of the following methods:

* Save the following mbox file, import it into your mail client,
  and reply-to-all from there: mbox

  Avoid top-posting and favor interleaved quoting:
  https://en.wikipedia.org/wiki/Posting_style#Interleaved_style

* Reply using the --to, --cc, and --in-reply-to
  switches of git-send-email(1):

  git send-email \
    --in-reply-to=20220516095448.507876-28-mst@redhat.com \
    --to=mst@redhat.com \
    --cc=Jonathan.Cameron@huawei.com \
    --cc=alex.bennee@linaro.org \
    --cc=ani@anisinha.ca \
    --cc=ben.widawsky@intel.com \
    --cc=eduardo@habkost.net \
    --cc=imammedo@redhat.com \
    --cc=marcel.apfelbaum@gmail.com \
    --cc=pbonzini@redhat.com \
    --cc=peter.maydell@linaro.org \
    --cc=qemu-devel@nongnu.org \
    --cc=richard.henderson@linaro.org \
    /path/to/YOUR_REPLY

  https://kernel.org/pub/software/scm/git/docs/git-send-email.html

* If your mail client supports setting the In-Reply-To header
  via mailto: links, try the mailto: link
Be sure your reply has a Subject: header at the top and a blank line before the message body.
This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.