All of lore.kernel.org
 help / color / mirror / Atom feed
* [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout
@ 2023-05-31 19:30 Zbigniew Kempczyński
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper Zbigniew Kempczyński
                   ` (4 more replies)
  0 siblings, 5 replies; 10+ messages in thread
From: Zbigniew Kempczyński @ 2023-05-31 19:30 UTC (permalink / raw)
  To: igt-dev

According to kernel proposal https://patchwork.freedesktop.org/series/118670/
add abstime and change relative to use nanoseconds instead of jiffies.

Zbigniew Kempczyński (3):
  xe/xe_ioctl: Add xe_wait_ufence_abstime() helper
  xe/xe_ioctl: Return remaining timeout of user fence wait
  tests/xe_waitfence: Add abstime wait subtest

 lib/xe/xe_ioctl.c       | 24 +++++++++++++++++++++++-
 lib/xe/xe_ioctl.h       |  5 ++++-
 tests/xe/xe_waitfence.c | 38 ++++++++++++++++++++++++++++++++++----
 3 files changed, 61 insertions(+), 6 deletions(-)

-- 
2.34.1

^ permalink raw reply	[flat|nested] 10+ messages in thread

* [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper
  2023-05-31 19:30 [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout Zbigniew Kempczyński
@ 2023-05-31 19:30 ` Zbigniew Kempczyński
  2023-06-01 17:32   ` Kamil Konieczny
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of user fence wait Zbigniew Kempczyński
                   ` (3 subsequent siblings)
  4 siblings, 1 reply; 10+ messages in thread
From: Zbigniew Kempczyński @ 2023-05-31 19:30 UTC (permalink / raw)
  To: igt-dev

Xe user fences supports passing timeout in relative or absolute form.
Add helper for absolute one.

Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>
---
 lib/xe/xe_ioctl.c | 20 ++++++++++++++++++++
 lib/xe/xe_ioctl.h |  3 +++
 2 files changed, 23 insertions(+)

diff --git a/lib/xe/xe_ioctl.c b/lib/xe/xe_ioctl.c
index 66a8393fe9..fe61b70a83 100644
--- a/lib/xe/xe_ioctl.c
+++ b/lib/xe/xe_ioctl.c
@@ -418,6 +418,26 @@ void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
 	igt_assert_eq(igt_ioctl(fd, DRM_IOCTL_XE_WAIT_USER_FENCE, &wait), 0);
 }
 
+long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
+			    struct drm_xe_engine_class_instance *eci,
+			    int64_t timeout)
+{
+	struct drm_xe_wait_user_fence wait = {
+		.addr = to_user_pointer(addr),
+		.op = DRM_XE_UFENCE_WAIT_EQ,
+		.flags = !eci ? DRM_XE_UFENCE_WAIT_SOFT_OP | DRM_XE_UFENCE_WAIT_ABSTIME : 0,
+		.value = value,
+		.mask = DRM_XE_UFENCE_WAIT_U64,
+		.timeout = timeout,
+		.num_engines = eci ? 1 : 0,
+		.instances = eci ? to_user_pointer(eci) : 0,
+	};
+
+	igt_assert_eq(igt_ioctl(fd, DRM_IOCTL_XE_WAIT_USER_FENCE, &wait), 0);
+
+	return wait.timeout;
+}
+
 void xe_force_gt_reset(int fd, int gt)
 {
 	char reset_string[128];
diff --git a/lib/xe/xe_ioctl.h b/lib/xe/xe_ioctl.h
index 049cd183d6..9b44892fe9 100644
--- a/lib/xe/xe_ioctl.h
+++ b/lib/xe/xe_ioctl.h
@@ -82,6 +82,9 @@ void xe_exec_wait(int fd, uint32_t engine, uint64_t addr);
 void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
 		    struct drm_xe_engine_class_instance *eci,
 		    int64_t timeout);
+long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
+			    struct drm_xe_engine_class_instance *eci,
+			    int64_t timeout);
 void xe_force_gt_reset(int fd, int gt);
 void xe_vm_madvise(int fd, uint32_t vm, uint64_t addr, uint64_t size,
 		   uint32_t property, uint32_t value);
-- 
2.34.1

^ permalink raw reply related	[flat|nested] 10+ messages in thread

* [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of user fence wait
  2023-05-31 19:30 [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout Zbigniew Kempczyński
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper Zbigniew Kempczyński
@ 2023-05-31 19:30 ` Zbigniew Kempczyński
  2023-06-01 11:31   ` Kumar, Janga Rahul
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest Zbigniew Kempczyński
                   ` (2 subsequent siblings)
  4 siblings, 1 reply; 10+ messages in thread
From: Zbigniew Kempczyński @ 2023-05-31 19:30 UTC (permalink / raw)
  To: igt-dev

When user fence is signalled we may want to be aware how long did it
take. Return remaining timeout after fence was successfully signalled.

Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>
---
 lib/xe/xe_ioctl.c | 4 +++-
 lib/xe/xe_ioctl.h | 2 +-
 2 files changed, 4 insertions(+), 2 deletions(-)

diff --git a/lib/xe/xe_ioctl.c b/lib/xe/xe_ioctl.c
index fe61b70a83..82340c6a97 100644
--- a/lib/xe/xe_ioctl.c
+++ b/lib/xe/xe_ioctl.c
@@ -400,7 +400,7 @@ void xe_exec_wait(int fd, uint32_t engine, uint64_t addr)
 	syncobj_destroy(fd, sync.handle);
 }
 
-void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
+long xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
 		    struct drm_xe_engine_class_instance *eci,
 		    int64_t timeout)
 {
@@ -416,6 +416,8 @@ void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
 	};
 
 	igt_assert_eq(igt_ioctl(fd, DRM_IOCTL_XE_WAIT_USER_FENCE, &wait), 0);
+
+	return wait.timeout;
 }
 
 long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
diff --git a/lib/xe/xe_ioctl.h b/lib/xe/xe_ioctl.h
index 9b44892fe9..860de9af58 100644
--- a/lib/xe/xe_ioctl.h
+++ b/lib/xe/xe_ioctl.h
@@ -79,7 +79,7 @@ void xe_exec(int fd, struct drm_xe_exec *exec);
 void xe_exec_sync(int fd, uint32_t engine, uint64_t addr,
 		  struct drm_xe_sync *sync, uint32_t num_syncs);
 void xe_exec_wait(int fd, uint32_t engine, uint64_t addr);
-void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
+long xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
 		    struct drm_xe_engine_class_instance *eci,
 		    int64_t timeout);
 long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
-- 
2.34.1

^ permalink raw reply related	[flat|nested] 10+ messages in thread

* [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest
  2023-05-31 19:30 [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout Zbigniew Kempczyński
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper Zbigniew Kempczyński
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of user fence wait Zbigniew Kempczyński
@ 2023-05-31 19:30 ` Zbigniew Kempczyński
  2023-06-01 17:38   ` Kamil Konieczny
  2023-05-31 23:28 ` [igt-dev] ✓ Fi.CI.BAT: success for Add absolute user fence timeout Patchwork
  2023-06-02 15:19 ` [igt-dev] ✓ Fi.CI.IGT: " Patchwork
  4 siblings, 1 reply; 10+ messages in thread
From: Zbigniew Kempczyński @ 2023-05-31 19:30 UTC (permalink / raw)
  To: igt-dev

User fence wait supports two methods of passing timeout - relative
and absolute. Add absolute with passing timeout as nanoseconds.

Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>

---
Requires changes in kernel uapi proposed in:
https://patchwork.freedesktop.org/series/118670/
---
 tests/xe/xe_waitfence.c | 38 ++++++++++++++++++++++++++++++++++----
 1 file changed, 34 insertions(+), 4 deletions(-)

diff --git a/tests/xe/xe_waitfence.c b/tests/xe/xe_waitfence.c
index cdfcacdb47..1440ae4e7e 100644
--- a/tests/xe/xe_waitfence.c
+++ b/tests/xe/xe_waitfence.c
@@ -37,12 +37,23 @@ static void do_bind(int fd, uint32_t vm, uint32_t bo, uint64_t offset,
 	xe_vm_bind(fd, vm, bo, offset, addr, size, sync, 1);
 }
 
+#define MS_TO_NS(ms) (((long)ms) * 1000000)
+enum waittype {
+	RELATIVE,
+	ABSTIME,
+};
+
 /**
  * SUBTEST: test
- * Description: Check basic waitfences functionality
+ * Description: Check basic waitfences functionality with timeout
+ *              as relative timeout in nanoseconds
+ *
+ * SUBTEST: test-abstime
+ * Description: Check basic waitfences functionality with timeout
+ *              passed as absolute time in nanoseconds
  */
 static void
-test(int fd)
+test(int fd, enum waittype wt)
 {
 	uint32_t bo_1;
 	uint32_t bo_2;
@@ -51,6 +62,7 @@ test(int fd)
 	uint32_t bo_5;
 	uint32_t bo_6;
 	uint32_t bo_7;
+	long timeout;
 
 	uint32_t vm = xe_vm_create(fd, 0, 0);
 	bo_1 = xe_bo_create_flags(fd, vm, 0x40000, MY_FLAG);
@@ -67,7 +79,22 @@ test(int fd)
 	do_bind(fd, vm, bo_6, 0, 0xc0040000, 0x1c0000, 6);
 	bo_7 = xe_bo_create_flags(fd, vm, 0x10000, MY_FLAG);
 	do_bind(fd, vm, bo_7, 0, 0xeffff0000, 0x10000, 7);
-	xe_wait_ufence(fd, &wait_fence, 7, NULL, 2000);
+
+	if (wt == RELATIVE) {
+		timeout = xe_wait_ufence(fd, &wait_fence, 7, NULL, MS_TO_NS(10));
+		igt_info("wait type: RELATIVE - timeout: %ld, timeout left: %ld\n",
+			  MS_TO_NS(10), timeout);
+	} else {
+		struct timespec ts;
+		long left;
+
+		clock_gettime(CLOCK_MONOTONIC, &ts);
+		timeout = ts.tv_sec * 1e9 + ts.tv_nsec + (long) MS_TO_NS(10);
+		left = xe_wait_ufence_abstime(fd, &wait_fence, 7, NULL, timeout);
+		igt_info("wait type: ABSTIME - timeout: %ld, left: %ld\n",
+			  timeout, left);
+	}
+
 	xe_vm_unbind_sync(fd, vm, 0, 0x200000, 0x40000);
 	xe_vm_unbind_sync(fd, vm, 0, 0xc0000000, 0x40000);
 	xe_vm_unbind_sync(fd, vm, 0, 0x180000000, 0x40000);
@@ -94,7 +121,10 @@ igt_main
 	}
 
 	igt_subtest("test")
-		test(fd);
+		test(fd, RELATIVE);
+
+	igt_subtest("test-abstime")
+		test(fd, ABSTIME);
 
 	igt_fixture {
 		xe_device_put(fd);
-- 
2.34.1

^ permalink raw reply related	[flat|nested] 10+ messages in thread

* [igt-dev] ✓ Fi.CI.BAT: success for Add absolute user fence timeout
  2023-05-31 19:30 [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout Zbigniew Kempczyński
                   ` (2 preceding siblings ...)
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest Zbigniew Kempczyński
@ 2023-05-31 23:28 ` Patchwork
  2023-06-02 15:19 ` [igt-dev] ✓ Fi.CI.IGT: " Patchwork
  4 siblings, 0 replies; 10+ messages in thread
From: Patchwork @ 2023-05-31 23:28 UTC (permalink / raw)
  To: Zbigniew Kempczyński; +Cc: igt-dev

[-- Attachment #1: Type: text/plain, Size: 3756 bytes --]

== Series Details ==

Series: Add absolute user fence timeout
URL   : https://patchwork.freedesktop.org/series/118671/
State : success

== Summary ==

CI Bug Log - changes from CI_DRM_13210 -> IGTPW_9085
====================================================

Summary
-------

  **SUCCESS**

  No regressions found.

  External URL: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/index.html

Participating hosts (37 -> 36)
------------------------------

  Missing    (1): fi-kbl-8809g 

Known issues
------------

  Here are the changes found in IGTPW_9085 that come from known issues:

### IGT changes ###

#### Issues hit ####

  * igt@i915_selftest@live@migrate:
    - bat-dg2-11:         [PASS][1] -> [DMESG-WARN][2] ([i915#7699])
   [1]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/bat-dg2-11/igt@i915_selftest@live@migrate.html
   [2]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/bat-dg2-11/igt@i915_selftest@live@migrate.html

  * igt@i915_selftest@live@slpc:
    - bat-rpls-2:         NOTRUN -> [DMESG-WARN][3] ([i915#6367])
   [3]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/bat-rpls-2/igt@i915_selftest@live@slpc.html

  * igt@i915_suspend@basic-s2idle-without-i915:
    - bat-rpls-2:         NOTRUN -> [ABORT][4] ([i915#6687])
   [4]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/bat-rpls-2/igt@i915_suspend@basic-s2idle-without-i915.html

  
#### Possible fixes ####

  * igt@i915_selftest@live@gt_mocs:
    - {bat-mtlp-8}:       [DMESG-FAIL][5] ([i915#7059]) -> [PASS][6]
   [5]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/bat-mtlp-8/igt@i915_selftest@live@gt_mocs.html
   [6]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/bat-mtlp-8/igt@i915_selftest@live@gt_mocs.html

  * igt@i915_selftest@live@reset:
    - bat-rpls-2:         [ABORT][7] ([i915#4983] / [i915#7461] / [i915#7913] / [i915#7981] / [i915#8347]) -> [PASS][8]
   [7]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/bat-rpls-2/igt@i915_selftest@live@reset.html
   [8]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/bat-rpls-2/igt@i915_selftest@live@reset.html

  * igt@i915_selftest@live@slpc:
    - bat-rpls-1:         [DMESG-WARN][9] ([i915#6367]) -> [PASS][10]
   [9]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/bat-rpls-1/igt@i915_selftest@live@slpc.html
   [10]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/bat-rpls-1/igt@i915_selftest@live@slpc.html

  
  {name}: This element is suppressed. This means it is ignored when computing
          the status of the difference (SUCCESS, WARNING, or FAILURE).

  [i915#4983]: https://gitlab.freedesktop.org/drm/intel/issues/4983
  [i915#6367]: https://gitlab.freedesktop.org/drm/intel/issues/6367
  [i915#6687]: https://gitlab.freedesktop.org/drm/intel/issues/6687
  [i915#7059]: https://gitlab.freedesktop.org/drm/intel/issues/7059
  [i915#7461]: https://gitlab.freedesktop.org/drm/intel/issues/7461
  [i915#7699]: https://gitlab.freedesktop.org/drm/intel/issues/7699
  [i915#7913]: https://gitlab.freedesktop.org/drm/intel/issues/7913
  [i915#7981]: https://gitlab.freedesktop.org/drm/intel/issues/7981
  [i915#8347]: https://gitlab.freedesktop.org/drm/intel/issues/8347


Build changes
-------------

  * CI: CI-20190529 -> None
  * IGT: IGT_7314 -> IGTPW_9085

  CI-20190529: 20190529
  CI_DRM_13210: a66da4c33d8ede541aea9ba6d0d73b556a072d54 @ git://anongit.freedesktop.org/gfx-ci/linux
  IGTPW_9085: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/index.html
  IGT_7314: ab70dfcdecf93a17fcaddb774855f726325fa0dd @ https://gitlab.freedesktop.org/drm/igt-gpu-tools.git


Testlist changes
----------------

+igt@xe_waitfence@test-abstime

== Logs ==

For more details see: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/index.html

[-- Attachment #2: Type: text/html, Size: 4560 bytes --]

^ permalink raw reply	[flat|nested] 10+ messages in thread

* Re: [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of user fence wait
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of user fence wait Zbigniew Kempczyński
@ 2023-06-01 11:31   ` Kumar, Janga Rahul
  0 siblings, 0 replies; 10+ messages in thread
From: Kumar, Janga Rahul @ 2023-06-01 11:31 UTC (permalink / raw)
  To: Kempczynski, Zbigniew, igt-dev

LGTM
Reviewed-by: Janga Rahul Kumar <janga.rahul.kumar@intel.com>

> -----Original Message-----
> From: igt-dev <igt-dev-bounces@lists.freedesktop.org> On Behalf Of
> Zbigniew Kempczynski
> Sent: 01 June 2023 01:01
> To: igt-dev@lists.freedesktop.org
> Subject: [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of
> user fence wait
> 
> When user fence is signalled we may want to be aware how long did it take.
> Return remaining timeout after fence was successfully signalled.
> 
> Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
> Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>
> ---
>  lib/xe/xe_ioctl.c | 4 +++-
>  lib/xe/xe_ioctl.h | 2 +-
>  2 files changed, 4 insertions(+), 2 deletions(-)
> 
> diff --git a/lib/xe/xe_ioctl.c b/lib/xe/xe_ioctl.c index fe61b70a83..82340c6a97
> 100644
> --- a/lib/xe/xe_ioctl.c
> +++ b/lib/xe/xe_ioctl.c
> @@ -400,7 +400,7 @@ void xe_exec_wait(int fd, uint32_t engine, uint64_t
> addr)
>  	syncobj_destroy(fd, sync.handle);
>  }
> 
> -void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
> +long xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
>  		    struct drm_xe_engine_class_instance *eci,
>  		    int64_t timeout)
>  {
> @@ -416,6 +416,8 @@ void xe_wait_ufence(int fd, uint64_t *addr, uint64_t
> value,
>  	};
> 
>  	igt_assert_eq(igt_ioctl(fd, DRM_IOCTL_XE_WAIT_USER_FENCE,
> &wait), 0);
> +
> +	return wait.timeout;
>  }
> 
>  long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value, diff --
> git a/lib/xe/xe_ioctl.h b/lib/xe/xe_ioctl.h index 9b44892fe9..860de9af58
> 100644
> --- a/lib/xe/xe_ioctl.h
> +++ b/lib/xe/xe_ioctl.h
> @@ -79,7 +79,7 @@ void xe_exec(int fd, struct drm_xe_exec *exec);  void
> xe_exec_sync(int fd, uint32_t engine, uint64_t addr,
>  		  struct drm_xe_sync *sync, uint32_t num_syncs);  void
> xe_exec_wait(int fd, uint32_t engine, uint64_t addr); -void
> xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
> +long xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
>  		    struct drm_xe_engine_class_instance *eci,
>  		    int64_t timeout);
>  long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
> --
> 2.34.1


^ permalink raw reply	[flat|nested] 10+ messages in thread

* Re: [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper Zbigniew Kempczyński
@ 2023-06-01 17:32   ` Kamil Konieczny
  0 siblings, 0 replies; 10+ messages in thread
From: Kamil Konieczny @ 2023-06-01 17:32 UTC (permalink / raw)
  To: igt-dev

Hi Zbigniew,

On 2023-05-31 at 21:30:41 +0200, Zbigniew Kempczyński wrote:
> Xe user fences supports passing timeout in relative or absolute form.
> Add helper for absolute one.

Please add lib/ in Subject, for example:

lib/xe_ioctl: Add xe_wait_ufence_abstime() helper

> 
> Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
> Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>
> ---
>  lib/xe/xe_ioctl.c | 20 ++++++++++++++++++++
>  lib/xe/xe_ioctl.h |  3 +++
>  2 files changed, 23 insertions(+)
> 
> diff --git a/lib/xe/xe_ioctl.c b/lib/xe/xe_ioctl.c
> index 66a8393fe9..fe61b70a83 100644
> --- a/lib/xe/xe_ioctl.c
> +++ b/lib/xe/xe_ioctl.c
> @@ -418,6 +418,26 @@ void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
>  	igt_assert_eq(igt_ioctl(fd, DRM_IOCTL_XE_WAIT_USER_FENCE, &wait), 0);
>  }
>  

Please write documentation for this new public function.

Regards,
Kamil

> +long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
> +			    struct drm_xe_engine_class_instance *eci,
> +			    int64_t timeout)
> +{
> +	struct drm_xe_wait_user_fence wait = {
> +		.addr = to_user_pointer(addr),
> +		.op = DRM_XE_UFENCE_WAIT_EQ,
> +		.flags = !eci ? DRM_XE_UFENCE_WAIT_SOFT_OP | DRM_XE_UFENCE_WAIT_ABSTIME : 0,
> +		.value = value,
> +		.mask = DRM_XE_UFENCE_WAIT_U64,
> +		.timeout = timeout,
> +		.num_engines = eci ? 1 : 0,
> +		.instances = eci ? to_user_pointer(eci) : 0,
> +	};
> +
> +	igt_assert_eq(igt_ioctl(fd, DRM_IOCTL_XE_WAIT_USER_FENCE, &wait), 0);
> +
> +	return wait.timeout;
> +}
> +
>  void xe_force_gt_reset(int fd, int gt)
>  {
>  	char reset_string[128];
> diff --git a/lib/xe/xe_ioctl.h b/lib/xe/xe_ioctl.h
> index 049cd183d6..9b44892fe9 100644
> --- a/lib/xe/xe_ioctl.h
> +++ b/lib/xe/xe_ioctl.h
> @@ -82,6 +82,9 @@ void xe_exec_wait(int fd, uint32_t engine, uint64_t addr);
>  void xe_wait_ufence(int fd, uint64_t *addr, uint64_t value,
>  		    struct drm_xe_engine_class_instance *eci,
>  		    int64_t timeout);
> +long xe_wait_ufence_abstime(int fd, uint64_t *addr, uint64_t value,
> +			    struct drm_xe_engine_class_instance *eci,
> +			    int64_t timeout);
>  void xe_force_gt_reset(int fd, int gt);
>  void xe_vm_madvise(int fd, uint32_t vm, uint64_t addr, uint64_t size,
>  		   uint32_t property, uint32_t value);
> -- 
> 2.34.1
> 

^ permalink raw reply	[flat|nested] 10+ messages in thread

* Re: [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest
  2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest Zbigniew Kempczyński
@ 2023-06-01 17:38   ` Kamil Konieczny
  2023-06-02  7:45     ` Zbigniew Kempczyński
  0 siblings, 1 reply; 10+ messages in thread
From: Kamil Konieczny @ 2023-06-01 17:38 UTC (permalink / raw)
  To: igt-dev

Hi Zbigniew,

On 2023-05-31 at 21:30:43 +0200, Zbigniew Kempczyński wrote:
> User fence wait supports two methods of passing timeout - relative
> and absolute. Add absolute with passing timeout as nanoseconds.
------------------ ^
Please clarify somewhat description, maybe insert
"subtest for testing " ?

> 
> Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
> Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>
> 
> ---
> Requires changes in kernel uapi proposed in:
> https://patchwork.freedesktop.org/series/118670/
> ---
>  tests/xe/xe_waitfence.c | 38 ++++++++++++++++++++++++++++++++++----
>  1 file changed, 34 insertions(+), 4 deletions(-)
> 
> diff --git a/tests/xe/xe_waitfence.c b/tests/xe/xe_waitfence.c
> index cdfcacdb47..1440ae4e7e 100644
> --- a/tests/xe/xe_waitfence.c
> +++ b/tests/xe/xe_waitfence.c
> @@ -37,12 +37,23 @@ static void do_bind(int fd, uint32_t vm, uint32_t bo, uint64_t offset,
>  	xe_vm_bind(fd, vm, bo, offset, addr, size, sync, 1);
>  }
>  
> +#define MS_TO_NS(ms) (((long)ms) * 1000000)
> +enum waittype {
> +	RELATIVE,
> +	ABSTIME,
> +};
> +
>  /**
>   * SUBTEST: test
-------------- ^

> - * Description: Check basic waitfences functionality
> + * Description: Check basic waitfences functionality with timeout
> + *              as relative timeout in nanoseconds
> + *
> + * SUBTEST: test-abstime
-------------- ^
This name is a little confusing, consider changing it with
separate patch, maybe before this one ? From description maybe
it should be "basic" ?

Regards,
Kamil

> + * Description: Check basic waitfences functionality with timeout
> + *              passed as absolute time in nanoseconds
>   */
>  static void
> -test(int fd)
> +test(int fd, enum waittype wt)
>  {
>  	uint32_t bo_1;
>  	uint32_t bo_2;
> @@ -51,6 +62,7 @@ test(int fd)
>  	uint32_t bo_5;
>  	uint32_t bo_6;
>  	uint32_t bo_7;
> +	long timeout;
>  
>  	uint32_t vm = xe_vm_create(fd, 0, 0);
>  	bo_1 = xe_bo_create_flags(fd, vm, 0x40000, MY_FLAG);
> @@ -67,7 +79,22 @@ test(int fd)
>  	do_bind(fd, vm, bo_6, 0, 0xc0040000, 0x1c0000, 6);
>  	bo_7 = xe_bo_create_flags(fd, vm, 0x10000, MY_FLAG);
>  	do_bind(fd, vm, bo_7, 0, 0xeffff0000, 0x10000, 7);
> -	xe_wait_ufence(fd, &wait_fence, 7, NULL, 2000);
> +
> +	if (wt == RELATIVE) {
> +		timeout = xe_wait_ufence(fd, &wait_fence, 7, NULL, MS_TO_NS(10));
> +		igt_info("wait type: RELATIVE - timeout: %ld, timeout left: %ld\n",
> +			  MS_TO_NS(10), timeout);
> +	} else {
> +		struct timespec ts;
> +		long left;
> +
> +		clock_gettime(CLOCK_MONOTONIC, &ts);
> +		timeout = ts.tv_sec * 1e9 + ts.tv_nsec + (long) MS_TO_NS(10);
> +		left = xe_wait_ufence_abstime(fd, &wait_fence, 7, NULL, timeout);
> +		igt_info("wait type: ABSTIME - timeout: %ld, left: %ld\n",
> +			  timeout, left);
> +	}
> +
>  	xe_vm_unbind_sync(fd, vm, 0, 0x200000, 0x40000);
>  	xe_vm_unbind_sync(fd, vm, 0, 0xc0000000, 0x40000);
>  	xe_vm_unbind_sync(fd, vm, 0, 0x180000000, 0x40000);
> @@ -94,7 +121,10 @@ igt_main
>  	}
>  
>  	igt_subtest("test")
> -		test(fd);
> +		test(fd, RELATIVE);
> +
> +	igt_subtest("test-abstime")
> +		test(fd, ABSTIME);
>  
>  	igt_fixture {
>  		xe_device_put(fd);
> -- 
> 2.34.1
> 

^ permalink raw reply	[flat|nested] 10+ messages in thread

* Re: [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest
  2023-06-01 17:38   ` Kamil Konieczny
@ 2023-06-02  7:45     ` Zbigniew Kempczyński
  0 siblings, 0 replies; 10+ messages in thread
From: Zbigniew Kempczyński @ 2023-06-02  7:45 UTC (permalink / raw)
  To: Kamil Konieczny, igt-dev, Zbigniew Kempczyński

On Thu, Jun 01, 2023 at 07:38:43PM +0200, Kamil Konieczny wrote:
> Hi Zbigniew,
> 
> On 2023-05-31 at 21:30:43 +0200, Zbigniew Kempczyński wrote:
> > User fence wait supports two methods of passing timeout - relative
> > and absolute. Add absolute with passing timeout as nanoseconds.
> ------------------ ^
> Please clarify somewhat description, maybe insert
> "subtest for testing " ?
> 
> > 
> > Signed-off-by: Zbigniew Kempczyński <zbigniew.kempczynski@intel.com>
> > Cc: Kamil Konieczny <kamil.konieczny@linux.intel.com>
> > 
> > ---
> > Requires changes in kernel uapi proposed in:
> > https://patchwork.freedesktop.org/series/118670/
> > ---
> >  tests/xe/xe_waitfence.c | 38 ++++++++++++++++++++++++++++++++++----
> >  1 file changed, 34 insertions(+), 4 deletions(-)
> > 
> > diff --git a/tests/xe/xe_waitfence.c b/tests/xe/xe_waitfence.c
> > index cdfcacdb47..1440ae4e7e 100644
> > --- a/tests/xe/xe_waitfence.c
> > +++ b/tests/xe/xe_waitfence.c
> > @@ -37,12 +37,23 @@ static void do_bind(int fd, uint32_t vm, uint32_t bo, uint64_t offset,
> >  	xe_vm_bind(fd, vm, bo, offset, addr, size, sync, 1);
> >  }
> >  
> > +#define MS_TO_NS(ms) (((long)ms) * 1000000)
> > +enum waittype {
> > +	RELATIVE,
> > +	ABSTIME,
> > +};
> > +
> >  /**
> >   * SUBTEST: test
> -------------- ^
> 
> > - * Description: Check basic waitfences functionality
> > + * Description: Check basic waitfences functionality with timeout
> > + *              as relative timeout in nanoseconds
> > + *
> > + * SUBTEST: test-abstime
> -------------- ^
> This name is a little confusing, consider changing it with
> separate patch, maybe before this one ? From description maybe
> it should be "basic" ?

To be honest I would rename this to 'relative' and 'absolute' subtests.
Any objections about that?

Thank you for the review.

--
Zbigniew
> 
> Regards,
> Kamil
> 
> > + * Description: Check basic waitfences functionality with timeout
> > + *              passed as absolute time in nanoseconds
> >   */
> >  static void
> > -test(int fd)
> > +test(int fd, enum waittype wt)
> >  {
> >  	uint32_t bo_1;
> >  	uint32_t bo_2;
> > @@ -51,6 +62,7 @@ test(int fd)
> >  	uint32_t bo_5;
> >  	uint32_t bo_6;
> >  	uint32_t bo_7;
> > +	long timeout;
> >  
> >  	uint32_t vm = xe_vm_create(fd, 0, 0);
> >  	bo_1 = xe_bo_create_flags(fd, vm, 0x40000, MY_FLAG);
> > @@ -67,7 +79,22 @@ test(int fd)
> >  	do_bind(fd, vm, bo_6, 0, 0xc0040000, 0x1c0000, 6);
> >  	bo_7 = xe_bo_create_flags(fd, vm, 0x10000, MY_FLAG);
> >  	do_bind(fd, vm, bo_7, 0, 0xeffff0000, 0x10000, 7);
> > -	xe_wait_ufence(fd, &wait_fence, 7, NULL, 2000);
> > +
> > +	if (wt == RELATIVE) {
> > +		timeout = xe_wait_ufence(fd, &wait_fence, 7, NULL, MS_TO_NS(10));
> > +		igt_info("wait type: RELATIVE - timeout: %ld, timeout left: %ld\n",
> > +			  MS_TO_NS(10), timeout);
> > +	} else {
> > +		struct timespec ts;
> > +		long left;
> > +
> > +		clock_gettime(CLOCK_MONOTONIC, &ts);
> > +		timeout = ts.tv_sec * 1e9 + ts.tv_nsec + (long) MS_TO_NS(10);
> > +		left = xe_wait_ufence_abstime(fd, &wait_fence, 7, NULL, timeout);
> > +		igt_info("wait type: ABSTIME - timeout: %ld, left: %ld\n",
> > +			  timeout, left);
> > +	}
> > +
> >  	xe_vm_unbind_sync(fd, vm, 0, 0x200000, 0x40000);
> >  	xe_vm_unbind_sync(fd, vm, 0, 0xc0000000, 0x40000);
> >  	xe_vm_unbind_sync(fd, vm, 0, 0x180000000, 0x40000);
> > @@ -94,7 +121,10 @@ igt_main
> >  	}
> >  
> >  	igt_subtest("test")
> > -		test(fd);
> > +		test(fd, RELATIVE);
> > +
> > +	igt_subtest("test-abstime")
> > +		test(fd, ABSTIME);
> >  
> >  	igt_fixture {
> >  		xe_device_put(fd);
> > -- 
> > 2.34.1
> > 

^ permalink raw reply	[flat|nested] 10+ messages in thread

* [igt-dev] ✓ Fi.CI.IGT: success for Add absolute user fence timeout
  2023-05-31 19:30 [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout Zbigniew Kempczyński
                   ` (3 preceding siblings ...)
  2023-05-31 23:28 ` [igt-dev] ✓ Fi.CI.BAT: success for Add absolute user fence timeout Patchwork
@ 2023-06-02 15:19 ` Patchwork
  4 siblings, 0 replies; 10+ messages in thread
From: Patchwork @ 2023-06-02 15:19 UTC (permalink / raw)
  To: Zbigniew Kempczyński; +Cc: igt-dev

[-- Attachment #1: Type: text/plain, Size: 18664 bytes --]

== Series Details ==

Series: Add absolute user fence timeout
URL   : https://patchwork.freedesktop.org/series/118671/
State : success

== Summary ==

CI Bug Log - changes from CI_DRM_13210_full -> IGTPW_9085_full
====================================================

Summary
-------

  **SUCCESS**

  No regressions found.

  External URL: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/index.html

Participating hosts (8 -> 7)
------------------------------

  Missing    (1): shard-rkl0 

New tests
---------

  New tests have been introduced between CI_DRM_13210_full and IGTPW_9085_full:

### New IGT tests (1) ###

  * igt@kms_atomic_interruptible@legacy-pageflip@hdmi-a-3-pipe-a:
    - Statuses : 1 pass(s)
    - Exec time: [0.0] s

  

Known issues
------------

  Here are the changes found in IGTPW_9085_full that come from known issues:

### IGT changes ###

#### Issues hit ####

  * igt@gem_exec_fair@basic-pace-share@rcs0:
    - shard-glk:          [PASS][1] -> [FAIL][2] ([i915#2842])
   [1]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-glk2/igt@gem_exec_fair@basic-pace-share@rcs0.html
   [2]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-glk2/igt@gem_exec_fair@basic-pace-share@rcs0.html

  * igt@gem_exec_fair@basic-pace-solo@rcs0:
    - shard-apl:          [PASS][3] -> [FAIL][4] ([i915#2842])
   [3]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-apl2/igt@gem_exec_fair@basic-pace-solo@rcs0.html
   [4]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl3/igt@gem_exec_fair@basic-pace-solo@rcs0.html

  * igt@gen7_exec_parse@oacontrol-tracking:
    - shard-apl:          NOTRUN -> [SKIP][5] ([fdo#109271]) +18 similar issues
   [5]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl7/igt@gen7_exec_parse@oacontrol-tracking.html

  * igt@kms_async_flips@alternate-sync-async-flip@pipe-a-hdmi-a-2:
    - shard-glk:          [PASS][6] -> [FAIL][7] ([i915#2521])
   [6]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-glk1/igt@kms_async_flips@alternate-sync-async-flip@pipe-a-hdmi-a-2.html
   [7]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-glk8/igt@kms_async_flips@alternate-sync-async-flip@pipe-a-hdmi-a-2.html

  * igt@kms_ccs@pipe-a-crc-primary-rotation-180-y_tiled_gen12_rc_ccs_cc:
    - shard-apl:          NOTRUN -> [SKIP][8] ([fdo#109271] / [i915#3886])
   [8]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl1/igt@kms_ccs@pipe-a-crc-primary-rotation-180-y_tiled_gen12_rc_ccs_cc.html

  * igt@kms_cursor_crc@cursor-onscreen-32x32:
    - shard-apl:          NOTRUN -> [SKIP][9] ([fdo#109271] / [i915#4579])
   [9]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl3/igt@kms_cursor_crc@cursor-onscreen-32x32.html

  * igt@kms_cursor_legacy@2x-long-flip-vs-cursor-legacy:
    - shard-glk:          [PASS][10] -> [FAIL][11] ([i915#72])
   [10]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-glk8/igt@kms_cursor_legacy@2x-long-flip-vs-cursor-legacy.html
   [11]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-glk8/igt@kms_cursor_legacy@2x-long-flip-vs-cursor-legacy.html

  * igt@kms_frontbuffer_tracking@psr-2p-scndscrn-pri-indfb-draw-mmap-wc:
    - shard-snb:          NOTRUN -> [SKIP][12] ([fdo#109271]) +45 similar issues
   [12]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-snb6/igt@kms_frontbuffer_tracking@psr-2p-scndscrn-pri-indfb-draw-mmap-wc.html

  * igt@kms_plane_scaling@plane-downscale-with-pixel-format-factor-0-5@pipe-b-hdmi-a-1:
    - shard-snb:          NOTRUN -> [SKIP][13] ([fdo#109271] / [i915#4579]) +13 similar issues
   [13]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-snb1/igt@kms_plane_scaling@plane-downscale-with-pixel-format-factor-0-5@pipe-b-hdmi-a-1.html

  
#### Possible fixes ####

  * igt@drm_fdinfo@most-busy-idle-check-all@rcs0:
    - {shard-rkl}:        [FAIL][14] ([i915#7742]) -> [PASS][15] +1 similar issue
   [14]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-rkl-4/igt@drm_fdinfo@most-busy-idle-check-all@rcs0.html
   [15]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-rkl-6/igt@drm_fdinfo@most-busy-idle-check-all@rcs0.html

  * igt@gem_barrier_race@remote-request@rcs0:
    - shard-apl:          [ABORT][16] ([i915#7461] / [i915#8211] / [i915#8234]) -> [PASS][17]
   [16]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-apl6/igt@gem_barrier_race@remote-request@rcs0.html
   [17]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl2/igt@gem_barrier_race@remote-request@rcs0.html

  * igt@gem_ctx_freq@sysfs:
    - {shard-dg1}:        [FAIL][18] ([i915#6786]) -> [PASS][19]
   [18]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-dg1-19/igt@gem_ctx_freq@sysfs.html
   [19]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-dg1-17/igt@gem_ctx_freq@sysfs.html

  * igt@gem_ctx_isolation@preservation-s3@vcs0:
    - shard-apl:          [ABORT][20] ([i915#180]) -> [PASS][21] +1 similar issue
   [20]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-apl6/igt@gem_ctx_isolation@preservation-s3@vcs0.html
   [21]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl2/igt@gem_ctx_isolation@preservation-s3@vcs0.html

  * igt@gem_eio@unwedge-stress:
    - {shard-dg1}:        [FAIL][22] ([i915#5784]) -> [PASS][23] +1 similar issue
   [22]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-dg1-14/igt@gem_eio@unwedge-stress.html
   [23]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-dg1-18/igt@gem_eio@unwedge-stress.html

  * igt@gem_exec_fair@basic-none-solo@rcs0:
    - shard-apl:          [FAIL][24] ([i915#2842]) -> [PASS][25]
   [24]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-apl3/igt@gem_exec_fair@basic-none-solo@rcs0.html
   [25]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl1/igt@gem_exec_fair@basic-none-solo@rcs0.html

  * igt@gem_exec_fair@basic-none@vecs0:
    - {shard-rkl}:        [FAIL][26] ([i915#2842]) -> [PASS][27]
   [26]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-rkl-6/igt@gem_exec_fair@basic-none@vecs0.html
   [27]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-rkl-6/igt@gem_exec_fair@basic-none@vecs0.html

  * igt@gem_exec_fair@basic-pace-share@rcs0:
    - {shard-tglu}:       [FAIL][28] ([i915#2842]) -> [PASS][29]
   [28]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-tglu-4/igt@gem_exec_fair@basic-pace-share@rcs0.html
   [29]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-tglu-4/igt@gem_exec_fair@basic-pace-share@rcs0.html

  * igt@gem_lmem_swapping@smem-oom@lmem0:
    - {shard-dg1}:        [DMESG-WARN][30] ([i915#4936] / [i915#5493]) -> [PASS][31]
   [30]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-dg1-13/igt@gem_lmem_swapping@smem-oom@lmem0.html
   [31]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-dg1-14/igt@gem_lmem_swapping@smem-oom@lmem0.html

  * igt@i915_module_load@reload-with-fault-injection:
    - shard-snb:          [ABORT][32] ([i915#4528]) -> [PASS][33]
   [32]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-snb2/igt@i915_module_load@reload-with-fault-injection.html
   [33]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-snb4/igt@i915_module_load@reload-with-fault-injection.html

  * igt@i915_pm_lpsp@kms-lpsp@kms-lpsp-hdmi-a:
    - {shard-rkl}:        [SKIP][34] ([i915#1937] / [i915#4579]) -> [PASS][35]
   [34]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-rkl-4/igt@i915_pm_lpsp@kms-lpsp@kms-lpsp-hdmi-a.html
   [35]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-rkl-7/igt@i915_pm_lpsp@kms-lpsp@kms-lpsp-hdmi-a.html

  * igt@i915_pm_rc6_residency@rc6-idle@vecs0:
    - {shard-dg1}:        [FAIL][36] ([i915#3591]) -> [PASS][37]
   [36]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-dg1-17/igt@i915_pm_rc6_residency@rc6-idle@vecs0.html
   [37]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-dg1-18/igt@i915_pm_rc6_residency@rc6-idle@vecs0.html

  * igt@i915_pm_rpm@dpms-mode-unset-lpsp:
    - {shard-dg1}:        [SKIP][38] ([i915#1397]) -> [PASS][39]
   [38]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-dg1-14/igt@i915_pm_rpm@dpms-mode-unset-lpsp.html
   [39]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-dg1-19/igt@i915_pm_rpm@dpms-mode-unset-lpsp.html

  * igt@kms_cursor_legacy@flip-vs-cursor-atomic-transitions:
    - shard-apl:          [FAIL][40] ([i915#2346]) -> [PASS][41]
   [40]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-apl4/igt@kms_cursor_legacy@flip-vs-cursor-atomic-transitions.html
   [41]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl7/igt@kms_cursor_legacy@flip-vs-cursor-atomic-transitions.html

  * igt@kms_cursor_legacy@flip-vs-cursor-atomic-transitions-varying-size:
    - shard-glk:          [FAIL][42] ([i915#2346]) -> [PASS][43]
   [42]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-glk4/igt@kms_cursor_legacy@flip-vs-cursor-atomic-transitions-varying-size.html
   [43]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-glk6/igt@kms_cursor_legacy@flip-vs-cursor-atomic-transitions-varying-size.html

  * igt@kms_plane_scaling@intel-max-src-size@pipe-a-hdmi-a-2:
    - {shard-rkl}:        [FAIL][44] ([i915#8292]) -> [PASS][45]
   [44]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-rkl-3/igt@kms_plane_scaling@intel-max-src-size@pipe-a-hdmi-a-2.html
   [45]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-rkl-6/igt@kms_plane_scaling@intel-max-src-size@pipe-a-hdmi-a-2.html

  * igt@kms_rotation_crc@bad-pixel-format:
    - {shard-rkl}:        [ABORT][46] ([i915#8311]) -> [PASS][47]
   [46]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-rkl-1/igt@kms_rotation_crc@bad-pixel-format.html
   [47]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-rkl-4/igt@kms_rotation_crc@bad-pixel-format.html

  * igt@perf_pmu@busy-idle-check-all@vecs0:
    - {shard-dg1}:        [FAIL][48] ([i915#4521]) -> [PASS][49] +2 similar issues
   [48]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-dg1-14/igt@perf_pmu@busy-idle-check-all@vecs0.html
   [49]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-dg1-19/igt@perf_pmu@busy-idle-check-all@vecs0.html

  
#### Warnings ####

  * igt@i915_pm_dc@dc9-dpms:
    - shard-apl:          [FAIL][50] ([i915#4275]) -> [SKIP][51] ([fdo#109271])
   [50]: https://intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13210/shard-apl4/igt@i915_pm_dc@dc9-dpms.html
   [51]: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/shard-apl1/igt@i915_pm_dc@dc9-dpms.html

  
  {name}: This element is suppressed. This means it is ignored when computing
          the status of the difference (SUCCESS, WARNING, or FAILURE).

  [IGT#2]: https://gitlab.freedesktop.org/drm/igt-gpu-tools/issues/2
  [fdo#109271]: https://bugs.freedesktop.org/show_bug.cgi?id=109271
  [fdo#109280]: https://bugs.freedesktop.org/show_bug.cgi?id=109280
  [fdo#109283]: https://bugs.freedesktop.org/show_bug.cgi?id=109283
  [fdo#109289]: https://bugs.freedesktop.org/show_bug.cgi?id=109289
  [fdo#109291]: https://bugs.freedesktop.org/show_bug.cgi?id=109291
  [fdo#109303]: https://bugs.freedesktop.org/show_bug.cgi?id=109303
  [fdo#109309]: https://bugs.freedesktop.org/show_bug.cgi?id=109309
  [fdo#109315]: https://bugs.freedesktop.org/show_bug.cgi?id=109315
  [fdo#110189]: https://bugs.freedesktop.org/show_bug.cgi?id=110189
  [fdo#110723]: https://bugs.freedesktop.org/show_bug.cgi?id=110723
  [fdo#111068]: https://bugs.freedesktop.org/show_bug.cgi?id=111068
  [fdo#111614]: https://bugs.freedesktop.org/show_bug.cgi?id=111614
  [fdo#111615]: https://bugs.freedesktop.org/show_bug.cgi?id=111615
  [fdo#111825]: https://bugs.freedesktop.org/show_bug.cgi?id=111825
  [fdo#111827]: https://bugs.freedesktop.org/show_bug.cgi?id=111827
  [fdo#112283]: https://bugs.freedesktop.org/show_bug.cgi?id=112283
  [i915#1072]: https://gitlab.freedesktop.org/drm/intel/issues/1072
  [i915#1257]: https://gitlab.freedesktop.org/drm/intel/issues/1257
  [i915#1397]: https://gitlab.freedesktop.org/drm/intel/issues/1397
  [i915#180]: https://gitlab.freedesktop.org/drm/intel/issues/180
  [i915#1825]: https://gitlab.freedesktop.org/drm/intel/issues/1825
  [i915#1839]: https://gitlab.freedesktop.org/drm/intel/issues/1839
  [i915#1937]: https://gitlab.freedesktop.org/drm/intel/issues/1937
  [i915#2346]: https://gitlab.freedesktop.org/drm/intel/issues/2346
  [i915#2521]: https://gitlab.freedesktop.org/drm/intel/issues/2521
  [i915#2527]: https://gitlab.freedesktop.org/drm/intel/issues/2527
  [i915#2575]: https://gitlab.freedesktop.org/drm/intel/issues/2575
  [i915#2587]: https://gitlab.freedesktop.org/drm/intel/issues/2587
  [i915#2672]: https://gitlab.freedesktop.org/drm/intel/issues/2672
  [i915#2681]: https://gitlab.freedesktop.org/drm/intel/issues/2681
  [i915#2842]: https://gitlab.freedesktop.org/drm/intel/issues/2842
  [i915#3023]: https://gitlab.freedesktop.org/drm/intel/issues/3023
  [i915#3281]: https://gitlab.freedesktop.org/drm/intel/issues/3281
  [i915#3282]: https://gitlab.freedesktop.org/drm/intel/issues/3282
  [i915#3297]: https://gitlab.freedesktop.org/drm/intel/issues/3297
  [i915#3458]: https://gitlab.freedesktop.org/drm/intel/issues/3458
  [i915#3539]: https://gitlab.freedesktop.org/drm/intel/issues/3539
  [i915#3555]: https://gitlab.freedesktop.org/drm/intel/issues/3555
  [i915#3591]: https://gitlab.freedesktop.org/drm/intel/issues/3591
  [i915#3638]: https://gitlab.freedesktop.org/drm/intel/issues/3638
  [i915#3689]: https://gitlab.freedesktop.org/drm/intel/issues/3689
  [i915#3708]: https://gitlab.freedesktop.org/drm/intel/issues/3708
  [i915#3734]: https://gitlab.freedesktop.org/drm/intel/issues/3734
  [i915#3742]: https://gitlab.freedesktop.org/drm/intel/issues/3742
  [i915#3804]: https://gitlab.freedesktop.org/drm/intel/issues/3804
  [i915#3886]: https://gitlab.freedesktop.org/drm/intel/issues/3886
  [i915#3955]: https://gitlab.freedesktop.org/drm/intel/issues/3955
  [i915#4036]: https://gitlab.freedesktop.org/drm/intel/issues/4036
  [i915#4070]: https://gitlab.freedesktop.org/drm/intel/issues/4070
  [i915#4077]: https://gitlab.freedesktop.org/drm/intel/issues/4077
  [i915#4078]: https://gitlab.freedesktop.org/drm/intel/issues/4078
  [i915#4083]: https://gitlab.freedesktop.org/drm/intel/issues/4083
  [i915#4103]: https://gitlab.freedesktop.org/drm/intel/issues/4103
  [i915#4270]: https://gitlab.freedesktop.org/drm/intel/issues/4270
  [i915#4275]: https://gitlab.freedesktop.org/drm/intel/issues/4275
  [i915#4281]: https://gitlab.freedesktop.org/drm/intel/issues/4281
  [i915#4349]: https://gitlab.freedesktop.org/drm/intel/issues/4349
  [i915#4387]: https://gitlab.freedesktop.org/drm/intel/issues/4387
  [i915#4521]: https://gitlab.freedesktop.org/drm/intel/issues/4521
  [i915#4528]: https://gitlab.freedesktop.org/drm/intel/issues/4528
  [i915#4538]: https://gitlab.freedesktop.org/drm/intel/issues/4538
  [i915#4579]: https://gitlab.freedesktop.org/drm/intel/issues/4579
  [i915#4771]: https://gitlab.freedesktop.org/drm/intel/issues/4771
  [i915#4812]: https://gitlab.freedesktop.org/drm/intel/issues/4812
  [i915#4833]: https://gitlab.freedesktop.org/drm/intel/issues/4833
  [i915#4852]: https://gitlab.freedesktop.org/drm/intel/issues/4852
  [i915#4860]: https://gitlab.freedesktop.org/drm/intel/issues/4860
  [i915#4936]: https://gitlab.freedesktop.org/drm/intel/issues/4936
  [i915#5176]: https://gitlab.freedesktop.org/drm/intel/issues/5176
  [i915#5235]: https://gitlab.freedesktop.org/drm/intel/issues/5235
  [i915#5286]: https://gitlab.freedesktop.org/drm/intel/issues/5286
  [i915#5289]: https://gitlab.freedesktop.org/drm/intel/issues/5289
  [i915#5325]: https://gitlab.freedesktop.org/drm/intel/issues/5325
  [i915#533]: https://gitlab.freedesktop.org/drm/intel/issues/533
  [i915#5354]: https://gitlab.freedesktop.org/drm/intel/issues/5354
  [i915#5439]: https://gitlab.freedesktop.org/drm/intel/issues/5439
  [i915#5493]: https://gitlab.freedesktop.org/drm/intel/issues/5493
  [i915#5784]: https://gitlab.freedesktop.org/drm/intel/issues/5784
  [i915#6095]: https://gitlab.freedesktop.org/drm/intel/issues/6095
  [i915#6301]: https://gitlab.freedesktop.org/drm/intel/issues/6301
  [i915#6493]: https://gitlab.freedesktop.org/drm/intel/issues/6493
  [i915#6524]: https://gitlab.freedesktop.org/drm/intel/issues/6524
  [i915#658]: https://gitlab.freedesktop.org/drm/intel/issues/658
  [i915#6768]: https://gitlab.freedesktop.org/drm/intel/issues/6768
  [i915#6786]: https://gitlab.freedesktop.org/drm/intel/issues/6786
  [i915#7116]: https://gitlab.freedesktop.org/drm/intel/issues/7116
  [i915#72]: https://gitlab.freedesktop.org/drm/intel/issues/72
  [i915#7456]: https://gitlab.freedesktop.org/drm/intel/issues/7456
  [i915#7461]: https://gitlab.freedesktop.org/drm/intel/issues/7461
  [i915#7561]: https://gitlab.freedesktop.org/drm/intel/issues/7561
  [i915#7707]: https://gitlab.freedesktop.org/drm/intel/issues/7707
  [i915#7711]: https://gitlab.freedesktop.org/drm/intel/issues/7711
  [i915#7742]: https://gitlab.freedesktop.org/drm/intel/issues/7742
  [i915#7828]: https://gitlab.freedesktop.org/drm/intel/issues/7828
  [i915#7975]: https://gitlab.freedesktop.org/drm/intel/issues/7975
  [i915#8011]: https://gitlab.freedesktop.org/drm/intel/issues/8011
  [i915#8211]: https://gitlab.freedesktop.org/drm/intel/issues/8211
  [i915#8213]: https://gitlab.freedesktop.org/drm/intel/issues/8213
  [i915#8234]: https://gitlab.freedesktop.org/drm/intel/issues/8234
  [i915#8292]: https://gitlab.freedesktop.org/drm/intel/issues/8292
  [i915#8311]: https://gitlab.freedesktop.org/drm/intel/issues/8311
  [i915#8347]: https://gitlab.freedesktop.org/drm/intel/issues/8347
  [i915#8411]: https://gitlab.freedesktop.org/drm/intel/issues/8411
  [i915#8502]: https://gitlab.freedesktop.org/drm/intel/issues/8502
  [i915#8555]: https://gitlab.freedesktop.org/drm/intel/issues/8555


Build changes
-------------

  * CI: CI-20190529 -> None
  * IGT: IGT_7314 -> IGTPW_9085
  * Piglit: piglit_4509 -> None

  CI-20190529: 20190529
  CI_DRM_13210: a66da4c33d8ede541aea9ba6d0d73b556a072d54 @ git://anongit.freedesktop.org/gfx-ci/linux
  IGTPW_9085: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/index.html
  IGT_7314: ab70dfcdecf93a17fcaddb774855f726325fa0dd @ https://gitlab.freedesktop.org/drm/igt-gpu-tools.git
  piglit_4509: fdc5a4ca11124ab8413c7988896eec4c97336694 @ git://anongit.freedesktop.org/piglit

== Logs ==

For more details see: https://intel-gfx-ci.01.org/tree/drm-tip/IGTPW_9085/index.html

[-- Attachment #2: Type: text/html, Size: 15226 bytes --]

^ permalink raw reply	[flat|nested] 10+ messages in thread

end of thread, other threads:[~2023-06-02 15:19 UTC | newest]

Thread overview: 10+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2023-05-31 19:30 [igt-dev] [PATCH i-g-t 0/3] Add absolute user fence timeout Zbigniew Kempczyński
2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 1/3] xe/xe_ioctl: Add xe_wait_ufence_abstime() helper Zbigniew Kempczyński
2023-06-01 17:32   ` Kamil Konieczny
2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 2/3] xe/xe_ioctl: Return remaining timeout of user fence wait Zbigniew Kempczyński
2023-06-01 11:31   ` Kumar, Janga Rahul
2023-05-31 19:30 ` [igt-dev] [PATCH i-g-t 3/3] tests/xe_waitfence: Add abstime wait subtest Zbigniew Kempczyński
2023-06-01 17:38   ` Kamil Konieczny
2023-06-02  7:45     ` Zbigniew Kempczyński
2023-05-31 23:28 ` [igt-dev] ✓ Fi.CI.BAT: success for Add absolute user fence timeout Patchwork
2023-06-02 15:19 ` [igt-dev] ✓ Fi.CI.IGT: " Patchwork

This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.