All of lore.kernel.org
 help / color / mirror / Atom feed
* [U-Boot] [PATCH 1/5] pinctrl: rmobile: Add Renesas RCar pincontrol driver
@ 2017-09-15 19:13 Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 2/5] gpio: rmobile: Add Renesas RCar GPIO driver Marek Vasut
                   ` (3 more replies)
  0 siblings, 4 replies; 7+ messages in thread
From: Marek Vasut @ 2017-09-15 19:13 UTC (permalink / raw)
  To: u-boot

Add PFC pincontrol driver for the Renesas RCar Gen3 R8A7795 and R8A7796
SoCs. This driver uses the PFC pin tables from Linux, thus letting us
share the occassional fixes to those tables. This driver also has a DT
support, so the pinmux is configured from DT instead of the ad-hoc setup
in board file.

This driver is meant to replace the pinmux part of SH_GPIO_PFC driver.

Signed-off-by: Marek Vasut <marek.vasut+renesas@gmail.com>
Cc: Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
---
 drivers/pinctrl/Kconfig               |    1 +
 drivers/pinctrl/Makefile              |    1 +
 drivers/pinctrl/renesas/Kconfig       |   31 +
 drivers/pinctrl/renesas/Makefile      |    3 +
 drivers/pinctrl/renesas/pfc-r8a7795.c | 4898 ++++++++++++++++++++++++++++
 drivers/pinctrl/renesas/pfc-r8a7796.c | 5728 +++++++++++++++++++++++++++++++++
 drivers/pinctrl/renesas/pfc.c         |  565 ++++
 drivers/pinctrl/renesas/sh_pfc.h      |  575 ++++
 8 files changed, 11802 insertions(+)
 create mode 100644 drivers/pinctrl/renesas/Kconfig
 create mode 100644 drivers/pinctrl/renesas/Makefile
 create mode 100644 drivers/pinctrl/renesas/pfc-r8a7795.c
 create mode 100644 drivers/pinctrl/renesas/pfc-r8a7796.c
 create mode 100644 drivers/pinctrl/renesas/pfc.c
 create mode 100644 drivers/pinctrl/renesas/sh_pfc.h

diff --git a/drivers/pinctrl/Kconfig b/drivers/pinctrl/Kconfig
index bcbe4a18c1..afca56dff1 100644
--- a/drivers/pinctrl/Kconfig
+++ b/drivers/pinctrl/Kconfig
@@ -292,6 +292,7 @@ endif
 
 source "drivers/pinctrl/meson/Kconfig"
 source "drivers/pinctrl/nxp/Kconfig"
+source "drivers/pinctrl/renesas/Kconfig"
 source "drivers/pinctrl/uniphier/Kconfig"
 source "drivers/pinctrl/exynos/Kconfig"
 source "drivers/pinctrl/mvebu/Kconfig"
diff --git a/drivers/pinctrl/Makefile b/drivers/pinctrl/Makefile
index 64da7c608b..8c04028dfb 100644
--- a/drivers/pinctrl/Makefile
+++ b/drivers/pinctrl/Makefile
@@ -10,6 +10,7 @@ obj-$(CONFIG_PINCTRL_AT91PIO4)		+= pinctrl-at91-pio4.o
 obj-y					+= nxp/
 obj-$(CONFIG_ARCH_ASPEED) += aspeed/
 obj-$(CONFIG_ARCH_ATH79) += ath79/
+obj-$(CONFIG_ARCH_RMOBILE) += renesas/
 obj-$(CONFIG_ARCH_ROCKCHIP) += rockchip/
 obj-$(CONFIG_PINCTRL_SANDBOX)	+= pinctrl-sandbox.o
 
diff --git a/drivers/pinctrl/renesas/Kconfig b/drivers/pinctrl/renesas/Kconfig
new file mode 100644
index 0000000000..016ed38529
--- /dev/null
+++ b/drivers/pinctrl/renesas/Kconfig
@@ -0,0 +1,31 @@
+if ARCH_RMOBILE
+
+config PINCTRL_PFC
+	bool "Renesas pin control drivers"
+	depends on DM && ARCH_RMOBILE
+	help
+	  Enable support for clock present on Renesas RCar SoCs.
+
+config PINCTRL_PFC_R8A7795
+	bool "Renesas RCar Gen3 R8A7795 pin control driver"
+	def_bool y if R8A7795
+	depends on PINCTRL_PFC
+	help
+	  Support pin multiplexing control on Renesas RCar Gen3 R8A7795 SoCs.
+
+	  The driver is controlled by a device tree node which contains both
+	  the GPIO definitions and pin control functions for each available
+	  multiplex function.
+
+config PINCTRL_PFC_R8A7796
+	bool "Renesas RCar Gen3 R8A7796 pin control driver"
+	def_bool y if R8A7796
+	depends on PINCTRL_PFC
+	help
+	  Support pin multiplexing control on Renesas RCar Gen3 R8A7796 SoCs.
+
+	  The driver is controlled by a device tree node which contains both
+	  the GPIO definitions and pin control functions for each available
+	  multiplex function.
+
+endif
diff --git a/drivers/pinctrl/renesas/Makefile b/drivers/pinctrl/renesas/Makefile
new file mode 100644
index 0000000000..ebf80acd71
--- /dev/null
+++ b/drivers/pinctrl/renesas/Makefile
@@ -0,0 +1,3 @@
+obj-$(CONFIG_PINCTRL_PFC) += pfc.o
+obj-$(CONFIG_PINCTRL_PFC_R8A7795) += pfc-r8a7795.o
+obj-$(CONFIG_PINCTRL_PFC_R8A7796) += pfc-r8a7796.o
diff --git a/drivers/pinctrl/renesas/pfc-r8a7795.c b/drivers/pinctrl/renesas/pfc-r8a7795.c
new file mode 100644
index 0000000000..43eef69025
--- /dev/null
+++ b/drivers/pinctrl/renesas/pfc-r8a7795.c
@@ -0,0 +1,4898 @@
+/*
+ * R8A7795 ES2.0+ processor support - PFC hardware block.
+ *
+ * Copyright (C) 2015-2016 Renesas Electronics Corporation
+ *
+ * SPDX-License-Identifier:	GPL-2.0
+ */
+
+#include <common.h>
+#include <dm.h>
+#include <errno.h>
+#include <dm/pinctrl.h>
+#include <linux/kernel.h>
+
+#include "sh_pfc.h"
+
+#define CFG_FLAGS (SH_PFC_PIN_CFG_DRIVE_STRENGTH | \
+		   SH_PFC_PIN_CFG_PULL_UP | \
+		   SH_PFC_PIN_CFG_PULL_DOWN)
+
+#define CPU_ALL_PORT(fn, sfx)						\
+	PORT_GP_CFG_16(0, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_28(1, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_15(2, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_12(3, fn, sfx, CFG_FLAGS | SH_PFC_PIN_CFG_IO_VOLTAGE),	\
+	PORT_GP_CFG_1(3, 12, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_1(3, 13, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_1(3, 14, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_1(3, 15, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_18(4, fn, sfx, CFG_FLAGS | SH_PFC_PIN_CFG_IO_VOLTAGE),	\
+	PORT_GP_CFG_26(5, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_32(6, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_4(7, fn, sfx, CFG_FLAGS)
+/*
+ * F_() : just information
+ * FM() : macro for FN_xxx / xxx_MARK
+ */
+
+/* GPSR0 */
+#define GPSR0_15	F_(D15,			IP7_11_8)
+#define GPSR0_14	F_(D14,			IP7_7_4)
+#define GPSR0_13	F_(D13,			IP7_3_0)
+#define GPSR0_12	F_(D12,			IP6_31_28)
+#define GPSR0_11	F_(D11,			IP6_27_24)
+#define GPSR0_10	F_(D10,			IP6_23_20)
+#define GPSR0_9		F_(D9,			IP6_19_16)
+#define GPSR0_8		F_(D8,			IP6_15_12)
+#define GPSR0_7		F_(D7,			IP6_11_8)
+#define GPSR0_6		F_(D6,			IP6_7_4)
+#define GPSR0_5		F_(D5,			IP6_3_0)
+#define GPSR0_4		F_(D4,			IP5_31_28)
+#define GPSR0_3		F_(D3,			IP5_27_24)
+#define GPSR0_2		F_(D2,			IP5_23_20)
+#define GPSR0_1		F_(D1,			IP5_19_16)
+#define GPSR0_0		F_(D0,			IP5_15_12)
+
+/* GPSR1 */
+#define GPSR1_27	F_(EX_WAIT0_A,		IP5_11_8)
+#define GPSR1_26	F_(WE1_N,		IP5_7_4)
+#define GPSR1_25	F_(WE0_N,		IP5_3_0)
+#define GPSR1_24	F_(RD_WR_N,		IP4_31_28)
+#define GPSR1_23	F_(RD_N,		IP4_27_24)
+#define GPSR1_22	F_(BS_N,		IP4_23_20)
+#define GPSR1_21	F_(CS1_N,		IP4_19_16)
+#define GPSR1_20	F_(CS0_N,		IP4_15_12)
+#define GPSR1_19	F_(A19,			IP4_11_8)
+#define GPSR1_18	F_(A18,			IP4_7_4)
+#define GPSR1_17	F_(A17,			IP4_3_0)
+#define GPSR1_16	F_(A16,			IP3_31_28)
+#define GPSR1_15	F_(A15,			IP3_27_24)
+#define GPSR1_14	F_(A14,			IP3_23_20)
+#define GPSR1_13	F_(A13,			IP3_19_16)
+#define GPSR1_12	F_(A12,			IP3_15_12)
+#define GPSR1_11	F_(A11,			IP3_11_8)
+#define GPSR1_10	F_(A10,			IP3_7_4)
+#define GPSR1_9		F_(A9,			IP3_3_0)
+#define GPSR1_8		F_(A8,			IP2_31_28)
+#define GPSR1_7		F_(A7,			IP2_27_24)
+#define GPSR1_6		F_(A6,			IP2_23_20)
+#define GPSR1_5		F_(A5,			IP2_19_16)
+#define GPSR1_4		F_(A4,			IP2_15_12)
+#define GPSR1_3		F_(A3,			IP2_11_8)
+#define GPSR1_2		F_(A2,			IP2_7_4)
+#define GPSR1_1		F_(A1,			IP2_3_0)
+#define GPSR1_0		F_(A0,			IP1_31_28)
+
+/* GPSR2 */
+#define GPSR2_14	F_(AVB_AVTP_CAPTURE_A,	IP0_23_20)
+#define GPSR2_13	F_(AVB_AVTP_MATCH_A,	IP0_19_16)
+#define GPSR2_12	F_(AVB_LINK,		IP0_15_12)
+#define GPSR2_11	F_(AVB_PHY_INT,		IP0_11_8)
+#define GPSR2_10	F_(AVB_MAGIC,		IP0_7_4)
+#define GPSR2_9		F_(AVB_MDC,		IP0_3_0)
+#define GPSR2_8		F_(PWM2_A,		IP1_27_24)
+#define GPSR2_7		F_(PWM1_A,		IP1_23_20)
+#define GPSR2_6		F_(PWM0,		IP1_19_16)
+#define GPSR2_5		F_(IRQ5,		IP1_15_12)
+#define GPSR2_4		F_(IRQ4,		IP1_11_8)
+#define GPSR2_3		F_(IRQ3,		IP1_7_4)
+#define GPSR2_2		F_(IRQ2,		IP1_3_0)
+#define GPSR2_1		F_(IRQ1,		IP0_31_28)
+#define GPSR2_0		F_(IRQ0,		IP0_27_24)
+
+/* GPSR3 */
+#define GPSR3_15	F_(SD1_WP,		IP11_23_20)
+#define GPSR3_14	F_(SD1_CD,		IP11_19_16)
+#define GPSR3_13	F_(SD0_WP,		IP11_15_12)
+#define GPSR3_12	F_(SD0_CD,		IP11_11_8)
+#define GPSR3_11	F_(SD1_DAT3,		IP8_31_28)
+#define GPSR3_10	F_(SD1_DAT2,		IP8_27_24)
+#define GPSR3_9		F_(SD1_DAT1,		IP8_23_20)
+#define GPSR3_8		F_(SD1_DAT0,		IP8_19_16)
+#define GPSR3_7		F_(SD1_CMD,		IP8_15_12)
+#define GPSR3_6		F_(SD1_CLK,		IP8_11_8)
+#define GPSR3_5		F_(SD0_DAT3,		IP8_7_4)
+#define GPSR3_4		F_(SD0_DAT2,		IP8_3_0)
+#define GPSR3_3		F_(SD0_DAT1,		IP7_31_28)
+#define GPSR3_2		F_(SD0_DAT0,		IP7_27_24)
+#define GPSR3_1		F_(SD0_CMD,		IP7_23_20)
+#define GPSR3_0		F_(SD0_CLK,		IP7_19_16)
+
+/* GPSR4 */
+#define GPSR4_17	F_(SD3_DS,		IP11_7_4)
+#define GPSR4_16	F_(SD3_DAT7,		IP11_3_0)
+#define GPSR4_15	F_(SD3_DAT6,		IP10_31_28)
+#define GPSR4_14	F_(SD3_DAT5,		IP10_27_24)
+#define GPSR4_13	F_(SD3_DAT4,		IP10_23_20)
+#define GPSR4_12	F_(SD3_DAT3,		IP10_19_16)
+#define GPSR4_11	F_(SD3_DAT2,		IP10_15_12)
+#define GPSR4_10	F_(SD3_DAT1,		IP10_11_8)
+#define GPSR4_9		F_(SD3_DAT0,		IP10_7_4)
+#define GPSR4_8		F_(SD3_CMD,		IP10_3_0)
+#define GPSR4_7		F_(SD3_CLK,		IP9_31_28)
+#define GPSR4_6		F_(SD2_DS,		IP9_27_24)
+#define GPSR4_5		F_(SD2_DAT3,		IP9_23_20)
+#define GPSR4_4		F_(SD2_DAT2,		IP9_19_16)
+#define GPSR4_3		F_(SD2_DAT1,		IP9_15_12)
+#define GPSR4_2		F_(SD2_DAT0,		IP9_11_8)
+#define GPSR4_1		F_(SD2_CMD,		IP9_7_4)
+#define GPSR4_0		F_(SD2_CLK,		IP9_3_0)
+
+/* GPSR5 */
+#define GPSR5_25	F_(MLB_DAT,		IP14_19_16)
+#define GPSR5_24	F_(MLB_SIG,		IP14_15_12)
+#define GPSR5_23	F_(MLB_CLK,		IP14_11_8)
+#define GPSR5_22	FM(MSIOF0_RXD)
+#define GPSR5_21	F_(MSIOF0_SS2,		IP14_7_4)
+#define GPSR5_20	FM(MSIOF0_TXD)
+#define GPSR5_19	F_(MSIOF0_SS1,		IP14_3_0)
+#define GPSR5_18	F_(MSIOF0_SYNC,		IP13_31_28)
+#define GPSR5_17	FM(MSIOF0_SCK)
+#define GPSR5_16	F_(HRTS0_N,		IP13_27_24)
+#define GPSR5_15	F_(HCTS0_N,		IP13_23_20)
+#define GPSR5_14	F_(HTX0,		IP13_19_16)
+#define GPSR5_13	F_(HRX0,		IP13_15_12)
+#define GPSR5_12	F_(HSCK0,		IP13_11_8)
+#define GPSR5_11	F_(RX2_A,		IP13_7_4)
+#define GPSR5_10	F_(TX2_A,		IP13_3_0)
+#define GPSR5_9		F_(SCK2,		IP12_31_28)
+#define GPSR5_8		F_(RTS1_N_TANS,		IP12_27_24)
+#define GPSR5_7		F_(CTS1_N,		IP12_23_20)
+#define GPSR5_6		F_(TX1_A,		IP12_19_16)
+#define GPSR5_5		F_(RX1_A,		IP12_15_12)
+#define GPSR5_4		F_(RTS0_N_TANS,		IP12_11_8)
+#define GPSR5_3		F_(CTS0_N,		IP12_7_4)
+#define GPSR5_2		F_(TX0,			IP12_3_0)
+#define GPSR5_1		F_(RX0,			IP11_31_28)
+#define GPSR5_0		F_(SCK0,		IP11_27_24)
+
+/* GPSR6 */
+#define GPSR6_31	F_(USB2_CH3_OVC,	IP18_7_4)
+#define GPSR6_30	F_(USB2_CH3_PWEN,	IP18_3_0)
+#define GPSR6_29	F_(USB30_OVC,		IP17_31_28)
+#define GPSR6_28	F_(USB30_PWEN,		IP17_27_24)
+#define GPSR6_27	F_(USB1_OVC,		IP17_23_20)
+#define GPSR6_26	F_(USB1_PWEN,		IP17_19_16)
+#define GPSR6_25	F_(USB0_OVC,		IP17_15_12)
+#define GPSR6_24	F_(USB0_PWEN,		IP17_11_8)
+#define GPSR6_23	F_(AUDIO_CLKB_B,	IP17_7_4)
+#define GPSR6_22	F_(AUDIO_CLKA_A,	IP17_3_0)
+#define GPSR6_21	F_(SSI_SDATA9_A,	IP16_31_28)
+#define GPSR6_20	F_(SSI_SDATA8,		IP16_27_24)
+#define GPSR6_19	F_(SSI_SDATA7,		IP16_23_20)
+#define GPSR6_18	F_(SSI_WS78,		IP16_19_16)
+#define GPSR6_17	F_(SSI_SCK78,		IP16_15_12)
+#define GPSR6_16	F_(SSI_SDATA6,		IP16_11_8)
+#define GPSR6_15	F_(SSI_WS6,		IP16_7_4)
+#define GPSR6_14	F_(SSI_SCK6,		IP16_3_0)
+#define GPSR6_13	FM(SSI_SDATA5)
+#define GPSR6_12	FM(SSI_WS5)
+#define GPSR6_11	FM(SSI_SCK5)
+#define GPSR6_10	F_(SSI_SDATA4,		IP15_31_28)
+#define GPSR6_9		F_(SSI_WS4,		IP15_27_24)
+#define GPSR6_8		F_(SSI_SCK4,		IP15_23_20)
+#define GPSR6_7		F_(SSI_SDATA3,		IP15_19_16)
+#define GPSR6_6		F_(SSI_WS349,		IP15_15_12)
+#define GPSR6_5		F_(SSI_SCK349,		IP15_11_8)
+#define GPSR6_4		F_(SSI_SDATA2_A,	IP15_7_4)
+#define GPSR6_3		F_(SSI_SDATA1_A,	IP15_3_0)
+#define GPSR6_2		F_(SSI_SDATA0,		IP14_31_28)
+#define GPSR6_1		F_(SSI_WS01239,		IP14_27_24)
+#define GPSR6_0		F_(SSI_SCK01239,		IP14_23_20)
+
+/* GPSR7 */
+#define GPSR7_3		FM(HDMI1_CEC)
+#define GPSR7_2		FM(HDMI0_CEC)
+#define GPSR7_1		FM(AVS2)
+#define GPSR7_0		FM(AVS1)
+
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP0_3_0		FM(AVB_MDC)		F_(0, 0)	FM(MSIOF2_SS2_C)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_7_4		FM(AVB_MAGIC)		F_(0, 0)	FM(MSIOF2_SS1_C)	FM(SCK4_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_11_8	FM(AVB_PHY_INT)		F_(0, 0)	FM(MSIOF2_SYNC_C)	FM(RX4_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_15_12	FM(AVB_LINK)		F_(0, 0)	FM(MSIOF2_SCK_C)	FM(TX4_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_19_16	FM(AVB_AVTP_MATCH_A)	F_(0, 0)	FM(MSIOF2_RXD_C)	FM(CTS4_N_A)			F_(0, 0)	FM(FSCLKST2_N_A) F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_23_20	FM(AVB_AVTP_CAPTURE_A)	F_(0, 0)	FM(MSIOF2_TXD_C)	FM(RTS4_N_TANS_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_27_24	FM(IRQ0)		FM(QPOLB)	F_(0, 0)		FM(DU_CDE)			FM(VI4_DATA0_B) FM(CAN0_TX_B)	FM(CANFD0_TX_B)		FM(MSIOF3_SS2_E) F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_31_28	FM(IRQ1)		FM(QPOLA)	F_(0, 0)		FM(DU_DISP)			FM(VI4_DATA1_B) FM(CAN0_RX_B)	FM(CANFD0_RX_B)		FM(MSIOF3_SS1_E) F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_3_0		FM(IRQ2)		FM(QCPV_QDE)	F_(0, 0)		FM(DU_EXODDF_DU_ODDF_DISP_CDE)	FM(VI4_DATA2_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_SYNC_E) F_(0, 0)		FM(PWM3_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_7_4		FM(IRQ3)		FM(QSTVB_QVE)	FM(A25)			FM(DU_DOTCLKOUT1)		FM(VI4_DATA3_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_SCK_E) F_(0, 0)		FM(PWM4_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_11_8	FM(IRQ4)		FM(QSTH_QHS)	FM(A24)			FM(DU_EXHSYNC_DU_HSYNC)		FM(VI4_DATA4_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_RXD_E) F_(0, 0)		FM(PWM5_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_15_12	FM(IRQ5)		FM(QSTB_QHE)	FM(A23)			FM(DU_EXVSYNC_DU_VSYNC)		FM(VI4_DATA5_B) FM(FSCLKST2_N_B) F_(0, 0)		FM(MSIOF3_TXD_E) F_(0, 0)		FM(PWM6_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_19_16	FM(PWM0)		FM(AVB_AVTP_PPS)FM(A22)			F_(0, 0)			FM(VI4_DATA6_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		FM(IECLK_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_23_20	FM(PWM1_A)		F_(0, 0)	FM(A21)			FM(HRX3_D)			FM(VI4_DATA7_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		FM(IERX_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_27_24	FM(PWM2_A)		F_(0, 0)	FM(A20)			FM(HTX3_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		FM(IETX_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_31_28	FM(A0)			FM(LCDOUT16)	FM(MSIOF3_SYNC_B)	F_(0, 0)			FM(VI4_DATA8)	F_(0, 0)	FM(DU_DB0)		F_(0, 0)	F_(0, 0)		FM(PWM3_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_3_0		FM(A1)			FM(LCDOUT17)	FM(MSIOF3_TXD_B)	F_(0, 0)			FM(VI4_DATA9)	F_(0, 0)	FM(DU_DB1)		F_(0, 0)	F_(0, 0)		FM(PWM4_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_7_4		FM(A2)			FM(LCDOUT18)	FM(MSIOF3_SCK_B)	F_(0, 0)			FM(VI4_DATA10)	F_(0, 0)	FM(DU_DB2)		F_(0, 0)	F_(0, 0)		FM(PWM5_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_11_8	FM(A3)			FM(LCDOUT19)	FM(MSIOF3_RXD_B)	F_(0, 0)			FM(VI4_DATA11)	F_(0, 0)	FM(DU_DB3)		F_(0, 0)	F_(0, 0)		FM(PWM6_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP2_15_12	FM(A4)			FM(LCDOUT20)	FM(MSIOF3_SS1_B)	F_(0, 0)			FM(VI4_DATA12)	FM(VI5_DATA12)	FM(DU_DB4)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_19_16	FM(A5)			FM(LCDOUT21)	FM(MSIOF3_SS2_B)	FM(SCK4_B)			FM(VI4_DATA13)	FM(VI5_DATA13)	FM(DU_DB5)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_23_20	FM(A6)			FM(LCDOUT22)	FM(MSIOF2_SS1_A)	FM(RX4_B)			FM(VI4_DATA14)	FM(VI5_DATA14)	FM(DU_DB6)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_27_24	FM(A7)			FM(LCDOUT23)	FM(MSIOF2_SS2_A)	FM(TX4_B)			FM(VI4_DATA15)	FM(VI5_DATA15)	FM(DU_DB7)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_31_28	FM(A8)			FM(RX3_B)	FM(MSIOF2_SYNC_A)	FM(HRX4_B)			F_(0, 0)	F_(0, 0)	F_(0, 0)		FM(SDA6_A)	FM(AVB_AVTP_MATCH_B)	FM(PWM1_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_3_0		FM(A9)			F_(0, 0)	FM(MSIOF2_SCK_A)	FM(CTS4_N_B)			F_(0, 0)	FM(VI5_VSYNC_N)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_7_4		FM(A10)			F_(0, 0)	FM(MSIOF2_RXD_A)	FM(RTS4_N_TANS_B)		F_(0, 0)	FM(VI5_HSYNC_N)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_11_8	FM(A11)			FM(TX3_B)	FM(MSIOF2_TXD_A)	FM(HTX4_B)			FM(HSCK4)	FM(VI5_FIELD)	F_(0, 0)		FM(SCL6_A)	FM(AVB_AVTP_CAPTURE_B)	FM(PWM2_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_15_12	FM(A12)			FM(LCDOUT12)	FM(MSIOF3_SCK_C)	F_(0, 0)			FM(HRX4_A)	FM(VI5_DATA8)	FM(DU_DG4)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_19_16	FM(A13)			FM(LCDOUT13)	FM(MSIOF3_SYNC_C)	F_(0, 0)			FM(HTX4_A)	FM(VI5_DATA9)	FM(DU_DG5)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_23_20	FM(A14)			FM(LCDOUT14)	FM(MSIOF3_RXD_C)	F_(0, 0)			FM(HCTS4_N)	FM(VI5_DATA10)	FM(DU_DG6)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_27_24	FM(A15)			FM(LCDOUT15)	FM(MSIOF3_TXD_C)	F_(0, 0)			FM(HRTS4_N)	FM(VI5_DATA11)	FM(DU_DG7)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_31_28	FM(A16)			FM(LCDOUT8)	F_(0, 0)		F_(0, 0)			FM(VI4_FIELD)	F_(0, 0)	FM(DU_DG0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_3_0		FM(A17)			FM(LCDOUT9)	F_(0, 0)		F_(0, 0)			FM(VI4_VSYNC_N)	F_(0, 0)	FM(DU_DG1)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_7_4		FM(A18)			FM(LCDOUT10)	F_(0, 0)		F_(0, 0)			FM(VI4_HSYNC_N)	F_(0, 0)	FM(DU_DG2)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_11_8	FM(A19)			FM(LCDOUT11)	F_(0, 0)		F_(0, 0)			FM(VI4_CLKENB)	F_(0, 0)	FM(DU_DG3)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_15_12	FM(CS0_N)		F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(VI5_CLKENB)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_19_16	FM(CS1_N)		F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(VI5_CLK)	F_(0, 0)		FM(EX_WAIT0_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_23_20	FM(BS_N)		FM(QSTVA_QVS)	FM(MSIOF3_SCK_D)	FM(SCK3)			FM(HSCK3)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(CAN1_TX)		FM(CANFD1_TX)	FM(IETX_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_27_24	FM(RD_N)		F_(0, 0)	FM(MSIOF3_SYNC_D)	FM(RX3_A)			FM(HRX3_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(CAN0_TX_A)		FM(CANFD0_TX_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_31_28	FM(RD_WR_N)		F_(0, 0)	FM(MSIOF3_RXD_D)	FM(TX3_A)			FM(HTX3_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(CAN0_RX_A)		FM(CANFD0_RX_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_3_0		FM(WE0_N)		F_(0, 0)	FM(MSIOF3_TXD_D)	FM(CTS3_N)			FM(HCTS3_N)	F_(0, 0)	F_(0, 0)		FM(SCL6_B)	FM(CAN_CLK)		F_(0, 0)	FM(IECLK_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_7_4		FM(WE1_N)		F_(0, 0)	FM(MSIOF3_SS1_D)	FM(RTS3_N_TANS)			FM(HRTS3_N)	F_(0, 0)	F_(0, 0)		FM(SDA6_B)	FM(CAN1_RX)		FM(CANFD1_RX)	FM(IERX_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_11_8	FM(EX_WAIT0_A)		FM(QCLK)	F_(0, 0)		F_(0, 0)			FM(VI4_CLK)	F_(0, 0)	FM(DU_DOTCLKOUT0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_15_12	FM(D0)			FM(MSIOF2_SS1_B)FM(MSIOF3_SCK_A)	F_(0, 0)			FM(VI4_DATA16)	FM(VI5_DATA0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_19_16	FM(D1)			FM(MSIOF2_SS2_B)FM(MSIOF3_SYNC_A)	F_(0, 0)			FM(VI4_DATA17)	FM(VI5_DATA1)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_23_20	FM(D2)			F_(0, 0)	FM(MSIOF3_RXD_A)	F_(0, 0)			FM(VI4_DATA18)	FM(VI5_DATA2)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_27_24	FM(D3)			F_(0, 0)	FM(MSIOF3_TXD_A)	F_(0, 0)			FM(VI4_DATA19)	FM(VI5_DATA3)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_31_28	FM(D4)			FM(MSIOF2_SCK_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA20)	FM(VI5_DATA4)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_3_0		FM(D5)			FM(MSIOF2_SYNC_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA21)	FM(VI5_DATA5)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_7_4		FM(D6)			FM(MSIOF2_RXD_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA22)	FM(VI5_DATA6)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_11_8	FM(D7)			FM(MSIOF2_TXD_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA23)	FM(VI5_DATA7)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_15_12	FM(D8)			FM(LCDOUT0)	FM(MSIOF2_SCK_D)	FM(SCK4_C)			FM(VI4_DATA0_A)	F_(0, 0)	FM(DU_DR0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_19_16	FM(D9)			FM(LCDOUT1)	FM(MSIOF2_SYNC_D)	F_(0, 0)			FM(VI4_DATA1_A)	F_(0, 0)	FM(DU_DR1)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_23_20	FM(D10)			FM(LCDOUT2)	FM(MSIOF2_RXD_D)	FM(HRX3_B)			FM(VI4_DATA2_A)	FM(CTS4_N_C)	FM(DU_DR2)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_27_24	FM(D11)			FM(LCDOUT3)	FM(MSIOF2_TXD_D)	FM(HTX3_B)			FM(VI4_DATA3_A)	FM(RTS4_N_TANS_C)FM(DU_DR3)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_31_28	FM(D12)			FM(LCDOUT4)	FM(MSIOF2_SS1_D)	FM(RX4_C)			FM(VI4_DATA4_A)	F_(0, 0)	FM(DU_DR4)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_3_0		FM(D13)			FM(LCDOUT5)	FM(MSIOF2_SS2_D)	FM(TX4_C)			FM(VI4_DATA5_A)	F_(0, 0)	FM(DU_DR5)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_7_4		FM(D14)			FM(LCDOUT6)	FM(MSIOF3_SS1_A)	FM(HRX3_C)			FM(VI4_DATA6_A)	F_(0, 0)	FM(DU_DR6)		FM(SCL6_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_11_8	FM(D15)			FM(LCDOUT7)	FM(MSIOF3_SS2_A)	FM(HTX3_C)			FM(VI4_DATA7_A)	F_(0, 0)	FM(DU_DR7)		FM(SDA6_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_19_16	FM(SD0_CLK)		F_(0, 0)	FM(MSIOF1_SCK_E)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_OPWM_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP7_23_20	FM(SD0_CMD)		F_(0, 0)	FM(MSIOF1_SYNC_E)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_IVCXO27_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_27_24	FM(SD0_DAT0)		F_(0, 0)	FM(MSIOF1_RXD_E)	F_(0, 0)			F_(0, 0)	FM(TS_SCK0_B)	FM(STP_ISCLK_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_31_28	FM(SD0_DAT1)		F_(0, 0)	FM(MSIOF1_TXD_E)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC0_B)FM(STP_ISSYNC_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_3_0		FM(SD0_DAT2)		F_(0, 0)	FM(MSIOF1_SS1_E)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT0_B)	FM(STP_ISD_0_B)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_7_4		FM(SD0_DAT3)		F_(0, 0)	FM(MSIOF1_SS2_E)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN0_B)	FM(STP_ISEN_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_11_8	FM(SD1_CLK)		F_(0, 0)	FM(MSIOF1_SCK_G)	F_(0, 0)			F_(0, 0)	FM(SIM0_CLK_A)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_15_12	FM(SD1_CMD)		F_(0, 0)	FM(MSIOF1_SYNC_G)	FM(NFCE_N_B)			F_(0, 0)	FM(SIM0_D_A)	FM(STP_IVCXO27_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_19_16	FM(SD1_DAT0)		FM(SD2_DAT4)	FM(MSIOF1_RXD_G)	FM(NFWP_N_B)			F_(0, 0)	FM(TS_SCK1_B)	FM(STP_ISCLK_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_23_20	FM(SD1_DAT1)		FM(SD2_DAT5)	FM(MSIOF1_TXD_G)	FM(NFDATA14_B)			F_(0, 0)	FM(TS_SPSYNC1_B)FM(STP_ISSYNC_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_27_24	FM(SD1_DAT2)		FM(SD2_DAT6)	FM(MSIOF1_SS1_G)	FM(NFDATA15_B)			F_(0, 0)	FM(TS_SDAT1_B)	FM(STP_ISD_1_B)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_31_28	FM(SD1_DAT3)		FM(SD2_DAT7)	FM(MSIOF1_SS2_G)	FM(NFRB_N_B)			F_(0, 0)	FM(TS_SDEN1_B)	FM(STP_ISEN_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_3_0		FM(SD2_CLK)		F_(0, 0)	FM(NFDATA8)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_7_4		FM(SD2_CMD)		F_(0, 0)	FM(NFDATA9)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_11_8	FM(SD2_DAT0)		F_(0, 0)	FM(NFDATA10)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_15_12	FM(SD2_DAT1)		F_(0, 0)	FM(NFDATA11)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_19_16	FM(SD2_DAT2)		F_(0, 0)	FM(NFDATA12)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_23_20	FM(SD2_DAT3)		F_(0, 0)	FM(NFDATA13)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_27_24	FM(SD2_DS)		F_(0, 0)	FM(NFALE)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(SATA_DEVSLP_B)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_31_28	FM(SD3_CLK)		F_(0, 0)	FM(NFWE_N)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_3_0	FM(SD3_CMD)		F_(0, 0)	FM(NFRE_N)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_7_4	FM(SD3_DAT0)		F_(0, 0)	FM(NFDATA0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_11_8	FM(SD3_DAT1)		F_(0, 0)	FM(NFDATA1)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_15_12	FM(SD3_DAT2)		F_(0, 0)	FM(NFDATA2)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_19_16	FM(SD3_DAT3)		F_(0, 0)	FM(NFDATA3)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_23_20	FM(SD3_DAT4)		FM(SD2_CD_A)	FM(NFDATA4)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_27_24	FM(SD3_DAT5)		FM(SD2_WP_A)	FM(NFDATA5)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_31_28	FM(SD3_DAT6)		FM(SD3_CD)	FM(NFDATA6)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_3_0	FM(SD3_DAT7)		FM(SD3_WP)	FM(NFDATA7)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_7_4	FM(SD3_DS)		F_(0, 0)	FM(NFCLE)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_11_8	FM(SD0_CD)		F_(0, 0)	FM(NFDATA14_A)		F_(0, 0)			FM(SCL2_B)	FM(SIM0_RST_A)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP11_15_12	FM(SD0_WP)		F_(0, 0)	FM(NFDATA15_A)		F_(0, 0)			FM(SDA2_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_19_16	FM(SD1_CD)		F_(0, 0)	FM(NFRB_N_A)		F_(0, 0)			F_(0, 0)	FM(SIM0_CLK_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_23_20	FM(SD1_WP)		F_(0, 0)	FM(NFCE_N_A)		F_(0, 0)			F_(0, 0)	FM(SIM0_D_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_27_24	FM(SCK0)		FM(HSCK1_B)	FM(MSIOF1_SS2_B)	FM(AUDIO_CLKC_B)		FM(SDA2_A)	FM(SIM0_RST_B)	FM(STP_OPWM_0_C)	FM(RIF0_CLK_B)	F_(0, 0)		FM(ADICHS2)	FM(SCK5_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_31_28	FM(RX0)			FM(HRX1_B)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SCK0_C)	FM(STP_ISCLK_0_C)	FM(RIF0_D0_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_3_0	FM(TX0)			FM(HTX1_B)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC0_C)FM(STP_ISSYNC_0_C)	FM(RIF0_D1_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_7_4	FM(CTS0_N)		FM(HCTS1_N_B)	FM(MSIOF1_SYNC_B)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC1_C)FM(STP_ISSYNC_1_C)	FM(RIF1_SYNC_B)	FM(AUDIO_CLKOUT_C)	FM(ADICS_SAMP)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_11_8	FM(RTS0_N_TANS)		FM(HRTS1_N_B)	FM(MSIOF1_SS1_B)	FM(AUDIO_CLKA_B)		FM(SCL2_A)	F_(0, 0)	FM(STP_IVCXO27_1_C)	FM(RIF0_SYNC_B)	F_(0, 0)		FM(ADICHS1)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_15_12	FM(RX1_A)		FM(HRX1_A)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SDAT0_C)	FM(STP_ISD_0_C)		FM(RIF1_CLK_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_19_16	FM(TX1_A)		FM(HTX1_A)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SDEN0_C)	FM(STP_ISEN_0_C)	FM(RIF1_D0_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_23_20	FM(CTS1_N)		FM(HCTS1_N_A)	FM(MSIOF1_RXD_B)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN1_C)	FM(STP_ISEN_1_C)	FM(RIF1_D0_B)	F_(0, 0)		FM(ADIDATA)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_27_24	FM(RTS1_N_TANS)		FM(HRTS1_N_A)	FM(MSIOF1_TXD_B)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT1_C)	FM(STP_ISD_1_C)		FM(RIF1_D1_B)	F_(0, 0)		FM(ADICHS0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_31_28	FM(SCK2)		FM(SCIF_CLK_B)	FM(MSIOF1_SCK_B)	F_(0, 0)			F_(0, 0)	FM(TS_SCK1_C)	FM(STP_ISCLK_1_C)	FM(RIF1_CLK_B)	F_(0, 0)		FM(ADICLK)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_3_0	FM(TX2_A)		F_(0, 0)	F_(0, 0)		FM(SD2_CD_B)			FM(SCL1_A)	F_(0, 0)	FM(FMCLK_A)		FM(RIF1_D1_C)	F_(0, 0)		FM(FSO_CFE_0_N)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_7_4	FM(RX2_A)		F_(0, 0)	F_(0, 0)		FM(SD2_WP_B)			FM(SDA1_A)	F_(0, 0)	FM(FMIN_A)		FM(RIF1_SYNC_C)	F_(0, 0)		FM(FSO_CFE_1_N)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_11_8	FM(HSCK0)		F_(0, 0)	FM(MSIOF1_SCK_D)	FM(AUDIO_CLKB_A)		FM(SSI_SDATA1_B)FM(TS_SCK0_D)	FM(STP_ISCLK_0_D)	FM(RIF0_CLK_C)	F_(0, 0)		F_(0, 0)	FM(RX5_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_15_12	FM(HRX0)		F_(0, 0)	FM(MSIOF1_RXD_D)	F_(0, 0)			FM(SSI_SDATA2_B)FM(TS_SDEN0_D)	FM(STP_ISEN_0_D)	FM(RIF0_D0_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_19_16	FM(HTX0)		F_(0, 0)	FM(MSIOF1_TXD_D)	F_(0, 0)			FM(SSI_SDATA9_B)FM(TS_SDAT0_D)	FM(STP_ISD_0_D)		FM(RIF0_D1_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_23_20	FM(HCTS0_N)		FM(RX2_B)	FM(MSIOF1_SYNC_D)	F_(0, 0)			FM(SSI_SCK9_A)	FM(TS_SPSYNC0_D)FM(STP_ISSYNC_0_D)	FM(RIF0_SYNC_C)	FM(AUDIO_CLKOUT1_A)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_27_24	FM(HRTS0_N)		FM(TX2_B)	FM(MSIOF1_SS1_D)	F_(0, 0)			FM(SSI_WS9_A)	F_(0, 0)	FM(STP_IVCXO27_0_D)	FM(BPFCLK_A)	FM(AUDIO_CLKOUT2_A)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_31_28	FM(MSIOF0_SYNC)		F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(AUDIO_CLKOUT_A)	F_(0, 0)	FM(TX5_B)	F_(0, 0)	F_(0, 0) FM(BPFCLK_D) F_(0, 0) F_(0, 0)
+#define IP14_3_0	FM(MSIOF0_SS1)		FM(RX5_A)	FM(NFWP_N_A)		FM(AUDIO_CLKA_C)		FM(SSI_SCK2_A)	F_(0, 0)	FM(STP_IVCXO27_0_C)	F_(0, 0)	FM(AUDIO_CLKOUT3_A)	F_(0, 0)	FM(TCLK1_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_7_4	FM(MSIOF0_SS2)		FM(TX5_A)	FM(MSIOF1_SS2_D)	FM(AUDIO_CLKC_A)		FM(SSI_WS2_A)	F_(0, 0)	FM(STP_OPWM_0_D)	F_(0, 0)	FM(AUDIO_CLKOUT_D)	F_(0, 0)	FM(SPEEDIN_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_11_8	FM(MLB_CLK)		F_(0, 0)	FM(MSIOF1_SCK_F)	F_(0, 0)			FM(SCL1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_15_12	FM(MLB_SIG)		FM(RX1_B)	FM(MSIOF1_SYNC_F)	F_(0, 0)			FM(SDA1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_19_16	FM(MLB_DAT)		FM(TX1_B)	FM(MSIOF1_RXD_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_23_20	FM(SSI_SCK01239)	F_(0, 0)	FM(MSIOF1_TXD_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_27_24	FM(SSI_WS01239)		F_(0, 0)	FM(MSIOF1_SS1_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP14_31_28	FM(SSI_SDATA0)		F_(0, 0)	FM(MSIOF1_SS2_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_3_0	FM(SSI_SDATA1_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_7_4	FM(SSI_SDATA2_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)			FM(SSI_SCK1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_11_8	FM(SSI_SCK349)		F_(0, 0)	FM(MSIOF1_SS1_A)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_OPWM_0_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_15_12	FM(SSI_WS349)		FM(HCTS2_N_A)	FM(MSIOF1_SS2_A)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_IVCXO27_0_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_19_16	FM(SSI_SDATA3)		FM(HRTS2_N_A)	FM(MSIOF1_TXD_A)	F_(0, 0)			F_(0, 0)	FM(TS_SCK0_A)	FM(STP_ISCLK_0_A)	FM(RIF0_D1_A)	FM(RIF2_D0_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_23_20	FM(SSI_SCK4)		FM(HRX2_A)	FM(MSIOF1_SCK_A)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT0_A)	FM(STP_ISD_0_A)		FM(RIF0_CLK_A)	FM(RIF2_CLK_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_27_24	FM(SSI_WS4)		FM(HTX2_A)	FM(MSIOF1_SYNC_A)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN0_A)	FM(STP_ISEN_0_A)	FM(RIF0_SYNC_A)	FM(RIF2_SYNC_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_31_28	FM(SSI_SDATA4)		FM(HSCK2_A)	FM(MSIOF1_RXD_A)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC0_A)FM(STP_ISSYNC_0_A)	FM(RIF0_D0_A)	FM(RIF2_D1_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_3_0	FM(SSI_SCK6)		FM(USB2_PWEN)	F_(0, 0)		FM(SIM0_RST_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_7_4	FM(SSI_WS6)		FM(USB2_OVC)	F_(0, 0)		FM(SIM0_D_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_11_8	FM(SSI_SDATA6)		F_(0, 0)	F_(0, 0)		FM(SIM0_CLK_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(SATA_DEVSLP_A)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_15_12	FM(SSI_SCK78)		FM(HRX2_B)	FM(MSIOF1_SCK_C)	F_(0, 0)			F_(0, 0)	FM(TS_SCK1_A)	FM(STP_ISCLK_1_A)	FM(RIF1_CLK_A)	FM(RIF3_CLK_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_19_16	FM(SSI_WS78)		FM(HTX2_B)	FM(MSIOF1_SYNC_C)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT1_A)	FM(STP_ISD_1_A)		FM(RIF1_SYNC_A)	FM(RIF3_SYNC_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_23_20	FM(SSI_SDATA7)		FM(HCTS2_N_B)	FM(MSIOF1_RXD_C)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN1_A)	FM(STP_ISEN_1_A)	FM(RIF1_D0_A)	FM(RIF3_D0_A)		F_(0, 0)	FM(TCLK2_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_27_24	FM(SSI_SDATA8)		FM(HRTS2_N_B)	FM(MSIOF1_TXD_C)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC1_A)FM(STP_ISSYNC_1_A)	FM(RIF1_D1_A)	FM(RIF3_D1_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_31_28	FM(SSI_SDATA9_A)	FM(HSCK2_B)	FM(MSIOF1_SS1_C)	FM(HSCK1_A)			FM(SSI_WS1_B)	FM(SCK1)	FM(STP_IVCXO27_1_A)	FM(SCK5_A)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP17_3_0	FM(AUDIO_CLKA_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	FM(CC5_OSCOUT)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP17_7_4	FM(AUDIO_CLKB_B)	FM(SCIF_CLK_A)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_IVCXO27_1_D)	FM(REMOCON_A)	F_(0, 0)		F_(0, 0)	FM(TCLK1_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP17_11_8	FM(USB0_PWEN)		F_(0, 0)	F_(0, 0)		FM(SIM0_RST_C)			F_(0, 0)	FM(TS_SCK1_D)	FM(STP_ISCLK_1_D)	FM(BPFCLK_B)	FM(RIF3_CLK_B)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) FM(HSCK2_C) F_(0, 0) F_(0, 0)
+#define IP17_15_12	FM(USB0_OVC)		F_(0, 0)	F_(0, 0)		FM(SIM0_D_C)			F_(0, 0)	FM(TS_SDAT1_D)	FM(STP_ISD_1_D)		F_(0, 0)	FM(RIF3_SYNC_B)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) FM(HRX2_C) F_(0, 0) F_(0, 0)
+#define IP17_19_16	FM(USB1_PWEN)		F_(0, 0)	F_(0, 0)		FM(SIM0_CLK_C)			FM(SSI_SCK1_A)	FM(TS_SCK0_E)	FM(STP_ISCLK_0_E)	FM(FMCLK_B)	FM(RIF2_CLK_B)		F_(0, 0)	FM(SPEEDIN_A)	F_(0, 0)	F_(0, 0) FM(HTX2_C) F_(0, 0) F_(0, 0)
+#define IP17_23_20	FM(USB1_OVC)		F_(0, 0)	FM(MSIOF1_SS2_C)	F_(0, 0)			FM(SSI_WS1_A)	FM(TS_SDAT0_E)	FM(STP_ISD_0_E)		FM(FMIN_B)	FM(RIF2_SYNC_B)		F_(0, 0)	FM(REMOCON_B)	F_(0, 0)	F_(0, 0) FM(HCTS2_N_C) F_(0, 0) F_(0, 0)
+#define IP17_27_24	FM(USB30_PWEN)		F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT_B)		FM(SSI_SCK2_B)	FM(TS_SDEN1_D)	FM(STP_ISEN_1_D)	FM(STP_OPWM_0_E)FM(RIF3_D0_B)		F_(0, 0)	FM(TCLK2_B)	FM(TPU0TO0)	FM(BPFCLK_C) FM(HRTS2_N_C) F_(0, 0) F_(0, 0)
+#define IP17_31_28	FM(USB30_OVC)		F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT1_B)		FM(SSI_WS2_B)	FM(TS_SPSYNC1_D)FM(STP_ISSYNC_1_D)	FM(STP_IVCXO27_0_E)FM(RIF3_D1_B)	F_(0, 0)	FM(FSO_TOE_N)	FM(TPU0TO1)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP18_3_0	FM(USB2_CH3_PWEN)	F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT2_B)		FM(SSI_SCK9_B)	FM(TS_SDEN0_E)	FM(STP_ISEN_0_E)	F_(0, 0)	FM(RIF2_D0_B)		F_(0, 0)	F_(0, 0)	FM(TPU0TO2)	FM(FMCLK_C) FM(FMCLK_D) F_(0, 0) F_(0, 0)
+#define IP18_7_4	FM(USB2_CH3_OVC)	F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT3_B)		FM(SSI_WS9_B)	FM(TS_SPSYNC0_E)FM(STP_ISSYNC_0_E)	F_(0, 0)	FM(RIF2_D1_B)		F_(0, 0)	F_(0, 0)	FM(TPU0TO3)	FM(FMIN_C) FM(FMIN_D) F_(0, 0) F_(0, 0)
+
+#define PINMUX_GPSR	\
+\
+												GPSR6_31 \
+												GPSR6_30 \
+												GPSR6_29 \
+												GPSR6_28 \
+		GPSR1_27									GPSR6_27 \
+		GPSR1_26									GPSR6_26 \
+		GPSR1_25							GPSR5_25	GPSR6_25 \
+		GPSR1_24							GPSR5_24	GPSR6_24 \
+		GPSR1_23							GPSR5_23	GPSR6_23 \
+		GPSR1_22							GPSR5_22	GPSR6_22 \
+		GPSR1_21							GPSR5_21	GPSR6_21 \
+		GPSR1_20							GPSR5_20	GPSR6_20 \
+		GPSR1_19							GPSR5_19	GPSR6_19 \
+		GPSR1_18							GPSR5_18	GPSR6_18 \
+		GPSR1_17					GPSR4_17	GPSR5_17	GPSR6_17 \
+		GPSR1_16					GPSR4_16	GPSR5_16	GPSR6_16 \
+GPSR0_15	GPSR1_15			GPSR3_15	GPSR4_15	GPSR5_15	GPSR6_15 \
+GPSR0_14	GPSR1_14	GPSR2_14	GPSR3_14	GPSR4_14	GPSR5_14	GPSR6_14 \
+GPSR0_13	GPSR1_13	GPSR2_13	GPSR3_13	GPSR4_13	GPSR5_13	GPSR6_13 \
+GPSR0_12	GPSR1_12	GPSR2_12	GPSR3_12	GPSR4_12	GPSR5_12	GPSR6_12 \
+GPSR0_11	GPSR1_11	GPSR2_11	GPSR3_11	GPSR4_11	GPSR5_11	GPSR6_11 \
+GPSR0_10	GPSR1_10	GPSR2_10	GPSR3_10	GPSR4_10	GPSR5_10	GPSR6_10 \
+GPSR0_9		GPSR1_9		GPSR2_9		GPSR3_9		GPSR4_9		GPSR5_9		GPSR6_9 \
+GPSR0_8		GPSR1_8		GPSR2_8		GPSR3_8		GPSR4_8		GPSR5_8		GPSR6_8 \
+GPSR0_7		GPSR1_7		GPSR2_7		GPSR3_7		GPSR4_7		GPSR5_7		GPSR6_7 \
+GPSR0_6		GPSR1_6		GPSR2_6		GPSR3_6		GPSR4_6		GPSR5_6		GPSR6_6 \
+GPSR0_5		GPSR1_5		GPSR2_5		GPSR3_5		GPSR4_5		GPSR5_5		GPSR6_5 \
+GPSR0_4		GPSR1_4		GPSR2_4		GPSR3_4		GPSR4_4		GPSR5_4		GPSR6_4 \
+GPSR0_3		GPSR1_3		GPSR2_3		GPSR3_3		GPSR4_3		GPSR5_3		GPSR6_3		GPSR7_3 \
+GPSR0_2		GPSR1_2		GPSR2_2		GPSR3_2		GPSR4_2		GPSR5_2		GPSR6_2		GPSR7_2 \
+GPSR0_1		GPSR1_1		GPSR2_1		GPSR3_1		GPSR4_1		GPSR5_1		GPSR6_1		GPSR7_1 \
+GPSR0_0		GPSR1_0		GPSR2_0		GPSR3_0		GPSR4_0		GPSR5_0		GPSR6_0		GPSR7_0
+
+#define PINMUX_IPSR				\
+\
+FM(IP0_3_0)	IP0_3_0		FM(IP1_3_0)	IP1_3_0		FM(IP2_3_0)	IP2_3_0		FM(IP3_3_0)	IP3_3_0 \
+FM(IP0_7_4)	IP0_7_4		FM(IP1_7_4)	IP1_7_4		FM(IP2_7_4)	IP2_7_4		FM(IP3_7_4)	IP3_7_4 \
+FM(IP0_11_8)	IP0_11_8	FM(IP1_11_8)	IP1_11_8	FM(IP2_11_8)	IP2_11_8	FM(IP3_11_8)	IP3_11_8 \
+FM(IP0_15_12)	IP0_15_12	FM(IP1_15_12)	IP1_15_12	FM(IP2_15_12)	IP2_15_12	FM(IP3_15_12)	IP3_15_12 \
+FM(IP0_19_16)	IP0_19_16	FM(IP1_19_16)	IP1_19_16	FM(IP2_19_16)	IP2_19_16	FM(IP3_19_16)	IP3_19_16 \
+FM(IP0_23_20)	IP0_23_20	FM(IP1_23_20)	IP1_23_20	FM(IP2_23_20)	IP2_23_20	FM(IP3_23_20)	IP3_23_20 \
+FM(IP0_27_24)	IP0_27_24	FM(IP1_27_24)	IP1_27_24	FM(IP2_27_24)	IP2_27_24	FM(IP3_27_24)	IP3_27_24 \
+FM(IP0_31_28)	IP0_31_28	FM(IP1_31_28)	IP1_31_28	FM(IP2_31_28)	IP2_31_28	FM(IP3_31_28)	IP3_31_28 \
+\
+FM(IP4_3_0)	IP4_3_0		FM(IP5_3_0)	IP5_3_0		FM(IP6_3_0)	IP6_3_0		FM(IP7_3_0)	IP7_3_0 \
+FM(IP4_7_4)	IP4_7_4		FM(IP5_7_4)	IP5_7_4		FM(IP6_7_4)	IP6_7_4		FM(IP7_7_4)	IP7_7_4 \
+FM(IP4_11_8)	IP4_11_8	FM(IP5_11_8)	IP5_11_8	FM(IP6_11_8)	IP6_11_8	FM(IP7_11_8)	IP7_11_8 \
+FM(IP4_15_12)	IP4_15_12	FM(IP5_15_12)	IP5_15_12	FM(IP6_15_12)	IP6_15_12 \
+FM(IP4_19_16)	IP4_19_16	FM(IP5_19_16)	IP5_19_16	FM(IP6_19_16)	IP6_19_16	FM(IP7_19_16)	IP7_19_16 \
+FM(IP4_23_20)	IP4_23_20	FM(IP5_23_20)	IP5_23_20	FM(IP6_23_20)	IP6_23_20	FM(IP7_23_20)	IP7_23_20 \
+FM(IP4_27_24)	IP4_27_24	FM(IP5_27_24)	IP5_27_24	FM(IP6_27_24)	IP6_27_24	FM(IP7_27_24)	IP7_27_24 \
+FM(IP4_31_28)	IP4_31_28	FM(IP5_31_28)	IP5_31_28	FM(IP6_31_28)	IP6_31_28	FM(IP7_31_28)	IP7_31_28 \
+\
+FM(IP8_3_0)	IP8_3_0		FM(IP9_3_0)	IP9_3_0		FM(IP10_3_0)	IP10_3_0	FM(IP11_3_0)	IP11_3_0 \
+FM(IP8_7_4)	IP8_7_4		FM(IP9_7_4)	IP9_7_4		FM(IP10_7_4)	IP10_7_4	FM(IP11_7_4)	IP11_7_4 \
+FM(IP8_11_8)	IP8_11_8	FM(IP9_11_8)	IP9_11_8	FM(IP10_11_8)	IP10_11_8	FM(IP11_11_8)	IP11_11_8 \
+FM(IP8_15_12)	IP8_15_12	FM(IP9_15_12)	IP9_15_12	FM(IP10_15_12)	IP10_15_12	FM(IP11_15_12)	IP11_15_12 \
+FM(IP8_19_16)	IP8_19_16	FM(IP9_19_16)	IP9_19_16	FM(IP10_19_16)	IP10_19_16	FM(IP11_19_16)	IP11_19_16 \
+FM(IP8_23_20)	IP8_23_20	FM(IP9_23_20)	IP9_23_20	FM(IP10_23_20)	IP10_23_20	FM(IP11_23_20)	IP11_23_20 \
+FM(IP8_27_24)	IP8_27_24	FM(IP9_27_24)	IP9_27_24	FM(IP10_27_24)	IP10_27_24	FM(IP11_27_24)	IP11_27_24 \
+FM(IP8_31_28)	IP8_31_28	FM(IP9_31_28)	IP9_31_28	FM(IP10_31_28)	IP10_31_28	FM(IP11_31_28)	IP11_31_28 \
+\
+FM(IP12_3_0)	IP12_3_0	FM(IP13_3_0)	IP13_3_0	FM(IP14_3_0)	IP14_3_0	FM(IP15_3_0)	IP15_3_0 \
+FM(IP12_7_4)	IP12_7_4	FM(IP13_7_4)	IP13_7_4	FM(IP14_7_4)	IP14_7_4	FM(IP15_7_4)	IP15_7_4 \
+FM(IP12_11_8)	IP12_11_8	FM(IP13_11_8)	IP13_11_8	FM(IP14_11_8)	IP14_11_8	FM(IP15_11_8)	IP15_11_8 \
+FM(IP12_15_12)	IP12_15_12	FM(IP13_15_12)	IP13_15_12	FM(IP14_15_12)	IP14_15_12	FM(IP15_15_12)	IP15_15_12 \
+FM(IP12_19_16)	IP12_19_16	FM(IP13_19_16)	IP13_19_16	FM(IP14_19_16)	IP14_19_16	FM(IP15_19_16)	IP15_19_16 \
+FM(IP12_23_20)	IP12_23_20	FM(IP13_23_20)	IP13_23_20	FM(IP14_23_20)	IP14_23_20	FM(IP15_23_20)	IP15_23_20 \
+FM(IP12_27_24)	IP12_27_24	FM(IP13_27_24)	IP13_27_24	FM(IP14_27_24)	IP14_27_24	FM(IP15_27_24)	IP15_27_24 \
+FM(IP12_31_28)	IP12_31_28	FM(IP13_31_28)	IP13_31_28	FM(IP14_31_28)	IP14_31_28	FM(IP15_31_28)	IP15_31_28 \
+\
+FM(IP16_3_0)	IP16_3_0	FM(IP17_3_0)	IP17_3_0	FM(IP18_3_0)	IP18_3_0 \
+FM(IP16_7_4)	IP16_7_4	FM(IP17_7_4)	IP17_7_4	FM(IP18_7_4)	IP18_7_4 \
+FM(IP16_11_8)	IP16_11_8	FM(IP17_11_8)	IP17_11_8 \
+FM(IP16_15_12)	IP16_15_12	FM(IP17_15_12)	IP17_15_12 \
+FM(IP16_19_16)	IP16_19_16	FM(IP17_19_16)	IP17_19_16 \
+FM(IP16_23_20)	IP16_23_20	FM(IP17_23_20)	IP17_23_20 \
+FM(IP16_27_24)	IP16_27_24	FM(IP17_27_24)	IP17_27_24 \
+FM(IP16_31_28)	IP16_31_28	FM(IP17_31_28)	IP17_31_28
+
+/* MOD_SEL0 */			/* 0 */			/* 1 */			/* 2 */			/* 3 */			/* 4 */			/* 5 */			/* 6 */			/* 7 */
+#define MOD_SEL0_31_30_29	FM(SEL_MSIOF3_0)	FM(SEL_MSIOF3_1)	FM(SEL_MSIOF3_2)	FM(SEL_MSIOF3_3)	FM(SEL_MSIOF3_4)	F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL0_28_27		FM(SEL_MSIOF2_0)	FM(SEL_MSIOF2_1)	FM(SEL_MSIOF2_2)	FM(SEL_MSIOF2_3)
+#define MOD_SEL0_26_25_24	FM(SEL_MSIOF1_0)	FM(SEL_MSIOF1_1)	FM(SEL_MSIOF1_2)	FM(SEL_MSIOF1_3)	FM(SEL_MSIOF1_4)	FM(SEL_MSIOF1_5)	FM(SEL_MSIOF1_6)	F_(0, 0)
+#define MOD_SEL0_23		FM(SEL_LBSC_0)		FM(SEL_LBSC_1)
+#define MOD_SEL0_22		FM(SEL_IEBUS_0)		FM(SEL_IEBUS_1)
+#define MOD_SEL0_21		FM(SEL_I2C2_0)		FM(SEL_I2C2_1)
+#define MOD_SEL0_20		FM(SEL_I2C1_0)		FM(SEL_I2C1_1)
+#define MOD_SEL0_19		FM(SEL_HSCIF4_0)	FM(SEL_HSCIF4_1)
+#define MOD_SEL0_18_17		FM(SEL_HSCIF3_0)	FM(SEL_HSCIF3_1)	FM(SEL_HSCIF3_2)	FM(SEL_HSCIF3_3)
+#define MOD_SEL0_16		FM(SEL_HSCIF1_0)	FM(SEL_HSCIF1_1)
+#define MOD_SEL0_14_13		FM(SEL_HSCIF2_0)	FM(SEL_HSCIF2_1)	FM(SEL_HSCIF2_2)	F_(0, 0)
+#define MOD_SEL0_12		FM(SEL_ETHERAVB_0)	FM(SEL_ETHERAVB_1)
+#define MOD_SEL0_11		FM(SEL_DRIF3_0)		FM(SEL_DRIF3_1)
+#define MOD_SEL0_10		FM(SEL_DRIF2_0)		FM(SEL_DRIF2_1)
+#define MOD_SEL0_9_8		FM(SEL_DRIF1_0)		FM(SEL_DRIF1_1)		FM(SEL_DRIF1_2)		F_(0, 0)
+#define MOD_SEL0_7_6		FM(SEL_DRIF0_0)		FM(SEL_DRIF0_1)		FM(SEL_DRIF0_2)		F_(0, 0)
+#define MOD_SEL0_5		FM(SEL_CANFD0_0)	FM(SEL_CANFD0_1)
+#define MOD_SEL0_4_3		FM(SEL_ADG_A_0)		FM(SEL_ADG_A_1)		FM(SEL_ADG_A_2)		FM(SEL_ADG_A_3)
+
+/* MOD_SEL1 */			/* 0 */			/* 1 */			/* 2 */			/* 3 */			/* 4 */			/* 5 */			/* 6 */			/* 7 */
+#define MOD_SEL1_31_30		FM(SEL_TSIF1_0)		FM(SEL_TSIF1_1)		FM(SEL_TSIF1_2)		FM(SEL_TSIF1_3)
+#define MOD_SEL1_29_28_27	FM(SEL_TSIF0_0)		FM(SEL_TSIF0_1)		FM(SEL_TSIF0_2)		FM(SEL_TSIF0_3)		FM(SEL_TSIF0_4)		F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL1_26		FM(SEL_TIMER_TMU1_0)	FM(SEL_TIMER_TMU1_1)
+#define MOD_SEL1_25_24		FM(SEL_SSP1_1_0)	FM(SEL_SSP1_1_1)	FM(SEL_SSP1_1_2)	FM(SEL_SSP1_1_3)
+#define MOD_SEL1_23_22_21	FM(SEL_SSP1_0_0)	FM(SEL_SSP1_0_1)	FM(SEL_SSP1_0_2)	FM(SEL_SSP1_0_3)	FM(SEL_SSP1_0_4)	F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL1_20		FM(SEL_SSI_0)		FM(SEL_SSI_1)
+#define MOD_SEL1_19		FM(SEL_SPEED_PULSE_0)	FM(SEL_SPEED_PULSE_1)
+#define MOD_SEL1_18_17		FM(SEL_SIMCARD_0)	FM(SEL_SIMCARD_1)	FM(SEL_SIMCARD_2)	FM(SEL_SIMCARD_3)
+#define MOD_SEL1_16		FM(SEL_SDHI2_0)		FM(SEL_SDHI2_1)
+#define MOD_SEL1_15_14		FM(SEL_SCIF4_0)		FM(SEL_SCIF4_1)		FM(SEL_SCIF4_2)		F_(0, 0)
+#define MOD_SEL1_13		FM(SEL_SCIF3_0)		FM(SEL_SCIF3_1)
+#define MOD_SEL1_12		FM(SEL_SCIF2_0)		FM(SEL_SCIF2_1)
+#define MOD_SEL1_11		FM(SEL_SCIF1_0)		FM(SEL_SCIF1_1)
+#define MOD_SEL1_10		FM(SEL_SCIF_0)		FM(SEL_SCIF_1)
+#define MOD_SEL1_9		FM(SEL_REMOCON_0)	FM(SEL_REMOCON_1)
+#define MOD_SEL1_6		FM(SEL_RCAN0_0)		FM(SEL_RCAN0_1)
+#define MOD_SEL1_5		FM(SEL_PWM6_0)		FM(SEL_PWM6_1)
+#define MOD_SEL1_4		FM(SEL_PWM5_0)		FM(SEL_PWM5_1)
+#define MOD_SEL1_3		FM(SEL_PWM4_0)		FM(SEL_PWM4_1)
+#define MOD_SEL1_2		FM(SEL_PWM3_0)		FM(SEL_PWM3_1)
+#define MOD_SEL1_1		FM(SEL_PWM2_0)		FM(SEL_PWM2_1)
+#define MOD_SEL1_0		FM(SEL_PWM1_0)		FM(SEL_PWM1_1)
+
+/* MOD_SEL2 */			/* 0 */			/* 1 */			/* 2 */			/* 3 */
+#define MOD_SEL2_31		FM(I2C_SEL_5_0)		FM(I2C_SEL_5_1)
+#define MOD_SEL2_30		FM(I2C_SEL_3_0)		FM(I2C_SEL_3_1)
+#define MOD_SEL2_29		FM(I2C_SEL_0_0)		FM(I2C_SEL_0_1)
+#define MOD_SEL2_28_27		FM(SEL_FM_0)		FM(SEL_FM_1)		FM(SEL_FM_2)		FM(SEL_FM_3)
+#define MOD_SEL2_26		FM(SEL_SCIF5_0)		FM(SEL_SCIF5_1)
+#define MOD_SEL2_25_24_23	FM(SEL_I2C6_0)		FM(SEL_I2C6_1)		FM(SEL_I2C6_2)		F_(0, 0)		F_(0, 0)		F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL2_21		FM(SEL_SSI2_0)		FM(SEL_SSI2_1)
+#define MOD_SEL2_20		FM(SEL_SSI9_0)		FM(SEL_SSI9_1)
+#define MOD_SEL2_19		FM(SEL_TIMER_TMU2_0)	FM(SEL_TIMER_TMU2_1)
+#define MOD_SEL2_18		FM(SEL_ADG_B_0)		FM(SEL_ADG_B_1)
+#define MOD_SEL2_17		FM(SEL_ADG_C_0)		FM(SEL_ADG_C_1)
+#define MOD_SEL2_0		FM(SEL_VIN4_0)		FM(SEL_VIN4_1)
+
+#define PINMUX_MOD_SELS	\
+\
+MOD_SEL0_31_30_29	MOD_SEL1_31_30		MOD_SEL2_31 \
+						MOD_SEL2_30 \
+			MOD_SEL1_29_28_27	MOD_SEL2_29 \
+MOD_SEL0_28_27					MOD_SEL2_28_27 \
+MOD_SEL0_26_25_24	MOD_SEL1_26		MOD_SEL2_26 \
+			MOD_SEL1_25_24		MOD_SEL2_25_24_23 \
+MOD_SEL0_23		MOD_SEL1_23_22_21 \
+MOD_SEL0_22 \
+MOD_SEL0_21					MOD_SEL2_21 \
+MOD_SEL0_20		MOD_SEL1_20		MOD_SEL2_20 \
+MOD_SEL0_19		MOD_SEL1_19		MOD_SEL2_19 \
+MOD_SEL0_18_17		MOD_SEL1_18_17		MOD_SEL2_18 \
+						MOD_SEL2_17 \
+MOD_SEL0_16		MOD_SEL1_16 \
+			MOD_SEL1_15_14 \
+MOD_SEL0_14_13 \
+			MOD_SEL1_13 \
+MOD_SEL0_12		MOD_SEL1_12 \
+MOD_SEL0_11		MOD_SEL1_11 \
+MOD_SEL0_10		MOD_SEL1_10 \
+MOD_SEL0_9_8		MOD_SEL1_9 \
+MOD_SEL0_7_6 \
+			MOD_SEL1_6 \
+MOD_SEL0_5		MOD_SEL1_5 \
+MOD_SEL0_4_3		MOD_SEL1_4 \
+			MOD_SEL1_3 \
+			MOD_SEL1_2 \
+			MOD_SEL1_1 \
+			MOD_SEL1_0		MOD_SEL2_0
+
+/*
+ * These pins are not able to be muxed but have other properties
+ * that can be set, such as drive-strength or pull-up/pull-down enable.
+ */
+#define PINMUX_STATIC \
+	FM(QSPI0_SPCLK) FM(QSPI0_SSL) FM(QSPI0_MOSI_IO0) FM(QSPI0_MISO_IO1) \
+	FM(QSPI0_IO2) FM(QSPI0_IO3) \
+	FM(QSPI1_SPCLK) FM(QSPI1_SSL) FM(QSPI1_MOSI_IO0) FM(QSPI1_MISO_IO1) \
+	FM(QSPI1_IO2) FM(QSPI1_IO3) \
+	FM(RPC_INT) FM(RPC_WP) FM(RPC_RESET) \
+	FM(AVB_TX_CTL) FM(AVB_TXC) FM(AVB_TD0) FM(AVB_TD1) FM(AVB_TD2) FM(AVB_TD3) \
+	FM(AVB_RX_CTL) FM(AVB_RXC) FM(AVB_RD0) FM(AVB_RD1) FM(AVB_RD2) FM(AVB_RD3) \
+	FM(AVB_TXCREFCLK) FM(AVB_MDIO) \
+	FM(CLKOUT) FM(PRESETOUT) \
+	FM(DU_DOTCLKIN0) FM(DU_DOTCLKIN1) FM(DU_DOTCLKIN2) FM(DU_DOTCLKIN3) \
+	FM(TMS) FM(TDO) FM(ASEBRK) FM(MLB_REF) FM(TDI) FM(TCK) FM(TRST) FM(EXTALR)
+
+enum {
+	PINMUX_RESERVED = 0,
+
+	PINMUX_DATA_BEGIN,
+	GP_ALL(DATA),
+	PINMUX_DATA_END,
+
+#define F_(x, y)
+#define FM(x)	FN_##x,
+	PINMUX_FUNCTION_BEGIN,
+	GP_ALL(FN),
+	PINMUX_GPSR
+	PINMUX_IPSR
+	PINMUX_MOD_SELS
+	PINMUX_FUNCTION_END,
+#undef F_
+#undef FM
+
+#define F_(x, y)
+#define FM(x)	x##_MARK,
+	PINMUX_MARK_BEGIN,
+	PINMUX_GPSR
+	PINMUX_IPSR
+	PINMUX_MOD_SELS
+	PINMUX_STATIC
+	PINMUX_MARK_END,
+#undef F_
+#undef FM
+};
+
+static const u16 pinmux_data[] = {
+	PINMUX_DATA_GP_ALL(),
+
+	PINMUX_SINGLE(AVS1),
+	PINMUX_SINGLE(AVS2),
+	PINMUX_SINGLE(HDMI0_CEC),
+	PINMUX_SINGLE(HDMI1_CEC),
+	PINMUX_SINGLE(I2C_SEL_0_1),
+	PINMUX_SINGLE(I2C_SEL_3_1),
+	PINMUX_SINGLE(I2C_SEL_5_1),
+	PINMUX_SINGLE(MSIOF0_RXD),
+	PINMUX_SINGLE(MSIOF0_SCK),
+	PINMUX_SINGLE(MSIOF0_TXD),
+	PINMUX_SINGLE(SSI_SCK5),
+	PINMUX_SINGLE(SSI_SDATA5),
+	PINMUX_SINGLE(SSI_WS5),
+
+	/* IPSR0 */
+	PINMUX_IPSR_GPSR(IP0_3_0,	AVB_MDC),
+	PINMUX_IPSR_MSEL(IP0_3_0,	MSIOF2_SS2_C,		SEL_MSIOF2_2),
+
+	PINMUX_IPSR_GPSR(IP0_7_4,	AVB_MAGIC),
+	PINMUX_IPSR_MSEL(IP0_7_4,	MSIOF2_SS1_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_7_4,	SCK4_A,			SEL_SCIF4_0),
+
+	PINMUX_IPSR_GPSR(IP0_11_8,	AVB_PHY_INT),
+	PINMUX_IPSR_MSEL(IP0_11_8,	MSIOF2_SYNC_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_11_8,	RX4_A,			SEL_SCIF4_0),
+
+	PINMUX_IPSR_GPSR(IP0_15_12,	AVB_LINK),
+	PINMUX_IPSR_MSEL(IP0_15_12,	MSIOF2_SCK_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_15_12,	TX4_A,			SEL_SCIF4_0),
+
+	PINMUX_IPSR_MSEL(IP0_19_16,	AVB_AVTP_MATCH_A,	SEL_ETHERAVB_0),
+	PINMUX_IPSR_MSEL(IP0_19_16,	MSIOF2_RXD_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_19_16,	CTS4_N_A,		SEL_SCIF4_0),
+	PINMUX_IPSR_GPSR(IP0_19_16,	FSCLKST2_N_A),
+
+	PINMUX_IPSR_MSEL(IP0_23_20,	AVB_AVTP_CAPTURE_A,	SEL_ETHERAVB_0),
+	PINMUX_IPSR_MSEL(IP0_23_20,	MSIOF2_TXD_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_23_20,	RTS4_N_TANS_A,		SEL_SCIF4_0),
+
+	PINMUX_IPSR_GPSR(IP0_27_24,	IRQ0),
+	PINMUX_IPSR_GPSR(IP0_27_24,	QPOLB),
+	PINMUX_IPSR_GPSR(IP0_27_24,	DU_CDE),
+	PINMUX_IPSR_MSEL(IP0_27_24,	VI4_DATA0_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP0_27_24,	CAN0_TX_B,		SEL_RCAN0_1),
+	PINMUX_IPSR_MSEL(IP0_27_24,	CANFD0_TX_B,		SEL_CANFD0_1),
+	PINMUX_IPSR_MSEL(IP0_27_24,	MSIOF3_SS2_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP0_31_28,	IRQ1),
+	PINMUX_IPSR_GPSR(IP0_31_28,	QPOLA),
+	PINMUX_IPSR_GPSR(IP0_31_28,	DU_DISP),
+	PINMUX_IPSR_MSEL(IP0_31_28,	VI4_DATA1_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP0_31_28,	CAN0_RX_B,		SEL_RCAN0_1),
+	PINMUX_IPSR_MSEL(IP0_31_28,	CANFD0_RX_B,		SEL_CANFD0_1),
+	PINMUX_IPSR_MSEL(IP0_31_28,	MSIOF3_SS1_E,		SEL_MSIOF3_4),
+
+	/* IPSR1 */
+	PINMUX_IPSR_GPSR(IP1_3_0,	IRQ2),
+	PINMUX_IPSR_GPSR(IP1_3_0,	QCPV_QDE),
+	PINMUX_IPSR_GPSR(IP1_3_0,	DU_EXODDF_DU_ODDF_DISP_CDE),
+	PINMUX_IPSR_MSEL(IP1_3_0,	VI4_DATA2_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_3_0,	PWM3_B,			SEL_PWM3_1),
+	PINMUX_IPSR_MSEL(IP1_3_0,	MSIOF3_SYNC_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_7_4,	IRQ3),
+	PINMUX_IPSR_GPSR(IP1_7_4,	QSTVB_QVE),
+	PINMUX_IPSR_GPSR(IP1_7_4,	A25),
+	PINMUX_IPSR_GPSR(IP1_7_4,	DU_DOTCLKOUT1),
+	PINMUX_IPSR_MSEL(IP1_7_4,	VI4_DATA3_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_7_4,	PWM4_B,			SEL_PWM4_1),
+	PINMUX_IPSR_MSEL(IP1_7_4,	MSIOF3_SCK_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_11_8,	IRQ4),
+	PINMUX_IPSR_GPSR(IP1_11_8,	QSTH_QHS),
+	PINMUX_IPSR_GPSR(IP1_11_8,	A24),
+	PINMUX_IPSR_GPSR(IP1_11_8,	DU_EXHSYNC_DU_HSYNC),
+	PINMUX_IPSR_MSEL(IP1_11_8,	VI4_DATA4_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_11_8,	PWM5_B,			SEL_PWM5_1),
+	PINMUX_IPSR_MSEL(IP1_11_8,	MSIOF3_RXD_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_15_12,	IRQ5),
+	PINMUX_IPSR_GPSR(IP1_15_12,	QSTB_QHE),
+	PINMUX_IPSR_GPSR(IP1_15_12,	A23),
+	PINMUX_IPSR_GPSR(IP1_15_12,	DU_EXVSYNC_DU_VSYNC),
+	PINMUX_IPSR_MSEL(IP1_15_12,	VI4_DATA5_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_15_12,	PWM6_B,			SEL_PWM6_1),
+	PINMUX_IPSR_GPSR(IP1_15_12,	FSCLKST2_N_B),
+	PINMUX_IPSR_MSEL(IP1_15_12,	MSIOF3_TXD_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_19_16,	PWM0),
+	PINMUX_IPSR_GPSR(IP1_19_16,	AVB_AVTP_PPS),
+	PINMUX_IPSR_GPSR(IP1_19_16,	A22),
+	PINMUX_IPSR_MSEL(IP1_19_16,	VI4_DATA6_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_19_16,	IECLK_B,		SEL_IEBUS_1),
+
+	PINMUX_IPSR_MSEL(IP1_23_20,	PWM1_A,			SEL_PWM1_0),
+	PINMUX_IPSR_GPSR(IP1_23_20,	A21),
+	PINMUX_IPSR_MSEL(IP1_23_20,	HRX3_D,			SEL_HSCIF3_3),
+	PINMUX_IPSR_MSEL(IP1_23_20,	VI4_DATA7_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_23_20,	IERX_B,			SEL_IEBUS_1),
+
+	PINMUX_IPSR_MSEL(IP1_27_24,	PWM2_A,			SEL_PWM2_0),
+	PINMUX_IPSR_GPSR(IP1_27_24,	A20),
+	PINMUX_IPSR_MSEL(IP1_27_24,	HTX3_D,			SEL_HSCIF3_3),
+	PINMUX_IPSR_MSEL(IP1_27_24,	IETX_B,			SEL_IEBUS_1),
+
+	PINMUX_IPSR_GPSR(IP1_31_28,	A0),
+	PINMUX_IPSR_GPSR(IP1_31_28,	LCDOUT16),
+	PINMUX_IPSR_MSEL(IP1_31_28,	MSIOF3_SYNC_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP1_31_28,	VI4_DATA8),
+	PINMUX_IPSR_GPSR(IP1_31_28,	DU_DB0),
+	PINMUX_IPSR_MSEL(IP1_31_28,	PWM3_A,			SEL_PWM3_0),
+
+	/* IPSR2 */
+	PINMUX_IPSR_GPSR(IP2_3_0,	A1),
+	PINMUX_IPSR_GPSR(IP2_3_0,	LCDOUT17),
+	PINMUX_IPSR_MSEL(IP2_3_0,	MSIOF3_TXD_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_3_0,	VI4_DATA9),
+	PINMUX_IPSR_GPSR(IP2_3_0,	DU_DB1),
+	PINMUX_IPSR_MSEL(IP2_3_0,	PWM4_A,			SEL_PWM4_0),
+
+	PINMUX_IPSR_GPSR(IP2_7_4,	A2),
+	PINMUX_IPSR_GPSR(IP2_7_4,	LCDOUT18),
+	PINMUX_IPSR_MSEL(IP2_7_4,	MSIOF3_SCK_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_7_4,	VI4_DATA10),
+	PINMUX_IPSR_GPSR(IP2_7_4,	DU_DB2),
+	PINMUX_IPSR_MSEL(IP2_7_4,	PWM5_A,			SEL_PWM5_0),
+
+	PINMUX_IPSR_GPSR(IP2_11_8,	A3),
+	PINMUX_IPSR_GPSR(IP2_11_8,	LCDOUT19),
+	PINMUX_IPSR_MSEL(IP2_11_8,	MSIOF3_RXD_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_11_8,	VI4_DATA11),
+	PINMUX_IPSR_GPSR(IP2_11_8,	DU_DB3),
+	PINMUX_IPSR_MSEL(IP2_11_8,	PWM6_A,			SEL_PWM6_0),
+
+	PINMUX_IPSR_GPSR(IP2_15_12,	A4),
+	PINMUX_IPSR_GPSR(IP2_15_12,	LCDOUT20),
+	PINMUX_IPSR_MSEL(IP2_15_12,	MSIOF3_SS1_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_15_12,	VI4_DATA12),
+	PINMUX_IPSR_GPSR(IP2_15_12,	VI5_DATA12),
+	PINMUX_IPSR_GPSR(IP2_15_12,	DU_DB4),
+
+	PINMUX_IPSR_GPSR(IP2_19_16,	A5),
+	PINMUX_IPSR_GPSR(IP2_19_16,	LCDOUT21),
+	PINMUX_IPSR_MSEL(IP2_19_16,	MSIOF3_SS2_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_MSEL(IP2_19_16,	SCK4_B,			SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP2_19_16,	VI4_DATA13),
+	PINMUX_IPSR_GPSR(IP2_19_16,	VI5_DATA13),
+	PINMUX_IPSR_GPSR(IP2_19_16,	DU_DB5),
+
+	PINMUX_IPSR_GPSR(IP2_23_20,	A6),
+	PINMUX_IPSR_GPSR(IP2_23_20,	LCDOUT22),
+	PINMUX_IPSR_MSEL(IP2_23_20,	MSIOF2_SS1_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP2_23_20,	RX4_B,			SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP2_23_20,	VI4_DATA14),
+	PINMUX_IPSR_GPSR(IP2_23_20,	VI5_DATA14),
+	PINMUX_IPSR_GPSR(IP2_23_20,	DU_DB6),
+
+	PINMUX_IPSR_GPSR(IP2_27_24,	A7),
+	PINMUX_IPSR_GPSR(IP2_27_24,	LCDOUT23),
+	PINMUX_IPSR_MSEL(IP2_27_24,	MSIOF2_SS2_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP2_27_24,	TX4_B,			SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP2_27_24,	VI4_DATA15),
+	PINMUX_IPSR_GPSR(IP2_27_24,	VI5_DATA15),
+	PINMUX_IPSR_GPSR(IP2_27_24,	DU_DB7),
+
+	PINMUX_IPSR_GPSR(IP2_31_28,	A8),
+	PINMUX_IPSR_MSEL(IP2_31_28,	RX3_B,			SEL_SCIF3_1),
+	PINMUX_IPSR_MSEL(IP2_31_28,	MSIOF2_SYNC_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP2_31_28,	HRX4_B,			SEL_HSCIF4_1),
+	PINMUX_IPSR_MSEL(IP2_31_28,	SDA6_A,			SEL_I2C6_0),
+	PINMUX_IPSR_MSEL(IP2_31_28,	AVB_AVTP_MATCH_B,	SEL_ETHERAVB_1),
+	PINMUX_IPSR_MSEL(IP2_31_28,	PWM1_B,			SEL_PWM1_1),
+
+	/* IPSR3 */
+	PINMUX_IPSR_GPSR(IP3_3_0,	A9),
+	PINMUX_IPSR_MSEL(IP3_3_0,	MSIOF2_SCK_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP3_3_0,	CTS4_N_B,		SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP3_3_0,	VI5_VSYNC_N),
+
+	PINMUX_IPSR_GPSR(IP3_7_4,	A10),
+	PINMUX_IPSR_MSEL(IP3_7_4,	MSIOF2_RXD_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP3_7_4,	RTS4_N_TANS_B,		SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP3_7_4,	VI5_HSYNC_N),
+
+	PINMUX_IPSR_GPSR(IP3_11_8,	A11),
+	PINMUX_IPSR_MSEL(IP3_11_8,	TX3_B,			SEL_SCIF3_1),
+	PINMUX_IPSR_MSEL(IP3_11_8,	MSIOF2_TXD_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP3_11_8,	HTX4_B,			SEL_HSCIF4_1),
+	PINMUX_IPSR_GPSR(IP3_11_8,	HSCK4),
+	PINMUX_IPSR_GPSR(IP3_11_8,	VI5_FIELD),
+	PINMUX_IPSR_MSEL(IP3_11_8,	SCL6_A,			SEL_I2C6_0),
+	PINMUX_IPSR_MSEL(IP3_11_8,	AVB_AVTP_CAPTURE_B,	SEL_ETHERAVB_1),
+	PINMUX_IPSR_MSEL(IP3_11_8,	PWM2_B,			SEL_PWM2_1),
+
+	PINMUX_IPSR_GPSR(IP3_15_12,	A12),
+	PINMUX_IPSR_GPSR(IP3_15_12,	LCDOUT12),
+	PINMUX_IPSR_MSEL(IP3_15_12,	MSIOF3_SCK_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_MSEL(IP3_15_12,	HRX4_A,			SEL_HSCIF4_0),
+	PINMUX_IPSR_GPSR(IP3_15_12,	VI5_DATA8),
+	PINMUX_IPSR_GPSR(IP3_15_12,	DU_DG4),
+
+	PINMUX_IPSR_GPSR(IP3_19_16,	A13),
+	PINMUX_IPSR_GPSR(IP3_19_16,	LCDOUT13),
+	PINMUX_IPSR_MSEL(IP3_19_16,	MSIOF3_SYNC_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_MSEL(IP3_19_16,	HTX4_A,			SEL_HSCIF4_0),
+	PINMUX_IPSR_GPSR(IP3_19_16,	VI5_DATA9),
+	PINMUX_IPSR_GPSR(IP3_19_16,	DU_DG5),
+
+	PINMUX_IPSR_GPSR(IP3_23_20,	A14),
+	PINMUX_IPSR_GPSR(IP3_23_20,	LCDOUT14),
+	PINMUX_IPSR_MSEL(IP3_23_20,	MSIOF3_RXD_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_GPSR(IP3_23_20,	HCTS4_N),
+	PINMUX_IPSR_GPSR(IP3_23_20,	VI5_DATA10),
+	PINMUX_IPSR_GPSR(IP3_23_20,	DU_DG6),
+
+	PINMUX_IPSR_GPSR(IP3_27_24,	A15),
+	PINMUX_IPSR_GPSR(IP3_27_24,	LCDOUT15),
+	PINMUX_IPSR_MSEL(IP3_27_24,	MSIOF3_TXD_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_GPSR(IP3_27_24,	HRTS4_N),
+	PINMUX_IPSR_GPSR(IP3_27_24,	VI5_DATA11),
+	PINMUX_IPSR_GPSR(IP3_27_24,	DU_DG7),
+
+	PINMUX_IPSR_GPSR(IP3_31_28,	A16),
+	PINMUX_IPSR_GPSR(IP3_31_28,	LCDOUT8),
+	PINMUX_IPSR_GPSR(IP3_31_28,	VI4_FIELD),
+	PINMUX_IPSR_GPSR(IP3_31_28,	DU_DG0),
+
+	/* IPSR4 */
+	PINMUX_IPSR_GPSR(IP4_3_0,	A17),
+	PINMUX_IPSR_GPSR(IP4_3_0,	LCDOUT9),
+	PINMUX_IPSR_GPSR(IP4_3_0,	VI4_VSYNC_N),
+	PINMUX_IPSR_GPSR(IP4_3_0,	DU_DG1),
+
+	PINMUX_IPSR_GPSR(IP4_7_4,	A18),
+	PINMUX_IPSR_GPSR(IP4_7_4,	LCDOUT10),
+	PINMUX_IPSR_GPSR(IP4_7_4,	VI4_HSYNC_N),
+	PINMUX_IPSR_GPSR(IP4_7_4,	DU_DG2),
+
+	PINMUX_IPSR_GPSR(IP4_11_8,	A19),
+	PINMUX_IPSR_GPSR(IP4_11_8,	LCDOUT11),
+	PINMUX_IPSR_GPSR(IP4_11_8,	VI4_CLKENB),
+	PINMUX_IPSR_GPSR(IP4_11_8,	DU_DG3),
+
+	PINMUX_IPSR_GPSR(IP4_15_12,	CS0_N),
+	PINMUX_IPSR_GPSR(IP4_15_12,	VI5_CLKENB),
+
+	PINMUX_IPSR_GPSR(IP4_19_16,	CS1_N),
+	PINMUX_IPSR_GPSR(IP4_19_16,	VI5_CLK),
+	PINMUX_IPSR_MSEL(IP4_19_16,	EX_WAIT0_B,		SEL_LBSC_1),
+
+	PINMUX_IPSR_GPSR(IP4_23_20,	BS_N),
+	PINMUX_IPSR_GPSR(IP4_23_20,	QSTVA_QVS),
+	PINMUX_IPSR_MSEL(IP4_23_20,	MSIOF3_SCK_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_GPSR(IP4_23_20,	SCK3),
+	PINMUX_IPSR_GPSR(IP4_23_20,	HSCK3),
+	PINMUX_IPSR_GPSR(IP4_23_20,	CAN1_TX),
+	PINMUX_IPSR_GPSR(IP4_23_20,	CANFD1_TX),
+	PINMUX_IPSR_MSEL(IP4_23_20,	IETX_A,			SEL_IEBUS_0),
+
+	PINMUX_IPSR_GPSR(IP4_27_24,	RD_N),
+	PINMUX_IPSR_MSEL(IP4_27_24,	MSIOF3_SYNC_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_MSEL(IP4_27_24,	RX3_A,			SEL_SCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_27_24,	HRX3_A,			SEL_HSCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_27_24,	CAN0_TX_A,		SEL_RCAN0_0),
+	PINMUX_IPSR_MSEL(IP4_27_24,	CANFD0_TX_A,		SEL_CANFD0_0),
+
+	PINMUX_IPSR_GPSR(IP4_31_28,	RD_WR_N),
+	PINMUX_IPSR_MSEL(IP4_31_28,	MSIOF3_RXD_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_MSEL(IP4_31_28,	TX3_A,			SEL_SCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_31_28,	HTX3_A,			SEL_HSCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_31_28,	CAN0_RX_A,		SEL_RCAN0_0),
+	PINMUX_IPSR_MSEL(IP4_31_28,	CANFD0_RX_A,		SEL_CANFD0_0),
+
+	/* IPSR5 */
+	PINMUX_IPSR_GPSR(IP5_3_0,	WE0_N),
+	PINMUX_IPSR_MSEL(IP5_3_0,	MSIOF3_TXD_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_GPSR(IP5_3_0,	CTS3_N),
+	PINMUX_IPSR_GPSR(IP5_3_0,	HCTS3_N),
+	PINMUX_IPSR_MSEL(IP5_3_0,	SCL6_B,			SEL_I2C6_1),
+	PINMUX_IPSR_GPSR(IP5_3_0,	CAN_CLK),
+	PINMUX_IPSR_MSEL(IP5_3_0,	IECLK_A,		SEL_IEBUS_0),
+
+	PINMUX_IPSR_GPSR(IP5_7_4,	WE1_N),
+	PINMUX_IPSR_MSEL(IP5_7_4,	MSIOF3_SS1_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_GPSR(IP5_7_4,	RTS3_N_TANS),
+	PINMUX_IPSR_GPSR(IP5_7_4,	HRTS3_N),
+	PINMUX_IPSR_MSEL(IP5_7_4,	SDA6_B,			SEL_I2C6_1),
+	PINMUX_IPSR_GPSR(IP5_7_4,	CAN1_RX),
+	PINMUX_IPSR_GPSR(IP5_7_4,	CANFD1_RX),
+	PINMUX_IPSR_MSEL(IP5_7_4,	IERX_A,			SEL_IEBUS_0),
+
+	PINMUX_IPSR_MSEL(IP5_11_8,	EX_WAIT0_A,		SEL_LBSC_0),
+	PINMUX_IPSR_GPSR(IP5_11_8,	QCLK),
+	PINMUX_IPSR_GPSR(IP5_11_8,	VI4_CLK),
+	PINMUX_IPSR_GPSR(IP5_11_8,	DU_DOTCLKOUT0),
+
+	PINMUX_IPSR_GPSR(IP5_15_12,	D0),
+	PINMUX_IPSR_MSEL(IP5_15_12,	MSIOF2_SS1_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_MSEL(IP5_15_12,	MSIOF3_SCK_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_15_12,	VI4_DATA16),
+	PINMUX_IPSR_GPSR(IP5_15_12,	VI5_DATA0),
+
+	PINMUX_IPSR_GPSR(IP5_19_16,	D1),
+	PINMUX_IPSR_MSEL(IP5_19_16,	MSIOF2_SS2_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_MSEL(IP5_19_16,	MSIOF3_SYNC_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_19_16,	VI4_DATA17),
+	PINMUX_IPSR_GPSR(IP5_19_16,	VI5_DATA1),
+
+	PINMUX_IPSR_GPSR(IP5_23_20,	D2),
+	PINMUX_IPSR_MSEL(IP5_23_20,	MSIOF3_RXD_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_23_20,	VI4_DATA18),
+	PINMUX_IPSR_GPSR(IP5_23_20,	VI5_DATA2),
+
+	PINMUX_IPSR_GPSR(IP5_27_24,	D3),
+	PINMUX_IPSR_MSEL(IP5_27_24,	MSIOF3_TXD_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_27_24,	VI4_DATA19),
+	PINMUX_IPSR_GPSR(IP5_27_24,	VI5_DATA3),
+
+	PINMUX_IPSR_GPSR(IP5_31_28,	D4),
+	PINMUX_IPSR_MSEL(IP5_31_28,	MSIOF2_SCK_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP5_31_28,	VI4_DATA20),
+	PINMUX_IPSR_GPSR(IP5_31_28,	VI5_DATA4),
+
+	/* IPSR6 */
+	PINMUX_IPSR_GPSR(IP6_3_0,	D5),
+	PINMUX_IPSR_MSEL(IP6_3_0,	MSIOF2_SYNC_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP6_3_0,	VI4_DATA21),
+	PINMUX_IPSR_GPSR(IP6_3_0,	VI5_DATA5),
+
+	PINMUX_IPSR_GPSR(IP6_7_4,	D6),
+	PINMUX_IPSR_MSEL(IP6_7_4,	MSIOF2_RXD_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP6_7_4,	VI4_DATA22),
+	PINMUX_IPSR_GPSR(IP6_7_4,	VI5_DATA6),
+
+	PINMUX_IPSR_GPSR(IP6_11_8,	D7),
+	PINMUX_IPSR_MSEL(IP6_11_8,	MSIOF2_TXD_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP6_11_8,	VI4_DATA23),
+	PINMUX_IPSR_GPSR(IP6_11_8,	VI5_DATA7),
+
+	PINMUX_IPSR_GPSR(IP6_15_12,	D8),
+	PINMUX_IPSR_GPSR(IP6_15_12,	LCDOUT0),
+	PINMUX_IPSR_MSEL(IP6_15_12,	MSIOF2_SCK_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_15_12,	SCK4_C,			SEL_SCIF4_2),
+	PINMUX_IPSR_MSEL(IP6_15_12,	VI4_DATA0_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP6_15_12,	DU_DR0),
+
+	PINMUX_IPSR_GPSR(IP6_19_16,	D9),
+	PINMUX_IPSR_GPSR(IP6_19_16,	LCDOUT1),
+	PINMUX_IPSR_MSEL(IP6_19_16,	MSIOF2_SYNC_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_19_16,	VI4_DATA1_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP6_19_16,	DU_DR1),
+
+	PINMUX_IPSR_GPSR(IP6_23_20,	D10),
+	PINMUX_IPSR_GPSR(IP6_23_20,	LCDOUT2),
+	PINMUX_IPSR_MSEL(IP6_23_20,	MSIOF2_RXD_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_23_20,	HRX3_B,			SEL_HSCIF3_1),
+	PINMUX_IPSR_MSEL(IP6_23_20,	VI4_DATA2_A,		SEL_VIN4_0),
+	PINMUX_IPSR_MSEL(IP6_23_20,	CTS4_N_C,		SEL_SCIF4_2),
+	PINMUX_IPSR_GPSR(IP6_23_20,	DU_DR2),
+
+	PINMUX_IPSR_GPSR(IP6_27_24,	D11),
+	PINMUX_IPSR_GPSR(IP6_27_24,	LCDOUT3),
+	PINMUX_IPSR_MSEL(IP6_27_24,	MSIOF2_TXD_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_27_24,	HTX3_B,			SEL_HSCIF3_1),
+	PINMUX_IPSR_MSEL(IP6_27_24,	VI4_DATA3_A,		SEL_VIN4_0),
+	PINMUX_IPSR_MSEL(IP6_27_24,	RTS4_N_TANS_C,		SEL_SCIF4_2),
+	PINMUX_IPSR_GPSR(IP6_27_24,	DU_DR3),
+
+	PINMUX_IPSR_GPSR(IP6_31_28,	D12),
+	PINMUX_IPSR_GPSR(IP6_31_28,	LCDOUT4),
+	PINMUX_IPSR_MSEL(IP6_31_28,	MSIOF2_SS1_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_31_28,	RX4_C,			SEL_SCIF4_2),
+	PINMUX_IPSR_MSEL(IP6_31_28,	VI4_DATA4_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP6_31_28,	DU_DR4),
+
+	/* IPSR7 */
+	PINMUX_IPSR_GPSR(IP7_3_0,	D13),
+	PINMUX_IPSR_GPSR(IP7_3_0,	LCDOUT5),
+	PINMUX_IPSR_MSEL(IP7_3_0,	MSIOF2_SS2_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP7_3_0,	TX4_C,			SEL_SCIF4_2),
+	PINMUX_IPSR_MSEL(IP7_3_0,	VI4_DATA5_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP7_3_0,	DU_DR5),
+
+	PINMUX_IPSR_GPSR(IP7_7_4,	D14),
+	PINMUX_IPSR_GPSR(IP7_7_4,	LCDOUT6),
+	PINMUX_IPSR_MSEL(IP7_7_4,	MSIOF3_SS1_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_MSEL(IP7_7_4,	HRX3_C,			SEL_HSCIF3_2),
+	PINMUX_IPSR_MSEL(IP7_7_4,	VI4_DATA6_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP7_7_4,	DU_DR6),
+	PINMUX_IPSR_MSEL(IP7_7_4,	SCL6_C,			SEL_I2C6_2),
+
+	PINMUX_IPSR_GPSR(IP7_11_8,	D15),
+	PINMUX_IPSR_GPSR(IP7_11_8,	LCDOUT7),
+	PINMUX_IPSR_MSEL(IP7_11_8,	MSIOF3_SS2_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_MSEL(IP7_11_8,	HTX3_C,			SEL_HSCIF3_2),
+	PINMUX_IPSR_MSEL(IP7_11_8,	VI4_DATA7_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP7_11_8,	DU_DR7),
+	PINMUX_IPSR_MSEL(IP7_11_8,	SDA6_C,			SEL_I2C6_2),
+
+	PINMUX_IPSR_GPSR(IP7_19_16,	SD0_CLK),
+	PINMUX_IPSR_MSEL(IP7_19_16,	MSIOF1_SCK_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_19_16,	STP_OPWM_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP7_23_20,	SD0_CMD),
+	PINMUX_IPSR_MSEL(IP7_23_20,	MSIOF1_SYNC_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_23_20,	STP_IVCXO27_0_B,	SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP7_27_24,	SD0_DAT0),
+	PINMUX_IPSR_MSEL(IP7_27_24,	MSIOF1_RXD_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_27_24,	TS_SCK0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP7_27_24,	STP_ISCLK_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP7_31_28,	SD0_DAT1),
+	PINMUX_IPSR_MSEL(IP7_31_28,	MSIOF1_TXD_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_31_28,	TS_SPSYNC0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP7_31_28,	STP_ISSYNC_0_B,		SEL_SSP1_0_1),
+
+	/* IPSR8 */
+	PINMUX_IPSR_GPSR(IP8_3_0,	SD0_DAT2),
+	PINMUX_IPSR_MSEL(IP8_3_0,	MSIOF1_SS1_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP8_3_0,	TS_SDAT0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP8_3_0,	STP_ISD_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP8_7_4,	SD0_DAT3),
+	PINMUX_IPSR_MSEL(IP8_7_4,	MSIOF1_SS2_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP8_7_4,	TS_SDEN0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP8_7_4,	STP_ISEN_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP8_11_8,	SD1_CLK),
+	PINMUX_IPSR_MSEL(IP8_11_8,	MSIOF1_SCK_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_11_8,	SIM0_CLK_A,		SEL_SIMCARD_0),
+
+	PINMUX_IPSR_GPSR(IP8_15_12,	SD1_CMD),
+	PINMUX_IPSR_MSEL(IP8_15_12,	MSIOF1_SYNC_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_GPSR(IP8_15_12,	NFCE_N_B),
+	PINMUX_IPSR_MSEL(IP8_15_12,	SIM0_D_A,		SEL_SIMCARD_0),
+	PINMUX_IPSR_MSEL(IP8_15_12,	STP_IVCXO27_1_B,	SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_19_16,	SD1_DAT0),
+	PINMUX_IPSR_GPSR(IP8_19_16,	SD2_DAT4),
+	PINMUX_IPSR_MSEL(IP8_19_16,	MSIOF1_RXD_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_GPSR(IP8_19_16,	NFWP_N_B),
+	PINMUX_IPSR_MSEL(IP8_19_16,	TS_SCK1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_19_16,	STP_ISCLK_1_B,		SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_23_20,	SD1_DAT1),
+	PINMUX_IPSR_GPSR(IP8_23_20,	SD2_DAT5),
+	PINMUX_IPSR_MSEL(IP8_23_20,	MSIOF1_TXD_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_GPSR(IP8_23_20,	NFDATA14_B),
+	PINMUX_IPSR_MSEL(IP8_23_20,	TS_SPSYNC1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_23_20,	STP_ISSYNC_1_B,		SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_27_24,	SD1_DAT2),
+	PINMUX_IPSR_GPSR(IP8_27_24,	SD2_DAT6),
+	PINMUX_IPSR_MSEL(IP8_27_24,	MSIOF1_SS1_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_GPSR(IP8_27_24,	NFDATA15_B),
+	PINMUX_IPSR_MSEL(IP8_27_24,	TS_SDAT1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_27_24,	STP_ISD_1_B,		SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_31_28,	SD1_DAT3),
+	PINMUX_IPSR_GPSR(IP8_31_28,	SD2_DAT7),
+	PINMUX_IPSR_MSEL(IP8_31_28,	MSIOF1_SS2_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_GPSR(IP8_31_28,	NFRB_N_B),
+	PINMUX_IPSR_MSEL(IP8_31_28,	TS_SDEN1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_31_28,	STP_ISEN_1_B,		SEL_SSP1_1_1),
+
+	/* IPSR9 */
+	PINMUX_IPSR_GPSR(IP9_3_0,	SD2_CLK),
+	PINMUX_IPSR_GPSR(IP9_3_0,	NFDATA8),
+
+	PINMUX_IPSR_GPSR(IP9_7_4,	SD2_CMD),
+	PINMUX_IPSR_GPSR(IP9_7_4,	NFDATA9),
+
+	PINMUX_IPSR_GPSR(IP9_11_8,	SD2_DAT0),
+	PINMUX_IPSR_GPSR(IP9_11_8,	NFDATA10),
+
+	PINMUX_IPSR_GPSR(IP9_15_12,	SD2_DAT1),
+	PINMUX_IPSR_GPSR(IP9_15_12,	NFDATA11),
+
+	PINMUX_IPSR_GPSR(IP9_19_16,	SD2_DAT2),
+	PINMUX_IPSR_GPSR(IP9_19_16,	NFDATA12),
+
+	PINMUX_IPSR_GPSR(IP9_23_20,	SD2_DAT3),
+	PINMUX_IPSR_GPSR(IP9_23_20,	NFDATA13),
+
+	PINMUX_IPSR_GPSR(IP9_27_24,	SD2_DS),
+	PINMUX_IPSR_GPSR(IP9_27_24,	NFALE),
+	PINMUX_IPSR_GPSR(IP9_27_24,	SATA_DEVSLP_B),
+
+	PINMUX_IPSR_GPSR(IP9_31_28,	SD3_CLK),
+	PINMUX_IPSR_GPSR(IP9_31_28,	NFWE_N),
+
+	/* IPSR10 */
+	PINMUX_IPSR_GPSR(IP10_3_0,	SD3_CMD),
+	PINMUX_IPSR_GPSR(IP10_3_0,	NFRE_N),
+
+	PINMUX_IPSR_GPSR(IP10_7_4,	SD3_DAT0),
+	PINMUX_IPSR_GPSR(IP10_7_4,	NFDATA0),
+
+	PINMUX_IPSR_GPSR(IP10_11_8,	SD3_DAT1),
+	PINMUX_IPSR_GPSR(IP10_11_8,	NFDATA1),
+
+	PINMUX_IPSR_GPSR(IP10_15_12,	SD3_DAT2),
+	PINMUX_IPSR_GPSR(IP10_15_12,	NFDATA2),
+
+	PINMUX_IPSR_GPSR(IP10_19_16,	SD3_DAT3),
+	PINMUX_IPSR_GPSR(IP10_19_16,	NFDATA3),
+
+	PINMUX_IPSR_GPSR(IP10_23_20,	SD3_DAT4),
+	PINMUX_IPSR_MSEL(IP10_23_20,	SD2_CD_A,		SEL_SDHI2_0),
+	PINMUX_IPSR_GPSR(IP10_23_20,	NFDATA4),
+
+	PINMUX_IPSR_GPSR(IP10_27_24,	SD3_DAT5),
+	PINMUX_IPSR_MSEL(IP10_27_24,	SD2_WP_A,		SEL_SDHI2_0),
+	PINMUX_IPSR_GPSR(IP10_27_24,	NFDATA5),
+
+	PINMUX_IPSR_GPSR(IP10_31_28,	SD3_DAT6),
+	PINMUX_IPSR_GPSR(IP10_31_28,	SD3_CD),
+	PINMUX_IPSR_GPSR(IP10_31_28,	NFDATA6),
+
+	/* IPSR11 */
+	PINMUX_IPSR_GPSR(IP11_3_0,	SD3_DAT7),
+	PINMUX_IPSR_GPSR(IP11_3_0,	SD3_WP),
+	PINMUX_IPSR_GPSR(IP11_3_0,	NFDATA7),
+
+	PINMUX_IPSR_GPSR(IP11_7_4,	SD3_DS),
+	PINMUX_IPSR_GPSR(IP11_7_4,	NFCLE),
+
+	PINMUX_IPSR_GPSR(IP11_11_8,	SD0_CD),
+	PINMUX_IPSR_MSEL(IP11_11_8,	SCL2_B,			SEL_I2C2_1),
+	PINMUX_IPSR_MSEL(IP11_11_8,	SIM0_RST_A,		SEL_SIMCARD_0),
+
+	PINMUX_IPSR_GPSR(IP11_15_12,	SD0_WP),
+	PINMUX_IPSR_MSEL(IP11_15_12,	SDA2_B,			SEL_I2C2_1),
+
+	PINMUX_IPSR_GPSR(IP11_19_16,	SD1_CD),
+	PINMUX_IPSR_MSEL(IP11_19_16,	SIM0_CLK_B,		SEL_SIMCARD_1),
+
+	PINMUX_IPSR_GPSR(IP11_23_20,	SD1_WP),
+	PINMUX_IPSR_MSEL(IP11_23_20,	SIM0_D_B,		SEL_SIMCARD_1),
+
+	PINMUX_IPSR_GPSR(IP11_27_24,	SCK0),
+	PINMUX_IPSR_MSEL(IP11_27_24,	HSCK1_B,		SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	MSIOF1_SS2_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	AUDIO_CLKC_B,		SEL_ADG_C_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	SDA2_A,			SEL_I2C2_0),
+	PINMUX_IPSR_MSEL(IP11_27_24,	SIM0_RST_B,		SEL_SIMCARD_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	STP_OPWM_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP11_27_24,	RIF0_CLK_B,		SEL_DRIF0_1),
+	PINMUX_IPSR_GPSR(IP11_27_24,	ADICHS2),
+	PINMUX_IPSR_MSEL(IP11_27_24,	SCK5_B,			SEL_SCIF5_1),
+
+	PINMUX_IPSR_GPSR(IP11_31_28,	RX0),
+	PINMUX_IPSR_MSEL(IP11_31_28,	HRX1_B,			SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP11_31_28,	TS_SCK0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP11_31_28,	STP_ISCLK_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP11_31_28,	RIF0_D0_B,		SEL_DRIF0_1),
+
+	/* IPSR12 */
+	PINMUX_IPSR_GPSR(IP12_3_0,	TX0),
+	PINMUX_IPSR_MSEL(IP12_3_0,	HTX1_B,			SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP12_3_0,	TS_SPSYNC0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP12_3_0,	STP_ISSYNC_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP12_3_0,	RIF0_D1_B,		SEL_DRIF0_1),
+
+	PINMUX_IPSR_GPSR(IP12_7_4,	CTS0_N),
+	PINMUX_IPSR_MSEL(IP12_7_4,	HCTS1_N_B,		SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP12_7_4,	MSIOF1_SYNC_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_7_4,	TS_SPSYNC1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_7_4,	STP_ISSYNC_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_7_4,	RIF1_SYNC_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_7_4,	AUDIO_CLKOUT_C),
+	PINMUX_IPSR_GPSR(IP12_7_4,	ADICS_SAMP),
+
+	PINMUX_IPSR_GPSR(IP12_11_8,	RTS0_N_TANS),
+	PINMUX_IPSR_MSEL(IP12_11_8,	HRTS1_N_B,		SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP12_11_8,	MSIOF1_SS1_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_11_8,	AUDIO_CLKA_B,		SEL_ADG_A_1),
+	PINMUX_IPSR_MSEL(IP12_11_8,	SCL2_A,			SEL_I2C2_0),
+	PINMUX_IPSR_MSEL(IP12_11_8,	STP_IVCXO27_1_C,	SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_11_8,	RIF0_SYNC_B,		SEL_DRIF0_1),
+	PINMUX_IPSR_GPSR(IP12_11_8,	ADICHS1),
+
+	PINMUX_IPSR_MSEL(IP12_15_12,	RX1_A,			SEL_SCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_15_12,	HRX1_A,			SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_15_12,	TS_SDAT0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP12_15_12,	STP_ISD_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP12_15_12,	RIF1_CLK_C,		SEL_DRIF1_2),
+
+	PINMUX_IPSR_MSEL(IP12_19_16,	TX1_A,			SEL_SCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_19_16,	HTX1_A,			SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_19_16,	TS_SDEN0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP12_19_16,	STP_ISEN_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP12_19_16,	RIF1_D0_C,		SEL_DRIF1_2),
+
+	PINMUX_IPSR_GPSR(IP12_23_20,	CTS1_N),
+	PINMUX_IPSR_MSEL(IP12_23_20,	HCTS1_N_A,		SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_23_20,	MSIOF1_RXD_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_23_20,	TS_SDEN1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_23_20,	STP_ISEN_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_23_20,	RIF1_D0_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_23_20,	ADIDATA),
+
+	PINMUX_IPSR_GPSR(IP12_27_24,	RTS1_N_TANS),
+	PINMUX_IPSR_MSEL(IP12_27_24,	HRTS1_N_A,		SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_27_24,	MSIOF1_TXD_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_27_24,	TS_SDAT1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_27_24,	STP_ISD_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_27_24,	RIF1_D1_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_27_24,	ADICHS0),
+
+	PINMUX_IPSR_GPSR(IP12_31_28,	SCK2),
+	PINMUX_IPSR_MSEL(IP12_31_28,	SCIF_CLK_B,		SEL_SCIF_1),
+	PINMUX_IPSR_MSEL(IP12_31_28,	MSIOF1_SCK_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_31_28,	TS_SCK1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_31_28,	STP_ISCLK_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_31_28,	RIF1_CLK_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_31_28,	ADICLK),
+
+	/* IPSR13 */
+	PINMUX_IPSR_MSEL(IP13_3_0,	TX2_A,			SEL_SCIF2_0),
+	PINMUX_IPSR_MSEL(IP13_3_0,	SD2_CD_B,		SEL_SDHI2_1),
+	PINMUX_IPSR_MSEL(IP13_3_0,	SCL1_A,			SEL_I2C1_0),
+	PINMUX_IPSR_MSEL(IP13_3_0,	FMCLK_A,		SEL_FM_0),
+	PINMUX_IPSR_MSEL(IP13_3_0,	RIF1_D1_C,		SEL_DRIF1_2),
+	PINMUX_IPSR_GPSR(IP13_3_0,	FSO_CFE_0_N),
+
+	PINMUX_IPSR_MSEL(IP13_7_4,	RX2_A,			SEL_SCIF2_0),
+	PINMUX_IPSR_MSEL(IP13_7_4,	SD2_WP_B,		SEL_SDHI2_1),
+	PINMUX_IPSR_MSEL(IP13_7_4,	SDA1_A,			SEL_I2C1_0),
+	PINMUX_IPSR_MSEL(IP13_7_4,	FMIN_A,			SEL_FM_0),
+	PINMUX_IPSR_MSEL(IP13_7_4,	RIF1_SYNC_C,		SEL_DRIF1_2),
+	PINMUX_IPSR_GPSR(IP13_7_4,	FSO_CFE_1_N),
+
+	PINMUX_IPSR_GPSR(IP13_11_8,	HSCK0),
+	PINMUX_IPSR_MSEL(IP13_11_8,	MSIOF1_SCK_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_11_8,	AUDIO_CLKB_A,		SEL_ADG_B_0),
+	PINMUX_IPSR_MSEL(IP13_11_8,	SSI_SDATA1_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP13_11_8,	TS_SCK0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_11_8,	STP_ISCLK_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_11_8,	RIF0_CLK_C,		SEL_DRIF0_2),
+	PINMUX_IPSR_MSEL(IP13_11_8,	RX5_B,			SEL_SCIF5_1),
+
+	PINMUX_IPSR_GPSR(IP13_15_12,	HRX0),
+	PINMUX_IPSR_MSEL(IP13_15_12,	MSIOF1_RXD_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_15_12,	SSI_SDATA2_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP13_15_12,	TS_SDEN0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_15_12,	STP_ISEN_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_15_12,	RIF0_D0_C,		SEL_DRIF0_2),
+
+	PINMUX_IPSR_GPSR(IP13_19_16,	HTX0),
+	PINMUX_IPSR_MSEL(IP13_19_16,	MSIOF1_TXD_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_19_16,	SSI_SDATA9_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP13_19_16,	TS_SDAT0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_19_16,	STP_ISD_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_19_16,	RIF0_D1_C,		SEL_DRIF0_2),
+
+	PINMUX_IPSR_GPSR(IP13_23_20,	HCTS0_N),
+	PINMUX_IPSR_MSEL(IP13_23_20,	RX2_B,			SEL_SCIF2_1),
+	PINMUX_IPSR_MSEL(IP13_23_20,	MSIOF1_SYNC_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_23_20,	SSI_SCK9_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP13_23_20,	TS_SPSYNC0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_23_20,	STP_ISSYNC_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_23_20,	RIF0_SYNC_C,		SEL_DRIF0_2),
+	PINMUX_IPSR_GPSR(IP13_23_20,	AUDIO_CLKOUT1_A),
+
+	PINMUX_IPSR_GPSR(IP13_27_24,	HRTS0_N),
+	PINMUX_IPSR_MSEL(IP13_27_24,	TX2_B,			SEL_SCIF2_1),
+	PINMUX_IPSR_MSEL(IP13_27_24,	MSIOF1_SS1_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_27_24,	SSI_WS9_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP13_27_24,	STP_IVCXO27_0_D,	SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_27_24,	BPFCLK_A,		SEL_FM_0),
+	PINMUX_IPSR_GPSR(IP13_27_24,	AUDIO_CLKOUT2_A),
+
+	PINMUX_IPSR_GPSR(IP13_31_28,	MSIOF0_SYNC),
+	PINMUX_IPSR_GPSR(IP13_31_28,	AUDIO_CLKOUT_A),
+	PINMUX_IPSR_MSEL(IP13_31_28,	TX5_B,			SEL_SCIF5_1),
+	PINMUX_IPSR_MSEL(IP13_31_28,	BPFCLK_D,		SEL_FM_3),
+
+	/* IPSR14 */
+	PINMUX_IPSR_GPSR(IP14_3_0,	MSIOF0_SS1),
+	PINMUX_IPSR_MSEL(IP14_3_0,	RX5_A,			SEL_SCIF5_0),
+	PINMUX_IPSR_GPSR(IP14_3_0,	NFWP_N_A),
+	PINMUX_IPSR_MSEL(IP14_3_0,	AUDIO_CLKA_C,		SEL_ADG_A_2),
+	PINMUX_IPSR_MSEL(IP14_3_0,	SSI_SCK2_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP14_3_0,	STP_IVCXO27_0_C,	SEL_SSP1_0_2),
+	PINMUX_IPSR_GPSR(IP14_3_0,	AUDIO_CLKOUT3_A),
+	PINMUX_IPSR_MSEL(IP14_3_0,	TCLK1_B,		SEL_TIMER_TMU1_1),
+
+	PINMUX_IPSR_GPSR(IP14_7_4,	MSIOF0_SS2),
+	PINMUX_IPSR_MSEL(IP14_7_4,	TX5_A,			SEL_SCIF5_0),
+	PINMUX_IPSR_MSEL(IP14_7_4,	MSIOF1_SS2_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP14_7_4,	AUDIO_CLKC_A,		SEL_ADG_C_0),
+	PINMUX_IPSR_MSEL(IP14_7_4,	SSI_WS2_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP14_7_4,	STP_OPWM_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_GPSR(IP14_7_4,	AUDIO_CLKOUT_D),
+	PINMUX_IPSR_MSEL(IP14_7_4,	SPEEDIN_B,		SEL_SPEED_PULSE_1),
+
+	PINMUX_IPSR_GPSR(IP14_11_8,	MLB_CLK),
+	PINMUX_IPSR_MSEL(IP14_11_8,	MSIOF1_SCK_F,		SEL_MSIOF1_5),
+	PINMUX_IPSR_MSEL(IP14_11_8,	SCL1_B,			SEL_I2C1_1),
+
+	PINMUX_IPSR_GPSR(IP14_15_12,	MLB_SIG),
+	PINMUX_IPSR_MSEL(IP14_15_12,	RX1_B,			SEL_SCIF1_1),
+	PINMUX_IPSR_MSEL(IP14_15_12,	MSIOF1_SYNC_F,		SEL_MSIOF1_5),
+	PINMUX_IPSR_MSEL(IP14_15_12,	SDA1_B,			SEL_I2C1_1),
+
+	PINMUX_IPSR_GPSR(IP14_19_16,	MLB_DAT),
+	PINMUX_IPSR_MSEL(IP14_19_16,	TX1_B,			SEL_SCIF1_1),
+	PINMUX_IPSR_MSEL(IP14_19_16,	MSIOF1_RXD_F,		SEL_MSIOF1_5),
+
+	PINMUX_IPSR_GPSR(IP14_23_20,	SSI_SCK01239),
+	PINMUX_IPSR_MSEL(IP14_23_20,	MSIOF1_TXD_F,		SEL_MSIOF1_5),
+
+	PINMUX_IPSR_GPSR(IP14_27_24,	SSI_WS01239),
+	PINMUX_IPSR_MSEL(IP14_27_24,	MSIOF1_SS1_F,		SEL_MSIOF1_5),
+
+	PINMUX_IPSR_GPSR(IP14_31_28,	SSI_SDATA0),
+	PINMUX_IPSR_MSEL(IP14_31_28,	MSIOF1_SS2_F,		SEL_MSIOF1_5),
+
+	/* IPSR15 */
+	PINMUX_IPSR_MSEL(IP15_3_0,	SSI_SDATA1_A,		SEL_SSI_0),
+
+	PINMUX_IPSR_MSEL(IP15_7_4,	SSI_SDATA2_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP15_7_4,	SSI_SCK1_B,		SEL_SSI_1),
+
+	PINMUX_IPSR_GPSR(IP15_11_8,	SSI_SCK349),
+	PINMUX_IPSR_MSEL(IP15_11_8,	MSIOF1_SS1_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_11_8,	STP_OPWM_0_A,		SEL_SSP1_0_0),
+
+	PINMUX_IPSR_GPSR(IP15_15_12,	SSI_WS349),
+	PINMUX_IPSR_MSEL(IP15_15_12,	HCTS2_N_A,		SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_15_12,	MSIOF1_SS2_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_15_12,	STP_IVCXO27_0_A,	SEL_SSP1_0_0),
+
+	PINMUX_IPSR_GPSR(IP15_19_16,	SSI_SDATA3),
+	PINMUX_IPSR_MSEL(IP15_19_16,	HRTS2_N_A,		SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	MSIOF1_TXD_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	TS_SCK0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	STP_ISCLK_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	RIF0_D1_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	RIF2_D0_A,		SEL_DRIF2_0),
+
+	PINMUX_IPSR_GPSR(IP15_23_20,	SSI_SCK4),
+	PINMUX_IPSR_MSEL(IP15_23_20,	HRX2_A,			SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	MSIOF1_SCK_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	TS_SDAT0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	STP_ISD_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	RIF0_CLK_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	RIF2_CLK_A,		SEL_DRIF2_0),
+
+	PINMUX_IPSR_GPSR(IP15_27_24,	SSI_WS4),
+	PINMUX_IPSR_MSEL(IP15_27_24,	HTX2_A,			SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	MSIOF1_SYNC_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	TS_SDEN0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	STP_ISEN_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	RIF0_SYNC_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	RIF2_SYNC_A,		SEL_DRIF2_0),
+
+	PINMUX_IPSR_GPSR(IP15_31_28,	SSI_SDATA4),
+	PINMUX_IPSR_MSEL(IP15_31_28,	HSCK2_A,		SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	MSIOF1_RXD_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	TS_SPSYNC0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	STP_ISSYNC_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	RIF0_D0_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	RIF2_D1_A,		SEL_DRIF2_0),
+
+	/* IPSR16 */
+	PINMUX_IPSR_GPSR(IP16_3_0,	SSI_SCK6),
+	PINMUX_IPSR_GPSR(IP16_3_0,	USB2_PWEN),
+	PINMUX_IPSR_MSEL(IP16_3_0,	SIM0_RST_D,		SEL_SIMCARD_3),
+
+	PINMUX_IPSR_GPSR(IP16_7_4,	SSI_WS6),
+	PINMUX_IPSR_GPSR(IP16_7_4,	USB2_OVC),
+	PINMUX_IPSR_MSEL(IP16_7_4,	SIM0_D_D,		SEL_SIMCARD_3),
+
+	PINMUX_IPSR_GPSR(IP16_11_8,	SSI_SDATA6),
+	PINMUX_IPSR_MSEL(IP16_11_8,	SIM0_CLK_D,		SEL_SIMCARD_3),
+	PINMUX_IPSR_GPSR(IP16_11_8,	SATA_DEVSLP_A),
+
+	PINMUX_IPSR_GPSR(IP16_15_12,	SSI_SCK78),
+	PINMUX_IPSR_MSEL(IP16_15_12,	HRX2_B,			SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_15_12,	MSIOF1_SCK_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_15_12,	TS_SCK1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_15_12,	STP_ISCLK_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_15_12,	RIF1_CLK_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_15_12,	RIF3_CLK_A,		SEL_DRIF3_0),
+
+	PINMUX_IPSR_GPSR(IP16_19_16,	SSI_WS78),
+	PINMUX_IPSR_MSEL(IP16_19_16,	HTX2_B,			SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_19_16,	MSIOF1_SYNC_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_19_16,	TS_SDAT1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_19_16,	STP_ISD_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_19_16,	RIF1_SYNC_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_19_16,	RIF3_SYNC_A,		SEL_DRIF3_0),
+
+	PINMUX_IPSR_GPSR(IP16_23_20,	SSI_SDATA7),
+	PINMUX_IPSR_MSEL(IP16_23_20,	HCTS2_N_B,		SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_23_20,	MSIOF1_RXD_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_23_20,	TS_SDEN1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	STP_ISEN_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	RIF1_D0_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	RIF3_D0_A,		SEL_DRIF3_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	TCLK2_A,		SEL_TIMER_TMU2_0),
+
+	PINMUX_IPSR_GPSR(IP16_27_24,	SSI_SDATA8),
+	PINMUX_IPSR_MSEL(IP16_27_24,	HRTS2_N_B,		SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_27_24,	MSIOF1_TXD_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_27_24,	TS_SPSYNC1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_27_24,	STP_ISSYNC_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_27_24,	RIF1_D1_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_27_24,	RIF3_D1_A,		SEL_DRIF3_0),
+
+	PINMUX_IPSR_MSEL(IP16_31_28,	SSI_SDATA9_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP16_31_28,	HSCK2_B,		SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_31_28,	MSIOF1_SS1_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_31_28,	HSCK1_A,		SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP16_31_28,	SSI_WS1_B,		SEL_SSI_1),
+	PINMUX_IPSR_GPSR(IP16_31_28,	SCK1),
+	PINMUX_IPSR_MSEL(IP16_31_28,	STP_IVCXO27_1_A,	SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_31_28,	SCK5_A,			SEL_SCIF5_0),
+
+	/* IPSR17 */
+	PINMUX_IPSR_MSEL(IP17_3_0,	AUDIO_CLKA_A,		SEL_ADG_A_0),
+	PINMUX_IPSR_GPSR(IP17_3_0,	CC5_OSCOUT),
+
+	PINMUX_IPSR_MSEL(IP17_7_4,	AUDIO_CLKB_B,		SEL_ADG_B_1),
+	PINMUX_IPSR_MSEL(IP17_7_4,	SCIF_CLK_A,		SEL_SCIF_0),
+	PINMUX_IPSR_MSEL(IP17_7_4,	STP_IVCXO27_1_D,	SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_7_4,	REMOCON_A,		SEL_REMOCON_0),
+	PINMUX_IPSR_MSEL(IP17_7_4,	TCLK1_A,		SEL_TIMER_TMU1_0),
+
+	PINMUX_IPSR_GPSR(IP17_11_8,	USB0_PWEN),
+	PINMUX_IPSR_MSEL(IP17_11_8,	SIM0_RST_C,		SEL_SIMCARD_2),
+	PINMUX_IPSR_MSEL(IP17_11_8,	TS_SCK1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_11_8,	STP_ISCLK_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_11_8,	BPFCLK_B,		SEL_FM_1),
+	PINMUX_IPSR_MSEL(IP17_11_8,	RIF3_CLK_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_MSEL(IP17_11_8,	HSCK2_C,		SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_15_12,	USB0_OVC),
+	PINMUX_IPSR_MSEL(IP17_15_12,	SIM0_D_C,		SEL_SIMCARD_2),
+	PINMUX_IPSR_MSEL(IP17_15_12,	TS_SDAT1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_15_12,	STP_ISD_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_15_12,	RIF3_SYNC_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_MSEL(IP17_15_12,	HRX2_C,			SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_19_16,	USB1_PWEN),
+	PINMUX_IPSR_MSEL(IP17_19_16,	SIM0_CLK_C,		SEL_SIMCARD_2),
+	PINMUX_IPSR_MSEL(IP17_19_16,	SSI_SCK1_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP17_19_16,	TS_SCK0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP17_19_16,	STP_ISCLK_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_19_16,	FMCLK_B,		SEL_FM_1),
+	PINMUX_IPSR_MSEL(IP17_19_16,	RIF2_CLK_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_MSEL(IP17_19_16,	SPEEDIN_A,		SEL_SPEED_PULSE_0),
+	PINMUX_IPSR_MSEL(IP17_19_16,	HTX2_C,			SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_23_20,	USB1_OVC),
+	PINMUX_IPSR_MSEL(IP17_23_20,	MSIOF1_SS2_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP17_23_20,	SSI_WS1_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP17_23_20,	TS_SDAT0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP17_23_20,	STP_ISD_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_23_20,	FMIN_B,			SEL_FM_1),
+	PINMUX_IPSR_MSEL(IP17_23_20,	RIF2_SYNC_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_MSEL(IP17_23_20,	REMOCON_B,		SEL_REMOCON_1),
+	PINMUX_IPSR_MSEL(IP17_23_20,	HCTS2_N_C,		SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_27_24,	USB30_PWEN),
+	PINMUX_IPSR_GPSR(IP17_27_24,	AUDIO_CLKOUT_B),
+	PINMUX_IPSR_MSEL(IP17_27_24,	SSI_SCK2_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP17_27_24,	TS_SDEN1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_27_24,	STP_ISEN_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_27_24,	STP_OPWM_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_27_24,	RIF3_D0_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_MSEL(IP17_27_24,	TCLK2_B,		SEL_TIMER_TMU2_1),
+	PINMUX_IPSR_GPSR(IP17_27_24,	TPU0TO0),
+	PINMUX_IPSR_MSEL(IP17_27_24,	BPFCLK_C,		SEL_FM_2),
+	PINMUX_IPSR_MSEL(IP17_27_24,	HRTS2_N_C,		SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_31_28,	USB30_OVC),
+	PINMUX_IPSR_GPSR(IP17_31_28,	AUDIO_CLKOUT1_B),
+	PINMUX_IPSR_MSEL(IP17_31_28,	SSI_WS2_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP17_31_28,	TS_SPSYNC1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_31_28,	STP_ISSYNC_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_31_28,	STP_IVCXO27_0_E,	SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_31_28,	RIF3_D1_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_GPSR(IP17_31_28,	FSO_TOE_N),
+	PINMUX_IPSR_GPSR(IP17_31_28,	TPU0TO1),
+
+	/* IPSR18 */
+	PINMUX_IPSR_GPSR(IP18_3_0,	USB2_CH3_PWEN),
+	PINMUX_IPSR_GPSR(IP18_3_0,	AUDIO_CLKOUT2_B),
+	PINMUX_IPSR_MSEL(IP18_3_0,	SSI_SCK9_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP18_3_0,	TS_SDEN0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP18_3_0,	STP_ISEN_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP18_3_0,	RIF2_D0_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_GPSR(IP18_3_0,	TPU0TO2),
+	PINMUX_IPSR_MSEL(IP18_3_0,	FMCLK_C,		SEL_FM_2),
+	PINMUX_IPSR_MSEL(IP18_3_0,	FMCLK_D,		SEL_FM_3),
+
+	PINMUX_IPSR_GPSR(IP18_7_4,	USB2_CH3_OVC),
+	PINMUX_IPSR_GPSR(IP18_7_4,	AUDIO_CLKOUT3_B),
+	PINMUX_IPSR_MSEL(IP18_7_4,	SSI_WS9_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP18_7_4,	TS_SPSYNC0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP18_7_4,	STP_ISSYNC_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP18_7_4,	RIF2_D1_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_GPSR(IP18_7_4,	TPU0TO3),
+	PINMUX_IPSR_MSEL(IP18_7_4,	FMIN_C,			SEL_FM_2),
+	PINMUX_IPSR_MSEL(IP18_7_4,	FMIN_D,			SEL_FM_3),
+
+/*
+ * Static pins can not be muxed between different functions but
+ * still needs a mark entry in the pinmux list. Add each static
+ * pin to the list without an associated function. The sh-pfc
+ * core will do the right thing and skip trying to mux then pin
+ * while still applying configuration to it
+ */
+#define FM(x)	PINMUX_DATA(x##_MARK, 0),
+	PINMUX_STATIC
+#undef FM
+};
+
+/*
+ * R8A7795 has 8 banks with 32 PGIOS in each => 256 GPIOs.
+ * Physical layout rows: A - AW, cols: 1 - 39.
+ */
+#define ROW_GROUP_A(r) ('Z' - 'A' + 1 + (r))
+#define PIN_NUMBER(r, c) (((r) - 'A') * 39 + (c) + 300)
+#define PIN_A_NUMBER(r, c) PIN_NUMBER(ROW_GROUP_A(r), c)
+
+static const struct sh_pfc_pin pinmux_pins[] = {
+	PINMUX_GPIO_GP_ALL(),
+
+	/*
+	 * Pins not associated with a GPIO port.
+	 *
+	 * The pin positions are different between different r8a7795
+	 * packages, all that is needed for the pfc driver is a unique
+	 * number for each pin. To this end use the pin layout from
+	 * R-Car H3SiP to calculate a unique number for each pin.
+	 */
+	SH_PFC_PIN_NAMED_CFG('A',  8, AVB_TX_CTL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A',  9, AVB_MDIO, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 12, AVB_TXCREFCLK, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 13, AVB_RD0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 14, AVB_RD2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 16, AVB_RX_CTL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 17, AVB_TD2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 18, AVB_TD0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 19, AVB_TXC, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 13, AVB_RD1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 14, AVB_RD3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 17, AVB_TD3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 18, AVB_TD1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 19, AVB_RXC, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('C',  1, PRESETOUT#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('F',  1, CLKOUT, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('H', 37, MLB_REF, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  3, QSPI1_SPCLK, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  5, QSPI1_SSL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  6, RPC_WP#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  7, RPC_RESET#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('W',  3, QSPI0_SPCLK, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('Y',  3, QSPI0_SSL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('Y',  6, QSPI0_IO2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('Y',  7, RPC_INT#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('B'),  4, QSPI0_MISO_IO1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('B'),  6, QSPI0_IO3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('C'),  3, QSPI1_IO3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('C'),  5, QSPI0_MOSI_IO0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('C'),  7, QSPI1_MOSI_IO0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('D'), 38, FSCLKST#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('D'), 39, EXTALR, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('E'),  4, QSPI1_IO2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('E'),  5, QSPI1_MISO_IO1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('P'),  7, DU_DOTCLKIN0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('P'),  8, DU_DOTCLKIN1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'),  7, DU_DOTCLKIN2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'),  8, DU_DOTCLKIN3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'), 26, TRST#, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'), 29, TDI, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'), 30, TMS, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('T'), 27, TCK, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('T'), 28, TDO, SH_PFC_PIN_CFG_DRIVE_STRENGTH),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('T'), 30, ASEBRK, CFG_FLAGS),
+};
+
+/* - EtherAVB --------------------------------------------------------------- */
+static const unsigned int avb_link_pins[] = {
+	/* AVB_LINK */
+	RCAR_GP_PIN(2, 12),
+};
+static const unsigned int avb_link_mux[] = {
+	AVB_LINK_MARK,
+};
+static const unsigned int avb_magic_pins[] = {
+	/* AVB_MAGIC_ */
+	RCAR_GP_PIN(2, 10),
+};
+static const unsigned int avb_magic_mux[] = {
+	AVB_MAGIC_MARK,
+};
+static const unsigned int avb_phy_int_pins[] = {
+	/* AVB_PHY_INT */
+	RCAR_GP_PIN(2, 11),
+};
+static const unsigned int avb_phy_int_mux[] = {
+	AVB_PHY_INT_MARK,
+};
+static const unsigned int avb_mdc_pins[] = {
+	/* AVB_MDC, AVB_MDIO */
+	RCAR_GP_PIN(2, 9), PIN_NUMBER('A', 9),
+};
+static const unsigned int avb_mdc_mux[] = {
+	AVB_MDC_MARK, AVB_MDIO_MARK,
+};
+static const unsigned int avb_mii_pins[] = {
+	/*
+	 * AVB_TX_CTL, AVB_TXC, AVB_TD0,
+	 * AVB_TD1, AVB_TD2, AVB_TD3,
+	 * AVB_RX_CTL, AVB_RXC, AVB_RD0,
+	 * AVB_RD1, AVB_RD2, AVB_RD3,
+	 * AVB_TXCREFCLK
+	 */
+	PIN_NUMBER('A', 8), PIN_NUMBER('A', 19), PIN_NUMBER('A', 18),
+	PIN_NUMBER('B', 18), PIN_NUMBER('A', 17), PIN_NUMBER('B', 17),
+	PIN_NUMBER('A', 16), PIN_NUMBER('B', 19), PIN_NUMBER('A', 13),
+	PIN_NUMBER('B', 13), PIN_NUMBER('A', 14), PIN_NUMBER('B', 14),
+	PIN_NUMBER('A', 12),
+
+};
+static const unsigned int avb_mii_mux[] = {
+	AVB_TX_CTL_MARK, AVB_TXC_MARK, AVB_TD0_MARK,
+	AVB_TD1_MARK, AVB_TD2_MARK, AVB_TD3_MARK,
+	AVB_RX_CTL_MARK, AVB_RXC_MARK, AVB_RD0_MARK,
+	AVB_RD1_MARK, AVB_RD2_MARK, AVB_RD3_MARK,
+	AVB_TXCREFCLK_MARK,
+};
+static const unsigned int avb_avtp_pps_pins[] = {
+	/* AVB_AVTP_PPS */
+	RCAR_GP_PIN(2, 6),
+};
+static const unsigned int avb_avtp_pps_mux[] = {
+	AVB_AVTP_PPS_MARK,
+};
+static const unsigned int avb_avtp_match_a_pins[] = {
+	/* AVB_AVTP_MATCH_A */
+	RCAR_GP_PIN(2, 13),
+};
+static const unsigned int avb_avtp_match_a_mux[] = {
+	AVB_AVTP_MATCH_A_MARK,
+};
+static const unsigned int avb_avtp_capture_a_pins[] = {
+	/* AVB_AVTP_CAPTURE_A */
+	RCAR_GP_PIN(2, 14),
+};
+static const unsigned int avb_avtp_capture_a_mux[] = {
+	AVB_AVTP_CAPTURE_A_MARK,
+};
+static const unsigned int avb_avtp_match_b_pins[] = {
+	/*  AVB_AVTP_MATCH_B */
+	RCAR_GP_PIN(1, 8),
+};
+static const unsigned int avb_avtp_match_b_mux[] = {
+	AVB_AVTP_MATCH_B_MARK,
+};
+static const unsigned int avb_avtp_capture_b_pins[] = {
+	/* AVB_AVTP_CAPTURE_B */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int avb_avtp_capture_b_mux[] = {
+	AVB_AVTP_CAPTURE_B_MARK,
+};
+
+/* - DRIF0 --------------------------------------------------------------- */
+static const unsigned int drif0_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
+};
+static const unsigned int drif0_ctrl_a_mux[] = {
+	RIF0_CLK_A_MARK, RIF0_SYNC_A_MARK,
+};
+static const unsigned int drif0_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int drif0_data0_a_mux[] = {
+	RIF0_D0_A_MARK,
+};
+static const unsigned int drif0_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int drif0_data1_a_mux[] = {
+	RIF0_D1_A_MARK,
+};
+static const unsigned int drif0_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 0), RCAR_GP_PIN(5, 4),
+};
+static const unsigned int drif0_ctrl_b_mux[] = {
+	RIF0_CLK_B_MARK, RIF0_SYNC_B_MARK,
+};
+static const unsigned int drif0_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 1),
+};
+static const unsigned int drif0_data0_b_mux[] = {
+	RIF0_D0_B_MARK,
+};
+static const unsigned int drif0_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 2),
+};
+static const unsigned int drif0_data1_b_mux[] = {
+	RIF0_D1_B_MARK,
+};
+static const unsigned int drif0_ctrl_c_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 12), RCAR_GP_PIN(5, 15),
+};
+static const unsigned int drif0_ctrl_c_mux[] = {
+	RIF0_CLK_C_MARK, RIF0_SYNC_C_MARK,
+};
+static const unsigned int drif0_data0_c_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 13),
+};
+static const unsigned int drif0_data0_c_mux[] = {
+	RIF0_D0_C_MARK,
+};
+static const unsigned int drif0_data1_c_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 14),
+};
+static const unsigned int drif0_data1_c_mux[] = {
+	RIF0_D1_C_MARK,
+};
+/* - DRIF1 --------------------------------------------------------------- */
+static const unsigned int drif1_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
+};
+static const unsigned int drif1_ctrl_a_mux[] = {
+	RIF1_CLK_A_MARK, RIF1_SYNC_A_MARK,
+};
+static const unsigned int drif1_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int drif1_data0_a_mux[] = {
+	RIF1_D0_A_MARK,
+};
+static const unsigned int drif1_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int drif1_data1_a_mux[] = {
+	RIF1_D1_A_MARK,
+};
+static const unsigned int drif1_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 9), RCAR_GP_PIN(5, 3),
+};
+static const unsigned int drif1_ctrl_b_mux[] = {
+	RIF1_CLK_B_MARK, RIF1_SYNC_B_MARK,
+};
+static const unsigned int drif1_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 7),
+};
+static const unsigned int drif1_data0_b_mux[] = {
+	RIF1_D0_B_MARK,
+};
+static const unsigned int drif1_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 8),
+};
+static const unsigned int drif1_data1_b_mux[] = {
+	RIF1_D1_B_MARK,
+};
+static const unsigned int drif1_ctrl_c_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 11),
+};
+static const unsigned int drif1_ctrl_c_mux[] = {
+	RIF1_CLK_C_MARK, RIF1_SYNC_C_MARK,
+};
+static const unsigned int drif1_data0_c_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 6),
+};
+static const unsigned int drif1_data0_c_mux[] = {
+	RIF1_D0_C_MARK,
+};
+static const unsigned int drif1_data1_c_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 10),
+};
+static const unsigned int drif1_data1_c_mux[] = {
+	RIF1_D1_C_MARK,
+};
+/* - DRIF2 --------------------------------------------------------------- */
+static const unsigned int drif2_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
+};
+static const unsigned int drif2_ctrl_a_mux[] = {
+	RIF2_CLK_A_MARK, RIF2_SYNC_A_MARK,
+};
+static const unsigned int drif2_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int drif2_data0_a_mux[] = {
+	RIF2_D0_A_MARK,
+};
+static const unsigned int drif2_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int drif2_data1_a_mux[] = {
+	RIF2_D1_A_MARK,
+};
+static const unsigned int drif2_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
+};
+static const unsigned int drif2_ctrl_b_mux[] = {
+	RIF2_CLK_B_MARK, RIF2_SYNC_B_MARK,
+};
+static const unsigned int drif2_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 30),
+};
+static const unsigned int drif2_data0_b_mux[] = {
+	RIF2_D0_B_MARK,
+};
+static const unsigned int drif2_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 31),
+};
+static const unsigned int drif2_data1_b_mux[] = {
+	RIF2_D1_B_MARK,
+};
+/* - DRIF3 --------------------------------------------------------------- */
+static const unsigned int drif3_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
+};
+static const unsigned int drif3_ctrl_a_mux[] = {
+	RIF3_CLK_A_MARK, RIF3_SYNC_A_MARK,
+};
+static const unsigned int drif3_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int drif3_data0_a_mux[] = {
+	RIF3_D0_A_MARK,
+};
+static const unsigned int drif3_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int drif3_data1_a_mux[] = {
+	RIF3_D1_A_MARK,
+};
+static const unsigned int drif3_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 24), RCAR_GP_PIN(6, 25),
+};
+static const unsigned int drif3_ctrl_b_mux[] = {
+	RIF3_CLK_B_MARK, RIF3_SYNC_B_MARK,
+};
+static const unsigned int drif3_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 28),
+};
+static const unsigned int drif3_data0_b_mux[] = {
+	RIF3_D0_B_MARK,
+};
+static const unsigned int drif3_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 29),
+};
+static const unsigned int drif3_data1_b_mux[] = {
+	RIF3_D1_B_MARK,
+};
+
+/* - DU --------------------------------------------------------------------- */
+static const unsigned int du_rgb666_pins[] = {
+	/* R[7:2], G[7:2], B[7:2] */
+	RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 13),
+	RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
+	RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 13),
+	RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 19), RCAR_GP_PIN(1, 18),
+	RCAR_GP_PIN(1, 7),  RCAR_GP_PIN(1, 6),  RCAR_GP_PIN(1, 5),
+	RCAR_GP_PIN(1, 4),  RCAR_GP_PIN(1, 3),  RCAR_GP_PIN(1, 2),
+};
+static const unsigned int du_rgb666_mux[] = {
+	DU_DR7_MARK, DU_DR6_MARK, DU_DR5_MARK, DU_DR4_MARK,
+	DU_DR3_MARK, DU_DR2_MARK,
+	DU_DG7_MARK, DU_DG6_MARK, DU_DG5_MARK, DU_DG4_MARK,
+	DU_DG3_MARK, DU_DG2_MARK,
+	DU_DB7_MARK, DU_DB6_MARK, DU_DB5_MARK, DU_DB4_MARK,
+	DU_DB3_MARK, DU_DB2_MARK,
+};
+static const unsigned int du_rgb888_pins[] = {
+	/* R[7:0], G[7:0], B[7:0] */
+	RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 13),
+	RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
+	RCAR_GP_PIN(0, 9),  RCAR_GP_PIN(0, 8),
+	RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 13),
+	RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 19), RCAR_GP_PIN(1, 18),
+	RCAR_GP_PIN(1, 17), RCAR_GP_PIN(1, 16),
+	RCAR_GP_PIN(1, 7),  RCAR_GP_PIN(1, 6),  RCAR_GP_PIN(1, 5),
+	RCAR_GP_PIN(1, 4),  RCAR_GP_PIN(1, 3),  RCAR_GP_PIN(1, 2),
+	RCAR_GP_PIN(1, 1),  RCAR_GP_PIN(1, 0),
+};
+static const unsigned int du_rgb888_mux[] = {
+	DU_DR7_MARK, DU_DR6_MARK, DU_DR5_MARK, DU_DR4_MARK,
+	DU_DR3_MARK, DU_DR2_MARK, DU_DR1_MARK, DU_DR0_MARK,
+	DU_DG7_MARK, DU_DG6_MARK, DU_DG5_MARK, DU_DG4_MARK,
+	DU_DG3_MARK, DU_DG2_MARK, DU_DG1_MARK, DU_DG0_MARK,
+	DU_DB7_MARK, DU_DB6_MARK, DU_DB5_MARK, DU_DB4_MARK,
+	DU_DB3_MARK, DU_DB2_MARK, DU_DB1_MARK, DU_DB0_MARK,
+};
+static const unsigned int du_clk_out_0_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(1, 27),
+};
+static const unsigned int du_clk_out_0_mux[] = {
+	DU_DOTCLKOUT0_MARK
+};
+static const unsigned int du_clk_out_1_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(2, 3),
+};
+static const unsigned int du_clk_out_1_mux[] = {
+	DU_DOTCLKOUT1_MARK
+};
+static const unsigned int du_sync_pins[] = {
+	/* EXVSYNC/VSYNC, EXHSYNC/HSYNC */
+	RCAR_GP_PIN(2, 5), RCAR_GP_PIN(2, 4),
+};
+static const unsigned int du_sync_mux[] = {
+	DU_EXVSYNC_DU_VSYNC_MARK, DU_EXHSYNC_DU_HSYNC_MARK
+};
+static const unsigned int du_oddf_pins[] = {
+	/* EXDISP/EXODDF/EXCDE */
+	RCAR_GP_PIN(2, 2),
+};
+static const unsigned int du_oddf_mux[] = {
+	DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
+};
+static const unsigned int du_cde_pins[] = {
+	/* CDE */
+	RCAR_GP_PIN(2, 0),
+};
+static const unsigned int du_cde_mux[] = {
+	DU_CDE_MARK,
+};
+static const unsigned int du_disp_pins[] = {
+	/* DISP */
+	RCAR_GP_PIN(2, 1),
+};
+static const unsigned int du_disp_mux[] = {
+	DU_DISP_MARK,
+};
+
+/* - MSIOF0 ----------------------------------------------------------------- */
+static const unsigned int msiof0_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 17),
+};
+static const unsigned int msiof0_clk_mux[] = {
+	MSIOF0_SCK_MARK,
+};
+static const unsigned int msiof0_sync_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 18),
+};
+static const unsigned int msiof0_sync_mux[] = {
+	MSIOF0_SYNC_MARK,
+};
+static const unsigned int msiof0_ss1_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(5, 19),
+};
+static const unsigned int msiof0_ss1_mux[] = {
+	MSIOF0_SS1_MARK,
+};
+static const unsigned int msiof0_ss2_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(5, 21),
+};
+static const unsigned int msiof0_ss2_mux[] = {
+	MSIOF0_SS2_MARK,
+};
+static const unsigned int msiof0_txd_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(5, 20),
+};
+static const unsigned int msiof0_txd_mux[] = {
+	MSIOF0_TXD_MARK,
+};
+static const unsigned int msiof0_rxd_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 22),
+};
+static const unsigned int msiof0_rxd_mux[] = {
+	MSIOF0_RXD_MARK,
+};
+/* - MSIOF1 ----------------------------------------------------------------- */
+static const unsigned int msiof1_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 8),
+};
+static const unsigned int msiof1_clk_a_mux[] = {
+	MSIOF1_SCK_A_MARK,
+};
+static const unsigned int msiof1_sync_a_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(6, 9),
+};
+static const unsigned int msiof1_sync_a_mux[] = {
+	MSIOF1_SYNC_A_MARK,
+};
+static const unsigned int msiof1_ss1_a_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(6, 5),
+};
+static const unsigned int msiof1_ss1_a_mux[] = {
+	MSIOF1_SS1_A_MARK,
+};
+static const unsigned int msiof1_ss2_a_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(6, 6),
+};
+static const unsigned int msiof1_ss2_a_mux[] = {
+	MSIOF1_SS2_A_MARK,
+};
+static const unsigned int msiof1_txd_a_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int msiof1_txd_a_mux[] = {
+	MSIOF1_TXD_A_MARK,
+};
+static const unsigned int msiof1_rxd_a_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int msiof1_rxd_a_mux[] = {
+	MSIOF1_RXD_A_MARK,
+};
+static const unsigned int msiof1_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 9),
+};
+static const unsigned int msiof1_clk_b_mux[] = {
+	MSIOF1_SCK_B_MARK,
+};
+static const unsigned int msiof1_sync_b_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 3),
+};
+static const unsigned int msiof1_sync_b_mux[] = {
+	MSIOF1_SYNC_B_MARK,
+};
+static const unsigned int msiof1_ss1_b_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(5, 4),
+};
+static const unsigned int msiof1_ss1_b_mux[] = {
+	MSIOF1_SS1_B_MARK,
+};
+static const unsigned int msiof1_ss2_b_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int msiof1_ss2_b_mux[] = {
+	MSIOF1_SS2_B_MARK,
+};
+static const unsigned int msiof1_txd_b_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(5, 8),
+};
+static const unsigned int msiof1_txd_b_mux[] = {
+	MSIOF1_TXD_B_MARK,
+};
+static const unsigned int msiof1_rxd_b_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 7),
+};
+static const unsigned int msiof1_rxd_b_mux[] = {
+	MSIOF1_RXD_B_MARK,
+};
+static const unsigned int msiof1_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 17),
+};
+static const unsigned int msiof1_clk_c_mux[] = {
+	MSIOF1_SCK_C_MARK,
+};
+static const unsigned int msiof1_sync_c_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(6, 18),
+};
+static const unsigned int msiof1_sync_c_mux[] = {
+	MSIOF1_SYNC_C_MARK,
+};
+static const unsigned int msiof1_ss1_c_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int msiof1_ss1_c_mux[] = {
+	MSIOF1_SS1_C_MARK,
+};
+static const unsigned int msiof1_ss2_c_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(6, 27),
+};
+static const unsigned int msiof1_ss2_c_mux[] = {
+	MSIOF1_SS2_C_MARK,
+};
+static const unsigned int msiof1_txd_c_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int msiof1_txd_c_mux[] = {
+	MSIOF1_TXD_C_MARK,
+};
+static const unsigned int msiof1_rxd_c_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int msiof1_rxd_c_mux[] = {
+	MSIOF1_RXD_C_MARK,
+};
+static const unsigned int msiof1_clk_d_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 12),
+};
+static const unsigned int msiof1_clk_d_mux[] = {
+	MSIOF1_SCK_D_MARK,
+};
+static const unsigned int msiof1_sync_d_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 15),
+};
+static const unsigned int msiof1_sync_d_mux[] = {
+	MSIOF1_SYNC_D_MARK,
+};
+static const unsigned int msiof1_ss1_d_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(5, 16),
+};
+static const unsigned int msiof1_ss1_d_mux[] = {
+	MSIOF1_SS1_D_MARK,
+};
+static const unsigned int msiof1_ss2_d_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(5, 21),
+};
+static const unsigned int msiof1_ss2_d_mux[] = {
+	MSIOF1_SS2_D_MARK,
+};
+static const unsigned int msiof1_txd_d_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(5, 14),
+};
+static const unsigned int msiof1_txd_d_mux[] = {
+	MSIOF1_TXD_D_MARK,
+};
+static const unsigned int msiof1_rxd_d_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 13),
+};
+static const unsigned int msiof1_rxd_d_mux[] = {
+	MSIOF1_RXD_D_MARK,
+};
+static const unsigned int msiof1_clk_e_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(3, 0),
+};
+static const unsigned int msiof1_clk_e_mux[] = {
+	MSIOF1_SCK_E_MARK,
+};
+static const unsigned int msiof1_sync_e_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(3, 1),
+};
+static const unsigned int msiof1_sync_e_mux[] = {
+	MSIOF1_SYNC_E_MARK,
+};
+static const unsigned int msiof1_ss1_e_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(3, 4),
+};
+static const unsigned int msiof1_ss1_e_mux[] = {
+	MSIOF1_SS1_E_MARK,
+};
+static const unsigned int msiof1_ss2_e_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(3, 5),
+};
+static const unsigned int msiof1_ss2_e_mux[] = {
+	MSIOF1_SS2_E_MARK,
+};
+static const unsigned int msiof1_txd_e_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(3, 3),
+};
+static const unsigned int msiof1_txd_e_mux[] = {
+	MSIOF1_TXD_E_MARK,
+};
+static const unsigned int msiof1_rxd_e_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(3, 2),
+};
+static const unsigned int msiof1_rxd_e_mux[] = {
+	MSIOF1_RXD_E_MARK,
+};
+static const unsigned int msiof1_clk_f_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 23),
+};
+static const unsigned int msiof1_clk_f_mux[] = {
+	MSIOF1_SCK_F_MARK,
+};
+static const unsigned int msiof1_sync_f_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 24),
+};
+static const unsigned int msiof1_sync_f_mux[] = {
+	MSIOF1_SYNC_F_MARK,
+};
+static const unsigned int msiof1_ss1_f_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(6, 1),
+};
+static const unsigned int msiof1_ss1_f_mux[] = {
+	MSIOF1_SS1_F_MARK,
+};
+static const unsigned int msiof1_ss2_f_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(6, 2),
+};
+static const unsigned int msiof1_ss2_f_mux[] = {
+	MSIOF1_SS2_F_MARK,
+};
+static const unsigned int msiof1_txd_f_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(6, 0),
+};
+static const unsigned int msiof1_txd_f_mux[] = {
+	MSIOF1_TXD_F_MARK,
+};
+static const unsigned int msiof1_rxd_f_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 25),
+};
+static const unsigned int msiof1_rxd_f_mux[] = {
+	MSIOF1_RXD_F_MARK,
+};
+static const unsigned int msiof1_clk_g_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(3, 6),
+};
+static const unsigned int msiof1_clk_g_mux[] = {
+	MSIOF1_SCK_G_MARK,
+};
+static const unsigned int msiof1_sync_g_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(3, 7),
+};
+static const unsigned int msiof1_sync_g_mux[] = {
+	MSIOF1_SYNC_G_MARK,
+};
+static const unsigned int msiof1_ss1_g_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(3, 10),
+};
+static const unsigned int msiof1_ss1_g_mux[] = {
+	MSIOF1_SS1_G_MARK,
+};
+static const unsigned int msiof1_ss2_g_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(3, 11),
+};
+static const unsigned int msiof1_ss2_g_mux[] = {
+	MSIOF1_SS2_G_MARK,
+};
+static const unsigned int msiof1_txd_g_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(3, 9),
+};
+static const unsigned int msiof1_txd_g_mux[] = {
+	MSIOF1_TXD_G_MARK,
+};
+static const unsigned int msiof1_rxd_g_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(3, 8),
+};
+static const unsigned int msiof1_rxd_g_mux[] = {
+	MSIOF1_RXD_G_MARK,
+};
+/* - MSIOF2 ----------------------------------------------------------------- */
+static const unsigned int msiof2_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 9),
+};
+static const unsigned int msiof2_clk_a_mux[] = {
+	MSIOF2_SCK_A_MARK,
+};
+static const unsigned int msiof2_sync_a_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 8),
+};
+static const unsigned int msiof2_sync_a_mux[] = {
+	MSIOF2_SYNC_A_MARK,
+};
+static const unsigned int msiof2_ss1_a_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(1, 6),
+};
+static const unsigned int msiof2_ss1_a_mux[] = {
+	MSIOF2_SS1_A_MARK,
+};
+static const unsigned int msiof2_ss2_a_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(1, 7),
+};
+static const unsigned int msiof2_ss2_a_mux[] = {
+	MSIOF2_SS2_A_MARK,
+};
+static const unsigned int msiof2_txd_a_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int msiof2_txd_a_mux[] = {
+	MSIOF2_TXD_A_MARK,
+};
+static const unsigned int msiof2_rxd_a_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 10),
+};
+static const unsigned int msiof2_rxd_a_mux[] = {
+	MSIOF2_RXD_A_MARK,
+};
+static const unsigned int msiof2_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 4),
+};
+static const unsigned int msiof2_clk_b_mux[] = {
+	MSIOF2_SCK_B_MARK,
+};
+static const unsigned int msiof2_sync_b_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(0, 5),
+};
+static const unsigned int msiof2_sync_b_mux[] = {
+	MSIOF2_SYNC_B_MARK,
+};
+static const unsigned int msiof2_ss1_b_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(0, 0),
+};
+static const unsigned int msiof2_ss1_b_mux[] = {
+	MSIOF2_SS1_B_MARK,
+};
+static const unsigned int msiof2_ss2_b_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(0, 1),
+};
+static const unsigned int msiof2_ss2_b_mux[] = {
+	MSIOF2_SS2_B_MARK,
+};
+static const unsigned int msiof2_txd_b_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(0, 7),
+};
+static const unsigned int msiof2_txd_b_mux[] = {
+	MSIOF2_TXD_B_MARK,
+};
+static const unsigned int msiof2_rxd_b_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(0, 6),
+};
+static const unsigned int msiof2_rxd_b_mux[] = {
+	MSIOF2_RXD_B_MARK,
+};
+static const unsigned int msiof2_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(2, 12),
+};
+static const unsigned int msiof2_clk_c_mux[] = {
+	MSIOF2_SCK_C_MARK,
+};
+static const unsigned int msiof2_sync_c_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(2, 11),
+};
+static const unsigned int msiof2_sync_c_mux[] = {
+	MSIOF2_SYNC_C_MARK,
+};
+static const unsigned int msiof2_ss1_c_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(2, 10),
+};
+static const unsigned int msiof2_ss1_c_mux[] = {
+	MSIOF2_SS1_C_MARK,
+};
+static const unsigned int msiof2_ss2_c_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(2, 9),
+};
+static const unsigned int msiof2_ss2_c_mux[] = {
+	MSIOF2_SS2_C_MARK,
+};
+static const unsigned int msiof2_txd_c_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(2, 14),
+};
+static const unsigned int msiof2_txd_c_mux[] = {
+	MSIOF2_TXD_C_MARK,
+};
+static const unsigned int msiof2_rxd_c_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(2, 13),
+};
+static const unsigned int msiof2_rxd_c_mux[] = {
+	MSIOF2_RXD_C_MARK,
+};
+static const unsigned int msiof2_clk_d_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 8),
+};
+static const unsigned int msiof2_clk_d_mux[] = {
+	MSIOF2_SCK_D_MARK,
+};
+static const unsigned int msiof2_sync_d_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(0, 9),
+};
+static const unsigned int msiof2_sync_d_mux[] = {
+	MSIOF2_SYNC_D_MARK,
+};
+static const unsigned int msiof2_ss1_d_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(0, 12),
+};
+static const unsigned int msiof2_ss1_d_mux[] = {
+	MSIOF2_SS1_D_MARK,
+};
+static const unsigned int msiof2_ss2_d_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(0, 13),
+};
+static const unsigned int msiof2_ss2_d_mux[] = {
+	MSIOF2_SS2_D_MARK,
+};
+static const unsigned int msiof2_txd_d_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(0, 11),
+};
+static const unsigned int msiof2_txd_d_mux[] = {
+	MSIOF2_TXD_D_MARK,
+};
+static const unsigned int msiof2_rxd_d_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(0, 10),
+};
+static const unsigned int msiof2_rxd_d_mux[] = {
+	MSIOF2_RXD_D_MARK,
+};
+/* - MSIOF3 ----------------------------------------------------------------- */
+static const unsigned int msiof3_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 0),
+};
+static const unsigned int msiof3_clk_a_mux[] = {
+	MSIOF3_SCK_A_MARK,
+};
+static const unsigned int msiof3_sync_a_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(0, 1),
+};
+static const unsigned int msiof3_sync_a_mux[] = {
+	MSIOF3_SYNC_A_MARK,
+};
+static const unsigned int msiof3_ss1_a_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(0, 14),
+};
+static const unsigned int msiof3_ss1_a_mux[] = {
+	MSIOF3_SS1_A_MARK,
+};
+static const unsigned int msiof3_ss2_a_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(0, 15),
+};
+static const unsigned int msiof3_ss2_a_mux[] = {
+	MSIOF3_SS2_A_MARK,
+};
+static const unsigned int msiof3_txd_a_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(0, 3),
+};
+static const unsigned int msiof3_txd_a_mux[] = {
+	MSIOF3_TXD_A_MARK,
+};
+static const unsigned int msiof3_rxd_a_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(0, 2),
+};
+static const unsigned int msiof3_rxd_a_mux[] = {
+	MSIOF3_RXD_A_MARK,
+};
+static const unsigned int msiof3_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 2),
+};
+static const unsigned int msiof3_clk_b_mux[] = {
+	MSIOF3_SCK_B_MARK,
+};
+static const unsigned int msiof3_sync_b_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 0),
+};
+static const unsigned int msiof3_sync_b_mux[] = {
+	MSIOF3_SYNC_B_MARK,
+};
+static const unsigned int msiof3_ss1_b_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(1, 4),
+};
+static const unsigned int msiof3_ss1_b_mux[] = {
+	MSIOF3_SS1_B_MARK,
+};
+static const unsigned int msiof3_ss2_b_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(1, 5),
+};
+static const unsigned int msiof3_ss2_b_mux[] = {
+	MSIOF3_SS2_B_MARK,
+};
+static const unsigned int msiof3_txd_b_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 1),
+};
+static const unsigned int msiof3_txd_b_mux[] = {
+	MSIOF3_TXD_B_MARK,
+};
+static const unsigned int msiof3_rxd_b_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 3),
+};
+static const unsigned int msiof3_rxd_b_mux[] = {
+	MSIOF3_RXD_B_MARK,
+};
+static const unsigned int msiof3_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 12),
+};
+static const unsigned int msiof3_clk_c_mux[] = {
+	MSIOF3_SCK_C_MARK,
+};
+static const unsigned int msiof3_sync_c_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 13),
+};
+static const unsigned int msiof3_sync_c_mux[] = {
+	MSIOF3_SYNC_C_MARK,
+};
+static const unsigned int msiof3_txd_c_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 15),
+};
+static const unsigned int msiof3_txd_c_mux[] = {
+	MSIOF3_TXD_C_MARK,
+};
+static const unsigned int msiof3_rxd_c_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 14),
+};
+static const unsigned int msiof3_rxd_c_mux[] = {
+	MSIOF3_RXD_C_MARK,
+};
+static const unsigned int msiof3_clk_d_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 22),
+};
+static const unsigned int msiof3_clk_d_mux[] = {
+	MSIOF3_SCK_D_MARK,
+};
+static const unsigned int msiof3_sync_d_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 23),
+};
+static const unsigned int msiof3_sync_d_mux[] = {
+	MSIOF3_SYNC_D_MARK,
+};
+static const unsigned int msiof3_ss1_d_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(1, 26),
+};
+static const unsigned int msiof3_ss1_d_mux[] = {
+	MSIOF3_SS1_D_MARK,
+};
+static const unsigned int msiof3_txd_d_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 25),
+};
+static const unsigned int msiof3_txd_d_mux[] = {
+	MSIOF3_TXD_D_MARK,
+};
+static const unsigned int msiof3_rxd_d_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 24),
+};
+static const unsigned int msiof3_rxd_d_mux[] = {
+	MSIOF3_RXD_D_MARK,
+};
+static const unsigned int msiof3_clk_e_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(2, 3),
+};
+static const unsigned int msiof3_clk_e_mux[] = {
+	MSIOF3_SCK_E_MARK,
+};
+static const unsigned int msiof3_sync_e_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(2, 2),
+};
+static const unsigned int msiof3_sync_e_mux[] = {
+	MSIOF3_SYNC_E_MARK,
+};
+static const unsigned int msiof3_ss1_e_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(2, 1),
+};
+static const unsigned int msiof3_ss1_e_mux[] = {
+	MSIOF3_SS1_E_MARK,
+};
+static const unsigned int msiof3_ss2_e_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(2, 0),
+};
+static const unsigned int msiof3_ss2_e_mux[] = {
+	MSIOF3_SS2_E_MARK,
+};
+static const unsigned int msiof3_txd_e_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(2, 5),
+};
+static const unsigned int msiof3_txd_e_mux[] = {
+	MSIOF3_TXD_E_MARK,
+};
+static const unsigned int msiof3_rxd_e_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(2, 4),
+};
+static const unsigned int msiof3_rxd_e_mux[] = {
+	MSIOF3_RXD_E_MARK,
+};
+
+/* - PWM0 --------------------------------------------------------------------*/
+static const unsigned int pwm0_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 6),
+};
+static const unsigned int pwm0_mux[] = {
+	PWM0_MARK,
+};
+/* - PWM1 --------------------------------------------------------------------*/
+static const unsigned int pwm1_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 7),
+};
+static const unsigned int pwm1_a_mux[] = {
+	PWM1_A_MARK,
+};
+static const unsigned int pwm1_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 8),
+};
+static const unsigned int pwm1_b_mux[] = {
+	PWM1_B_MARK,
+};
+/* - PWM2 --------------------------------------------------------------------*/
+static const unsigned int pwm2_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 8),
+};
+static const unsigned int pwm2_a_mux[] = {
+	PWM2_A_MARK,
+};
+static const unsigned int pwm2_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int pwm2_b_mux[] = {
+	PWM2_B_MARK,
+};
+/* - PWM3 --------------------------------------------------------------------*/
+static const unsigned int pwm3_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 0),
+};
+static const unsigned int pwm3_a_mux[] = {
+	PWM3_A_MARK,
+};
+static const unsigned int pwm3_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 2),
+};
+static const unsigned int pwm3_b_mux[] = {
+	PWM3_B_MARK,
+};
+/* - PWM4 --------------------------------------------------------------------*/
+static const unsigned int pwm4_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 1),
+};
+static const unsigned int pwm4_a_mux[] = {
+	PWM4_A_MARK,
+};
+static const unsigned int pwm4_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 3),
+};
+static const unsigned int pwm4_b_mux[] = {
+	PWM4_B_MARK,
+};
+/* - PWM5 --------------------------------------------------------------------*/
+static const unsigned int pwm5_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 2),
+};
+static const unsigned int pwm5_a_mux[] = {
+	PWM5_A_MARK,
+};
+static const unsigned int pwm5_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 4),
+};
+static const unsigned int pwm5_b_mux[] = {
+	PWM5_B_MARK,
+};
+/* - PWM6 --------------------------------------------------------------------*/
+static const unsigned int pwm6_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 3),
+};
+static const unsigned int pwm6_a_mux[] = {
+	PWM6_A_MARK,
+};
+static const unsigned int pwm6_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 5),
+};
+static const unsigned int pwm6_b_mux[] = {
+	PWM6_B_MARK,
+};
+
+/* - SCIF0 ------------------------------------------------------------------ */
+static const unsigned int scif0_data_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 1), RCAR_GP_PIN(5, 2),
+};
+static const unsigned int scif0_data_mux[] = {
+	RX0_MARK, TX0_MARK,
+};
+static const unsigned int scif0_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int scif0_clk_mux[] = {
+	SCK0_MARK,
+};
+static const unsigned int scif0_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 4), RCAR_GP_PIN(5, 3),
+};
+static const unsigned int scif0_ctrl_mux[] = {
+	RTS0_N_TANS_MARK, CTS0_N_MARK,
+};
+/* - SCIF1 ------------------------------------------------------------------ */
+static const unsigned int scif1_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 6),
+};
+static const unsigned int scif1_data_a_mux[] = {
+	RX1_A_MARK, TX1_A_MARK,
+};
+static const unsigned int scif1_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int scif1_clk_mux[] = {
+	SCK1_MARK,
+};
+static const unsigned int scif1_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 8), RCAR_GP_PIN(5, 7),
+};
+static const unsigned int scif1_ctrl_mux[] = {
+	RTS1_N_TANS_MARK, CTS1_N_MARK,
+};
+
+static const unsigned int scif1_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 24), RCAR_GP_PIN(5, 25),
+};
+static const unsigned int scif1_data_b_mux[] = {
+	RX1_B_MARK, TX1_B_MARK,
+};
+/* - SCIF2 ------------------------------------------------------------------ */
+static const unsigned int scif2_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 11), RCAR_GP_PIN(5, 10),
+};
+static const unsigned int scif2_data_a_mux[] = {
+	RX2_A_MARK, TX2_A_MARK,
+};
+static const unsigned int scif2_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 9),
+};
+static const unsigned int scif2_clk_mux[] = {
+	SCK2_MARK,
+};
+static const unsigned int scif2_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 15), RCAR_GP_PIN(5, 16),
+};
+static const unsigned int scif2_data_b_mux[] = {
+	RX2_B_MARK, TX2_B_MARK,
+};
+/* - SCIF3 ------------------------------------------------------------------ */
+static const unsigned int scif3_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
+};
+static const unsigned int scif3_data_a_mux[] = {
+	RX3_A_MARK, TX3_A_MARK,
+};
+static const unsigned int scif3_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 22),
+};
+static const unsigned int scif3_clk_mux[] = {
+	SCK3_MARK,
+};
+static const unsigned int scif3_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
+};
+static const unsigned int scif3_ctrl_mux[] = {
+	RTS3_N_TANS_MARK, CTS3_N_MARK,
+};
+static const unsigned int scif3_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
+};
+static const unsigned int scif3_data_b_mux[] = {
+	RX3_B_MARK, TX3_B_MARK,
+};
+/* - SCIF4 ------------------------------------------------------------------ */
+static const unsigned int scif4_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(2, 11), RCAR_GP_PIN(2, 12),
+};
+static const unsigned int scif4_data_a_mux[] = {
+	RX4_A_MARK, TX4_A_MARK,
+};
+static const unsigned int scif4_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(2, 10),
+};
+static const unsigned int scif4_clk_a_mux[] = {
+	SCK4_A_MARK,
+};
+static const unsigned int scif4_ctrl_a_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(2, 14), RCAR_GP_PIN(2, 13),
+};
+static const unsigned int scif4_ctrl_a_mux[] = {
+	RTS4_N_TANS_A_MARK, CTS4_N_A_MARK,
+};
+static const unsigned int scif4_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
+};
+static const unsigned int scif4_data_b_mux[] = {
+	RX4_B_MARK, TX4_B_MARK,
+};
+static const unsigned int scif4_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 5),
+};
+static const unsigned int scif4_clk_b_mux[] = {
+	SCK4_B_MARK,
+};
+static const unsigned int scif4_ctrl_b_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(1, 10), RCAR_GP_PIN(1, 9),
+};
+static const unsigned int scif4_ctrl_b_mux[] = {
+	RTS4_N_TANS_B_MARK, CTS4_N_B_MARK,
+};
+static const unsigned int scif4_data_c_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 13),
+};
+static const unsigned int scif4_data_c_mux[] = {
+	RX4_C_MARK, TX4_C_MARK,
+};
+static const unsigned int scif4_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 8),
+};
+static const unsigned int scif4_clk_c_mux[] = {
+	SCK4_C_MARK,
+};
+static const unsigned int scif4_ctrl_c_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
+};
+static const unsigned int scif4_ctrl_c_mux[] = {
+	RTS4_N_TANS_C_MARK, CTS4_N_C_MARK,
+};
+/* - SCIF5 ------------------------------------------------------------------ */
+static const unsigned int scif5_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 19), RCAR_GP_PIN(5, 21),
+};
+static const unsigned int scif5_data_a_mux[] = {
+	RX5_A_MARK, TX5_A_MARK,
+};
+static const unsigned int scif5_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int scif5_clk_a_mux[] = {
+	SCK5_A_MARK,
+};
+static const unsigned int scif5_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 12), RCAR_GP_PIN(5, 18),
+};
+static const unsigned int scif5_data_b_mux[] = {
+	RX5_B_MARK, TX5_B_MARK,
+};
+static const unsigned int scif5_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int scif5_clk_b_mux[] = {
+	SCK5_B_MARK,
+};
+
+/* - SDHI0 ------------------------------------------------------------------ */
+static const unsigned int sdhi0_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(3, 2),
+};
+static const unsigned int sdhi0_data1_mux[] = {
+	SD0_DAT0_MARK,
+};
+static const unsigned int sdhi0_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(3, 2), RCAR_GP_PIN(3, 3),
+	RCAR_GP_PIN(3, 4), RCAR_GP_PIN(3, 5),
+};
+static const unsigned int sdhi0_data4_mux[] = {
+	SD0_DAT0_MARK, SD0_DAT1_MARK,
+	SD0_DAT2_MARK, SD0_DAT3_MARK,
+};
+static const unsigned int sdhi0_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(3, 0), RCAR_GP_PIN(3, 1),
+};
+static const unsigned int sdhi0_ctrl_mux[] = {
+	SD0_CLK_MARK, SD0_CMD_MARK,
+};
+static const unsigned int sdhi0_cd_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(3, 12),
+};
+static const unsigned int sdhi0_cd_mux[] = {
+	SD0_CD_MARK,
+};
+static const unsigned int sdhi0_wp_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(3, 13),
+};
+static const unsigned int sdhi0_wp_mux[] = {
+	SD0_WP_MARK,
+};
+/* - SDHI1 ------------------------------------------------------------------ */
+static const unsigned int sdhi1_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(3, 8),
+};
+static const unsigned int sdhi1_data1_mux[] = {
+	SD1_DAT0_MARK,
+};
+static const unsigned int sdhi1_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(3, 8),  RCAR_GP_PIN(3, 9),
+	RCAR_GP_PIN(3, 10), RCAR_GP_PIN(3, 11),
+};
+static const unsigned int sdhi1_data4_mux[] = {
+	SD1_DAT0_MARK, SD1_DAT1_MARK,
+	SD1_DAT2_MARK, SD1_DAT3_MARK,
+};
+static const unsigned int sdhi1_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(3, 6), RCAR_GP_PIN(3, 7),
+};
+static const unsigned int sdhi1_ctrl_mux[] = {
+	SD1_CLK_MARK, SD1_CMD_MARK,
+};
+static const unsigned int sdhi1_cd_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(3, 14),
+};
+static const unsigned int sdhi1_cd_mux[] = {
+	SD1_CD_MARK,
+};
+static const unsigned int sdhi1_wp_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(3, 15),
+};
+static const unsigned int sdhi1_wp_mux[] = {
+	SD1_WP_MARK,
+};
+/* - SDHI2 ------------------------------------------------------------------ */
+static const unsigned int sdhi2_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(4, 2),
+};
+static const unsigned int sdhi2_data1_mux[] = {
+	SD2_DAT0_MARK,
+};
+static const unsigned int sdhi2_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(4, 2), RCAR_GP_PIN(4, 3),
+	RCAR_GP_PIN(4, 4), RCAR_GP_PIN(4, 5),
+};
+static const unsigned int sdhi2_data4_mux[] = {
+	SD2_DAT0_MARK, SD2_DAT1_MARK,
+	SD2_DAT2_MARK, SD2_DAT3_MARK,
+};
+static const unsigned int sdhi2_data8_pins[] = {
+	/* D[0:7] */
+	RCAR_GP_PIN(4, 2),  RCAR_GP_PIN(4, 3),
+	RCAR_GP_PIN(4, 4),  RCAR_GP_PIN(4, 5),
+	RCAR_GP_PIN(3, 8),  RCAR_GP_PIN(3, 9),
+	RCAR_GP_PIN(3, 10), RCAR_GP_PIN(3, 11),
+};
+static const unsigned int sdhi2_data8_mux[] = {
+	SD2_DAT0_MARK, SD2_DAT1_MARK,
+	SD2_DAT2_MARK, SD2_DAT3_MARK,
+	SD2_DAT4_MARK, SD2_DAT5_MARK,
+	SD2_DAT6_MARK, SD2_DAT7_MARK,
+};
+static const unsigned int sdhi2_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(4, 0), RCAR_GP_PIN(4, 1),
+};
+static const unsigned int sdhi2_ctrl_mux[] = {
+	SD2_CLK_MARK, SD2_CMD_MARK,
+};
+static const unsigned int sdhi2_cd_a_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(4, 13),
+};
+static const unsigned int sdhi2_cd_a_mux[] = {
+	SD2_CD_A_MARK,
+};
+static const unsigned int sdhi2_cd_b_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(5, 10),
+};
+static const unsigned int sdhi2_cd_b_mux[] = {
+	SD2_CD_B_MARK,
+};
+static const unsigned int sdhi2_wp_a_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(4, 14),
+};
+static const unsigned int sdhi2_wp_a_mux[] = {
+	SD2_WP_A_MARK,
+};
+static const unsigned int sdhi2_wp_b_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(5, 11),
+};
+static const unsigned int sdhi2_wp_b_mux[] = {
+	SD2_WP_B_MARK,
+};
+static const unsigned int sdhi2_ds_pins[] = {
+	/* DS */
+	RCAR_GP_PIN(4, 6),
+};
+static const unsigned int sdhi2_ds_mux[] = {
+	SD2_DS_MARK,
+};
+/* - SDHI3 ------------------------------------------------------------------ */
+static const unsigned int sdhi3_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(4, 9),
+};
+static const unsigned int sdhi3_data1_mux[] = {
+	SD3_DAT0_MARK,
+};
+static const unsigned int sdhi3_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(4, 9),  RCAR_GP_PIN(4, 10),
+	RCAR_GP_PIN(4, 11), RCAR_GP_PIN(4, 12),
+};
+static const unsigned int sdhi3_data4_mux[] = {
+	SD3_DAT0_MARK, SD3_DAT1_MARK,
+	SD3_DAT2_MARK, SD3_DAT3_MARK,
+};
+static const unsigned int sdhi3_data8_pins[] = {
+	/* D[0:7] */
+	RCAR_GP_PIN(4, 9),  RCAR_GP_PIN(4, 10),
+	RCAR_GP_PIN(4, 11), RCAR_GP_PIN(4, 12),
+	RCAR_GP_PIN(4, 13), RCAR_GP_PIN(4, 14),
+	RCAR_GP_PIN(4, 15), RCAR_GP_PIN(4, 16),
+};
+static const unsigned int sdhi3_data8_mux[] = {
+	SD3_DAT0_MARK, SD3_DAT1_MARK,
+	SD3_DAT2_MARK, SD3_DAT3_MARK,
+	SD3_DAT4_MARK, SD3_DAT5_MARK,
+	SD3_DAT6_MARK, SD3_DAT7_MARK,
+};
+static const unsigned int sdhi3_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(4, 7), RCAR_GP_PIN(4, 8),
+};
+static const unsigned int sdhi3_ctrl_mux[] = {
+	SD3_CLK_MARK, SD3_CMD_MARK,
+};
+static const unsigned int sdhi3_cd_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(4, 15),
+};
+static const unsigned int sdhi3_cd_mux[] = {
+	SD3_CD_MARK,
+};
+static const unsigned int sdhi3_wp_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(4, 16),
+};
+static const unsigned int sdhi3_wp_mux[] = {
+	SD3_WP_MARK,
+};
+static const unsigned int sdhi3_ds_pins[] = {
+	/* DS */
+	RCAR_GP_PIN(4, 17),
+};
+static const unsigned int sdhi3_ds_mux[] = {
+	SD3_DS_MARK,
+};
+
+/* - SCIF Clock ------------------------------------------------------------- */
+static const unsigned int scif_clk_a_pins[] = {
+	/* SCIF_CLK */
+	RCAR_GP_PIN(6, 23),
+};
+static const unsigned int scif_clk_a_mux[] = {
+	SCIF_CLK_A_MARK,
+};
+static const unsigned int scif_clk_b_pins[] = {
+	/* SCIF_CLK */
+	RCAR_GP_PIN(5, 9),
+};
+static const unsigned int scif_clk_b_mux[] = {
+	SCIF_CLK_B_MARK,
+};
+
+/* - USB0 ------------------------------------------------------------------- */
+static const unsigned int usb0_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 24), RCAR_GP_PIN(6, 25),
+};
+static const unsigned int usb0_mux[] = {
+	USB0_PWEN_MARK, USB0_OVC_MARK,
+};
+/* - USB1 ------------------------------------------------------------------- */
+static const unsigned int usb1_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
+};
+static const unsigned int usb1_mux[] = {
+	USB1_PWEN_MARK, USB1_OVC_MARK,
+};
+/* - USB2 ------------------------------------------------------------------- */
+static const unsigned int usb2_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 14), RCAR_GP_PIN(6, 15),
+};
+static const unsigned int usb2_mux[] = {
+	USB2_PWEN_MARK, USB2_OVC_MARK,
+};
+/* - USB2_CH3 --------------------------------------------------------------- */
+static const unsigned int usb2_ch3_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 30), RCAR_GP_PIN(6, 31),
+};
+static const unsigned int usb2_ch3_mux[] = {
+	USB2_CH3_PWEN_MARK, USB2_CH3_OVC_MARK,
+};
+
+static const struct sh_pfc_pin_group pinmux_groups[] = {
+	SH_PFC_PIN_GROUP(avb_link),
+	SH_PFC_PIN_GROUP(avb_magic),
+	SH_PFC_PIN_GROUP(avb_phy_int),
+	SH_PFC_PIN_GROUP(avb_mdc),
+	SH_PFC_PIN_GROUP(avb_mii),
+	SH_PFC_PIN_GROUP(avb_avtp_pps),
+	SH_PFC_PIN_GROUP(avb_avtp_match_a),
+	SH_PFC_PIN_GROUP(avb_avtp_capture_a),
+	SH_PFC_PIN_GROUP(avb_avtp_match_b),
+	SH_PFC_PIN_GROUP(avb_avtp_capture_b),
+	SH_PFC_PIN_GROUP(drif0_ctrl_a),
+	SH_PFC_PIN_GROUP(drif0_data0_a),
+	SH_PFC_PIN_GROUP(drif0_data1_a),
+	SH_PFC_PIN_GROUP(drif0_ctrl_b),
+	SH_PFC_PIN_GROUP(drif0_data0_b),
+	SH_PFC_PIN_GROUP(drif0_data1_b),
+	SH_PFC_PIN_GROUP(drif0_ctrl_c),
+	SH_PFC_PIN_GROUP(drif0_data0_c),
+	SH_PFC_PIN_GROUP(drif0_data1_c),
+	SH_PFC_PIN_GROUP(drif1_ctrl_a),
+	SH_PFC_PIN_GROUP(drif1_data0_a),
+	SH_PFC_PIN_GROUP(drif1_data1_a),
+	SH_PFC_PIN_GROUP(drif1_ctrl_b),
+	SH_PFC_PIN_GROUP(drif1_data0_b),
+	SH_PFC_PIN_GROUP(drif1_data1_b),
+	SH_PFC_PIN_GROUP(drif1_ctrl_c),
+	SH_PFC_PIN_GROUP(drif1_data0_c),
+	SH_PFC_PIN_GROUP(drif1_data1_c),
+	SH_PFC_PIN_GROUP(drif2_ctrl_a),
+	SH_PFC_PIN_GROUP(drif2_data0_a),
+	SH_PFC_PIN_GROUP(drif2_data1_a),
+	SH_PFC_PIN_GROUP(drif2_ctrl_b),
+	SH_PFC_PIN_GROUP(drif2_data0_b),
+	SH_PFC_PIN_GROUP(drif2_data1_b),
+	SH_PFC_PIN_GROUP(drif3_ctrl_a),
+	SH_PFC_PIN_GROUP(drif3_data0_a),
+	SH_PFC_PIN_GROUP(drif3_data1_a),
+	SH_PFC_PIN_GROUP(drif3_ctrl_b),
+	SH_PFC_PIN_GROUP(drif3_data0_b),
+	SH_PFC_PIN_GROUP(drif3_data1_b),
+	SH_PFC_PIN_GROUP(du_rgb666),
+	SH_PFC_PIN_GROUP(du_rgb888),
+	SH_PFC_PIN_GROUP(du_clk_out_0),
+	SH_PFC_PIN_GROUP(du_clk_out_1),
+	SH_PFC_PIN_GROUP(du_sync),
+	SH_PFC_PIN_GROUP(du_oddf),
+	SH_PFC_PIN_GROUP(du_cde),
+	SH_PFC_PIN_GROUP(du_disp),
+	SH_PFC_PIN_GROUP(msiof0_clk),
+	SH_PFC_PIN_GROUP(msiof0_sync),
+	SH_PFC_PIN_GROUP(msiof0_ss1),
+	SH_PFC_PIN_GROUP(msiof0_ss2),
+	SH_PFC_PIN_GROUP(msiof0_txd),
+	SH_PFC_PIN_GROUP(msiof0_rxd),
+	SH_PFC_PIN_GROUP(msiof1_clk_a),
+	SH_PFC_PIN_GROUP(msiof1_sync_a),
+	SH_PFC_PIN_GROUP(msiof1_ss1_a),
+	SH_PFC_PIN_GROUP(msiof1_ss2_a),
+	SH_PFC_PIN_GROUP(msiof1_txd_a),
+	SH_PFC_PIN_GROUP(msiof1_rxd_a),
+	SH_PFC_PIN_GROUP(msiof1_clk_b),
+	SH_PFC_PIN_GROUP(msiof1_sync_b),
+	SH_PFC_PIN_GROUP(msiof1_ss1_b),
+	SH_PFC_PIN_GROUP(msiof1_ss2_b),
+	SH_PFC_PIN_GROUP(msiof1_txd_b),
+	SH_PFC_PIN_GROUP(msiof1_rxd_b),
+	SH_PFC_PIN_GROUP(msiof1_clk_c),
+	SH_PFC_PIN_GROUP(msiof1_sync_c),
+	SH_PFC_PIN_GROUP(msiof1_ss1_c),
+	SH_PFC_PIN_GROUP(msiof1_ss2_c),
+	SH_PFC_PIN_GROUP(msiof1_txd_c),
+	SH_PFC_PIN_GROUP(msiof1_rxd_c),
+	SH_PFC_PIN_GROUP(msiof1_clk_d),
+	SH_PFC_PIN_GROUP(msiof1_sync_d),
+	SH_PFC_PIN_GROUP(msiof1_ss1_d),
+	SH_PFC_PIN_GROUP(msiof1_ss2_d),
+	SH_PFC_PIN_GROUP(msiof1_txd_d),
+	SH_PFC_PIN_GROUP(msiof1_rxd_d),
+	SH_PFC_PIN_GROUP(msiof1_clk_e),
+	SH_PFC_PIN_GROUP(msiof1_sync_e),
+	SH_PFC_PIN_GROUP(msiof1_ss1_e),
+	SH_PFC_PIN_GROUP(msiof1_ss2_e),
+	SH_PFC_PIN_GROUP(msiof1_txd_e),
+	SH_PFC_PIN_GROUP(msiof1_rxd_e),
+	SH_PFC_PIN_GROUP(msiof1_clk_f),
+	SH_PFC_PIN_GROUP(msiof1_sync_f),
+	SH_PFC_PIN_GROUP(msiof1_ss1_f),
+	SH_PFC_PIN_GROUP(msiof1_ss2_f),
+	SH_PFC_PIN_GROUP(msiof1_txd_f),
+	SH_PFC_PIN_GROUP(msiof1_rxd_f),
+	SH_PFC_PIN_GROUP(msiof1_clk_g),
+	SH_PFC_PIN_GROUP(msiof1_sync_g),
+	SH_PFC_PIN_GROUP(msiof1_ss1_g),
+	SH_PFC_PIN_GROUP(msiof1_ss2_g),
+	SH_PFC_PIN_GROUP(msiof1_txd_g),
+	SH_PFC_PIN_GROUP(msiof1_rxd_g),
+	SH_PFC_PIN_GROUP(msiof2_clk_a),
+	SH_PFC_PIN_GROUP(msiof2_sync_a),
+	SH_PFC_PIN_GROUP(msiof2_ss1_a),
+	SH_PFC_PIN_GROUP(msiof2_ss2_a),
+	SH_PFC_PIN_GROUP(msiof2_txd_a),
+	SH_PFC_PIN_GROUP(msiof2_rxd_a),
+	SH_PFC_PIN_GROUP(msiof2_clk_b),
+	SH_PFC_PIN_GROUP(msiof2_sync_b),
+	SH_PFC_PIN_GROUP(msiof2_ss1_b),
+	SH_PFC_PIN_GROUP(msiof2_ss2_b),
+	SH_PFC_PIN_GROUP(msiof2_txd_b),
+	SH_PFC_PIN_GROUP(msiof2_rxd_b),
+	SH_PFC_PIN_GROUP(msiof2_clk_c),
+	SH_PFC_PIN_GROUP(msiof2_sync_c),
+	SH_PFC_PIN_GROUP(msiof2_ss1_c),
+	SH_PFC_PIN_GROUP(msiof2_ss2_c),
+	SH_PFC_PIN_GROUP(msiof2_txd_c),
+	SH_PFC_PIN_GROUP(msiof2_rxd_c),
+	SH_PFC_PIN_GROUP(msiof2_clk_d),
+	SH_PFC_PIN_GROUP(msiof2_sync_d),
+	SH_PFC_PIN_GROUP(msiof2_ss1_d),
+	SH_PFC_PIN_GROUP(msiof2_ss2_d),
+	SH_PFC_PIN_GROUP(msiof2_txd_d),
+	SH_PFC_PIN_GROUP(msiof2_rxd_d),
+	SH_PFC_PIN_GROUP(msiof3_clk_a),
+	SH_PFC_PIN_GROUP(msiof3_sync_a),
+	SH_PFC_PIN_GROUP(msiof3_ss1_a),
+	SH_PFC_PIN_GROUP(msiof3_ss2_a),
+	SH_PFC_PIN_GROUP(msiof3_txd_a),
+	SH_PFC_PIN_GROUP(msiof3_rxd_a),
+	SH_PFC_PIN_GROUP(msiof3_clk_b),
+	SH_PFC_PIN_GROUP(msiof3_sync_b),
+	SH_PFC_PIN_GROUP(msiof3_ss1_b),
+	SH_PFC_PIN_GROUP(msiof3_ss2_b),
+	SH_PFC_PIN_GROUP(msiof3_txd_b),
+	SH_PFC_PIN_GROUP(msiof3_rxd_b),
+	SH_PFC_PIN_GROUP(msiof3_clk_c),
+	SH_PFC_PIN_GROUP(msiof3_sync_c),
+	SH_PFC_PIN_GROUP(msiof3_txd_c),
+	SH_PFC_PIN_GROUP(msiof3_rxd_c),
+	SH_PFC_PIN_GROUP(msiof3_clk_d),
+	SH_PFC_PIN_GROUP(msiof3_sync_d),
+	SH_PFC_PIN_GROUP(msiof3_ss1_d),
+	SH_PFC_PIN_GROUP(msiof3_txd_d),
+	SH_PFC_PIN_GROUP(msiof3_rxd_d),
+	SH_PFC_PIN_GROUP(msiof3_clk_e),
+	SH_PFC_PIN_GROUP(msiof3_sync_e),
+	SH_PFC_PIN_GROUP(msiof3_ss1_e),
+	SH_PFC_PIN_GROUP(msiof3_ss2_e),
+	SH_PFC_PIN_GROUP(msiof3_txd_e),
+	SH_PFC_PIN_GROUP(msiof3_rxd_e),
+	SH_PFC_PIN_GROUP(pwm0),
+	SH_PFC_PIN_GROUP(pwm1_a),
+	SH_PFC_PIN_GROUP(pwm1_b),
+	SH_PFC_PIN_GROUP(pwm2_a),
+	SH_PFC_PIN_GROUP(pwm2_b),
+	SH_PFC_PIN_GROUP(pwm3_a),
+	SH_PFC_PIN_GROUP(pwm3_b),
+	SH_PFC_PIN_GROUP(pwm4_a),
+	SH_PFC_PIN_GROUP(pwm4_b),
+	SH_PFC_PIN_GROUP(pwm5_a),
+	SH_PFC_PIN_GROUP(pwm5_b),
+	SH_PFC_PIN_GROUP(pwm6_a),
+	SH_PFC_PIN_GROUP(pwm6_b),
+	SH_PFC_PIN_GROUP(scif0_data),
+	SH_PFC_PIN_GROUP(scif0_clk),
+	SH_PFC_PIN_GROUP(scif0_ctrl),
+	SH_PFC_PIN_GROUP(scif1_data_a),
+	SH_PFC_PIN_GROUP(scif1_clk),
+	SH_PFC_PIN_GROUP(scif1_ctrl),
+	SH_PFC_PIN_GROUP(scif1_data_b),
+	SH_PFC_PIN_GROUP(scif2_data_a),
+	SH_PFC_PIN_GROUP(scif2_clk),
+	SH_PFC_PIN_GROUP(scif2_data_b),
+	SH_PFC_PIN_GROUP(scif3_data_a),
+	SH_PFC_PIN_GROUP(scif3_clk),
+	SH_PFC_PIN_GROUP(scif3_ctrl),
+	SH_PFC_PIN_GROUP(scif3_data_b),
+	SH_PFC_PIN_GROUP(scif4_data_a),
+	SH_PFC_PIN_GROUP(scif4_clk_a),
+	SH_PFC_PIN_GROUP(scif4_ctrl_a),
+	SH_PFC_PIN_GROUP(scif4_data_b),
+	SH_PFC_PIN_GROUP(scif4_clk_b),
+	SH_PFC_PIN_GROUP(scif4_ctrl_b),
+	SH_PFC_PIN_GROUP(scif4_data_c),
+	SH_PFC_PIN_GROUP(scif4_clk_c),
+	SH_PFC_PIN_GROUP(scif4_ctrl_c),
+	SH_PFC_PIN_GROUP(scif5_data_a),
+	SH_PFC_PIN_GROUP(scif5_clk_a),
+	SH_PFC_PIN_GROUP(scif5_data_b),
+	SH_PFC_PIN_GROUP(scif5_clk_b),
+	SH_PFC_PIN_GROUP(scif_clk_a),
+	SH_PFC_PIN_GROUP(scif_clk_b),
+	SH_PFC_PIN_GROUP(sdhi0_data1),
+	SH_PFC_PIN_GROUP(sdhi0_data4),
+	SH_PFC_PIN_GROUP(sdhi0_ctrl),
+	SH_PFC_PIN_GROUP(sdhi0_cd),
+	SH_PFC_PIN_GROUP(sdhi0_wp),
+	SH_PFC_PIN_GROUP(sdhi1_data1),
+	SH_PFC_PIN_GROUP(sdhi1_data4),
+	SH_PFC_PIN_GROUP(sdhi1_ctrl),
+	SH_PFC_PIN_GROUP(sdhi1_cd),
+	SH_PFC_PIN_GROUP(sdhi1_wp),
+	SH_PFC_PIN_GROUP(sdhi2_data1),
+	SH_PFC_PIN_GROUP(sdhi2_data4),
+	SH_PFC_PIN_GROUP(sdhi2_data8),
+	SH_PFC_PIN_GROUP(sdhi2_ctrl),
+	SH_PFC_PIN_GROUP(sdhi2_cd_a),
+	SH_PFC_PIN_GROUP(sdhi2_wp_a),
+	SH_PFC_PIN_GROUP(sdhi2_cd_b),
+	SH_PFC_PIN_GROUP(sdhi2_wp_b),
+	SH_PFC_PIN_GROUP(sdhi2_ds),
+	SH_PFC_PIN_GROUP(sdhi3_data1),
+	SH_PFC_PIN_GROUP(sdhi3_data4),
+	SH_PFC_PIN_GROUP(sdhi3_data8),
+	SH_PFC_PIN_GROUP(sdhi3_ctrl),
+	SH_PFC_PIN_GROUP(sdhi3_cd),
+	SH_PFC_PIN_GROUP(sdhi3_wp),
+	SH_PFC_PIN_GROUP(sdhi3_ds),
+	SH_PFC_PIN_GROUP(usb0),
+	SH_PFC_PIN_GROUP(usb1),
+	SH_PFC_PIN_GROUP(usb2),
+	SH_PFC_PIN_GROUP(usb2_ch3),
+};
+
+static const char * const avb_groups[] = {
+	"avb_link",
+	"avb_magic",
+	"avb_phy_int",
+	"avb_mdc",
+	"avb_mii",
+	"avb_avtp_pps",
+	"avb_avtp_match_a",
+	"avb_avtp_capture_a",
+	"avb_avtp_match_b",
+	"avb_avtp_capture_b",
+};
+
+static const char * const drif0_groups[] = {
+	"drif0_ctrl_a",
+	"drif0_data0_a",
+	"drif0_data1_a",
+	"drif0_ctrl_b",
+	"drif0_data0_b",
+	"drif0_data1_b",
+	"drif0_ctrl_c",
+	"drif0_data0_c",
+	"drif0_data1_c",
+};
+
+static const char * const drif1_groups[] = {
+	"drif1_ctrl_a",
+	"drif1_data0_a",
+	"drif1_data1_a",
+	"drif1_ctrl_b",
+	"drif1_data0_b",
+	"drif1_data1_b",
+	"drif1_ctrl_c",
+	"drif1_data0_c",
+	"drif1_data1_c",
+};
+
+static const char * const drif2_groups[] = {
+	"drif2_ctrl_a",
+	"drif2_data0_a",
+	"drif2_data1_a",
+	"drif2_ctrl_b",
+	"drif2_data0_b",
+	"drif2_data1_b",
+};
+
+static const char * const drif3_groups[] = {
+	"drif3_ctrl_a",
+	"drif3_data0_a",
+	"drif3_data1_a",
+	"drif3_ctrl_b",
+	"drif3_data0_b",
+	"drif3_data1_b",
+};
+
+static const char * const du_groups[] = {
+	"du_rgb666",
+	"du_rgb888",
+	"du_clk_out_0",
+	"du_clk_out_1",
+	"du_sync",
+	"du_oddf",
+	"du_cde",
+	"du_disp",
+};
+
+static const char * const msiof0_groups[] = {
+	"msiof0_clk",
+	"msiof0_sync",
+	"msiof0_ss1",
+	"msiof0_ss2",
+	"msiof0_txd",
+	"msiof0_rxd",
+};
+
+static const char * const msiof1_groups[] = {
+	"msiof1_clk_a",
+	"msiof1_sync_a",
+	"msiof1_ss1_a",
+	"msiof1_ss2_a",
+	"msiof1_txd_a",
+	"msiof1_rxd_a",
+	"msiof1_clk_b",
+	"msiof1_sync_b",
+	"msiof1_ss1_b",
+	"msiof1_ss2_b",
+	"msiof1_txd_b",
+	"msiof1_rxd_b",
+	"msiof1_clk_c",
+	"msiof1_sync_c",
+	"msiof1_ss1_c",
+	"msiof1_ss2_c",
+	"msiof1_txd_c",
+	"msiof1_rxd_c",
+	"msiof1_clk_d",
+	"msiof1_sync_d",
+	"msiof1_ss1_d",
+	"msiof1_ss2_d",
+	"msiof1_txd_d",
+	"msiof1_rxd_d",
+	"msiof1_clk_e",
+	"msiof1_sync_e",
+	"msiof1_ss1_e",
+	"msiof1_ss2_e",
+	"msiof1_txd_e",
+	"msiof1_rxd_e",
+	"msiof1_clk_f",
+	"msiof1_sync_f",
+	"msiof1_ss1_f",
+	"msiof1_ss2_f",
+	"msiof1_txd_f",
+	"msiof1_rxd_f",
+	"msiof1_clk_g",
+	"msiof1_sync_g",
+	"msiof1_ss1_g",
+	"msiof1_ss2_g",
+	"msiof1_txd_g",
+	"msiof1_rxd_g",
+};
+
+static const char * const msiof2_groups[] = {
+	"msiof2_clk_a",
+	"msiof2_sync_a",
+	"msiof2_ss1_a",
+	"msiof2_ss2_a",
+	"msiof2_txd_a",
+	"msiof2_rxd_a",
+	"msiof2_clk_b",
+	"msiof2_sync_b",
+	"msiof2_ss1_b",
+	"msiof2_ss2_b",
+	"msiof2_txd_b",
+	"msiof2_rxd_b",
+	"msiof2_clk_c",
+	"msiof2_sync_c",
+	"msiof2_ss1_c",
+	"msiof2_ss2_c",
+	"msiof2_txd_c",
+	"msiof2_rxd_c",
+	"msiof2_clk_d",
+	"msiof2_sync_d",
+	"msiof2_ss1_d",
+	"msiof2_ss2_d",
+	"msiof2_txd_d",
+	"msiof2_rxd_d",
+};
+
+static const char * const msiof3_groups[] = {
+	"msiof3_clk_a",
+	"msiof3_sync_a",
+	"msiof3_ss1_a",
+	"msiof3_ss2_a",
+	"msiof3_txd_a",
+	"msiof3_rxd_a",
+	"msiof3_clk_b",
+	"msiof3_sync_b",
+	"msiof3_ss1_b",
+	"msiof3_ss2_b",
+	"msiof3_txd_b",
+	"msiof3_rxd_b",
+	"msiof3_clk_c",
+	"msiof3_sync_c",
+	"msiof3_txd_c",
+	"msiof3_rxd_c",
+	"msiof3_clk_d",
+	"msiof3_sync_d",
+	"msiof3_ss1_d",
+	"msiof3_txd_d",
+	"msiof3_rxd_d",
+	"msiof3_clk_e",
+	"msiof3_sync_e",
+	"msiof3_ss1_e",
+	"msiof3_ss2_e",
+	"msiof3_txd_e",
+	"msiof3_rxd_e",
+};
+
+static const char * const pwm0_groups[] = {
+	"pwm0",
+};
+
+static const char * const pwm1_groups[] = {
+	"pwm1_a",
+	"pwm1_b",
+};
+
+static const char * const pwm2_groups[] = {
+	"pwm2_a",
+	"pwm2_b",
+};
+
+static const char * const pwm3_groups[] = {
+	"pwm3_a",
+	"pwm3_b",
+};
+
+static const char * const pwm4_groups[] = {
+	"pwm4_a",
+	"pwm4_b",
+};
+
+static const char * const pwm5_groups[] = {
+	"pwm5_a",
+	"pwm5_b",
+};
+
+static const char * const pwm6_groups[] = {
+	"pwm6_a",
+	"pwm6_b",
+};
+
+static const char * const scif0_groups[] = {
+	"scif0_data",
+	"scif0_clk",
+	"scif0_ctrl",
+};
+
+static const char * const scif1_groups[] = {
+	"scif1_data_a",
+	"scif1_clk",
+	"scif1_ctrl",
+	"scif1_data_b",
+};
+
+static const char * const scif2_groups[] = {
+	"scif2_data_a",
+	"scif2_clk",
+	"scif2_data_b",
+};
+
+static const char * const scif3_groups[] = {
+	"scif3_data_a",
+	"scif3_clk",
+	"scif3_ctrl",
+	"scif3_data_b",
+};
+
+static const char * const scif4_groups[] = {
+	"scif4_data_a",
+	"scif4_clk_a",
+	"scif4_ctrl_a",
+	"scif4_data_b",
+	"scif4_clk_b",
+	"scif4_ctrl_b",
+	"scif4_data_c",
+	"scif4_clk_c",
+	"scif4_ctrl_c",
+};
+
+static const char * const scif5_groups[] = {
+	"scif5_data_a",
+	"scif5_clk_a",
+	"scif5_data_b",
+	"scif5_clk_b",
+};
+
+static const char * const scif_clk_groups[] = {
+	"scif_clk_a",
+	"scif_clk_b",
+};
+
+static const char * const sdhi0_groups[] = {
+	"sdhi0_data1",
+	"sdhi0_data4",
+	"sdhi0_ctrl",
+	"sdhi0_cd",
+	"sdhi0_wp",
+};
+
+static const char * const sdhi1_groups[] = {
+	"sdhi1_data1",
+	"sdhi1_data4",
+	"sdhi1_ctrl",
+	"sdhi1_cd",
+	"sdhi1_wp",
+};
+
+static const char * const sdhi2_groups[] = {
+	"sdhi2_data1",
+	"sdhi2_data4",
+	"sdhi2_data8",
+	"sdhi2_ctrl",
+	"sdhi2_cd_a",
+	"sdhi2_wp_a",
+	"sdhi2_cd_b",
+	"sdhi2_wp_b",
+	"sdhi2_ds",
+};
+
+static const char * const sdhi3_groups[] = {
+	"sdhi3_data1",
+	"sdhi3_data4",
+	"sdhi3_data8",
+	"sdhi3_ctrl",
+	"sdhi3_cd",
+	"sdhi3_wp",
+	"sdhi3_ds",
+};
+
+static const char * const usb0_groups[] = {
+	"usb0",
+};
+
+static const char * const usb1_groups[] = {
+	"usb1",
+};
+
+static const char * const usb2_groups[] = {
+	"usb2",
+};
+
+static const char * const usb2_ch3_groups[] = {
+	"usb2_ch3",
+};
+
+static const struct sh_pfc_function pinmux_functions[] = {
+	SH_PFC_FUNCTION(avb),
+	SH_PFC_FUNCTION(drif0),
+	SH_PFC_FUNCTION(drif1),
+	SH_PFC_FUNCTION(drif2),
+	SH_PFC_FUNCTION(drif3),
+	SH_PFC_FUNCTION(du),
+	SH_PFC_FUNCTION(msiof0),
+	SH_PFC_FUNCTION(msiof1),
+	SH_PFC_FUNCTION(msiof2),
+	SH_PFC_FUNCTION(msiof3),
+	SH_PFC_FUNCTION(pwm0),
+	SH_PFC_FUNCTION(pwm1),
+	SH_PFC_FUNCTION(pwm2),
+	SH_PFC_FUNCTION(pwm3),
+	SH_PFC_FUNCTION(pwm4),
+	SH_PFC_FUNCTION(pwm5),
+	SH_PFC_FUNCTION(pwm6),
+	SH_PFC_FUNCTION(scif0),
+	SH_PFC_FUNCTION(scif1),
+	SH_PFC_FUNCTION(scif2),
+	SH_PFC_FUNCTION(scif3),
+	SH_PFC_FUNCTION(scif4),
+	SH_PFC_FUNCTION(scif5),
+	SH_PFC_FUNCTION(scif_clk),
+	SH_PFC_FUNCTION(sdhi0),
+	SH_PFC_FUNCTION(sdhi1),
+	SH_PFC_FUNCTION(sdhi2),
+	SH_PFC_FUNCTION(sdhi3),
+	SH_PFC_FUNCTION(usb0),
+	SH_PFC_FUNCTION(usb1),
+	SH_PFC_FUNCTION(usb2),
+	SH_PFC_FUNCTION(usb2_ch3),
+};
+
+static const struct pinmux_cfg_reg pinmux_config_regs[] = {
+#define F_(x, y)	FN_##y
+#define FM(x)		FN_##x
+	{ PINMUX_CFG_REG("GPSR0", 0xe6060100, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_0_15_FN,	GPSR0_15,
+		GP_0_14_FN,	GPSR0_14,
+		GP_0_13_FN,	GPSR0_13,
+		GP_0_12_FN,	GPSR0_12,
+		GP_0_11_FN,	GPSR0_11,
+		GP_0_10_FN,	GPSR0_10,
+		GP_0_9_FN,	GPSR0_9,
+		GP_0_8_FN,	GPSR0_8,
+		GP_0_7_FN,	GPSR0_7,
+		GP_0_6_FN,	GPSR0_6,
+		GP_0_5_FN,	GPSR0_5,
+		GP_0_4_FN,	GPSR0_4,
+		GP_0_3_FN,	GPSR0_3,
+		GP_0_2_FN,	GPSR0_2,
+		GP_0_1_FN,	GPSR0_1,
+		GP_0_0_FN,	GPSR0_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR1", 0xe6060104, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_1_27_FN,	GPSR1_27,
+		GP_1_26_FN,	GPSR1_26,
+		GP_1_25_FN,	GPSR1_25,
+		GP_1_24_FN,	GPSR1_24,
+		GP_1_23_FN,	GPSR1_23,
+		GP_1_22_FN,	GPSR1_22,
+		GP_1_21_FN,	GPSR1_21,
+		GP_1_20_FN,	GPSR1_20,
+		GP_1_19_FN,	GPSR1_19,
+		GP_1_18_FN,	GPSR1_18,
+		GP_1_17_FN,	GPSR1_17,
+		GP_1_16_FN,	GPSR1_16,
+		GP_1_15_FN,	GPSR1_15,
+		GP_1_14_FN,	GPSR1_14,
+		GP_1_13_FN,	GPSR1_13,
+		GP_1_12_FN,	GPSR1_12,
+		GP_1_11_FN,	GPSR1_11,
+		GP_1_10_FN,	GPSR1_10,
+		GP_1_9_FN,	GPSR1_9,
+		GP_1_8_FN,	GPSR1_8,
+		GP_1_7_FN,	GPSR1_7,
+		GP_1_6_FN,	GPSR1_6,
+		GP_1_5_FN,	GPSR1_5,
+		GP_1_4_FN,	GPSR1_4,
+		GP_1_3_FN,	GPSR1_3,
+		GP_1_2_FN,	GPSR1_2,
+		GP_1_1_FN,	GPSR1_1,
+		GP_1_0_FN,	GPSR1_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR2", 0xe6060108, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_2_14_FN,	GPSR2_14,
+		GP_2_13_FN,	GPSR2_13,
+		GP_2_12_FN,	GPSR2_12,
+		GP_2_11_FN,	GPSR2_11,
+		GP_2_10_FN,	GPSR2_10,
+		GP_2_9_FN,	GPSR2_9,
+		GP_2_8_FN,	GPSR2_8,
+		GP_2_7_FN,	GPSR2_7,
+		GP_2_6_FN,	GPSR2_6,
+		GP_2_5_FN,	GPSR2_5,
+		GP_2_4_FN,	GPSR2_4,
+		GP_2_3_FN,	GPSR2_3,
+		GP_2_2_FN,	GPSR2_2,
+		GP_2_1_FN,	GPSR2_1,
+		GP_2_0_FN,	GPSR2_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR3", 0xe606010c, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_3_15_FN,	GPSR3_15,
+		GP_3_14_FN,	GPSR3_14,
+		GP_3_13_FN,	GPSR3_13,
+		GP_3_12_FN,	GPSR3_12,
+		GP_3_11_FN,	GPSR3_11,
+		GP_3_10_FN,	GPSR3_10,
+		GP_3_9_FN,	GPSR3_9,
+		GP_3_8_FN,	GPSR3_8,
+		GP_3_7_FN,	GPSR3_7,
+		GP_3_6_FN,	GPSR3_6,
+		GP_3_5_FN,	GPSR3_5,
+		GP_3_4_FN,	GPSR3_4,
+		GP_3_3_FN,	GPSR3_3,
+		GP_3_2_FN,	GPSR3_2,
+		GP_3_1_FN,	GPSR3_1,
+		GP_3_0_FN,	GPSR3_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR4", 0xe6060110, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_4_17_FN,	GPSR4_17,
+		GP_4_16_FN,	GPSR4_16,
+		GP_4_15_FN,	GPSR4_15,
+		GP_4_14_FN,	GPSR4_14,
+		GP_4_13_FN,	GPSR4_13,
+		GP_4_12_FN,	GPSR4_12,
+		GP_4_11_FN,	GPSR4_11,
+		GP_4_10_FN,	GPSR4_10,
+		GP_4_9_FN,	GPSR4_9,
+		GP_4_8_FN,	GPSR4_8,
+		GP_4_7_FN,	GPSR4_7,
+		GP_4_6_FN,	GPSR4_6,
+		GP_4_5_FN,	GPSR4_5,
+		GP_4_4_FN,	GPSR4_4,
+		GP_4_3_FN,	GPSR4_3,
+		GP_4_2_FN,	GPSR4_2,
+		GP_4_1_FN,	GPSR4_1,
+		GP_4_0_FN,	GPSR4_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR5", 0xe6060114, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_5_25_FN,	GPSR5_25,
+		GP_5_24_FN,	GPSR5_24,
+		GP_5_23_FN,	GPSR5_23,
+		GP_5_22_FN,	GPSR5_22,
+		GP_5_21_FN,	GPSR5_21,
+		GP_5_20_FN,	GPSR5_20,
+		GP_5_19_FN,	GPSR5_19,
+		GP_5_18_FN,	GPSR5_18,
+		GP_5_17_FN,	GPSR5_17,
+		GP_5_16_FN,	GPSR5_16,
+		GP_5_15_FN,	GPSR5_15,
+		GP_5_14_FN,	GPSR5_14,
+		GP_5_13_FN,	GPSR5_13,
+		GP_5_12_FN,	GPSR5_12,
+		GP_5_11_FN,	GPSR5_11,
+		GP_5_10_FN,	GPSR5_10,
+		GP_5_9_FN,	GPSR5_9,
+		GP_5_8_FN,	GPSR5_8,
+		GP_5_7_FN,	GPSR5_7,
+		GP_5_6_FN,	GPSR5_6,
+		GP_5_5_FN,	GPSR5_5,
+		GP_5_4_FN,	GPSR5_4,
+		GP_5_3_FN,	GPSR5_3,
+		GP_5_2_FN,	GPSR5_2,
+		GP_5_1_FN,	GPSR5_1,
+		GP_5_0_FN,	GPSR5_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR6", 0xe6060118, 32, 1) {
+		GP_6_31_FN,	GPSR6_31,
+		GP_6_30_FN,	GPSR6_30,
+		GP_6_29_FN,	GPSR6_29,
+		GP_6_28_FN,	GPSR6_28,
+		GP_6_27_FN,	GPSR6_27,
+		GP_6_26_FN,	GPSR6_26,
+		GP_6_25_FN,	GPSR6_25,
+		GP_6_24_FN,	GPSR6_24,
+		GP_6_23_FN,	GPSR6_23,
+		GP_6_22_FN,	GPSR6_22,
+		GP_6_21_FN,	GPSR6_21,
+		GP_6_20_FN,	GPSR6_20,
+		GP_6_19_FN,	GPSR6_19,
+		GP_6_18_FN,	GPSR6_18,
+		GP_6_17_FN,	GPSR6_17,
+		GP_6_16_FN,	GPSR6_16,
+		GP_6_15_FN,	GPSR6_15,
+		GP_6_14_FN,	GPSR6_14,
+		GP_6_13_FN,	GPSR6_13,
+		GP_6_12_FN,	GPSR6_12,
+		GP_6_11_FN,	GPSR6_11,
+		GP_6_10_FN,	GPSR6_10,
+		GP_6_9_FN,	GPSR6_9,
+		GP_6_8_FN,	GPSR6_8,
+		GP_6_7_FN,	GPSR6_7,
+		GP_6_6_FN,	GPSR6_6,
+		GP_6_5_FN,	GPSR6_5,
+		GP_6_4_FN,	GPSR6_4,
+		GP_6_3_FN,	GPSR6_3,
+		GP_6_2_FN,	GPSR6_2,
+		GP_6_1_FN,	GPSR6_1,
+		GP_6_0_FN,	GPSR6_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR7", 0xe606011c, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_7_3_FN, GPSR7_3,
+		GP_7_2_FN, GPSR7_2,
+		GP_7_1_FN, GPSR7_1,
+		GP_7_0_FN, GPSR7_0, }
+	},
+#undef F_
+#undef FM
+
+#define F_(x, y)	x,
+#define FM(x)		FN_##x,
+	{ PINMUX_CFG_REG("IPSR0", 0xe6060200, 32, 4) {
+		IP0_31_28
+		IP0_27_24
+		IP0_23_20
+		IP0_19_16
+		IP0_15_12
+		IP0_11_8
+		IP0_7_4
+		IP0_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR1", 0xe6060204, 32, 4) {
+		IP1_31_28
+		IP1_27_24
+		IP1_23_20
+		IP1_19_16
+		IP1_15_12
+		IP1_11_8
+		IP1_7_4
+		IP1_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR2", 0xe6060208, 32, 4) {
+		IP2_31_28
+		IP2_27_24
+		IP2_23_20
+		IP2_19_16
+		IP2_15_12
+		IP2_11_8
+		IP2_7_4
+		IP2_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR3", 0xe606020c, 32, 4) {
+		IP3_31_28
+		IP3_27_24
+		IP3_23_20
+		IP3_19_16
+		IP3_15_12
+		IP3_11_8
+		IP3_7_4
+		IP3_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR4", 0xe6060210, 32, 4) {
+		IP4_31_28
+		IP4_27_24
+		IP4_23_20
+		IP4_19_16
+		IP4_15_12
+		IP4_11_8
+		IP4_7_4
+		IP4_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR5", 0xe6060214, 32, 4) {
+		IP5_31_28
+		IP5_27_24
+		IP5_23_20
+		IP5_19_16
+		IP5_15_12
+		IP5_11_8
+		IP5_7_4
+		IP5_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR6", 0xe6060218, 32, 4) {
+		IP6_31_28
+		IP6_27_24
+		IP6_23_20
+		IP6_19_16
+		IP6_15_12
+		IP6_11_8
+		IP6_7_4
+		IP6_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR7", 0xe606021c, 32, 4) {
+		IP7_31_28
+		IP7_27_24
+		IP7_23_20
+		IP7_19_16
+		/* IP7_15_12 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		IP7_11_8
+		IP7_7_4
+		IP7_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR8", 0xe6060220, 32, 4) {
+		IP8_31_28
+		IP8_27_24
+		IP8_23_20
+		IP8_19_16
+		IP8_15_12
+		IP8_11_8
+		IP8_7_4
+		IP8_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR9", 0xe6060224, 32, 4) {
+		IP9_31_28
+		IP9_27_24
+		IP9_23_20
+		IP9_19_16
+		IP9_15_12
+		IP9_11_8
+		IP9_7_4
+		IP9_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR10", 0xe6060228, 32, 4) {
+		IP10_31_28
+		IP10_27_24
+		IP10_23_20
+		IP10_19_16
+		IP10_15_12
+		IP10_11_8
+		IP10_7_4
+		IP10_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR11", 0xe606022c, 32, 4) {
+		IP11_31_28
+		IP11_27_24
+		IP11_23_20
+		IP11_19_16
+		IP11_15_12
+		IP11_11_8
+		IP11_7_4
+		IP11_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR12", 0xe6060230, 32, 4) {
+		IP12_31_28
+		IP12_27_24
+		IP12_23_20
+		IP12_19_16
+		IP12_15_12
+		IP12_11_8
+		IP12_7_4
+		IP12_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR13", 0xe6060234, 32, 4) {
+		IP13_31_28
+		IP13_27_24
+		IP13_23_20
+		IP13_19_16
+		IP13_15_12
+		IP13_11_8
+		IP13_7_4
+		IP13_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR14", 0xe6060238, 32, 4) {
+		IP14_31_28
+		IP14_27_24
+		IP14_23_20
+		IP14_19_16
+		IP14_15_12
+		IP14_11_8
+		IP14_7_4
+		IP14_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR15", 0xe606023c, 32, 4) {
+		IP15_31_28
+		IP15_27_24
+		IP15_23_20
+		IP15_19_16
+		IP15_15_12
+		IP15_11_8
+		IP15_7_4
+		IP15_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR16", 0xe6060240, 32, 4) {
+		IP16_31_28
+		IP16_27_24
+		IP16_23_20
+		IP16_19_16
+		IP16_15_12
+		IP16_11_8
+		IP16_7_4
+		IP16_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR17", 0xe6060244, 32, 4) {
+		IP17_31_28
+		IP17_27_24
+		IP17_23_20
+		IP17_19_16
+		IP17_15_12
+		IP17_11_8
+		IP17_7_4
+		IP17_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR18", 0xe6060248, 32, 4) {
+		/* IP18_31_28 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_27_24 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_23_20 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_19_16 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_15_12 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_11_8  */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		IP18_7_4
+		IP18_3_0 }
+	},
+#undef F_
+#undef FM
+
+#define F_(x, y)	x,
+#define FM(x)		FN_##x,
+	{ PINMUX_CFG_REG_VAR("MOD_SEL0", 0xe6060500, 32,
+			     3, 2, 3, 1, 1, 1, 1, 1, 2, 1,
+			     1, 2, 1, 1, 1, 2, 2, 1, 2, 3) {
+		MOD_SEL0_31_30_29
+		MOD_SEL0_28_27
+		MOD_SEL0_26_25_24
+		MOD_SEL0_23
+		MOD_SEL0_22
+		MOD_SEL0_21
+		MOD_SEL0_20
+		MOD_SEL0_19
+		MOD_SEL0_18_17
+		MOD_SEL0_16
+		0, 0, /* RESERVED 15 */
+		MOD_SEL0_14_13
+		MOD_SEL0_12
+		MOD_SEL0_11
+		MOD_SEL0_10
+		MOD_SEL0_9_8
+		MOD_SEL0_7_6
+		MOD_SEL0_5
+		MOD_SEL0_4_3
+		/* RESERVED 2, 1, 0 */
+		0, 0, 0, 0, 0, 0, 0, 0 }
+	},
+	{ PINMUX_CFG_REG_VAR("MOD_SEL1", 0xe6060504, 32,
+			     2, 3, 1, 2, 3, 1, 1, 2, 1,
+			     2, 1, 1, 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1) {
+		MOD_SEL1_31_30
+		MOD_SEL1_29_28_27
+		MOD_SEL1_26
+		MOD_SEL1_25_24
+		MOD_SEL1_23_22_21
+		MOD_SEL1_20
+		MOD_SEL1_19
+		MOD_SEL1_18_17
+		MOD_SEL1_16
+		MOD_SEL1_15_14
+		MOD_SEL1_13
+		MOD_SEL1_12
+		MOD_SEL1_11
+		MOD_SEL1_10
+		MOD_SEL1_9
+		0, 0, 0, 0, /* RESERVED 8, 7 */
+		MOD_SEL1_6
+		MOD_SEL1_5
+		MOD_SEL1_4
+		MOD_SEL1_3
+		MOD_SEL1_2
+		MOD_SEL1_1
+		MOD_SEL1_0 }
+	},
+	{ PINMUX_CFG_REG_VAR("MOD_SEL2", 0xe6060508, 32,
+			     1, 1, 1, 2, 1, 3, 1, 1, 1, 1, 1, 1, 1,
+			     4, 4, 4, 3, 1) {
+		MOD_SEL2_31
+		MOD_SEL2_30
+		MOD_SEL2_29
+		MOD_SEL2_28_27
+		MOD_SEL2_26
+		MOD_SEL2_25_24_23
+		/* RESERVED 22 */
+		0, 0,
+		MOD_SEL2_21
+		MOD_SEL2_20
+		MOD_SEL2_19
+		MOD_SEL2_18
+		MOD_SEL2_17
+		/* RESERVED 16 */
+		0, 0,
+		/* RESERVED 15, 14, 13, 12 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0,
+		/* RESERVED 11, 10, 9, 8 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0,
+		/* RESERVED 7, 6, 5, 4 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0,
+		/* RESERVED 3, 2, 1 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		MOD_SEL2_0 }
+	},
+	{ },
+};
+
+static const struct pinmux_drive_reg pinmux_drive_regs[] = {
+	{ PINMUX_DRIVE_REG("DRVCTRL0", 0xe6060300) {
+		{ PIN_NUMBER('W', 3),   28, 2 },	/* QSPI0_SPCLK */
+		{ PIN_A_NUMBER('C', 5), 24, 2 },	/* QSPI0_MOSI_IO0 */
+		{ PIN_A_NUMBER('B', 4), 20, 2 },	/* QSPI0_MISO_IO1 */
+		{ PIN_NUMBER('Y', 6),   16, 2 },	/* QSPI0_IO2 */
+		{ PIN_A_NUMBER('B', 6), 12, 2 },	/* QSPI0_IO3 */
+		{ PIN_NUMBER('Y', 3),    8, 2 },	/* QSPI0_SSL */
+		{ PIN_NUMBER('V', 3),    4, 2 },	/* QSPI1_SPCLK */
+		{ PIN_A_NUMBER('C', 7),  0, 2 },	/* QSPI1_MOSI_IO0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL1", 0xe6060304) {
+		{ PIN_A_NUMBER('E', 5), 28, 2 },	/* QSPI1_MISO_IO1 */
+		{ PIN_A_NUMBER('E', 4), 24, 2 },	/* QSPI1_IO2 */
+		{ PIN_A_NUMBER('C', 3), 20, 2 },	/* QSPI1_IO3 */
+		{ PIN_NUMBER('V', 5),   16, 2 },	/* QSPI1_SSL */
+		{ PIN_NUMBER('Y', 7),   12, 2 },	/* RPC_INT# */
+		{ PIN_NUMBER('V', 6),    8, 2 },	/* RPC_WP# */
+		{ PIN_NUMBER('V', 7),    4, 2 },	/* RPC_RESET# */
+		{ PIN_NUMBER('A', 16),   0, 3 },	/* AVB_RX_CTL */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL2", 0xe6060308) {
+		{ PIN_NUMBER('B', 19),  28, 3 },	/* AVB_RXC */
+		{ PIN_NUMBER('A', 13),  24, 3 },	/* AVB_RD0 */
+		{ PIN_NUMBER('B', 13),  20, 3 },	/* AVB_RD1 */
+		{ PIN_NUMBER('A', 14),  16, 3 },	/* AVB_RD2 */
+		{ PIN_NUMBER('B', 14),  12, 3 },	/* AVB_RD3 */
+		{ PIN_NUMBER('A', 8),    8, 3 },	/* AVB_TX_CTL */
+		{ PIN_NUMBER('A', 19),   4, 3 },	/* AVB_TXC */
+		{ PIN_NUMBER('A', 18),   0, 3 },	/* AVB_TD0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL3", 0xe606030c) {
+		{ PIN_NUMBER('B', 18),  28, 3 },	/* AVB_TD1 */
+		{ PIN_NUMBER('A', 17),  24, 3 },	/* AVB_TD2 */
+		{ PIN_NUMBER('B', 17),  20, 3 },	/* AVB_TD3 */
+		{ PIN_NUMBER('A', 12),  16, 3 },	/* AVB_TXCREFCLK */
+		{ PIN_NUMBER('A', 9),   12, 3 },	/* AVB_MDIO */
+		{ RCAR_GP_PIN(2,  9),    8, 3 },	/* AVB_MDC */
+		{ RCAR_GP_PIN(2, 10),    4, 3 },	/* AVB_MAGIC */
+		{ RCAR_GP_PIN(2, 11),    0, 3 },	/* AVB_PHY_INT */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL4", 0xe6060310) {
+		{ RCAR_GP_PIN(2, 12), 28, 3 },	/* AVB_LINK */
+		{ RCAR_GP_PIN(2, 13), 24, 3 },	/* AVB_AVTP_MATCH */
+		{ RCAR_GP_PIN(2, 14), 20, 3 },	/* AVB_AVTP_CAPTURE */
+		{ RCAR_GP_PIN(2,  0), 16, 3 },	/* IRQ0 */
+		{ RCAR_GP_PIN(2,  1), 12, 3 },	/* IRQ1 */
+		{ RCAR_GP_PIN(2,  2),  8, 3 },	/* IRQ2 */
+		{ RCAR_GP_PIN(2,  3),  4, 3 },	/* IRQ3 */
+		{ RCAR_GP_PIN(2,  4),  0, 3 },	/* IRQ4 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL5", 0xe6060314) {
+		{ RCAR_GP_PIN(2,  5), 28, 3 },	/* IRQ5 */
+		{ RCAR_GP_PIN(2,  6), 24, 3 },	/* PWM0 */
+		{ RCAR_GP_PIN(2,  7), 20, 3 },	/* PWM1 */
+		{ RCAR_GP_PIN(2,  8), 16, 3 },	/* PWM2 */
+		{ RCAR_GP_PIN(1,  0), 12, 3 },	/* A0 */
+		{ RCAR_GP_PIN(1,  1),  8, 3 },	/* A1 */
+		{ RCAR_GP_PIN(1,  2),  4, 3 },	/* A2 */
+		{ RCAR_GP_PIN(1,  3),  0, 3 },	/* A3 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL6", 0xe6060318) {
+		{ RCAR_GP_PIN(1,  4), 28, 3 },	/* A4 */
+		{ RCAR_GP_PIN(1,  5), 24, 3 },	/* A5 */
+		{ RCAR_GP_PIN(1,  6), 20, 3 },	/* A6 */
+		{ RCAR_GP_PIN(1,  7), 16, 3 },	/* A7 */
+		{ RCAR_GP_PIN(1,  8), 12, 3 },	/* A8 */
+		{ RCAR_GP_PIN(1,  9),  8, 3 },	/* A9 */
+		{ RCAR_GP_PIN(1, 10),  4, 3 },	/* A10 */
+		{ RCAR_GP_PIN(1, 11),  0, 3 },	/* A11 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL7", 0xe606031c) {
+		{ RCAR_GP_PIN(1, 12), 28, 3 },	/* A12 */
+		{ RCAR_GP_PIN(1, 13), 24, 3 },	/* A13 */
+		{ RCAR_GP_PIN(1, 14), 20, 3 },	/* A14 */
+		{ RCAR_GP_PIN(1, 15), 16, 3 },	/* A15 */
+		{ RCAR_GP_PIN(1, 16), 12, 3 },	/* A16 */
+		{ RCAR_GP_PIN(1, 17),  8, 3 },	/* A17 */
+		{ RCAR_GP_PIN(1, 18),  4, 3 },	/* A18 */
+		{ RCAR_GP_PIN(1, 19),  0, 3 },	/* A19 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL8", 0xe6060320) {
+		{ PIN_NUMBER('F', 1), 28, 3 },	/* CLKOUT */
+		{ RCAR_GP_PIN(1, 20), 24, 3 },	/* CS0 */
+		{ RCAR_GP_PIN(1, 21), 20, 3 },	/* CS1_A26 */
+		{ RCAR_GP_PIN(1, 22), 16, 3 },	/* BS */
+		{ RCAR_GP_PIN(1, 23), 12, 3 },	/* RD */
+		{ RCAR_GP_PIN(1, 24),  8, 3 },	/* RD_WR */
+		{ RCAR_GP_PIN(1, 25),  4, 3 },	/* WE0 */
+		{ RCAR_GP_PIN(1, 26),  0, 3 },	/* WE1 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL9", 0xe6060324) {
+		{ RCAR_GP_PIN(1, 27), 28, 3 },	/* EX_WAIT0 */
+		{ PIN_NUMBER('C', 1), 24, 3 },	/* PRESETOUT# */
+		{ RCAR_GP_PIN(0,  0), 20, 3 },	/* D0 */
+		{ RCAR_GP_PIN(0,  1), 16, 3 },	/* D1 */
+		{ RCAR_GP_PIN(0,  2), 12, 3 },	/* D2 */
+		{ RCAR_GP_PIN(0,  3),  8, 3 },	/* D3 */
+		{ RCAR_GP_PIN(0,  4),  4, 3 },	/* D4 */
+		{ RCAR_GP_PIN(0,  5),  0, 3 },	/* D5 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL10", 0xe6060328) {
+		{ RCAR_GP_PIN(0,  6), 28, 3 },	/* D6 */
+		{ RCAR_GP_PIN(0,  7), 24, 3 },	/* D7 */
+		{ RCAR_GP_PIN(0,  8), 20, 3 },	/* D8 */
+		{ RCAR_GP_PIN(0,  9), 16, 3 },	/* D9 */
+		{ RCAR_GP_PIN(0, 10), 12, 3 },	/* D10 */
+		{ RCAR_GP_PIN(0, 11),  8, 3 },	/* D11 */
+		{ RCAR_GP_PIN(0, 12),  4, 3 },	/* D12 */
+		{ RCAR_GP_PIN(0, 13),  0, 3 },	/* D13 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL11", 0xe606032c) {
+		{ RCAR_GP_PIN(0, 14),   28, 3 },	/* D14 */
+		{ RCAR_GP_PIN(0, 15),   24, 3 },	/* D15 */
+		{ RCAR_GP_PIN(7,  0),   20, 3 },	/* AVS1 */
+		{ RCAR_GP_PIN(7,  1),   16, 3 },	/* AVS2 */
+		{ RCAR_GP_PIN(7,  2),   12, 3 },	/* HDMI0_CEC */
+		{ RCAR_GP_PIN(7,  3),    8, 3 },	/* HDMI1_CEC */
+		{ PIN_A_NUMBER('P', 7),  4, 2 },	/* DU_DOTCLKIN0 */
+		{ PIN_A_NUMBER('P', 8),  0, 2 },	/* DU_DOTCLKIN1 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL12", 0xe6060330) {
+		{ PIN_A_NUMBER('R', 7),  28, 2 },	/* DU_DOTCLKIN2 */
+		{ PIN_A_NUMBER('R', 8),  24, 2 },	/* DU_DOTCLKIN3 */
+		{ PIN_A_NUMBER('D', 38), 20, 2 },	/* FSCLKST# */
+		{ PIN_A_NUMBER('R', 30),  4, 2 },	/* TMS */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL13", 0xe6060334) {
+		{ PIN_A_NUMBER('T', 28), 28, 2 },	/* TDO */
+		{ PIN_A_NUMBER('T', 30), 24, 2 },	/* ASEBRK */
+		{ RCAR_GP_PIN(3,  0),    20, 3 },	/* SD0_CLK */
+		{ RCAR_GP_PIN(3,  1),    16, 3 },	/* SD0_CMD */
+		{ RCAR_GP_PIN(3,  2),    12, 3 },	/* SD0_DAT0 */
+		{ RCAR_GP_PIN(3,  3),     8, 3 },	/* SD0_DAT1 */
+		{ RCAR_GP_PIN(3,  4),     4, 3 },	/* SD0_DAT2 */
+		{ RCAR_GP_PIN(3,  5),     0, 3 },	/* SD0_DAT3 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL14", 0xe6060338) {
+		{ RCAR_GP_PIN(3,  6), 28, 3 },	/* SD1_CLK */
+		{ RCAR_GP_PIN(3,  7), 24, 3 },	/* SD1_CMD */
+		{ RCAR_GP_PIN(3,  8), 20, 3 },	/* SD1_DAT0 */
+		{ RCAR_GP_PIN(3,  9), 16, 3 },	/* SD1_DAT1 */
+		{ RCAR_GP_PIN(3, 10), 12, 3 },	/* SD1_DAT2 */
+		{ RCAR_GP_PIN(3, 11),  8, 3 },	/* SD1_DAT3 */
+		{ RCAR_GP_PIN(4,  0),  4, 3 },	/* SD2_CLK */
+		{ RCAR_GP_PIN(4,  1),  0, 3 },	/* SD2_CMD */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL15", 0xe606033c) {
+		{ RCAR_GP_PIN(4,  2), 28, 3 },	/* SD2_DAT0 */
+		{ RCAR_GP_PIN(4,  3), 24, 3 },	/* SD2_DAT1 */
+		{ RCAR_GP_PIN(4,  4), 20, 3 },	/* SD2_DAT2 */
+		{ RCAR_GP_PIN(4,  5), 16, 3 },	/* SD2_DAT3 */
+		{ RCAR_GP_PIN(4,  6), 12, 3 },	/* SD2_DS */
+		{ RCAR_GP_PIN(4,  7),  8, 3 },	/* SD3_CLK */
+		{ RCAR_GP_PIN(4,  8),  4, 3 },	/* SD3_CMD */
+		{ RCAR_GP_PIN(4,  9),  0, 3 },	/* SD3_DAT0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL16", 0xe6060340) {
+		{ RCAR_GP_PIN(4, 10), 28, 3 },	/* SD3_DAT1 */
+		{ RCAR_GP_PIN(4, 11), 24, 3 },	/* SD3_DAT2 */
+		{ RCAR_GP_PIN(4, 12), 20, 3 },	/* SD3_DAT3 */
+		{ RCAR_GP_PIN(4, 13), 16, 3 },	/* SD3_DAT4 */
+		{ RCAR_GP_PIN(4, 14), 12, 3 },	/* SD3_DAT5 */
+		{ RCAR_GP_PIN(4, 15),  8, 3 },	/* SD3_DAT6 */
+		{ RCAR_GP_PIN(4, 16),  4, 3 },	/* SD3_DAT7 */
+		{ RCAR_GP_PIN(4, 17),  0, 3 },	/* SD3_DS */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL17", 0xe6060344) {
+		{ RCAR_GP_PIN(3, 12), 28, 3 },	/* SD0_CD */
+		{ RCAR_GP_PIN(3, 13), 24, 3 },	/* SD0_WP */
+		{ RCAR_GP_PIN(3, 14), 20, 3 },	/* SD1_CD */
+		{ RCAR_GP_PIN(3, 15), 16, 3 },	/* SD1_WP */
+		{ RCAR_GP_PIN(5,  0), 12, 3 },	/* SCK0 */
+		{ RCAR_GP_PIN(5,  1),  8, 3 },	/* RX0 */
+		{ RCAR_GP_PIN(5,  2),  4, 3 },	/* TX0 */
+		{ RCAR_GP_PIN(5,  3),  0, 3 },	/* CTS0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL18", 0xe6060348) {
+		{ RCAR_GP_PIN(5,  4), 28, 3 },	/* RTS0_TANS */
+		{ RCAR_GP_PIN(5,  5), 24, 3 },	/* RX1 */
+		{ RCAR_GP_PIN(5,  6), 20, 3 },	/* TX1 */
+		{ RCAR_GP_PIN(5,  7), 16, 3 },	/* CTS1 */
+		{ RCAR_GP_PIN(5,  8), 12, 3 },	/* RTS1_TANS */
+		{ RCAR_GP_PIN(5,  9),  8, 3 },	/* SCK2 */
+		{ RCAR_GP_PIN(5, 10),  4, 3 },	/* TX2 */
+		{ RCAR_GP_PIN(5, 11),  0, 3 },	/* RX2 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL19", 0xe606034c) {
+		{ RCAR_GP_PIN(5, 12), 28, 3 },	/* HSCK0 */
+		{ RCAR_GP_PIN(5, 13), 24, 3 },	/* HRX0 */
+		{ RCAR_GP_PIN(5, 14), 20, 3 },	/* HTX0 */
+		{ RCAR_GP_PIN(5, 15), 16, 3 },	/* HCTS0 */
+		{ RCAR_GP_PIN(5, 16), 12, 3 },	/* HRTS0 */
+		{ RCAR_GP_PIN(5, 17),  8, 3 },	/* MSIOF0_SCK */
+		{ RCAR_GP_PIN(5, 18),  4, 3 },	/* MSIOF0_SYNC */
+		{ RCAR_GP_PIN(5, 19),  0, 3 },	/* MSIOF0_SS1 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL20", 0xe6060350) {
+		{ RCAR_GP_PIN(5, 20), 28, 3 },	/* MSIOF0_TXD */
+		{ RCAR_GP_PIN(5, 21), 24, 3 },	/* MSIOF0_SS2 */
+		{ RCAR_GP_PIN(5, 22), 20, 3 },	/* MSIOF0_RXD */
+		{ RCAR_GP_PIN(5, 23), 16, 3 },	/* MLB_CLK */
+		{ RCAR_GP_PIN(5, 24), 12, 3 },	/* MLB_SIG */
+		{ RCAR_GP_PIN(5, 25),  8, 3 },	/* MLB_DAT */
+		{ PIN_NUMBER('H', 37),  4, 3 },	/* MLB_REF */
+		{ RCAR_GP_PIN(6,  0),  0, 3 },	/* SSI_SCK01239 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL21", 0xe6060354) {
+		{ RCAR_GP_PIN(6,  1), 28, 3 },	/* SSI_WS01239 */
+		{ RCAR_GP_PIN(6,  2), 24, 3 },	/* SSI_SDATA0 */
+		{ RCAR_GP_PIN(6,  3), 20, 3 },	/* SSI_SDATA1 */
+		{ RCAR_GP_PIN(6,  4), 16, 3 },	/* SSI_SDATA2 */
+		{ RCAR_GP_PIN(6,  5), 12, 3 },	/* SSI_SCK349 */
+		{ RCAR_GP_PIN(6,  6),  8, 3 },	/* SSI_WS349 */
+		{ RCAR_GP_PIN(6,  7),  4, 3 },	/* SSI_SDATA3 */
+		{ RCAR_GP_PIN(6,  8),  0, 3 },	/* SSI_SCK4 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL22", 0xe6060358) {
+		{ RCAR_GP_PIN(6,  9), 28, 3 },	/* SSI_WS4 */
+		{ RCAR_GP_PIN(6, 10), 24, 3 },	/* SSI_SDATA4 */
+		{ RCAR_GP_PIN(6, 11), 20, 3 },	/* SSI_SCK5 */
+		{ RCAR_GP_PIN(6, 12), 16, 3 },	/* SSI_WS5 */
+		{ RCAR_GP_PIN(6, 13), 12, 3 },	/* SSI_SDATA5 */
+		{ RCAR_GP_PIN(6, 14),  8, 3 },	/* SSI_SCK6 */
+		{ RCAR_GP_PIN(6, 15),  4, 3 },	/* SSI_WS6 */
+		{ RCAR_GP_PIN(6, 16),  0, 3 },	/* SSI_SDATA6 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL23", 0xe606035c) {
+		{ RCAR_GP_PIN(6, 17), 28, 3 },	/* SSI_SCK78 */
+		{ RCAR_GP_PIN(6, 18), 24, 3 },	/* SSI_WS78 */
+		{ RCAR_GP_PIN(6, 19), 20, 3 },	/* SSI_SDATA7 */
+		{ RCAR_GP_PIN(6, 20), 16, 3 },	/* SSI_SDATA8 */
+		{ RCAR_GP_PIN(6, 21), 12, 3 },	/* SSI_SDATA9 */
+		{ RCAR_GP_PIN(6, 22),  8, 3 },	/* AUDIO_CLKA */
+		{ RCAR_GP_PIN(6, 23),  4, 3 },	/* AUDIO_CLKB */
+		{ RCAR_GP_PIN(6, 24),  0, 3 },	/* USB0_PWEN */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL24", 0xe6060360) {
+		{ RCAR_GP_PIN(6, 25), 28, 3 },	/* USB0_OVC */
+		{ RCAR_GP_PIN(6, 26), 24, 3 },	/* USB1_PWEN */
+		{ RCAR_GP_PIN(6, 27), 20, 3 },	/* USB1_OVC */
+		{ RCAR_GP_PIN(6, 28), 16, 3 },	/* USB30_PWEN */
+		{ RCAR_GP_PIN(6, 29), 12, 3 },	/* USB30_OVC */
+		{ RCAR_GP_PIN(6, 30),  8, 3 },	/* USB2_CH3_PWEN */
+		{ RCAR_GP_PIN(6, 31),  4, 3 },	/* USB2_CH3_OVC */
+	} },
+	{ },
+};
+
+static int r8a7795_pin_to_pocctrl(struct sh_pfc *pfc, unsigned int pin, u32 *pocctrl)
+{
+	int bit = -EINVAL;
+
+	*pocctrl = 0xe6060380;
+
+	if (pin >= RCAR_GP_PIN(3, 0) && pin <= RCAR_GP_PIN(3, 11))
+		bit = pin & 0x1f;
+
+	if (pin >= RCAR_GP_PIN(4, 0) && pin <= RCAR_GP_PIN(4, 17))
+		bit = (pin & 0x1f) + 12;
+
+	return bit;
+}
+
+#define PUEN	0xe6060400
+#define PUD	0xe6060440
+
+#define PU0	0x00
+#define PU1	0x04
+#define PU2	0x08
+#define PU3	0x0c
+#define PU4	0x10
+#define PU5	0x14
+#define PU6	0x18
+
+static const struct sh_pfc_bias_info bias_info[] = {
+	{ RCAR_GP_PIN(2, 11),    PU0, 31 },	/* AVB_PHY_INT */
+	{ RCAR_GP_PIN(2, 10),    PU0, 30 },	/* AVB_MAGIC */
+	{ RCAR_GP_PIN(2,  9),    PU0, 29 },	/* AVB_MDC */
+	{ PIN_NUMBER('A', 9),    PU0, 28 },	/* AVB_MDIO */
+	{ PIN_NUMBER('A', 12),   PU0, 27 },	/* AVB_TXCREFCLK */
+	{ PIN_NUMBER('B', 17),   PU0, 26 },	/* AVB_TD3 */
+	{ PIN_NUMBER('A', 17),   PU0, 25 },	/* AVB_TD2 */
+	{ PIN_NUMBER('B', 18),   PU0, 24 },	/* AVB_TD1 */
+	{ PIN_NUMBER('A', 18),   PU0, 23 },	/* AVB_TD0 */
+	{ PIN_NUMBER('A', 19),   PU0, 22 },	/* AVB_TXC */
+	{ PIN_NUMBER('A', 8),    PU0, 21 },	/* AVB_TX_CTL */
+	{ PIN_NUMBER('B', 14),   PU0, 20 },	/* AVB_RD3 */
+	{ PIN_NUMBER('A', 14),   PU0, 19 },	/* AVB_RD2 */
+	{ PIN_NUMBER('B', 13),   PU0, 18 },	/* AVB_RD1 */
+	{ PIN_NUMBER('A', 13),   PU0, 17 },	/* AVB_RD0 */
+	{ PIN_NUMBER('B', 19),   PU0, 16 },	/* AVB_RXC */
+	{ PIN_NUMBER('A', 16),   PU0, 15 },	/* AVB_RX_CTL */
+	{ PIN_NUMBER('V', 7),    PU0, 14 },	/* RPC_RESET# */
+	{ PIN_NUMBER('V', 6),    PU0, 13 },	/* RPC_WP# */
+	{ PIN_NUMBER('Y', 7),    PU0, 12 },	/* RPC_INT# */
+	{ PIN_NUMBER('V', 5),    PU0, 11 },	/* QSPI1_SSL */
+	{ PIN_A_NUMBER('C', 3),  PU0, 10 },	/* QSPI1_IO3 */
+	{ PIN_A_NUMBER('E', 4),  PU0,  9 },	/* QSPI1_IO2 */
+	{ PIN_A_NUMBER('E', 5),  PU0,  8 },	/* QSPI1_MISO_IO1 */
+	{ PIN_A_NUMBER('C', 7),  PU0,  7 },	/* QSPI1_MOSI_IO0 */
+	{ PIN_NUMBER('V', 3),    PU0,  6 },	/* QSPI1_SPCLK */
+	{ PIN_NUMBER('Y', 3),    PU0,  5 },	/* QSPI0_SSL */
+	{ PIN_A_NUMBER('B', 6),  PU0,  4 },	/* QSPI0_IO3 */
+	{ PIN_NUMBER('Y', 6),    PU0,  3 },	/* QSPI0_IO2 */
+	{ PIN_A_NUMBER('B', 4),  PU0,  2 },	/* QSPI0_MISO_IO1 */
+	{ PIN_A_NUMBER('C', 5),  PU0,  1 },	/* QSPI0_MOSI_IO0 */
+	{ PIN_NUMBER('W', 3),    PU0,  0 },	/* QSPI0_SPCLK */
+
+	{ RCAR_GP_PIN(1, 19),    PU1, 31 },	/* A19 */
+	{ RCAR_GP_PIN(1, 18),    PU1, 30 },	/* A18 */
+	{ RCAR_GP_PIN(1, 17),    PU1, 29 },	/* A17 */
+	{ RCAR_GP_PIN(1, 16),    PU1, 28 },	/* A16 */
+	{ RCAR_GP_PIN(1, 15),    PU1, 27 },	/* A15 */
+	{ RCAR_GP_PIN(1, 14),    PU1, 26 },	/* A14 */
+	{ RCAR_GP_PIN(1, 13),    PU1, 25 },	/* A13 */
+	{ RCAR_GP_PIN(1, 12),    PU1, 24 },	/* A12 */
+	{ RCAR_GP_PIN(1, 11),    PU1, 23 },	/* A11 */
+	{ RCAR_GP_PIN(1, 10),    PU1, 22 },	/* A10 */
+	{ RCAR_GP_PIN(1,  9),    PU1, 21 },	/* A9 */
+	{ RCAR_GP_PIN(1,  8),    PU1, 20 },	/* A8 */
+	{ RCAR_GP_PIN(1,  7),    PU1, 19 },	/* A7 */
+	{ RCAR_GP_PIN(1,  6),    PU1, 18 },	/* A6 */
+	{ RCAR_GP_PIN(1,  5),    PU1, 17 },	/* A5 */
+	{ RCAR_GP_PIN(1,  4),    PU1, 16 },	/* A4 */
+	{ RCAR_GP_PIN(1,  3),    PU1, 15 },	/* A3 */
+	{ RCAR_GP_PIN(1,  2),    PU1, 14 },	/* A2 */
+	{ RCAR_GP_PIN(1,  1),    PU1, 13 },	/* A1 */
+	{ RCAR_GP_PIN(1,  0),    PU1, 12 },	/* A0 */
+	{ RCAR_GP_PIN(2,  8),    PU1, 11 },	/* PWM2_A */
+	{ RCAR_GP_PIN(2,  7),    PU1, 10 },	/* PWM1_A */
+	{ RCAR_GP_PIN(2,  6),    PU1,  9 },	/* PWM0 */
+	{ RCAR_GP_PIN(2,  5),    PU1,  8 },	/* IRQ5 */
+	{ RCAR_GP_PIN(2,  4),    PU1,  7 },	/* IRQ4 */
+	{ RCAR_GP_PIN(2,  3),    PU1,  6 },	/* IRQ3 */
+	{ RCAR_GP_PIN(2,  2),    PU1,  5 },	/* IRQ2 */
+	{ RCAR_GP_PIN(2,  1),    PU1,  4 },	/* IRQ1 */
+	{ RCAR_GP_PIN(2,  0),    PU1,  3 },	/* IRQ0 */
+	{ RCAR_GP_PIN(2, 14),    PU1,  2 },	/* AVB_AVTP_CAPTURE_A */
+	{ RCAR_GP_PIN(2, 13),    PU1,  1 },	/* AVB_AVTP_MATCH_A */
+	{ RCAR_GP_PIN(2, 12),    PU1,  0 },	/* AVB_LINK */
+
+	{ PIN_A_NUMBER('P', 8),  PU2, 31 },	/* DU_DOTCLKIN1 */
+	{ PIN_A_NUMBER('P', 7),  PU2, 30 },	/* DU_DOTCLKIN0 */
+	{ RCAR_GP_PIN(7,  3),    PU2, 29 },	/* HDMI1_CEC */
+	{ RCAR_GP_PIN(7,  2),    PU2, 28 },	/* HDMI0_CEC */
+	{ RCAR_GP_PIN(7,  1),    PU2, 27 },	/* AVS2 */
+	{ RCAR_GP_PIN(7,  0),    PU2, 26 },	/* AVS1 */
+	{ RCAR_GP_PIN(0, 15),    PU2, 25 },	/* D15 */
+	{ RCAR_GP_PIN(0, 14),    PU2, 24 },	/* D14 */
+	{ RCAR_GP_PIN(0, 13),    PU2, 23 },	/* D13 */
+	{ RCAR_GP_PIN(0, 12),    PU2, 22 },	/* D12 */
+	{ RCAR_GP_PIN(0, 11),    PU2, 21 },	/* D11 */
+	{ RCAR_GP_PIN(0, 10),    PU2, 20 },	/* D10 */
+	{ RCAR_GP_PIN(0,  9),    PU2, 19 },	/* D9 */
+	{ RCAR_GP_PIN(0,  8),    PU2, 18 },	/* D8 */
+	{ RCAR_GP_PIN(0,  7),    PU2, 17 },	/* D7 */
+	{ RCAR_GP_PIN(0,  6),    PU2, 16 },	/* D6 */
+	{ RCAR_GP_PIN(0,  5),    PU2, 15 },	/* D5 */
+	{ RCAR_GP_PIN(0,  4),    PU2, 14 },	/* D4 */
+	{ RCAR_GP_PIN(0,  3),    PU2, 13 },	/* D3 */
+	{ RCAR_GP_PIN(0,  2),    PU2, 12 },	/* D2 */
+	{ RCAR_GP_PIN(0,  1),    PU2, 11 },	/* D1 */
+	{ RCAR_GP_PIN(0,  0),    PU2, 10 },	/* D0 */
+	{ PIN_NUMBER('C', 1),    PU2,  9 },	/* PRESETOUT# */
+	{ RCAR_GP_PIN(1, 27),    PU2,  8 },	/* EX_WAIT0_A */
+	{ RCAR_GP_PIN(1, 26),    PU2,  7 },	/* WE1_N */
+	{ RCAR_GP_PIN(1, 25),    PU2,  6 },	/* WE0_N */
+	{ RCAR_GP_PIN(1, 24),    PU2,  5 },	/* RD_WR_N */
+	{ RCAR_GP_PIN(1, 23),    PU2,  4 },	/* RD_N */
+	{ RCAR_GP_PIN(1, 22),    PU2,  3 },	/* BS_N */
+	{ RCAR_GP_PIN(1, 21),    PU2,  2 },	/* CS1_N */
+	{ RCAR_GP_PIN(1, 20),    PU2,  1 },	/* CS0_N */
+	{ PIN_NUMBER('F', 1),    PU2,  0 },	/* CLKOUT */
+
+	{ RCAR_GP_PIN(4,  9),    PU3, 31 },	/* SD3_DAT0 */
+	{ RCAR_GP_PIN(4,  8),    PU3, 30 },	/* SD3_CMD */
+	{ RCAR_GP_PIN(4,  7),    PU3, 29 },	/* SD3_CLK */
+	{ RCAR_GP_PIN(4,  6),    PU3, 28 },	/* SD2_DS */
+	{ RCAR_GP_PIN(4,  5),    PU3, 27 },	/* SD2_DAT3 */
+	{ RCAR_GP_PIN(4,  4),    PU3, 26 },	/* SD2_DAT2 */
+	{ RCAR_GP_PIN(4,  3),    PU3, 25 },	/* SD2_DAT1 */
+	{ RCAR_GP_PIN(4,  2),    PU3, 24 },	/* SD2_DAT0 */
+	{ RCAR_GP_PIN(4,  1),    PU3, 23 },	/* SD2_CMD */
+	{ RCAR_GP_PIN(4,  0),    PU3, 22 },	/* SD2_CLK */
+	{ RCAR_GP_PIN(3, 11),    PU3, 21 },	/* SD1_DAT3 */
+	{ RCAR_GP_PIN(3, 10),    PU3, 20 },	/* SD1_DAT2 */
+	{ RCAR_GP_PIN(3,  9),    PU3, 19 },	/* SD1_DAT1 */
+	{ RCAR_GP_PIN(3,  8),    PU3, 18 },	/* SD1_DAT0 */
+	{ RCAR_GP_PIN(3,  7),    PU3, 17 },	/* SD1_CMD */
+	{ RCAR_GP_PIN(3,  6),    PU3, 16 },	/* SD1_CLK */
+	{ RCAR_GP_PIN(3,  5),    PU3, 15 },	/* SD0_DAT3 */
+	{ RCAR_GP_PIN(3,  4),    PU3, 14 },	/* SD0_DAT2 */
+	{ RCAR_GP_PIN(3,  3),    PU3, 13 },	/* SD0_DAT1 */
+	{ RCAR_GP_PIN(3,  2),    PU3, 12 },	/* SD0_DAT0 */
+	{ RCAR_GP_PIN(3,  1),    PU3, 11 },	/* SD0_CMD */
+	{ RCAR_GP_PIN(3,  0),    PU3, 10 },	/* SD0_CLK */
+	{ PIN_A_NUMBER('T', 30), PU3,  9 },	/* ASEBRK */
+	/* bit 8 n/a */
+	{ PIN_A_NUMBER('R', 29), PU3,  7 },	/* TDI */
+	{ PIN_A_NUMBER('R', 30), PU3,  6 },	/* TMS */
+	{ PIN_A_NUMBER('T', 27), PU3,  5 },	/* TCK */
+	{ PIN_A_NUMBER('R', 26), PU3,  4 },	/* TRST# */
+	{ PIN_A_NUMBER('D', 39), PU3,  3 },	/* EXTALR*/
+	{ PIN_A_NUMBER('D', 38), PU3,  2 },	/* FSCLKST# */
+	{ PIN_A_NUMBER('R', 8),  PU3,  1 },	/* DU_DOTCLKIN3 */
+	{ PIN_A_NUMBER('R', 7),  PU3,  0 },	/* DU_DOTCLKIN2 */
+
+	{ RCAR_GP_PIN(5, 19),    PU4, 31 },	/* MSIOF0_SS1 */
+	{ RCAR_GP_PIN(5, 18),    PU4, 30 },	/* MSIOF0_SYNC */
+	{ RCAR_GP_PIN(5, 17),    PU4, 29 },	/* MSIOF0_SCK */
+	{ RCAR_GP_PIN(5, 16),    PU4, 28 },	/* HRTS0_N */
+	{ RCAR_GP_PIN(5, 15),    PU4, 27 },	/* HCTS0_N */
+	{ RCAR_GP_PIN(5, 14),    PU4, 26 },	/* HTX0 */
+	{ RCAR_GP_PIN(5, 13),    PU4, 25 },	/* HRX0 */
+	{ RCAR_GP_PIN(5, 12),    PU4, 24 },	/* HSCK0 */
+	{ RCAR_GP_PIN(5, 11),    PU4, 23 },	/* RX2_A */
+	{ RCAR_GP_PIN(5, 10),    PU4, 22 },	/* TX2_A */
+	{ RCAR_GP_PIN(5,  9),    PU4, 21 },	/* SCK2 */
+	{ RCAR_GP_PIN(5,  8),    PU4, 20 },	/* RTS1_N_TANS */
+	{ RCAR_GP_PIN(5,  7),    PU4, 19 },	/* CTS1_N */
+	{ RCAR_GP_PIN(5,  6),    PU4, 18 },	/* TX1_A */
+	{ RCAR_GP_PIN(5,  5),    PU4, 17 },	/* RX1_A */
+	{ RCAR_GP_PIN(5,  4),    PU4, 16 },	/* RTS0_N_TANS */
+	{ RCAR_GP_PIN(5,  3),    PU4, 15 },	/* CTS0_N */
+	{ RCAR_GP_PIN(5,  2),    PU4, 14 },	/* TX0 */
+	{ RCAR_GP_PIN(5,  1),    PU4, 13 },	/* RX0 */
+	{ RCAR_GP_PIN(5,  0),    PU4, 12 },	/* SCK0 */
+	{ RCAR_GP_PIN(3, 15),    PU4, 11 },	/* SD1_WP */
+	{ RCAR_GP_PIN(3, 14),    PU4, 10 },	/* SD1_CD */
+	{ RCAR_GP_PIN(3, 13),    PU4,  9 },	/* SD0_WP */
+	{ RCAR_GP_PIN(3, 12),    PU4,  8 },	/* SD0_CD */
+	{ RCAR_GP_PIN(4, 17),    PU4,  7 },	/* SD3_DS */
+	{ RCAR_GP_PIN(4, 16),    PU4,  6 },	/* SD3_DAT7 */
+	{ RCAR_GP_PIN(4, 15),    PU4,  5 },	/* SD3_DAT6 */
+	{ RCAR_GP_PIN(4, 14),    PU4,  4 },	/* SD3_DAT5 */
+	{ RCAR_GP_PIN(4, 13),    PU4,  3 },	/* SD3_DAT4 */
+	{ RCAR_GP_PIN(4, 12),    PU4,  2 },	/* SD3_DAT3 */
+	{ RCAR_GP_PIN(4, 11),    PU4,  1 },	/* SD3_DAT2 */
+	{ RCAR_GP_PIN(4, 10),    PU4,  0 },	/* SD3_DAT1 */
+
+	{ RCAR_GP_PIN(6, 24),    PU5, 31 },	/* USB0_PWEN */
+	{ RCAR_GP_PIN(6, 23),    PU5, 30 },	/* AUDIO_CLKB_B */
+	{ RCAR_GP_PIN(6, 22),    PU5, 29 },	/* AUDIO_CLKA_A */
+	{ RCAR_GP_PIN(6, 21),    PU5, 28 },	/* SSI_SDATA9_A */
+	{ RCAR_GP_PIN(6, 20),    PU5, 27 },	/* SSI_SDATA8 */
+	{ RCAR_GP_PIN(6, 19),    PU5, 26 },	/* SSI_SDATA7 */
+	{ RCAR_GP_PIN(6, 18),    PU5, 25 },	/* SSI_WS78 */
+	{ RCAR_GP_PIN(6, 17),    PU5, 24 },	/* SSI_SCK78 */
+	{ RCAR_GP_PIN(6, 16),    PU5, 23 },	/* SSI_SDATA6 */
+	{ RCAR_GP_PIN(6, 15),    PU5, 22 },	/* SSI_WS6 */
+	{ RCAR_GP_PIN(6, 14),    PU5, 21 },	/* SSI_SCK6 */
+	{ RCAR_GP_PIN(6, 13),    PU5, 20 },	/* SSI_SDATA5 */
+	{ RCAR_GP_PIN(6, 12),    PU5, 19 },	/* SSI_WS5 */
+	{ RCAR_GP_PIN(6, 11),    PU5, 18 },	/* SSI_SCK5 */
+	{ RCAR_GP_PIN(6, 10),    PU5, 17 },	/* SSI_SDATA4 */
+	{ RCAR_GP_PIN(6,  9),    PU5, 16 },	/* SSI_WS4 */
+	{ RCAR_GP_PIN(6,  8),    PU5, 15 },	/* SSI_SCK4 */
+	{ RCAR_GP_PIN(6,  7),    PU5, 14 },	/* SSI_SDATA3 */
+	{ RCAR_GP_PIN(6,  6),    PU5, 13 },	/* SSI_WS349 */
+	{ RCAR_GP_PIN(6,  5),    PU5, 12 },	/* SSI_SCK349 */
+	{ RCAR_GP_PIN(6,  4),    PU5, 11 },	/* SSI_SDATA2_A */
+	{ RCAR_GP_PIN(6,  3),    PU5, 10 },	/* SSI_SDATA1_A */
+	{ RCAR_GP_PIN(6,  2),    PU5,  9 },	/* SSI_SDATA0 */
+	{ RCAR_GP_PIN(6,  1),    PU5,  8 },	/* SSI_WS01239 */
+	{ RCAR_GP_PIN(6,  0),    PU5,  7 },	/* SSI_SCK01239 */
+	{ PIN_NUMBER('H', 37),   PU5,  6 },	/* MLB_REF */
+	{ RCAR_GP_PIN(5, 25),    PU5,  5 },	/* MLB_DAT */
+	{ RCAR_GP_PIN(5, 24),    PU5,  4 },	/* MLB_SIG */
+	{ RCAR_GP_PIN(5, 23),    PU5,  3 },	/* MLB_CLK */
+	{ RCAR_GP_PIN(5, 22),    PU5,  2 },	/* MSIOF0_RXD */
+	{ RCAR_GP_PIN(5, 21),    PU5,  1 },	/* MSIOF0_SS2 */
+	{ RCAR_GP_PIN(5, 20),    PU5,  0 },	/* MSIOF0_TXD */
+
+	{ RCAR_GP_PIN(6, 31),    PU6,  6 },	/* USB2_CH3_OVC */
+	{ RCAR_GP_PIN(6, 30),    PU6,  5 },	/* USB2_CH3_PWEN */
+	{ RCAR_GP_PIN(6, 29),    PU6,  4 },	/* USB30_OVC */
+	{ RCAR_GP_PIN(6, 28),    PU6,  3 },	/* USB30_PWEN */
+	{ RCAR_GP_PIN(6, 27),    PU6,  2 },	/* USB1_OVC */
+	{ RCAR_GP_PIN(6, 26),    PU6,  1 },	/* USB1_PWEN */
+	{ RCAR_GP_PIN(6, 25),    PU6,  0 },	/* USB0_OVC */
+};
+
+static unsigned int r8a7795_pinmux_get_bias(struct sh_pfc *pfc,
+					    unsigned int pin)
+{
+	const struct sh_pfc_bias_info *info;
+	u32 reg;
+	u32 bit;
+
+	info = sh_pfc_pin_to_bias_info(bias_info, ARRAY_SIZE(bias_info), pin);
+	if (!info)
+		return PIN_CONFIG_BIAS_DISABLE;
+
+	reg = info->reg;
+	bit = BIT(info->bit);
+
+	if (!(sh_pfc_read_reg(pfc, PUEN + reg, 32) & bit))
+		return PIN_CONFIG_BIAS_DISABLE;
+	else if (sh_pfc_read_reg(pfc, PUD + reg, 32) & bit)
+		return PIN_CONFIG_BIAS_PULL_UP;
+	else
+		return PIN_CONFIG_BIAS_PULL_DOWN;
+}
+
+static void r8a7795_pinmux_set_bias(struct sh_pfc *pfc, unsigned int pin,
+				   unsigned int bias)
+{
+	const struct sh_pfc_bias_info *info;
+	u32 enable, updown;
+	u32 reg;
+	u32 bit;
+
+	info = sh_pfc_pin_to_bias_info(bias_info, ARRAY_SIZE(bias_info), pin);
+	if (!info)
+		return;
+
+	reg = info->reg;
+	bit = BIT(info->bit);
+
+	enable = sh_pfc_read_reg(pfc, PUEN + reg, 32) & ~bit;
+	if (bias != PIN_CONFIG_BIAS_DISABLE)
+		enable |= bit;
+
+	updown = sh_pfc_read_reg(pfc, PUD + reg, 32) & ~bit;
+	if (bias == PIN_CONFIG_BIAS_PULL_UP)
+		updown |= bit;
+
+	sh_pfc_write_reg(pfc, PUD + reg, 32, updown);
+	sh_pfc_write_reg(pfc, PUEN + reg, 32, enable);
+}
+
+static const struct sh_pfc_soc_operations r8a7795_pinmux_ops = {
+	.pin_to_pocctrl = r8a7795_pin_to_pocctrl,
+	.get_bias = r8a7795_pinmux_get_bias,
+	.set_bias = r8a7795_pinmux_set_bias,
+};
+
+const struct sh_pfc_soc_info r8a7795_pinmux_info = {
+	.name = "r8a77951_pfc",
+	.ops = &r8a7795_pinmux_ops,
+	.unlock_reg = 0xe6060000, /* PMMR */
+
+	.function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
+
+	.pins = pinmux_pins,
+	.nr_pins = ARRAY_SIZE(pinmux_pins),
+	.groups = pinmux_groups,
+	.nr_groups = ARRAY_SIZE(pinmux_groups),
+	.functions = pinmux_functions,
+	.nr_functions = ARRAY_SIZE(pinmux_functions),
+
+	.cfg_regs = pinmux_config_regs,
+	.drive_regs = pinmux_drive_regs,
+
+	.pinmux_data = pinmux_data,
+	.pinmux_data_size = ARRAY_SIZE(pinmux_data),
+};
diff --git a/drivers/pinctrl/renesas/pfc-r8a7796.c b/drivers/pinctrl/renesas/pfc-r8a7796.c
new file mode 100644
index 0000000000..fa8150be0e
--- /dev/null
+++ b/drivers/pinctrl/renesas/pfc-r8a7796.c
@@ -0,0 +1,5728 @@
+/*
+ * R8A7796 processor support - PFC hardware block.
+ *
+ * Copyright (C) 2016 Renesas Electronics Corp.
+ *
+ * This file is based on the drivers/pinctrl/sh-pfc/pfc-r8a7795.c
+ *
+ * R-Car Gen3 processor support - PFC hardware block.
+ *
+ * Copyright (C) 2015  Renesas Electronics Corporation
+ *
+ * SPDX-License-Identifier:	GPL-2.0
+ */
+
+#include <common.h>
+#include <dm.h>
+#include <errno.h>
+#include <dm/pinctrl.h>
+#include <linux/kernel.h>
+
+#include "sh_pfc.h"
+
+#define CFG_FLAGS (SH_PFC_PIN_CFG_DRIVE_STRENGTH | \
+		   SH_PFC_PIN_CFG_PULL_UP | \
+		   SH_PFC_PIN_CFG_PULL_DOWN)
+
+#define CPU_ALL_PORT(fn, sfx)						\
+	PORT_GP_CFG_16(0, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_29(1, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_15(2, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_12(3, fn, sfx, CFG_FLAGS | SH_PFC_PIN_CFG_IO_VOLTAGE),	\
+	PORT_GP_CFG_1(3, 12, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_1(3, 13, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_1(3, 14, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_1(3, 15, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_18(4, fn, sfx, CFG_FLAGS | SH_PFC_PIN_CFG_IO_VOLTAGE),	\
+	PORT_GP_CFG_26(5, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_32(6, fn, sfx, CFG_FLAGS),	\
+	PORT_GP_CFG_4(7, fn, sfx, CFG_FLAGS)
+/*
+ * F_() : just information
+ * FM() : macro for FN_xxx / xxx_MARK
+ */
+
+/* GPSR0 */
+#define GPSR0_15	F_(D15,			IP7_11_8)
+#define GPSR0_14	F_(D14,			IP7_7_4)
+#define GPSR0_13	F_(D13,			IP7_3_0)
+#define GPSR0_12	F_(D12,			IP6_31_28)
+#define GPSR0_11	F_(D11,			IP6_27_24)
+#define GPSR0_10	F_(D10,			IP6_23_20)
+#define GPSR0_9		F_(D9,			IP6_19_16)
+#define GPSR0_8		F_(D8,			IP6_15_12)
+#define GPSR0_7		F_(D7,			IP6_11_8)
+#define GPSR0_6		F_(D6,			IP6_7_4)
+#define GPSR0_5		F_(D5,			IP6_3_0)
+#define GPSR0_4		F_(D4,			IP5_31_28)
+#define GPSR0_3		F_(D3,			IP5_27_24)
+#define GPSR0_2		F_(D2,			IP5_23_20)
+#define GPSR0_1		F_(D1,			IP5_19_16)
+#define GPSR0_0		F_(D0,			IP5_15_12)
+
+/* GPSR1 */
+#define GPSR1_28	FM(CLKOUT)
+#define GPSR1_27	F_(EX_WAIT0_A,		IP5_11_8)
+#define GPSR1_26	F_(WE1_N,		IP5_7_4)
+#define GPSR1_25	F_(WE0_N,		IP5_3_0)
+#define GPSR1_24	F_(RD_WR_N,		IP4_31_28)
+#define GPSR1_23	F_(RD_N,		IP4_27_24)
+#define GPSR1_22	F_(BS_N,		IP4_23_20)
+#define GPSR1_21	F_(CS1_N,		IP4_19_16)
+#define GPSR1_20	F_(CS0_N,		IP4_15_12)
+#define GPSR1_19	F_(A19,			IP4_11_8)
+#define GPSR1_18	F_(A18,			IP4_7_4)
+#define GPSR1_17	F_(A17,			IP4_3_0)
+#define GPSR1_16	F_(A16,			IP3_31_28)
+#define GPSR1_15	F_(A15,			IP3_27_24)
+#define GPSR1_14	F_(A14,			IP3_23_20)
+#define GPSR1_13	F_(A13,			IP3_19_16)
+#define GPSR1_12	F_(A12,			IP3_15_12)
+#define GPSR1_11	F_(A11,			IP3_11_8)
+#define GPSR1_10	F_(A10,			IP3_7_4)
+#define GPSR1_9		F_(A9,			IP3_3_0)
+#define GPSR1_8		F_(A8,			IP2_31_28)
+#define GPSR1_7		F_(A7,			IP2_27_24)
+#define GPSR1_6		F_(A6,			IP2_23_20)
+#define GPSR1_5		F_(A5,			IP2_19_16)
+#define GPSR1_4		F_(A4,			IP2_15_12)
+#define GPSR1_3		F_(A3,			IP2_11_8)
+#define GPSR1_2		F_(A2,			IP2_7_4)
+#define GPSR1_1		F_(A1,			IP2_3_0)
+#define GPSR1_0		F_(A0,			IP1_31_28)
+
+/* GPSR2 */
+#define GPSR2_14	F_(AVB_AVTP_CAPTURE_A,	IP0_23_20)
+#define GPSR2_13	F_(AVB_AVTP_MATCH_A,	IP0_19_16)
+#define GPSR2_12	F_(AVB_LINK,		IP0_15_12)
+#define GPSR2_11	F_(AVB_PHY_INT,		IP0_11_8)
+#define GPSR2_10	F_(AVB_MAGIC,		IP0_7_4)
+#define GPSR2_9		F_(AVB_MDC,		IP0_3_0)
+#define GPSR2_8		F_(PWM2_A,		IP1_27_24)
+#define GPSR2_7		F_(PWM1_A,		IP1_23_20)
+#define GPSR2_6		F_(PWM0,		IP1_19_16)
+#define GPSR2_5		F_(IRQ5,		IP1_15_12)
+#define GPSR2_4		F_(IRQ4,		IP1_11_8)
+#define GPSR2_3		F_(IRQ3,		IP1_7_4)
+#define GPSR2_2		F_(IRQ2,		IP1_3_0)
+#define GPSR2_1		F_(IRQ1,		IP0_31_28)
+#define GPSR2_0		F_(IRQ0,		IP0_27_24)
+
+/* GPSR3 */
+#define GPSR3_15	F_(SD1_WP,		IP11_23_20)
+#define GPSR3_14	F_(SD1_CD,		IP11_19_16)
+#define GPSR3_13	F_(SD0_WP,		IP11_15_12)
+#define GPSR3_12	F_(SD0_CD,		IP11_11_8)
+#define GPSR3_11	F_(SD1_DAT3,		IP8_31_28)
+#define GPSR3_10	F_(SD1_DAT2,		IP8_27_24)
+#define GPSR3_9		F_(SD1_DAT1,		IP8_23_20)
+#define GPSR3_8		F_(SD1_DAT0,		IP8_19_16)
+#define GPSR3_7		F_(SD1_CMD,		IP8_15_12)
+#define GPSR3_6		F_(SD1_CLK,		IP8_11_8)
+#define GPSR3_5		F_(SD0_DAT3,		IP8_7_4)
+#define GPSR3_4		F_(SD0_DAT2,		IP8_3_0)
+#define GPSR3_3		F_(SD0_DAT1,		IP7_31_28)
+#define GPSR3_2		F_(SD0_DAT0,		IP7_27_24)
+#define GPSR3_1		F_(SD0_CMD,		IP7_23_20)
+#define GPSR3_0		F_(SD0_CLK,		IP7_19_16)
+
+/* GPSR4 */
+#define GPSR4_17	F_(SD3_DS,		IP11_7_4)
+#define GPSR4_16	F_(SD3_DAT7,		IP11_3_0)
+#define GPSR4_15	F_(SD3_DAT6,		IP10_31_28)
+#define GPSR4_14	F_(SD3_DAT5,		IP10_27_24)
+#define GPSR4_13	F_(SD3_DAT4,		IP10_23_20)
+#define GPSR4_12	F_(SD3_DAT3,		IP10_19_16)
+#define GPSR4_11	F_(SD3_DAT2,		IP10_15_12)
+#define GPSR4_10	F_(SD3_DAT1,		IP10_11_8)
+#define GPSR4_9		F_(SD3_DAT0,		IP10_7_4)
+#define GPSR4_8		F_(SD3_CMD,		IP10_3_0)
+#define GPSR4_7		F_(SD3_CLK,		IP9_31_28)
+#define GPSR4_6		F_(SD2_DS,		IP9_27_24)
+#define GPSR4_5		F_(SD2_DAT3,		IP9_23_20)
+#define GPSR4_4		F_(SD2_DAT2,		IP9_19_16)
+#define GPSR4_3		F_(SD2_DAT1,		IP9_15_12)
+#define GPSR4_2		F_(SD2_DAT0,		IP9_11_8)
+#define GPSR4_1		F_(SD2_CMD,		IP9_7_4)
+#define GPSR4_0		F_(SD2_CLK,		IP9_3_0)
+
+/* GPSR5 */
+#define GPSR5_25	F_(MLB_DAT,		IP14_19_16)
+#define GPSR5_24	F_(MLB_SIG,		IP14_15_12)
+#define GPSR5_23	F_(MLB_CLK,		IP14_11_8)
+#define GPSR5_22	FM(MSIOF0_RXD)
+#define GPSR5_21	F_(MSIOF0_SS2,		IP14_7_4)
+#define GPSR5_20	FM(MSIOF0_TXD)
+#define GPSR5_19	F_(MSIOF0_SS1,		IP14_3_0)
+#define GPSR5_18	F_(MSIOF0_SYNC,		IP13_31_28)
+#define GPSR5_17	FM(MSIOF0_SCK)
+#define GPSR5_16	F_(HRTS0_N,		IP13_27_24)
+#define GPSR5_15	F_(HCTS0_N,		IP13_23_20)
+#define GPSR5_14	F_(HTX0,		IP13_19_16)
+#define GPSR5_13	F_(HRX0,		IP13_15_12)
+#define GPSR5_12	F_(HSCK0,		IP13_11_8)
+#define GPSR5_11	F_(RX2_A,		IP13_7_4)
+#define GPSR5_10	F_(TX2_A,		IP13_3_0)
+#define GPSR5_9		F_(SCK2,		IP12_31_28)
+#define GPSR5_8		F_(RTS1_N_TANS,		IP12_27_24)
+#define GPSR5_7		F_(CTS1_N,		IP12_23_20)
+#define GPSR5_6		F_(TX1_A,		IP12_19_16)
+#define GPSR5_5		F_(RX1_A,		IP12_15_12)
+#define GPSR5_4		F_(RTS0_N_TANS,		IP12_11_8)
+#define GPSR5_3		F_(CTS0_N,		IP12_7_4)
+#define GPSR5_2		F_(TX0,			IP12_3_0)
+#define GPSR5_1		F_(RX0,			IP11_31_28)
+#define GPSR5_0		F_(SCK0,		IP11_27_24)
+
+/* GPSR6 */
+#define GPSR6_31	F_(GP6_31,		IP18_7_4)
+#define GPSR6_30	F_(GP6_30,		IP18_3_0)
+#define GPSR6_29	F_(USB30_OVC,		IP17_31_28)
+#define GPSR6_28	F_(USB30_PWEN,		IP17_27_24)
+#define GPSR6_27	F_(USB1_OVC,		IP17_23_20)
+#define GPSR6_26	F_(USB1_PWEN,		IP17_19_16)
+#define GPSR6_25	F_(USB0_OVC,		IP17_15_12)
+#define GPSR6_24	F_(USB0_PWEN,		IP17_11_8)
+#define GPSR6_23	F_(AUDIO_CLKB_B,	IP17_7_4)
+#define GPSR6_22	F_(AUDIO_CLKA_A,	IP17_3_0)
+#define GPSR6_21	F_(SSI_SDATA9_A,	IP16_31_28)
+#define GPSR6_20	F_(SSI_SDATA8,		IP16_27_24)
+#define GPSR6_19	F_(SSI_SDATA7,		IP16_23_20)
+#define GPSR6_18	F_(SSI_WS78,		IP16_19_16)
+#define GPSR6_17	F_(SSI_SCK78,		IP16_15_12)
+#define GPSR6_16	F_(SSI_SDATA6,		IP16_11_8)
+#define GPSR6_15	F_(SSI_WS6,		IP16_7_4)
+#define GPSR6_14	F_(SSI_SCK6,		IP16_3_0)
+#define GPSR6_13	FM(SSI_SDATA5)
+#define GPSR6_12	FM(SSI_WS5)
+#define GPSR6_11	FM(SSI_SCK5)
+#define GPSR6_10	F_(SSI_SDATA4,		IP15_31_28)
+#define GPSR6_9		F_(SSI_WS4,		IP15_27_24)
+#define GPSR6_8		F_(SSI_SCK4,		IP15_23_20)
+#define GPSR6_7		F_(SSI_SDATA3,		IP15_19_16)
+#define GPSR6_6		F_(SSI_WS349,		IP15_15_12)
+#define GPSR6_5		F_(SSI_SCK349,		IP15_11_8)
+#define GPSR6_4		F_(SSI_SDATA2_A,	IP15_7_4)
+#define GPSR6_3		F_(SSI_SDATA1_A,	IP15_3_0)
+#define GPSR6_2		F_(SSI_SDATA0,		IP14_31_28)
+#define GPSR6_1		F_(SSI_WS01239,		IP14_27_24)
+#define GPSR6_0		F_(SSI_SCK01239,	IP14_23_20)
+
+/* GPSR7 */
+#define GPSR7_3		FM(GP7_03)
+#define GPSR7_2		FM(HDMI0_CEC)
+#define GPSR7_1		FM(AVS2)
+#define GPSR7_0		FM(AVS1)
+
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP0_3_0		FM(AVB_MDC)		F_(0, 0)	FM(MSIOF2_SS2_C)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_7_4		FM(AVB_MAGIC)		F_(0, 0)	FM(MSIOF2_SS1_C)	FM(SCK4_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_11_8	FM(AVB_PHY_INT)		F_(0, 0)	FM(MSIOF2_SYNC_C)	FM(RX4_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_15_12	FM(AVB_LINK)		F_(0, 0)	FM(MSIOF2_SCK_C)	FM(TX4_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_19_16	FM(AVB_AVTP_MATCH_A)	F_(0, 0)	FM(MSIOF2_RXD_C)	FM(CTS4_N_A)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_23_20	FM(AVB_AVTP_CAPTURE_A)	F_(0, 0)	FM(MSIOF2_TXD_C)	FM(RTS4_N_TANS_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_27_24	FM(IRQ0)		FM(QPOLB)	F_(0, 0)		FM(DU_CDE)			FM(VI4_DATA0_B) FM(CAN0_TX_B)	FM(CANFD0_TX_B)		FM(MSIOF3_SS2_E) F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP0_31_28	FM(IRQ1)		FM(QPOLA)	F_(0, 0)		FM(DU_DISP)			FM(VI4_DATA1_B) FM(CAN0_RX_B)	FM(CANFD0_RX_B)		FM(MSIOF3_SS1_E) F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_3_0		FM(IRQ2)		FM(QCPV_QDE)	F_(0, 0)		FM(DU_EXODDF_DU_ODDF_DISP_CDE)	FM(VI4_DATA2_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_SYNC_E) F_(0, 0)		FM(PWM3_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_7_4		FM(IRQ3)		FM(QSTVB_QVE)	FM(A25)			FM(DU_DOTCLKOUT1)		FM(VI4_DATA3_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_SCK_E) F_(0, 0)		FM(PWM4_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_11_8	FM(IRQ4)		FM(QSTH_QHS)	FM(A24)			FM(DU_EXHSYNC_DU_HSYNC)		FM(VI4_DATA4_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_RXD_E) F_(0, 0)		FM(PWM5_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_15_12	FM(IRQ5)		FM(QSTB_QHE)	FM(A23)			FM(DU_EXVSYNC_DU_VSYNC)		FM(VI4_DATA5_B) F_(0, 0)	F_(0, 0)		FM(MSIOF3_TXD_E) F_(0, 0)		FM(PWM6_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_19_16	FM(PWM0)		FM(AVB_AVTP_PPS)FM(A22)			F_(0, 0)			FM(VI4_DATA6_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		FM(IECLK_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_23_20	FM(PWM1_A)		F_(0, 0)	FM(A21)			FM(HRX3_D)			FM(VI4_DATA7_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		FM(IERX_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_27_24	FM(PWM2_A)		F_(0, 0)	FM(A20)			FM(HTX3_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		FM(IETX_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP1_31_28	FM(A0)			FM(LCDOUT16)	FM(MSIOF3_SYNC_B)	F_(0, 0)			FM(VI4_DATA8)	F_(0, 0)	FM(DU_DB0)		F_(0, 0)	F_(0, 0)		FM(PWM3_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_3_0		FM(A1)			FM(LCDOUT17)	FM(MSIOF3_TXD_B)	F_(0, 0)			FM(VI4_DATA9)	F_(0, 0)	FM(DU_DB1)		F_(0, 0)	F_(0, 0)		FM(PWM4_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_7_4		FM(A2)			FM(LCDOUT18)	FM(MSIOF3_SCK_B)	F_(0, 0)			FM(VI4_DATA10)	F_(0, 0)	FM(DU_DB2)		F_(0, 0)	F_(0, 0)		FM(PWM5_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_11_8	FM(A3)			FM(LCDOUT19)	FM(MSIOF3_RXD_B)	F_(0, 0)			FM(VI4_DATA11)	F_(0, 0)	FM(DU_DB3)		F_(0, 0)	F_(0, 0)		FM(PWM6_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_15_12	FM(A4)			FM(LCDOUT20)	FM(MSIOF3_SS1_B)	F_(0, 0)			FM(VI4_DATA12)	FM(VI5_DATA12)	FM(DU_DB4)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_19_16	FM(A5)			FM(LCDOUT21)	FM(MSIOF3_SS2_B)	FM(SCK4_B)			FM(VI4_DATA13)	FM(VI5_DATA13)	FM(DU_DB5)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_23_20	FM(A6)			FM(LCDOUT22)	FM(MSIOF2_SS1_A)	FM(RX4_B)			FM(VI4_DATA14)	FM(VI5_DATA14)	FM(DU_DB6)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_27_24	FM(A7)			FM(LCDOUT23)	FM(MSIOF2_SS2_A)	FM(TX4_B)			FM(VI4_DATA15)	FM(VI5_DATA15)	FM(DU_DB7)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP2_31_28	FM(A8)			FM(RX3_B)	FM(MSIOF2_SYNC_A)	FM(HRX4_B)			F_(0, 0)	F_(0, 0)	F_(0, 0)		FM(SDA6_A)	FM(AVB_AVTP_MATCH_B)	FM(PWM1_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_3_0		FM(A9)			F_(0, 0)	FM(MSIOF2_SCK_A)	FM(CTS4_N_B)			F_(0, 0)	FM(VI5_VSYNC_N)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_7_4		FM(A10)			F_(0, 0)	FM(MSIOF2_RXD_A)	FM(RTS4_N_TANS_B)		F_(0, 0)	FM(VI5_HSYNC_N)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_11_8	FM(A11)			FM(TX3_B)	FM(MSIOF2_TXD_A)	FM(HTX4_B)			FM(HSCK4)	FM(VI5_FIELD)	F_(0, 0)		FM(SCL6_A)	FM(AVB_AVTP_CAPTURE_B)	FM(PWM2_B)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP3_15_12	FM(A12)			FM(LCDOUT12)	FM(MSIOF3_SCK_C)	F_(0, 0)			FM(HRX4_A)	FM(VI5_DATA8)	FM(DU_DG4)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_19_16	FM(A13)			FM(LCDOUT13)	FM(MSIOF3_SYNC_C)	F_(0, 0)			FM(HTX4_A)	FM(VI5_DATA9)	FM(DU_DG5)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_23_20	FM(A14)			FM(LCDOUT14)	FM(MSIOF3_RXD_C)	F_(0, 0)			FM(HCTS4_N)	FM(VI5_DATA10)	FM(DU_DG6)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_27_24	FM(A15)			FM(LCDOUT15)	FM(MSIOF3_TXD_C)	F_(0, 0)			FM(HRTS4_N)	FM(VI5_DATA11)	FM(DU_DG7)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP3_31_28	FM(A16)			FM(LCDOUT8)	F_(0, 0)		F_(0, 0)			FM(VI4_FIELD)	F_(0, 0)	FM(DU_DG0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_3_0		FM(A17)			FM(LCDOUT9)	F_(0, 0)		F_(0, 0)			FM(VI4_VSYNC_N)	F_(0, 0)	FM(DU_DG1)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_7_4		FM(A18)			FM(LCDOUT10)	F_(0, 0)		F_(0, 0)			FM(VI4_HSYNC_N)	F_(0, 0)	FM(DU_DG2)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_11_8	FM(A19)			FM(LCDOUT11)	F_(0, 0)		F_(0, 0)			FM(VI4_CLKENB)	F_(0, 0)	FM(DU_DG3)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_15_12	FM(CS0_N)		F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(VI5_CLKENB)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_19_16	FM(CS1_N)		F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(VI5_CLK)	F_(0, 0)		FM(EX_WAIT0_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_23_20	FM(BS_N)		FM(QSTVA_QVS)	FM(MSIOF3_SCK_D)	FM(SCK3)			FM(HSCK3)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(CAN1_TX)		FM(CANFD1_TX)	FM(IETX_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_27_24	FM(RD_N)		F_(0, 0)	FM(MSIOF3_SYNC_D)	FM(RX3_A)			FM(HRX3_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(CAN0_TX_A)		FM(CANFD0_TX_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP4_31_28	FM(RD_WR_N)		F_(0, 0)	FM(MSIOF3_RXD_D)	FM(TX3_A)			FM(HTX3_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(CAN0_RX_A)		FM(CANFD0_RX_A)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_3_0		FM(WE0_N)		F_(0, 0)	FM(MSIOF3_TXD_D)	FM(CTS3_N)			FM(HCTS3_N)	F_(0, 0)	F_(0, 0)		FM(SCL6_B)	FM(CAN_CLK)		F_(0, 0)	FM(IECLK_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_7_4		FM(WE1_N)		F_(0, 0)	FM(MSIOF3_SS1_D)	FM(RTS3_N_TANS)			FM(HRTS3_N)	F_(0, 0)	F_(0, 0)		FM(SDA6_B)	FM(CAN1_RX)		FM(CANFD1_RX)	FM(IERX_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_11_8	FM(EX_WAIT0_A)		FM(QCLK)	F_(0, 0)		F_(0, 0)			FM(VI4_CLK)	F_(0, 0)	FM(DU_DOTCLKOUT0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_15_12	FM(D0)			FM(MSIOF2_SS1_B)FM(MSIOF3_SCK_A)	F_(0, 0)			FM(VI4_DATA16)	FM(VI5_DATA0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_19_16	FM(D1)			FM(MSIOF2_SS2_B)FM(MSIOF3_SYNC_A)	F_(0, 0)			FM(VI4_DATA17)	FM(VI5_DATA1)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_23_20	FM(D2)			F_(0, 0)	FM(MSIOF3_RXD_A)	F_(0, 0)			FM(VI4_DATA18)	FM(VI5_DATA2)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_27_24	FM(D3)			F_(0, 0)	FM(MSIOF3_TXD_A)	F_(0, 0)			FM(VI4_DATA19)	FM(VI5_DATA3)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP5_31_28	FM(D4)			FM(MSIOF2_SCK_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA20)	FM(VI5_DATA4)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_3_0		FM(D5)			FM(MSIOF2_SYNC_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA21)	FM(VI5_DATA5)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_7_4		FM(D6)			FM(MSIOF2_RXD_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA22)	FM(VI5_DATA6)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_11_8	FM(D7)			FM(MSIOF2_TXD_B)F_(0, 0)		F_(0, 0)			FM(VI4_DATA23)	FM(VI5_DATA7)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_15_12	FM(D8)			FM(LCDOUT0)	FM(MSIOF2_SCK_D)	FM(SCK4_C)			FM(VI4_DATA0_A)	F_(0, 0)	FM(DU_DR0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_19_16	FM(D9)			FM(LCDOUT1)	FM(MSIOF2_SYNC_D)	F_(0, 0)			FM(VI4_DATA1_A)	F_(0, 0)	FM(DU_DR1)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_23_20	FM(D10)			FM(LCDOUT2)	FM(MSIOF2_RXD_D)	FM(HRX3_B)			FM(VI4_DATA2_A)	FM(CTS4_N_C)	FM(DU_DR2)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_27_24	FM(D11)			FM(LCDOUT3)	FM(MSIOF2_TXD_D)	FM(HTX3_B)			FM(VI4_DATA3_A)	FM(RTS4_N_TANS_C)FM(DU_DR3)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP6_31_28	FM(D12)			FM(LCDOUT4)	FM(MSIOF2_SS1_D)	FM(RX4_C)			FM(VI4_DATA4_A)	F_(0, 0)	FM(DU_DR4)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP7_3_0		FM(D13)			FM(LCDOUT5)	FM(MSIOF2_SS2_D)	FM(TX4_C)			FM(VI4_DATA5_A)	F_(0, 0)	FM(DU_DR5)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_7_4		FM(D14)			FM(LCDOUT6)	FM(MSIOF3_SS1_A)	FM(HRX3_C)			FM(VI4_DATA6_A)	F_(0, 0)	FM(DU_DR6)		FM(SCL6_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_11_8	FM(D15)			FM(LCDOUT7)	FM(MSIOF3_SS2_A)	FM(HTX3_C)			FM(VI4_DATA7_A)	F_(0, 0)	FM(DU_DR7)		FM(SDA6_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_19_16	FM(SD0_CLK)		F_(0, 0)	FM(MSIOF1_SCK_E)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_OPWM_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_23_20	FM(SD0_CMD)		F_(0, 0)	FM(MSIOF1_SYNC_E)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_IVCXO27_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_27_24	FM(SD0_DAT0)		F_(0, 0)	FM(MSIOF1_RXD_E)	F_(0, 0)			F_(0, 0)	FM(TS_SCK0_B)	FM(STP_ISCLK_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP7_31_28	FM(SD0_DAT1)		F_(0, 0)	FM(MSIOF1_TXD_E)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC0_B)FM(STP_ISSYNC_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_3_0		FM(SD0_DAT2)		F_(0, 0)	FM(MSIOF1_SS1_E)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT0_B)	FM(STP_ISD_0_B)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_7_4		FM(SD0_DAT3)		F_(0, 0)	FM(MSIOF1_SS2_E)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN0_B)	FM(STP_ISEN_0_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_11_8	FM(SD1_CLK)		F_(0, 0)	FM(MSIOF1_SCK_G)	F_(0, 0)			F_(0, 0)	FM(SIM0_CLK_A)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_15_12	FM(SD1_CMD)		F_(0, 0)	FM(MSIOF1_SYNC_G)	FM(NFCE_N_B)			F_(0, 0)	FM(SIM0_D_A)	FM(STP_IVCXO27_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_19_16	FM(SD1_DAT0)		FM(SD2_DAT4)	FM(MSIOF1_RXD_G)	FM(NFWP_N_B)			F_(0, 0)	FM(TS_SCK1_B)	FM(STP_ISCLK_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_23_20	FM(SD1_DAT1)		FM(SD2_DAT5)	FM(MSIOF1_TXD_G)	FM(NFDATA14_B)			F_(0, 0)	FM(TS_SPSYNC1_B)FM(STP_ISSYNC_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_27_24	FM(SD1_DAT2)		FM(SD2_DAT6)	FM(MSIOF1_SS1_G)	FM(NFDATA15_B)			F_(0, 0)	FM(TS_SDAT1_B)	FM(STP_ISD_1_B)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP8_31_28	FM(SD1_DAT3)		FM(SD2_DAT7)	FM(MSIOF1_SS2_G)	FM(NFRB_N_B)			F_(0, 0)	FM(TS_SDEN1_B)	FM(STP_ISEN_1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_3_0		FM(SD2_CLK)		F_(0, 0)	FM(NFDATA8)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_7_4		FM(SD2_CMD)		F_(0, 0)	FM(NFDATA9)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_11_8	FM(SD2_DAT0)		F_(0, 0)	FM(NFDATA10)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_15_12	FM(SD2_DAT1)		F_(0, 0)	FM(NFDATA11)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_19_16	FM(SD2_DAT2)		F_(0, 0)	FM(NFDATA12)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_23_20	FM(SD2_DAT3)		F_(0, 0)	FM(NFDATA13)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_27_24	FM(SD2_DS)		F_(0, 0)	FM(NFALE)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP9_31_28	FM(SD3_CLK)		F_(0, 0)	FM(NFWE_N)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_3_0	FM(SD3_CMD)		F_(0, 0)	FM(NFRE_N)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_7_4	FM(SD3_DAT0)		F_(0, 0)	FM(NFDATA0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_11_8	FM(SD3_DAT1)		F_(0, 0)	FM(NFDATA1)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_15_12	FM(SD3_DAT2)		F_(0, 0)	FM(NFDATA2)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_19_16	FM(SD3_DAT3)		F_(0, 0)	FM(NFDATA3)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_23_20	FM(SD3_DAT4)		FM(SD2_CD_A)	FM(NFDATA4)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_27_24	FM(SD3_DAT5)		FM(SD2_WP_A)	FM(NFDATA5)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP10_31_28	FM(SD3_DAT6)		FM(SD3_CD)	FM(NFDATA6)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_3_0	FM(SD3_DAT7)		FM(SD3_WP)	FM(NFDATA7)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_7_4	FM(SD3_DS)		F_(0, 0)	FM(NFCLE)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_11_8	FM(SD0_CD)		F_(0, 0)	FM(NFDATA14_A)		F_(0, 0)			FM(SCL2_B)	FM(SIM0_RST_A)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP11_15_12	FM(SD0_WP)		F_(0, 0)	FM(NFDATA15_A)		F_(0, 0)			FM(SDA2_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_19_16	FM(SD1_CD)		F_(0, 0)	FM(NFRB_N_A)		F_(0, 0)			F_(0, 0)	FM(SIM0_CLK_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_23_20	FM(SD1_WP)		F_(0, 0)	FM(NFCE_N_A)		F_(0, 0)			F_(0, 0)	FM(SIM0_D_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_27_24	FM(SCK0)		FM(HSCK1_B)	FM(MSIOF1_SS2_B)	FM(AUDIO_CLKC_B)		FM(SDA2_A)	FM(SIM0_RST_B)	FM(STP_OPWM_0_C)	FM(RIF0_CLK_B)	F_(0, 0)		FM(ADICHS2)	FM(SCK5_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP11_31_28	FM(RX0)			FM(HRX1_B)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SCK0_C)	FM(STP_ISCLK_0_C)	FM(RIF0_D0_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_3_0	FM(TX0)			FM(HTX1_B)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC0_C)FM(STP_ISSYNC_0_C)	FM(RIF0_D1_B)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_7_4	FM(CTS0_N)		FM(HCTS1_N_B)	FM(MSIOF1_SYNC_B)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC1_C)FM(STP_ISSYNC_1_C)	FM(RIF1_SYNC_B)	FM(AUDIO_CLKOUT_C)	FM(ADICS_SAMP)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_11_8	FM(RTS0_N_TANS)		FM(HRTS1_N_B)	FM(MSIOF1_SS1_B)	FM(AUDIO_CLKA_B)		FM(SCL2_A)	F_(0, 0)	FM(STP_IVCXO27_1_C)	FM(RIF0_SYNC_B)	F_(0, 0)		FM(ADICHS1)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_15_12	FM(RX1_A)		FM(HRX1_A)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SDAT0_C)	FM(STP_ISD_0_C)		FM(RIF1_CLK_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_19_16	FM(TX1_A)		FM(HTX1_A)	F_(0, 0)		F_(0, 0)			F_(0, 0)	FM(TS_SDEN0_C)	FM(STP_ISEN_0_C)	FM(RIF1_D0_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_23_20	FM(CTS1_N)		FM(HCTS1_N_A)	FM(MSIOF1_RXD_B)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN1_C)	FM(STP_ISEN_1_C)	FM(RIF1_D0_B)	F_(0, 0)		FM(ADIDATA)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_27_24	FM(RTS1_N_TANS)		FM(HRTS1_N_A)	FM(MSIOF1_TXD_B)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT1_C)	FM(STP_ISD_1_C)		FM(RIF1_D1_B)	F_(0, 0)		FM(ADICHS0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP12_31_28	FM(SCK2)		FM(SCIF_CLK_B)	FM(MSIOF1_SCK_B)	F_(0, 0)			F_(0, 0)	FM(TS_SCK1_C)	FM(STP_ISCLK_1_C)	FM(RIF1_CLK_B)	F_(0, 0)		FM(ADICLK)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_3_0	FM(TX2_A)		F_(0, 0)	F_(0, 0)		FM(SD2_CD_B)			FM(SCL1_A)	F_(0, 0)	FM(FMCLK_A)		FM(RIF1_D1_C)	F_(0, 0)		FM(FSO_CFE_0_N)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_7_4	FM(RX2_A)		F_(0, 0)	F_(0, 0)		FM(SD2_WP_B)			FM(SDA1_A)	F_(0, 0)	FM(FMIN_A)		FM(RIF1_SYNC_C)	F_(0, 0)		FM(FSO_CFE_1_N)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_11_8	FM(HSCK0)		F_(0, 0)	FM(MSIOF1_SCK_D)	FM(AUDIO_CLKB_A)		FM(SSI_SDATA1_B)FM(TS_SCK0_D)	FM(STP_ISCLK_0_D)	FM(RIF0_CLK_C)	F_(0, 0)		F_(0, 0)	FM(RX5_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_15_12	FM(HRX0)		F_(0, 0)	FM(MSIOF1_RXD_D)	F_(0, 0)			FM(SSI_SDATA2_B)FM(TS_SDEN0_D)	FM(STP_ISEN_0_D)	FM(RIF0_D0_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_19_16	FM(HTX0)		F_(0, 0)	FM(MSIOF1_TXD_D)	F_(0, 0)			FM(SSI_SDATA9_B)FM(TS_SDAT0_D)	FM(STP_ISD_0_D)		FM(RIF0_D1_C)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_23_20	FM(HCTS0_N)		FM(RX2_B)	FM(MSIOF1_SYNC_D)	F_(0, 0)			FM(SSI_SCK9_A)	FM(TS_SPSYNC0_D)FM(STP_ISSYNC_0_D)	FM(RIF0_SYNC_C)	FM(AUDIO_CLKOUT1_A)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_27_24	FM(HRTS0_N)		FM(TX2_B)	FM(MSIOF1_SS1_D)	F_(0, 0)			FM(SSI_WS9_A)	F_(0, 0)	FM(STP_IVCXO27_0_D)	FM(BPFCLK_A)	FM(AUDIO_CLKOUT2_A)	F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP13_31_28	FM(MSIOF0_SYNC)		F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	FM(AUDIO_CLKOUT_A)	F_(0, 0)	FM(TX5_B)	F_(0, 0)	F_(0, 0) FM(BPFCLK_D) F_(0, 0) F_(0, 0)
+#define IP14_3_0	FM(MSIOF0_SS1)		FM(RX5_A)	FM(NFWP_N_A)		FM(AUDIO_CLKA_C)		FM(SSI_SCK2_A)	F_(0, 0)	FM(STP_IVCXO27_0_C)	F_(0, 0)	FM(AUDIO_CLKOUT3_A)	F_(0, 0)	FM(TCLK1_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_7_4	FM(MSIOF0_SS2)		FM(TX5_A)	FM(MSIOF1_SS2_D)	FM(AUDIO_CLKC_A)		FM(SSI_WS2_A)	F_(0, 0)	FM(STP_OPWM_0_D)	F_(0, 0)	FM(AUDIO_CLKOUT_D)	F_(0, 0)	FM(SPEEDIN_B)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_11_8	FM(MLB_CLK)		F_(0, 0)	FM(MSIOF1_SCK_F)	F_(0, 0)			FM(SCL1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_15_12	FM(MLB_SIG)		FM(RX1_B)	FM(MSIOF1_SYNC_F)	F_(0, 0)			FM(SDA1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_19_16	FM(MLB_DAT)		FM(TX1_B)	FM(MSIOF1_RXD_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_23_20	FM(SSI_SCK01239)	F_(0, 0)	FM(MSIOF1_TXD_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP14_27_24	FM(SSI_WS01239)		F_(0, 0)	FM(MSIOF1_SS1_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+
+/* IPSRx */		/* 0 */			/* 1 */		/* 2 */			/* 3 */				/* 4 */		/* 5 */		/* 6 */			/* 7 */		/* 8 */			/* 9 */		/* A */		/* B */		/* C - F */
+#define IP14_31_28	FM(SSI_SDATA0)		F_(0, 0)	FM(MSIOF1_SS2_F)	F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_3_0	FM(SSI_SDATA1_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_7_4	FM(SSI_SDATA2_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)			FM(SSI_SCK1_B)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_11_8	FM(SSI_SCK349)		F_(0, 0)	FM(MSIOF1_SS1_A)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_OPWM_0_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_15_12	FM(SSI_WS349)		FM(HCTS2_N_A)	FM(MSIOF1_SS2_A)	F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_IVCXO27_0_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_19_16	FM(SSI_SDATA3)		FM(HRTS2_N_A)	FM(MSIOF1_TXD_A)	F_(0, 0)			F_(0, 0)	FM(TS_SCK0_A)	FM(STP_ISCLK_0_A)	FM(RIF0_D1_A)	FM(RIF2_D0_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_23_20	FM(SSI_SCK4)		FM(HRX2_A)	FM(MSIOF1_SCK_A)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT0_A)	FM(STP_ISD_0_A)		FM(RIF0_CLK_A)	FM(RIF2_CLK_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_27_24	FM(SSI_WS4)		FM(HTX2_A)	FM(MSIOF1_SYNC_A)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN0_A)	FM(STP_ISEN_0_A)	FM(RIF0_SYNC_A)	FM(RIF2_SYNC_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP15_31_28	FM(SSI_SDATA4)		FM(HSCK2_A)	FM(MSIOF1_RXD_A)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC0_A)FM(STP_ISSYNC_0_A)	FM(RIF0_D0_A)	FM(RIF2_D1_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_3_0	FM(SSI_SCK6)		F_(0, 0)	F_(0, 0)		FM(SIM0_RST_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_7_4	FM(SSI_WS6)		F_(0, 0)	F_(0, 0)		FM(SIM0_D_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_11_8	FM(SSI_SDATA6)		F_(0, 0)	F_(0, 0)		FM(SIM0_CLK_D)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_15_12	FM(SSI_SCK78)		FM(HRX2_B)	FM(MSIOF1_SCK_C)	F_(0, 0)			F_(0, 0)	FM(TS_SCK1_A)	FM(STP_ISCLK_1_A)	FM(RIF1_CLK_A)	FM(RIF3_CLK_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_19_16	FM(SSI_WS78)		FM(HTX2_B)	FM(MSIOF1_SYNC_C)	F_(0, 0)			F_(0, 0)	FM(TS_SDAT1_A)	FM(STP_ISD_1_A)		FM(RIF1_SYNC_A)	FM(RIF3_SYNC_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_23_20	FM(SSI_SDATA7)		FM(HCTS2_N_B)	FM(MSIOF1_RXD_C)	F_(0, 0)			F_(0, 0)	FM(TS_SDEN1_A)	FM(STP_ISEN_1_A)	FM(RIF1_D0_A)	FM(RIF3_D0_A)		F_(0, 0)	FM(TCLK2_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_27_24	FM(SSI_SDATA8)		FM(HRTS2_N_B)	FM(MSIOF1_TXD_C)	F_(0, 0)			F_(0, 0)	FM(TS_SPSYNC1_A)FM(STP_ISSYNC_1_A)	FM(RIF1_D1_A)	FM(RIF3_D1_A)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP16_31_28	FM(SSI_SDATA9_A)	FM(HSCK2_B)	FM(MSIOF1_SS1_C)	FM(HSCK1_A)			FM(SSI_WS1_B)	FM(SCK1)	FM(STP_IVCXO27_1_A)	FM(SCK5_A)	F_(0, 0)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP17_3_0	FM(AUDIO_CLKA_A)	F_(0, 0)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)		F_(0, 0)	F_(0, 0)	FM(CC5_OSCOUT)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP17_7_4	FM(AUDIO_CLKB_B)	FM(SCIF_CLK_A)	F_(0, 0)		F_(0, 0)			F_(0, 0)	F_(0, 0)	FM(STP_IVCXO27_1_D)	FM(REMOCON_A)	F_(0, 0)		F_(0, 0)	FM(TCLK1_A)	F_(0, 0)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP17_11_8	FM(USB0_PWEN)		F_(0, 0)	F_(0, 0)		FM(SIM0_RST_C)			F_(0, 0)	FM(TS_SCK1_D)	FM(STP_ISCLK_1_D)	FM(BPFCLK_B)	FM(RIF3_CLK_B)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) FM(HSCK2_C) F_(0, 0) F_(0, 0)
+#define IP17_15_12	FM(USB0_OVC)		F_(0, 0)	F_(0, 0)		FM(SIM0_D_C)			F_(0, 0)	FM(TS_SDAT1_D)	FM(STP_ISD_1_D)		F_(0, 0)	FM(RIF3_SYNC_B)		F_(0, 0)	F_(0, 0)	F_(0, 0)	F_(0, 0) FM(HRX2_C) F_(0, 0) F_(0, 0)
+#define IP17_19_16	FM(USB1_PWEN)		F_(0, 0)	F_(0, 0)		FM(SIM0_CLK_C)			FM(SSI_SCK1_A)	FM(TS_SCK0_E)	FM(STP_ISCLK_0_E)	FM(FMCLK_B)	FM(RIF2_CLK_B)		F_(0, 0)	FM(SPEEDIN_A)	F_(0, 0)	F_(0, 0) FM(HTX2_C) F_(0, 0) F_(0, 0)
+#define IP17_23_20	FM(USB1_OVC)		F_(0, 0)	FM(MSIOF1_SS2_C)	F_(0, 0)			FM(SSI_WS1_A)	FM(TS_SDAT0_E)	FM(STP_ISD_0_E)		FM(FMIN_B)	FM(RIF2_SYNC_B)		F_(0, 0)	FM(REMOCON_B)	F_(0, 0)	F_(0, 0) FM(HCTS2_N_C) F_(0, 0) F_(0, 0)
+#define IP17_27_24	FM(USB30_PWEN)		F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT_B)		FM(SSI_SCK2_B)	FM(TS_SDEN1_D)	FM(STP_ISEN_1_D)	FM(STP_OPWM_0_E)FM(RIF3_D0_B)		F_(0, 0)	FM(TCLK2_B)	FM(TPU0TO0)	FM(BPFCLK_C) FM(HRTS2_N_C) F_(0, 0) F_(0, 0)
+#define IP17_31_28	FM(USB30_OVC)		F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT1_B)		FM(SSI_WS2_B)	FM(TS_SPSYNC1_D)FM(STP_ISSYNC_1_D)	FM(STP_IVCXO27_0_E)FM(RIF3_D1_B)	F_(0, 0)	FM(FSO_TOE_N)	FM(TPU0TO1)	F_(0, 0) F_(0, 0) F_(0, 0) F_(0, 0)
+#define IP18_3_0	FM(GP6_30)		F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT2_B)		FM(SSI_SCK9_B)	FM(TS_SDEN0_E)	FM(STP_ISEN_0_E)	F_(0, 0)	FM(RIF2_D0_B)		F_(0, 0)	F_(0, 0)	FM(TPU0TO2)	FM(FMCLK_C) FM(FMCLK_D) F_(0, 0) F_(0, 0)
+#define IP18_7_4	FM(GP6_31)		F_(0, 0)	F_(0, 0)		FM(AUDIO_CLKOUT3_B)		FM(SSI_WS9_B)	FM(TS_SPSYNC0_E)FM(STP_ISSYNC_0_E)	F_(0, 0)	FM(RIF2_D1_B)		F_(0, 0)	F_(0, 0)	FM(TPU0TO3)	FM(FMIN_C) FM(FMIN_D) F_(0, 0) F_(0, 0)
+
+#define PINMUX_GPSR	\
+\
+												GPSR6_31 \
+												GPSR6_30 \
+												GPSR6_29 \
+		GPSR1_28									GPSR6_28 \
+		GPSR1_27									GPSR6_27 \
+		GPSR1_26									GPSR6_26 \
+		GPSR1_25							GPSR5_25	GPSR6_25 \
+		GPSR1_24							GPSR5_24	GPSR6_24 \
+		GPSR1_23							GPSR5_23	GPSR6_23 \
+		GPSR1_22							GPSR5_22	GPSR6_22 \
+		GPSR1_21							GPSR5_21	GPSR6_21 \
+		GPSR1_20							GPSR5_20	GPSR6_20 \
+		GPSR1_19							GPSR5_19	GPSR6_19 \
+		GPSR1_18							GPSR5_18	GPSR6_18 \
+		GPSR1_17					GPSR4_17	GPSR5_17	GPSR6_17 \
+		GPSR1_16					GPSR4_16	GPSR5_16	GPSR6_16 \
+GPSR0_15	GPSR1_15			GPSR3_15	GPSR4_15	GPSR5_15	GPSR6_15 \
+GPSR0_14	GPSR1_14	GPSR2_14	GPSR3_14	GPSR4_14	GPSR5_14	GPSR6_14 \
+GPSR0_13	GPSR1_13	GPSR2_13	GPSR3_13	GPSR4_13	GPSR5_13	GPSR6_13 \
+GPSR0_12	GPSR1_12	GPSR2_12	GPSR3_12	GPSR4_12	GPSR5_12	GPSR6_12 \
+GPSR0_11	GPSR1_11	GPSR2_11	GPSR3_11	GPSR4_11	GPSR5_11	GPSR6_11 \
+GPSR0_10	GPSR1_10	GPSR2_10	GPSR3_10	GPSR4_10	GPSR5_10	GPSR6_10 \
+GPSR0_9		GPSR1_9		GPSR2_9		GPSR3_9		GPSR4_9		GPSR5_9		GPSR6_9 \
+GPSR0_8		GPSR1_8		GPSR2_8		GPSR3_8		GPSR4_8		GPSR5_8		GPSR6_8 \
+GPSR0_7		GPSR1_7		GPSR2_7		GPSR3_7		GPSR4_7		GPSR5_7		GPSR6_7 \
+GPSR0_6		GPSR1_6		GPSR2_6		GPSR3_6		GPSR4_6		GPSR5_6		GPSR6_6 \
+GPSR0_5		GPSR1_5		GPSR2_5		GPSR3_5		GPSR4_5		GPSR5_5		GPSR6_5 \
+GPSR0_4		GPSR1_4		GPSR2_4		GPSR3_4		GPSR4_4		GPSR5_4		GPSR6_4 \
+GPSR0_3		GPSR1_3		GPSR2_3		GPSR3_3		GPSR4_3		GPSR5_3		GPSR6_3		GPSR7_3 \
+GPSR0_2		GPSR1_2		GPSR2_2		GPSR3_2		GPSR4_2		GPSR5_2		GPSR6_2		GPSR7_2 \
+GPSR0_1		GPSR1_1		GPSR2_1		GPSR3_1		GPSR4_1		GPSR5_1		GPSR6_1		GPSR7_1 \
+GPSR0_0		GPSR1_0		GPSR2_0		GPSR3_0		GPSR4_0		GPSR5_0		GPSR6_0		GPSR7_0
+
+#define PINMUX_IPSR				\
+\
+FM(IP0_3_0)	IP0_3_0		FM(IP1_3_0)	IP1_3_0		FM(IP2_3_0)	IP2_3_0		FM(IP3_3_0)	IP3_3_0 \
+FM(IP0_7_4)	IP0_7_4		FM(IP1_7_4)	IP1_7_4		FM(IP2_7_4)	IP2_7_4		FM(IP3_7_4)	IP3_7_4 \
+FM(IP0_11_8)	IP0_11_8	FM(IP1_11_8)	IP1_11_8	FM(IP2_11_8)	IP2_11_8	FM(IP3_11_8)	IP3_11_8 \
+FM(IP0_15_12)	IP0_15_12	FM(IP1_15_12)	IP1_15_12	FM(IP2_15_12)	IP2_15_12	FM(IP3_15_12)	IP3_15_12 \
+FM(IP0_19_16)	IP0_19_16	FM(IP1_19_16)	IP1_19_16	FM(IP2_19_16)	IP2_19_16	FM(IP3_19_16)	IP3_19_16 \
+FM(IP0_23_20)	IP0_23_20	FM(IP1_23_20)	IP1_23_20	FM(IP2_23_20)	IP2_23_20	FM(IP3_23_20)	IP3_23_20 \
+FM(IP0_27_24)	IP0_27_24	FM(IP1_27_24)	IP1_27_24	FM(IP2_27_24)	IP2_27_24	FM(IP3_27_24)	IP3_27_24 \
+FM(IP0_31_28)	IP0_31_28	FM(IP1_31_28)	IP1_31_28	FM(IP2_31_28)	IP2_31_28	FM(IP3_31_28)	IP3_31_28 \
+\
+FM(IP4_3_0)	IP4_3_0		FM(IP5_3_0)	IP5_3_0		FM(IP6_3_0)	IP6_3_0		FM(IP7_3_0)	IP7_3_0 \
+FM(IP4_7_4)	IP4_7_4		FM(IP5_7_4)	IP5_7_4		FM(IP6_7_4)	IP6_7_4		FM(IP7_7_4)	IP7_7_4 \
+FM(IP4_11_8)	IP4_11_8	FM(IP5_11_8)	IP5_11_8	FM(IP6_11_8)	IP6_11_8	FM(IP7_11_8)	IP7_11_8 \
+FM(IP4_15_12)	IP4_15_12	FM(IP5_15_12)	IP5_15_12	FM(IP6_15_12)	IP6_15_12 \
+FM(IP4_19_16)	IP4_19_16	FM(IP5_19_16)	IP5_19_16	FM(IP6_19_16)	IP6_19_16	FM(IP7_19_16)	IP7_19_16 \
+FM(IP4_23_20)	IP4_23_20	FM(IP5_23_20)	IP5_23_20	FM(IP6_23_20)	IP6_23_20	FM(IP7_23_20)	IP7_23_20 \
+FM(IP4_27_24)	IP4_27_24	FM(IP5_27_24)	IP5_27_24	FM(IP6_27_24)	IP6_27_24	FM(IP7_27_24)	IP7_27_24 \
+FM(IP4_31_28)	IP4_31_28	FM(IP5_31_28)	IP5_31_28	FM(IP6_31_28)	IP6_31_28	FM(IP7_31_28)	IP7_31_28 \
+\
+FM(IP8_3_0)	IP8_3_0		FM(IP9_3_0)	IP9_3_0		FM(IP10_3_0)	IP10_3_0	FM(IP11_3_0)	IP11_3_0 \
+FM(IP8_7_4)	IP8_7_4		FM(IP9_7_4)	IP9_7_4		FM(IP10_7_4)	IP10_7_4	FM(IP11_7_4)	IP11_7_4 \
+FM(IP8_11_8)	IP8_11_8	FM(IP9_11_8)	IP9_11_8	FM(IP10_11_8)	IP10_11_8	FM(IP11_11_8)	IP11_11_8 \
+FM(IP8_15_12)	IP8_15_12	FM(IP9_15_12)	IP9_15_12	FM(IP10_15_12)	IP10_15_12	FM(IP11_15_12)	IP11_15_12 \
+FM(IP8_19_16)	IP8_19_16	FM(IP9_19_16)	IP9_19_16	FM(IP10_19_16)	IP10_19_16	FM(IP11_19_16)	IP11_19_16 \
+FM(IP8_23_20)	IP8_23_20	FM(IP9_23_20)	IP9_23_20	FM(IP10_23_20)	IP10_23_20	FM(IP11_23_20)	IP11_23_20 \
+FM(IP8_27_24)	IP8_27_24	FM(IP9_27_24)	IP9_27_24	FM(IP10_27_24)	IP10_27_24	FM(IP11_27_24)	IP11_27_24 \
+FM(IP8_31_28)	IP8_31_28	FM(IP9_31_28)	IP9_31_28	FM(IP10_31_28)	IP10_31_28	FM(IP11_31_28)	IP11_31_28 \
+\
+FM(IP12_3_0)	IP12_3_0	FM(IP13_3_0)	IP13_3_0	FM(IP14_3_0)	IP14_3_0	FM(IP15_3_0)	IP15_3_0 \
+FM(IP12_7_4)	IP12_7_4	FM(IP13_7_4)	IP13_7_4	FM(IP14_7_4)	IP14_7_4	FM(IP15_7_4)	IP15_7_4 \
+FM(IP12_11_8)	IP12_11_8	FM(IP13_11_8)	IP13_11_8	FM(IP14_11_8)	IP14_11_8	FM(IP15_11_8)	IP15_11_8 \
+FM(IP12_15_12)	IP12_15_12	FM(IP13_15_12)	IP13_15_12	FM(IP14_15_12)	IP14_15_12	FM(IP15_15_12)	IP15_15_12 \
+FM(IP12_19_16)	IP12_19_16	FM(IP13_19_16)	IP13_19_16	FM(IP14_19_16)	IP14_19_16	FM(IP15_19_16)	IP15_19_16 \
+FM(IP12_23_20)	IP12_23_20	FM(IP13_23_20)	IP13_23_20	FM(IP14_23_20)	IP14_23_20	FM(IP15_23_20)	IP15_23_20 \
+FM(IP12_27_24)	IP12_27_24	FM(IP13_27_24)	IP13_27_24	FM(IP14_27_24)	IP14_27_24	FM(IP15_27_24)	IP15_27_24 \
+FM(IP12_31_28)	IP12_31_28	FM(IP13_31_28)	IP13_31_28	FM(IP14_31_28)	IP14_31_28	FM(IP15_31_28)	IP15_31_28 \
+\
+FM(IP16_3_0)	IP16_3_0	FM(IP17_3_0)	IP17_3_0	FM(IP18_3_0)	IP18_3_0 \
+FM(IP16_7_4)	IP16_7_4	FM(IP17_7_4)	IP17_7_4	FM(IP18_7_4)	IP18_7_4 \
+FM(IP16_11_8)	IP16_11_8	FM(IP17_11_8)	IP17_11_8 \
+FM(IP16_15_12)	IP16_15_12	FM(IP17_15_12)	IP17_15_12 \
+FM(IP16_19_16)	IP16_19_16	FM(IP17_19_16)	IP17_19_16 \
+FM(IP16_23_20)	IP16_23_20	FM(IP17_23_20)	IP17_23_20 \
+FM(IP16_27_24)	IP16_27_24	FM(IP17_27_24)	IP17_27_24 \
+FM(IP16_31_28)	IP16_31_28	FM(IP17_31_28)	IP17_31_28
+
+/* MOD_SEL0 */			/* 0 */			/* 1 */			/* 2 */			/* 3 */			/* 4 */			/* 5 */			/* 6 */			/* 7 */
+#define MOD_SEL0_31_30_29	FM(SEL_MSIOF3_0)	FM(SEL_MSIOF3_1)	FM(SEL_MSIOF3_2)	FM(SEL_MSIOF3_3)	FM(SEL_MSIOF3_4)	F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL0_28_27		FM(SEL_MSIOF2_0)	FM(SEL_MSIOF2_1)	FM(SEL_MSIOF2_2)	FM(SEL_MSIOF2_3)
+#define MOD_SEL0_26_25_24	FM(SEL_MSIOF1_0)	FM(SEL_MSIOF1_1)	FM(SEL_MSIOF1_2)	FM(SEL_MSIOF1_3)	FM(SEL_MSIOF1_4)	FM(SEL_MSIOF1_5)	FM(SEL_MSIOF1_6)	F_(0, 0)
+#define MOD_SEL0_23		FM(SEL_LBSC_0)		FM(SEL_LBSC_1)
+#define MOD_SEL0_22		FM(SEL_IEBUS_0)		FM(SEL_IEBUS_1)
+#define MOD_SEL0_21		FM(SEL_I2C2_0)		FM(SEL_I2C2_1)
+#define MOD_SEL0_20		FM(SEL_I2C1_0)		FM(SEL_I2C1_1)
+#define MOD_SEL0_19		FM(SEL_HSCIF4_0)	FM(SEL_HSCIF4_1)
+#define MOD_SEL0_18_17		FM(SEL_HSCIF3_0)	FM(SEL_HSCIF3_1)	FM(SEL_HSCIF3_2)	FM(SEL_HSCIF3_3)
+#define MOD_SEL0_16		FM(SEL_HSCIF1_0)	FM(SEL_HSCIF1_1)
+#define MOD_SEL0_14_13		FM(SEL_HSCIF2_0)	FM(SEL_HSCIF2_1)	FM(SEL_HSCIF2_2)	F_(0, 0)
+#define MOD_SEL0_12		FM(SEL_ETHERAVB_0)	FM(SEL_ETHERAVB_1)
+#define MOD_SEL0_11		FM(SEL_DRIF3_0)		FM(SEL_DRIF3_1)
+#define MOD_SEL0_10		FM(SEL_DRIF2_0)		FM(SEL_DRIF2_1)
+#define MOD_SEL0_9_8		FM(SEL_DRIF1_0)		FM(SEL_DRIF1_1)		FM(SEL_DRIF1_2)		F_(0, 0)
+#define MOD_SEL0_7_6		FM(SEL_DRIF0_0)		FM(SEL_DRIF0_1)		FM(SEL_DRIF0_2)		F_(0, 0)
+#define MOD_SEL0_5		FM(SEL_CANFD0_0)	FM(SEL_CANFD0_1)
+#define MOD_SEL0_4_3		FM(SEL_ADG_A_0)		FM(SEL_ADG_A_1)		FM(SEL_ADG_A_2)		FM(SEL_ADG_A_3)
+
+/* MOD_SEL1 */			/* 0 */			/* 1 */			/* 2 */			/* 3 */			/* 4 */			/* 5 */			/* 6 */			/* 7 */
+#define MOD_SEL1_31_30		FM(SEL_TSIF1_0)		FM(SEL_TSIF1_1)		FM(SEL_TSIF1_2)		FM(SEL_TSIF1_3)
+#define MOD_SEL1_29_28_27	FM(SEL_TSIF0_0)		FM(SEL_TSIF0_1)		FM(SEL_TSIF0_2)		FM(SEL_TSIF0_3)		FM(SEL_TSIF0_4)		F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL1_26		FM(SEL_TIMER_TMU_0)	FM(SEL_TIMER_TMU_1)
+#define MOD_SEL1_25_24		FM(SEL_SSP1_1_0)	FM(SEL_SSP1_1_1)	FM(SEL_SSP1_1_2)	FM(SEL_SSP1_1_3)
+#define MOD_SEL1_23_22_21	FM(SEL_SSP1_0_0)	FM(SEL_SSP1_0_1)	FM(SEL_SSP1_0_2)	FM(SEL_SSP1_0_3)	FM(SEL_SSP1_0_4)	F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL1_20		FM(SEL_SSI_0)		FM(SEL_SSI_1)
+#define MOD_SEL1_19		FM(SEL_SPEED_PULSE_0)	FM(SEL_SPEED_PULSE_1)
+#define MOD_SEL1_18_17		FM(SEL_SIMCARD_0)	FM(SEL_SIMCARD_1)	FM(SEL_SIMCARD_2)	FM(SEL_SIMCARD_3)
+#define MOD_SEL1_16		FM(SEL_SDHI2_0)		FM(SEL_SDHI2_1)
+#define MOD_SEL1_15_14		FM(SEL_SCIF4_0)		FM(SEL_SCIF4_1)		FM(SEL_SCIF4_2)		F_(0, 0)
+#define MOD_SEL1_13		FM(SEL_SCIF3_0)		FM(SEL_SCIF3_1)
+#define MOD_SEL1_12		FM(SEL_SCIF2_0)		FM(SEL_SCIF2_1)
+#define MOD_SEL1_11		FM(SEL_SCIF1_0)		FM(SEL_SCIF1_1)
+#define MOD_SEL1_10		FM(SEL_SCIF_0)		FM(SEL_SCIF_1)
+#define MOD_SEL1_9		FM(SEL_REMOCON_0)	FM(SEL_REMOCON_1)
+#define MOD_SEL1_6		FM(SEL_RCAN0_0)		FM(SEL_RCAN0_1)
+#define MOD_SEL1_5		FM(SEL_PWM6_0)		FM(SEL_PWM6_1)
+#define MOD_SEL1_4		FM(SEL_PWM5_0)		FM(SEL_PWM5_1)
+#define MOD_SEL1_3		FM(SEL_PWM4_0)		FM(SEL_PWM4_1)
+#define MOD_SEL1_2		FM(SEL_PWM3_0)		FM(SEL_PWM3_1)
+#define MOD_SEL1_1		FM(SEL_PWM2_0)		FM(SEL_PWM2_1)
+#define MOD_SEL1_0		FM(SEL_PWM1_0)		FM(SEL_PWM1_1)
+
+/* MOD_SEL1 */			/* 0 */			/* 1 */			/* 2 */			/* 3 */			/* 4 */			/* 5 */			/* 6 */			/* 7 */
+#define MOD_SEL2_31		FM(I2C_SEL_5_0)		FM(I2C_SEL_5_1)
+#define MOD_SEL2_30		FM(I2C_SEL_3_0)		FM(I2C_SEL_3_1)
+#define MOD_SEL2_29		FM(I2C_SEL_0_0)		FM(I2C_SEL_0_1)
+#define MOD_SEL2_28_27		FM(SEL_FM_0)		FM(SEL_FM_1)		FM(SEL_FM_2)		FM(SEL_FM_3)
+#define MOD_SEL2_26		FM(SEL_SCIF5_0)		FM(SEL_SCIF5_1)
+#define MOD_SEL2_25_24_23	FM(SEL_I2C6_0)		FM(SEL_I2C6_1)		FM(SEL_I2C6_2)		F_(0, 0)		F_(0, 0)		F_(0, 0)		F_(0, 0)		F_(0, 0)
+#define MOD_SEL2_22		FM(SEL_NDF_0)		FM(SEL_NDF_1)
+#define MOD_SEL2_21		FM(SEL_SSI2_0)		FM(SEL_SSI2_1)
+#define MOD_SEL2_20		FM(SEL_SSI9_0)		FM(SEL_SSI9_1)
+#define MOD_SEL2_19		FM(SEL_TIMER_TMU2_0)	FM(SEL_TIMER_TMU2_1)
+#define MOD_SEL2_18		FM(SEL_ADG_B_0)		FM(SEL_ADG_B_1)
+#define MOD_SEL2_17		FM(SEL_ADG_C_0)		FM(SEL_ADG_C_1)
+#define MOD_SEL2_0		FM(SEL_VIN4_0)		FM(SEL_VIN4_1)
+
+#define PINMUX_MOD_SELS	\
+\
+MOD_SEL0_31_30_29	MOD_SEL1_31_30		MOD_SEL2_31 \
+						MOD_SEL2_30 \
+			MOD_SEL1_29_28_27	MOD_SEL2_29 \
+MOD_SEL0_28_27					MOD_SEL2_28_27 \
+MOD_SEL0_26_25_24	MOD_SEL1_26		MOD_SEL2_26 \
+			MOD_SEL1_25_24		MOD_SEL2_25_24_23 \
+MOD_SEL0_23		MOD_SEL1_23_22_21 \
+MOD_SEL0_22					MOD_SEL2_22 \
+MOD_SEL0_21					MOD_SEL2_21 \
+MOD_SEL0_20		MOD_SEL1_20		MOD_SEL2_20 \
+MOD_SEL0_19		MOD_SEL1_19		MOD_SEL2_19 \
+MOD_SEL0_18_17		MOD_SEL1_18_17		MOD_SEL2_18 \
+						MOD_SEL2_17 \
+MOD_SEL0_16		MOD_SEL1_16 \
+			MOD_SEL1_15_14 \
+MOD_SEL0_14_13 \
+			MOD_SEL1_13 \
+MOD_SEL0_12		MOD_SEL1_12 \
+MOD_SEL0_11		MOD_SEL1_11 \
+MOD_SEL0_10		MOD_SEL1_10 \
+MOD_SEL0_9_8		MOD_SEL1_9 \
+MOD_SEL0_7_6 \
+			MOD_SEL1_6 \
+MOD_SEL0_5		MOD_SEL1_5 \
+MOD_SEL0_4_3		MOD_SEL1_4 \
+			MOD_SEL1_3 \
+			MOD_SEL1_2 \
+			MOD_SEL1_1 \
+			MOD_SEL1_0		MOD_SEL2_0
+
+/*
+ * These pins are not able to be muxed but have other properties
+ * that can be set, such as drive-strength or pull-up/pull-down enable.
+ */
+#define PINMUX_STATIC \
+	FM(QSPI0_SPCLK) FM(QSPI0_SSL) FM(QSPI0_MOSI_IO0) FM(QSPI0_MISO_IO1) \
+	FM(QSPI0_IO2) FM(QSPI0_IO3) \
+	FM(QSPI1_SPCLK) FM(QSPI1_SSL) FM(QSPI1_MOSI_IO0) FM(QSPI1_MISO_IO1) \
+	FM(QSPI1_IO2) FM(QSPI1_IO3) \
+	FM(RPC_INT) FM(RPC_WP) FM(RPC_RESET) \
+	FM(AVB_TX_CTL) FM(AVB_TXC) FM(AVB_TD0) FM(AVB_TD1) FM(AVB_TD2) FM(AVB_TD3) \
+	FM(AVB_RX_CTL) FM(AVB_RXC) FM(AVB_RD0) FM(AVB_RD1) FM(AVB_RD2) FM(AVB_RD3) \
+	FM(AVB_TXCREFCLK) FM(AVB_MDIO) \
+	FM(PRESETOUT) \
+	FM(DU_DOTCLKIN0) FM(DU_DOTCLKIN1) FM(DU_DOTCLKIN2) \
+	FM(TMS) FM(TDO) FM(ASEBRK) FM(MLB_REF) FM(TDI) FM(TCK) FM(TRST) FM(EXTALR)
+
+enum {
+	PINMUX_RESERVED = 0,
+
+	PINMUX_DATA_BEGIN,
+	GP_ALL(DATA),
+	PINMUX_DATA_END,
+
+#define F_(x, y)
+#define FM(x)	FN_##x,
+	PINMUX_FUNCTION_BEGIN,
+	GP_ALL(FN),
+	PINMUX_GPSR
+	PINMUX_IPSR
+	PINMUX_MOD_SELS
+	PINMUX_FUNCTION_END,
+#undef F_
+#undef FM
+
+#define F_(x, y)
+#define FM(x)	x##_MARK,
+	PINMUX_MARK_BEGIN,
+	PINMUX_GPSR
+	PINMUX_IPSR
+	PINMUX_MOD_SELS
+	PINMUX_STATIC
+	PINMUX_MARK_END,
+#undef F_
+#undef FM
+};
+
+static const u16 pinmux_data[] = {
+	PINMUX_DATA_GP_ALL(),
+
+	PINMUX_SINGLE(AVS1),
+	PINMUX_SINGLE(AVS2),
+	PINMUX_SINGLE(CLKOUT),
+	PINMUX_SINGLE(GP7_03),
+	PINMUX_SINGLE(HDMI0_CEC),
+	PINMUX_SINGLE(MSIOF0_RXD),
+	PINMUX_SINGLE(MSIOF0_SCK),
+	PINMUX_SINGLE(MSIOF0_TXD),
+	PINMUX_SINGLE(SSI_SCK5),
+	PINMUX_SINGLE(SSI_SDATA5),
+	PINMUX_SINGLE(SSI_WS5),
+
+	/* IPSR0 */
+	PINMUX_IPSR_GPSR(IP0_3_0,	AVB_MDC),
+	PINMUX_IPSR_MSEL(IP0_3_0,	MSIOF2_SS2_C,		SEL_MSIOF2_2),
+
+	PINMUX_IPSR_GPSR(IP0_7_4,	AVB_MAGIC),
+	PINMUX_IPSR_MSEL(IP0_7_4,	MSIOF2_SS1_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_7_4,	SCK4_A,			SEL_SCIF4_0),
+
+	PINMUX_IPSR_GPSR(IP0_11_8,	AVB_PHY_INT),
+	PINMUX_IPSR_MSEL(IP0_11_8,	MSIOF2_SYNC_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_11_8,	RX4_A,			SEL_SCIF4_0),
+
+	PINMUX_IPSR_GPSR(IP0_15_12,	AVB_LINK),
+	PINMUX_IPSR_MSEL(IP0_15_12,	MSIOF2_SCK_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_15_12,	TX4_A,			SEL_SCIF4_0),
+
+	PINMUX_IPSR_MSEL(IP0_19_16,	AVB_AVTP_MATCH_A,	SEL_ETHERAVB_0),
+	PINMUX_IPSR_MSEL(IP0_19_16,	MSIOF2_RXD_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_19_16,	CTS4_N_A,		SEL_SCIF4_0),
+
+	PINMUX_IPSR_MSEL(IP0_23_20,	AVB_AVTP_CAPTURE_A,	SEL_ETHERAVB_0),
+	PINMUX_IPSR_MSEL(IP0_23_20,	MSIOF2_TXD_C,		SEL_MSIOF2_2),
+	PINMUX_IPSR_MSEL(IP0_23_20,	RTS4_N_TANS_A,		SEL_SCIF4_0),
+
+	PINMUX_IPSR_GPSR(IP0_27_24,	IRQ0),
+	PINMUX_IPSR_GPSR(IP0_27_24,	QPOLB),
+	PINMUX_IPSR_GPSR(IP0_27_24,	DU_CDE),
+	PINMUX_IPSR_MSEL(IP0_27_24,	VI4_DATA0_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP0_27_24,	CAN0_TX_B,		SEL_RCAN0_1),
+	PINMUX_IPSR_MSEL(IP0_27_24,	CANFD0_TX_B,		SEL_CANFD0_1),
+	PINMUX_IPSR_MSEL(IP0_27_24,	MSIOF3_SS2_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP0_31_28,	IRQ1),
+	PINMUX_IPSR_GPSR(IP0_31_28,	QPOLA),
+	PINMUX_IPSR_GPSR(IP0_31_28,	DU_DISP),
+	PINMUX_IPSR_MSEL(IP0_31_28,	VI4_DATA1_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP0_31_28,	CAN0_RX_B,		SEL_RCAN0_1),
+	PINMUX_IPSR_MSEL(IP0_31_28,	CANFD0_RX_B,		SEL_CANFD0_1),
+	PINMUX_IPSR_MSEL(IP0_31_28,	MSIOF3_SS1_E,		SEL_MSIOF3_4),
+
+	/* IPSR1 */
+	PINMUX_IPSR_GPSR(IP1_3_0,	IRQ2),
+	PINMUX_IPSR_GPSR(IP1_3_0,	QCPV_QDE),
+	PINMUX_IPSR_GPSR(IP1_3_0,	DU_EXODDF_DU_ODDF_DISP_CDE),
+	PINMUX_IPSR_MSEL(IP1_3_0,	VI4_DATA2_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_3_0,	PWM3_B,			SEL_PWM3_1),
+	PINMUX_IPSR_MSEL(IP1_3_0,	MSIOF3_SYNC_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_7_4,	IRQ3),
+	PINMUX_IPSR_GPSR(IP1_7_4,	QSTVB_QVE),
+	PINMUX_IPSR_GPSR(IP1_7_4,	A25),
+	PINMUX_IPSR_GPSR(IP1_7_4,	DU_DOTCLKOUT1),
+	PINMUX_IPSR_MSEL(IP1_7_4,	VI4_DATA3_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_7_4,	PWM4_B,			SEL_PWM4_1),
+	PINMUX_IPSR_MSEL(IP1_7_4,	MSIOF3_SCK_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_11_8,	IRQ4),
+	PINMUX_IPSR_GPSR(IP1_11_8,	QSTH_QHS),
+	PINMUX_IPSR_GPSR(IP1_11_8,	A24),
+	PINMUX_IPSR_GPSR(IP1_11_8,	DU_EXHSYNC_DU_HSYNC),
+	PINMUX_IPSR_MSEL(IP1_11_8,	VI4_DATA4_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_11_8,	PWM5_B,			SEL_PWM5_1),
+	PINMUX_IPSR_MSEL(IP1_11_8,	MSIOF3_RXD_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_15_12,	IRQ5),
+	PINMUX_IPSR_GPSR(IP1_15_12,	QSTB_QHE),
+	PINMUX_IPSR_GPSR(IP1_15_12,	A23),
+	PINMUX_IPSR_GPSR(IP1_15_12,	DU_EXVSYNC_DU_VSYNC),
+	PINMUX_IPSR_MSEL(IP1_15_12,	VI4_DATA5_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_15_12,	PWM6_B,			SEL_PWM6_1),
+	PINMUX_IPSR_MSEL(IP1_15_12,	MSIOF3_TXD_E,		SEL_MSIOF3_4),
+
+	PINMUX_IPSR_GPSR(IP1_19_16,	PWM0),
+	PINMUX_IPSR_GPSR(IP1_19_16,	AVB_AVTP_PPS),
+	PINMUX_IPSR_GPSR(IP1_19_16,	A22),
+	PINMUX_IPSR_MSEL(IP1_19_16,	VI4_DATA6_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_19_16,	IECLK_B,		SEL_IEBUS_1),
+
+	PINMUX_IPSR_MSEL(IP1_23_20,	PWM1_A,			SEL_PWM1_0),
+	PINMUX_IPSR_GPSR(IP1_23_20,	A21),
+	PINMUX_IPSR_MSEL(IP1_23_20,	HRX3_D,			SEL_HSCIF3_3),
+	PINMUX_IPSR_MSEL(IP1_23_20,	VI4_DATA7_B,		SEL_VIN4_1),
+	PINMUX_IPSR_MSEL(IP1_23_20,	IERX_B,			SEL_IEBUS_1),
+
+	PINMUX_IPSR_MSEL(IP1_27_24,	PWM2_A,			SEL_PWM2_0),
+	PINMUX_IPSR_GPSR(IP1_27_24,	A20),
+	PINMUX_IPSR_MSEL(IP1_27_24,	HTX3_D,			SEL_HSCIF3_3),
+	PINMUX_IPSR_MSEL(IP1_27_24,	IETX_B,			SEL_IEBUS_1),
+
+	PINMUX_IPSR_GPSR(IP1_31_28,	A0),
+	PINMUX_IPSR_GPSR(IP1_31_28,	LCDOUT16),
+	PINMUX_IPSR_MSEL(IP1_31_28,	MSIOF3_SYNC_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP1_31_28,	VI4_DATA8),
+	PINMUX_IPSR_GPSR(IP1_31_28,	DU_DB0),
+	PINMUX_IPSR_MSEL(IP1_31_28,	PWM3_A,			SEL_PWM3_0),
+
+	/* IPSR2 */
+	PINMUX_IPSR_GPSR(IP2_3_0,	A1),
+	PINMUX_IPSR_GPSR(IP2_3_0,	LCDOUT17),
+	PINMUX_IPSR_MSEL(IP2_3_0,	MSIOF3_TXD_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_3_0,	VI4_DATA9),
+	PINMUX_IPSR_GPSR(IP2_3_0,	DU_DB1),
+	PINMUX_IPSR_MSEL(IP2_3_0,	PWM4_A,			SEL_PWM4_0),
+
+	PINMUX_IPSR_GPSR(IP2_7_4,	A2),
+	PINMUX_IPSR_GPSR(IP2_7_4,	LCDOUT18),
+	PINMUX_IPSR_MSEL(IP2_7_4,	MSIOF3_SCK_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_7_4,	VI4_DATA10),
+	PINMUX_IPSR_GPSR(IP2_7_4,	DU_DB2),
+	PINMUX_IPSR_MSEL(IP2_7_4,	PWM5_A,			SEL_PWM5_0),
+
+	PINMUX_IPSR_GPSR(IP2_11_8,	A3),
+	PINMUX_IPSR_GPSR(IP2_11_8,	LCDOUT19),
+	PINMUX_IPSR_MSEL(IP2_11_8,	MSIOF3_RXD_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_11_8,	VI4_DATA11),
+	PINMUX_IPSR_GPSR(IP2_11_8,	DU_DB3),
+	PINMUX_IPSR_MSEL(IP2_11_8,	PWM6_A,			SEL_PWM6_0),
+
+	PINMUX_IPSR_GPSR(IP2_15_12,	A4),
+	PINMUX_IPSR_GPSR(IP2_15_12,	LCDOUT20),
+	PINMUX_IPSR_MSEL(IP2_15_12,	MSIOF3_SS1_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_GPSR(IP2_15_12,	VI4_DATA12),
+	PINMUX_IPSR_GPSR(IP2_15_12,	VI5_DATA12),
+	PINMUX_IPSR_GPSR(IP2_15_12,	DU_DB4),
+
+	PINMUX_IPSR_GPSR(IP2_19_16,	A5),
+	PINMUX_IPSR_GPSR(IP2_19_16,	LCDOUT21),
+	PINMUX_IPSR_MSEL(IP2_19_16,	MSIOF3_SS2_B,		SEL_MSIOF3_1),
+	PINMUX_IPSR_MSEL(IP2_19_16,	SCK4_B,			SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP2_19_16,	VI4_DATA13),
+	PINMUX_IPSR_GPSR(IP2_19_16,	VI5_DATA13),
+	PINMUX_IPSR_GPSR(IP2_19_16,	DU_DB5),
+
+	PINMUX_IPSR_GPSR(IP2_23_20,	A6),
+	PINMUX_IPSR_GPSR(IP2_23_20,	LCDOUT22),
+	PINMUX_IPSR_MSEL(IP2_23_20,	MSIOF2_SS1_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP2_23_20,	RX4_B,			SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP2_23_20,	VI4_DATA14),
+	PINMUX_IPSR_GPSR(IP2_23_20,	VI5_DATA14),
+	PINMUX_IPSR_GPSR(IP2_23_20,	DU_DB6),
+
+	PINMUX_IPSR_GPSR(IP2_27_24,	A7),
+	PINMUX_IPSR_GPSR(IP2_27_24,	LCDOUT23),
+	PINMUX_IPSR_MSEL(IP2_27_24,	MSIOF2_SS2_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP2_27_24,	TX4_B,			SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP2_27_24,	VI4_DATA15),
+	PINMUX_IPSR_GPSR(IP2_27_24,	VI5_DATA15),
+	PINMUX_IPSR_GPSR(IP2_27_24,	DU_DB7),
+
+	PINMUX_IPSR_GPSR(IP2_31_28,	A8),
+	PINMUX_IPSR_MSEL(IP2_31_28,	RX3_B,			SEL_SCIF3_1),
+	PINMUX_IPSR_MSEL(IP2_31_28,	MSIOF2_SYNC_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP2_31_28,	HRX4_B,			SEL_HSCIF4_1),
+	PINMUX_IPSR_MSEL(IP2_31_28,	SDA6_A,			SEL_I2C6_0),
+	PINMUX_IPSR_MSEL(IP2_31_28,	AVB_AVTP_MATCH_B,	SEL_ETHERAVB_1),
+	PINMUX_IPSR_MSEL(IP2_31_28,	PWM1_B,			SEL_PWM1_1),
+
+	/* IPSR3 */
+	PINMUX_IPSR_GPSR(IP3_3_0,	A9),
+	PINMUX_IPSR_MSEL(IP3_3_0,	MSIOF2_SCK_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP3_3_0,	CTS4_N_B,		SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP3_3_0,	VI5_VSYNC_N),
+
+	PINMUX_IPSR_GPSR(IP3_7_4,	A10),
+	PINMUX_IPSR_MSEL(IP3_7_4,	MSIOF2_RXD_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP3_7_4,	RTS4_N_TANS_B,		SEL_SCIF4_1),
+	PINMUX_IPSR_GPSR(IP3_7_4,	VI5_HSYNC_N),
+
+	PINMUX_IPSR_GPSR(IP3_11_8,	A11),
+	PINMUX_IPSR_MSEL(IP3_11_8,	TX3_B,			SEL_SCIF3_1),
+	PINMUX_IPSR_MSEL(IP3_11_8,	MSIOF2_TXD_A,		SEL_MSIOF2_0),
+	PINMUX_IPSR_MSEL(IP3_11_8,	HTX4_B,			SEL_HSCIF4_1),
+	PINMUX_IPSR_GPSR(IP3_11_8,	HSCK4),
+	PINMUX_IPSR_GPSR(IP3_11_8,	VI5_FIELD),
+	PINMUX_IPSR_MSEL(IP3_11_8,	SCL6_A,			SEL_I2C6_0),
+	PINMUX_IPSR_MSEL(IP3_11_8,	AVB_AVTP_CAPTURE_B,	SEL_ETHERAVB_1),
+	PINMUX_IPSR_MSEL(IP3_11_8,	PWM2_B,			SEL_PWM2_1),
+
+	PINMUX_IPSR_GPSR(IP3_15_12,	A12),
+	PINMUX_IPSR_GPSR(IP3_15_12,	LCDOUT12),
+	PINMUX_IPSR_MSEL(IP3_15_12,	MSIOF3_SCK_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_MSEL(IP3_15_12,	HRX4_A,			SEL_HSCIF4_0),
+	PINMUX_IPSR_GPSR(IP3_15_12,	VI5_DATA8),
+	PINMUX_IPSR_GPSR(IP3_15_12,	DU_DG4),
+
+	PINMUX_IPSR_GPSR(IP3_19_16,	A13),
+	PINMUX_IPSR_GPSR(IP3_19_16,	LCDOUT13),
+	PINMUX_IPSR_MSEL(IP3_19_16,	MSIOF3_SYNC_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_MSEL(IP3_19_16,	HTX4_A,			SEL_HSCIF4_0),
+	PINMUX_IPSR_GPSR(IP3_19_16,	VI5_DATA9),
+	PINMUX_IPSR_GPSR(IP3_19_16,	DU_DG5),
+
+	PINMUX_IPSR_GPSR(IP3_23_20,	A14),
+	PINMUX_IPSR_GPSR(IP3_23_20,	LCDOUT14),
+	PINMUX_IPSR_MSEL(IP3_23_20,	MSIOF3_RXD_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_GPSR(IP3_23_20,	HCTS4_N),
+	PINMUX_IPSR_GPSR(IP3_23_20,	VI5_DATA10),
+	PINMUX_IPSR_GPSR(IP3_23_20,	DU_DG6),
+
+	PINMUX_IPSR_GPSR(IP3_27_24,	A15),
+	PINMUX_IPSR_GPSR(IP3_27_24,	LCDOUT15),
+	PINMUX_IPSR_MSEL(IP3_27_24,	MSIOF3_TXD_C,		SEL_MSIOF3_2),
+	PINMUX_IPSR_GPSR(IP3_27_24,	HRTS4_N),
+	PINMUX_IPSR_GPSR(IP3_27_24,	VI5_DATA11),
+	PINMUX_IPSR_GPSR(IP3_27_24,	DU_DG7),
+
+	PINMUX_IPSR_GPSR(IP3_31_28,	A16),
+	PINMUX_IPSR_GPSR(IP3_31_28,	LCDOUT8),
+	PINMUX_IPSR_GPSR(IP3_31_28,	VI4_FIELD),
+	PINMUX_IPSR_GPSR(IP3_31_28,	DU_DG0),
+
+	/* IPSR4 */
+	PINMUX_IPSR_GPSR(IP4_3_0,	A17),
+	PINMUX_IPSR_GPSR(IP4_3_0,	LCDOUT9),
+	PINMUX_IPSR_GPSR(IP4_3_0,	VI4_VSYNC_N),
+	PINMUX_IPSR_GPSR(IP4_3_0,	DU_DG1),
+
+	PINMUX_IPSR_GPSR(IP4_7_4,	A18),
+	PINMUX_IPSR_GPSR(IP4_7_4,	LCDOUT10),
+	PINMUX_IPSR_GPSR(IP4_7_4,	VI4_HSYNC_N),
+	PINMUX_IPSR_GPSR(IP4_7_4,	DU_DG2),
+
+	PINMUX_IPSR_GPSR(IP4_11_8,	A19),
+	PINMUX_IPSR_GPSR(IP4_11_8,	LCDOUT11),
+	PINMUX_IPSR_GPSR(IP4_11_8,	VI4_CLKENB),
+	PINMUX_IPSR_GPSR(IP4_11_8,	DU_DG3),
+
+	PINMUX_IPSR_GPSR(IP4_15_12,	CS0_N),
+	PINMUX_IPSR_GPSR(IP4_15_12,	VI5_CLKENB),
+
+	PINMUX_IPSR_GPSR(IP4_19_16,	CS1_N),
+	PINMUX_IPSR_GPSR(IP4_19_16,	VI5_CLK),
+	PINMUX_IPSR_MSEL(IP4_19_16,	EX_WAIT0_B,		SEL_LBSC_1),
+
+	PINMUX_IPSR_GPSR(IP4_23_20,	BS_N),
+	PINMUX_IPSR_GPSR(IP4_23_20,	QSTVA_QVS),
+	PINMUX_IPSR_MSEL(IP4_23_20,	MSIOF3_SCK_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_GPSR(IP4_23_20,	SCK3),
+	PINMUX_IPSR_GPSR(IP4_23_20,	HSCK3),
+	PINMUX_IPSR_GPSR(IP4_23_20,	CAN1_TX),
+	PINMUX_IPSR_GPSR(IP4_23_20,	CANFD1_TX),
+	PINMUX_IPSR_MSEL(IP4_23_20,	IETX_A,			SEL_IEBUS_0),
+
+	PINMUX_IPSR_GPSR(IP4_27_24,	RD_N),
+	PINMUX_IPSR_MSEL(IP4_27_24,	MSIOF3_SYNC_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_MSEL(IP4_27_24,	RX3_A,			SEL_SCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_27_24,	HRX3_A,			SEL_HSCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_27_24,	CAN0_TX_A,		SEL_RCAN0_0),
+	PINMUX_IPSR_MSEL(IP4_27_24,	CANFD0_TX_A,		SEL_CANFD0_0),
+
+	PINMUX_IPSR_GPSR(IP4_31_28,	RD_WR_N),
+	PINMUX_IPSR_MSEL(IP4_31_28,	MSIOF3_RXD_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_MSEL(IP4_31_28,	TX3_A,			SEL_SCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_31_28,	HTX3_A,			SEL_HSCIF3_0),
+	PINMUX_IPSR_MSEL(IP4_31_28,	CAN0_RX_A,		SEL_RCAN0_0),
+	PINMUX_IPSR_MSEL(IP4_31_28,	CANFD0_RX_A,		SEL_CANFD0_0),
+
+	/* IPSR5 */
+	PINMUX_IPSR_GPSR(IP5_3_0,	WE0_N),
+	PINMUX_IPSR_MSEL(IP5_3_0,	MSIOF3_TXD_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_GPSR(IP5_3_0,	CTS3_N),
+	PINMUX_IPSR_GPSR(IP5_3_0,	HCTS3_N),
+	PINMUX_IPSR_MSEL(IP5_3_0,	SCL6_B,			SEL_I2C6_1),
+	PINMUX_IPSR_GPSR(IP5_3_0,	CAN_CLK),
+	PINMUX_IPSR_MSEL(IP5_3_0,	IECLK_A,		SEL_IEBUS_0),
+
+	PINMUX_IPSR_GPSR(IP5_7_4,	WE1_N),
+	PINMUX_IPSR_MSEL(IP5_7_4,	MSIOF3_SS1_D,		SEL_MSIOF3_3),
+	PINMUX_IPSR_GPSR(IP5_7_4,	RTS3_N_TANS),
+	PINMUX_IPSR_GPSR(IP5_7_4,	HRTS3_N),
+	PINMUX_IPSR_MSEL(IP5_7_4,	SDA6_B,			SEL_I2C6_1),
+	PINMUX_IPSR_GPSR(IP5_7_4,	CAN1_RX),
+	PINMUX_IPSR_GPSR(IP5_7_4,	CANFD1_RX),
+	PINMUX_IPSR_MSEL(IP5_7_4,	IERX_A,			SEL_IEBUS_0),
+
+	PINMUX_IPSR_MSEL(IP5_11_8,	EX_WAIT0_A,		SEL_LBSC_0),
+	PINMUX_IPSR_GPSR(IP5_11_8,	QCLK),
+	PINMUX_IPSR_GPSR(IP5_11_8,	VI4_CLK),
+	PINMUX_IPSR_GPSR(IP5_11_8,	DU_DOTCLKOUT0),
+
+	PINMUX_IPSR_GPSR(IP5_15_12,	D0),
+	PINMUX_IPSR_MSEL(IP5_15_12,	MSIOF2_SS1_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_MSEL(IP5_15_12,	MSIOF3_SCK_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_15_12,	VI4_DATA16),
+	PINMUX_IPSR_GPSR(IP5_15_12,	VI5_DATA0),
+
+	PINMUX_IPSR_GPSR(IP5_19_16,	D1),
+	PINMUX_IPSR_MSEL(IP5_19_16,	MSIOF2_SS2_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_MSEL(IP5_19_16,	MSIOF3_SYNC_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_19_16,	VI4_DATA17),
+	PINMUX_IPSR_GPSR(IP5_19_16,	VI5_DATA1),
+
+	PINMUX_IPSR_GPSR(IP5_23_20,	D2),
+	PINMUX_IPSR_MSEL(IP5_23_20,	MSIOF3_RXD_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_23_20,	VI4_DATA18),
+	PINMUX_IPSR_GPSR(IP5_23_20,	VI5_DATA2),
+
+	PINMUX_IPSR_GPSR(IP5_27_24,	D3),
+	PINMUX_IPSR_MSEL(IP5_27_24,	MSIOF3_TXD_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_GPSR(IP5_27_24,	VI4_DATA19),
+	PINMUX_IPSR_GPSR(IP5_27_24,	VI5_DATA3),
+
+	PINMUX_IPSR_GPSR(IP5_31_28,	D4),
+	PINMUX_IPSR_MSEL(IP5_31_28,	MSIOF2_SCK_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP5_31_28,	VI4_DATA20),
+	PINMUX_IPSR_GPSR(IP5_31_28,	VI5_DATA4),
+
+	/* IPSR6 */
+	PINMUX_IPSR_GPSR(IP6_3_0,	D5),
+	PINMUX_IPSR_MSEL(IP6_3_0,	MSIOF2_SYNC_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP6_3_0,	VI4_DATA21),
+	PINMUX_IPSR_GPSR(IP6_3_0,	VI5_DATA5),
+
+	PINMUX_IPSR_GPSR(IP6_7_4,	D6),
+	PINMUX_IPSR_MSEL(IP6_7_4,	MSIOF2_RXD_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP6_7_4,	VI4_DATA22),
+	PINMUX_IPSR_GPSR(IP6_7_4,	VI5_DATA6),
+
+	PINMUX_IPSR_GPSR(IP6_11_8,	D7),
+	PINMUX_IPSR_MSEL(IP6_11_8,	MSIOF2_TXD_B,		SEL_MSIOF2_1),
+	PINMUX_IPSR_GPSR(IP6_11_8,	VI4_DATA23),
+	PINMUX_IPSR_GPSR(IP6_11_8,	VI5_DATA7),
+
+	PINMUX_IPSR_GPSR(IP6_15_12,	D8),
+	PINMUX_IPSR_GPSR(IP6_15_12,	LCDOUT0),
+	PINMUX_IPSR_MSEL(IP6_15_12,	MSIOF2_SCK_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_15_12,	SCK4_C,			SEL_SCIF4_2),
+	PINMUX_IPSR_MSEL(IP6_15_12,	VI4_DATA0_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP6_15_12,	DU_DR0),
+
+	PINMUX_IPSR_GPSR(IP6_19_16,	D9),
+	PINMUX_IPSR_GPSR(IP6_19_16,	LCDOUT1),
+	PINMUX_IPSR_MSEL(IP6_19_16,	MSIOF2_SYNC_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_19_16,	VI4_DATA1_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP6_19_16,	DU_DR1),
+
+	PINMUX_IPSR_GPSR(IP6_23_20,	D10),
+	PINMUX_IPSR_GPSR(IP6_23_20,	LCDOUT2),
+	PINMUX_IPSR_MSEL(IP6_23_20,	MSIOF2_RXD_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_23_20,	HRX3_B,			SEL_HSCIF3_1),
+	PINMUX_IPSR_MSEL(IP6_23_20,	VI4_DATA2_A,		SEL_VIN4_0),
+	PINMUX_IPSR_MSEL(IP6_23_20,	CTS4_N_C,		SEL_SCIF4_2),
+	PINMUX_IPSR_GPSR(IP6_23_20,	DU_DR2),
+
+	PINMUX_IPSR_GPSR(IP6_27_24,	D11),
+	PINMUX_IPSR_GPSR(IP6_27_24,	LCDOUT3),
+	PINMUX_IPSR_MSEL(IP6_27_24,	MSIOF2_TXD_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_27_24,	HTX3_B,			SEL_HSCIF3_1),
+	PINMUX_IPSR_MSEL(IP6_27_24,	VI4_DATA3_A,		SEL_VIN4_0),
+	PINMUX_IPSR_MSEL(IP6_27_24,	RTS4_N_TANS_C,		SEL_SCIF4_2),
+	PINMUX_IPSR_GPSR(IP6_27_24,	DU_DR3),
+
+	PINMUX_IPSR_GPSR(IP6_31_28,	D12),
+	PINMUX_IPSR_GPSR(IP6_31_28,	LCDOUT4),
+	PINMUX_IPSR_MSEL(IP6_31_28,	MSIOF2_SS1_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP6_31_28,	RX4_C,			SEL_SCIF4_2),
+	PINMUX_IPSR_MSEL(IP6_31_28,	VI4_DATA4_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP6_31_28,	DU_DR4),
+
+	/* IPSR7 */
+	PINMUX_IPSR_GPSR(IP7_3_0,	D13),
+	PINMUX_IPSR_GPSR(IP7_3_0,	LCDOUT5),
+	PINMUX_IPSR_MSEL(IP7_3_0,	MSIOF2_SS2_D,		SEL_MSIOF2_3),
+	PINMUX_IPSR_MSEL(IP7_3_0,	TX4_C,			SEL_SCIF4_2),
+	PINMUX_IPSR_MSEL(IP7_3_0,	VI4_DATA5_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP7_3_0,	DU_DR5),
+
+	PINMUX_IPSR_GPSR(IP7_7_4,	D14),
+	PINMUX_IPSR_GPSR(IP7_7_4,	LCDOUT6),
+	PINMUX_IPSR_MSEL(IP7_7_4,	MSIOF3_SS1_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_MSEL(IP7_7_4,	HRX3_C,			SEL_HSCIF3_2),
+	PINMUX_IPSR_MSEL(IP7_7_4,	VI4_DATA6_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP7_7_4,	DU_DR6),
+	PINMUX_IPSR_MSEL(IP7_7_4,	SCL6_C,			SEL_I2C6_2),
+
+	PINMUX_IPSR_GPSR(IP7_11_8,	D15),
+	PINMUX_IPSR_GPSR(IP7_11_8,	LCDOUT7),
+	PINMUX_IPSR_MSEL(IP7_11_8,	MSIOF3_SS2_A,		SEL_MSIOF3_0),
+	PINMUX_IPSR_MSEL(IP7_11_8,	HTX3_C,			SEL_HSCIF3_2),
+	PINMUX_IPSR_MSEL(IP7_11_8,	VI4_DATA7_A,		SEL_VIN4_0),
+	PINMUX_IPSR_GPSR(IP7_11_8,	DU_DR7),
+	PINMUX_IPSR_MSEL(IP7_11_8,	SDA6_C,			SEL_I2C6_2),
+
+	PINMUX_IPSR_GPSR(IP7_19_16,	SD0_CLK),
+	PINMUX_IPSR_MSEL(IP7_19_16,	MSIOF1_SCK_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_19_16,	STP_OPWM_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP7_23_20,	SD0_CMD),
+	PINMUX_IPSR_MSEL(IP7_23_20,	MSIOF1_SYNC_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_23_20,	STP_IVCXO27_0_B,	SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP7_27_24,	SD0_DAT0),
+	PINMUX_IPSR_MSEL(IP7_27_24,	MSIOF1_RXD_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_27_24,	TS_SCK0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP7_27_24,	STP_ISCLK_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP7_31_28,	SD0_DAT1),
+	PINMUX_IPSR_MSEL(IP7_31_28,	MSIOF1_TXD_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP7_31_28,	TS_SPSYNC0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP7_31_28,	STP_ISSYNC_0_B,		SEL_SSP1_0_1),
+
+	/* IPSR8 */
+	PINMUX_IPSR_GPSR(IP8_3_0,	SD0_DAT2),
+	PINMUX_IPSR_MSEL(IP8_3_0,	MSIOF1_SS1_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP8_3_0,	TS_SDAT0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP8_3_0,	STP_ISD_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP8_7_4,	SD0_DAT3),
+	PINMUX_IPSR_MSEL(IP8_7_4,	MSIOF1_SS2_E,		SEL_MSIOF1_4),
+	PINMUX_IPSR_MSEL(IP8_7_4,	TS_SDEN0_B,		SEL_TSIF0_1),
+	PINMUX_IPSR_MSEL(IP8_7_4,	STP_ISEN_0_B,		SEL_SSP1_0_1),
+
+	PINMUX_IPSR_GPSR(IP8_11_8,	SD1_CLK),
+	PINMUX_IPSR_MSEL(IP8_11_8,	MSIOF1_SCK_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_11_8,	SIM0_CLK_A,		SEL_SIMCARD_0),
+
+	PINMUX_IPSR_GPSR(IP8_15_12,	SD1_CMD),
+	PINMUX_IPSR_MSEL(IP8_15_12,	MSIOF1_SYNC_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_15_12,	NFCE_N_B,		SEL_NDF_1),
+	PINMUX_IPSR_MSEL(IP8_15_12,	SIM0_D_A,		SEL_SIMCARD_0),
+	PINMUX_IPSR_MSEL(IP8_15_12,	STP_IVCXO27_1_B,	SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_19_16,	SD1_DAT0),
+	PINMUX_IPSR_GPSR(IP8_19_16,	SD2_DAT4),
+	PINMUX_IPSR_MSEL(IP8_19_16,	MSIOF1_RXD_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_19_16,	NFWP_N_B,		SEL_NDF_1),
+	PINMUX_IPSR_MSEL(IP8_19_16,	TS_SCK1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_19_16,	STP_ISCLK_1_B,		SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_23_20,	SD1_DAT1),
+	PINMUX_IPSR_GPSR(IP8_23_20,	SD2_DAT5),
+	PINMUX_IPSR_MSEL(IP8_23_20,	MSIOF1_TXD_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_23_20,	NFDATA14_B,		SEL_NDF_1),
+	PINMUX_IPSR_MSEL(IP8_23_20,	TS_SPSYNC1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_23_20,	STP_ISSYNC_1_B,		SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_27_24,	SD1_DAT2),
+	PINMUX_IPSR_GPSR(IP8_27_24,	SD2_DAT6),
+	PINMUX_IPSR_MSEL(IP8_27_24,	MSIOF1_SS1_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_27_24,	NFDATA15_B,		SEL_NDF_1),
+	PINMUX_IPSR_MSEL(IP8_27_24,	TS_SDAT1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_27_24,	STP_ISD_1_B,		SEL_SSP1_1_1),
+
+	PINMUX_IPSR_GPSR(IP8_31_28,	SD1_DAT3),
+	PINMUX_IPSR_GPSR(IP8_31_28,	SD2_DAT7),
+	PINMUX_IPSR_MSEL(IP8_31_28,	MSIOF1_SS2_G,		SEL_MSIOF1_6),
+	PINMUX_IPSR_MSEL(IP8_31_28,	NFRB_N_B,		SEL_NDF_1),
+	PINMUX_IPSR_MSEL(IP8_31_28,	TS_SDEN1_B,		SEL_TSIF1_1),
+	PINMUX_IPSR_MSEL(IP8_31_28,	STP_ISEN_1_B,		SEL_SSP1_1_1),
+
+	/* IPSR9 */
+	PINMUX_IPSR_GPSR(IP9_3_0,	SD2_CLK),
+	PINMUX_IPSR_GPSR(IP9_3_0,	NFDATA8),
+
+	PINMUX_IPSR_GPSR(IP9_7_4,	SD2_CMD),
+	PINMUX_IPSR_GPSR(IP9_7_4,	NFDATA9),
+
+	PINMUX_IPSR_GPSR(IP9_11_8,	SD2_DAT0),
+	PINMUX_IPSR_GPSR(IP9_11_8,	NFDATA10),
+
+	PINMUX_IPSR_GPSR(IP9_15_12,	SD2_DAT1),
+	PINMUX_IPSR_GPSR(IP9_15_12,	NFDATA11),
+
+	PINMUX_IPSR_GPSR(IP9_19_16,	SD2_DAT2),
+	PINMUX_IPSR_GPSR(IP9_19_16,	NFDATA12),
+
+	PINMUX_IPSR_GPSR(IP9_23_20,	SD2_DAT3),
+	PINMUX_IPSR_GPSR(IP9_23_20,	NFDATA13),
+
+	PINMUX_IPSR_GPSR(IP9_27_24,	SD2_DS),
+	PINMUX_IPSR_GPSR(IP9_27_24,	NFALE),
+
+	PINMUX_IPSR_GPSR(IP9_31_28,	SD3_CLK),
+	PINMUX_IPSR_GPSR(IP9_31_28,	NFWE_N),
+
+	/* IPSR10 */
+	PINMUX_IPSR_GPSR(IP10_3_0,	SD3_CMD),
+	PINMUX_IPSR_GPSR(IP10_3_0,	NFRE_N),
+
+	PINMUX_IPSR_GPSR(IP10_7_4,	SD3_DAT0),
+	PINMUX_IPSR_GPSR(IP10_7_4,	NFDATA0),
+
+	PINMUX_IPSR_GPSR(IP10_11_8,	SD3_DAT1),
+	PINMUX_IPSR_GPSR(IP10_11_8,	NFDATA1),
+
+	PINMUX_IPSR_GPSR(IP10_15_12,	SD3_DAT2),
+	PINMUX_IPSR_GPSR(IP10_15_12,	NFDATA2),
+
+	PINMUX_IPSR_GPSR(IP10_19_16,	SD3_DAT3),
+	PINMUX_IPSR_GPSR(IP10_19_16,	NFDATA3),
+
+	PINMUX_IPSR_GPSR(IP10_23_20,	SD3_DAT4),
+	PINMUX_IPSR_MSEL(IP10_23_20,	SD2_CD_A,		SEL_SDHI2_0),
+	PINMUX_IPSR_GPSR(IP10_23_20,	NFDATA4),
+
+	PINMUX_IPSR_GPSR(IP10_27_24,	SD3_DAT5),
+	PINMUX_IPSR_MSEL(IP10_27_24,	SD2_WP_A,		SEL_SDHI2_0),
+	PINMUX_IPSR_GPSR(IP10_27_24,	NFDATA5),
+
+	PINMUX_IPSR_GPSR(IP10_31_28,	SD3_DAT6),
+	PINMUX_IPSR_GPSR(IP10_31_28,	SD3_CD),
+	PINMUX_IPSR_GPSR(IP10_31_28,	NFDATA6),
+
+	/* IPSR11 */
+	PINMUX_IPSR_GPSR(IP11_3_0,	SD3_DAT7),
+	PINMUX_IPSR_GPSR(IP11_3_0,	SD3_WP),
+	PINMUX_IPSR_GPSR(IP11_3_0,	NFDATA7),
+
+	PINMUX_IPSR_GPSR(IP11_7_4,	SD3_DS),
+	PINMUX_IPSR_GPSR(IP11_7_4,	NFCLE),
+
+	PINMUX_IPSR_GPSR(IP11_11_8,	SD0_CD),
+	PINMUX_IPSR_MSEL(IP11_11_8,	SCL2_B,			SEL_I2C2_1),
+	PINMUX_IPSR_MSEL(IP11_11_8,	SIM0_RST_A,		SEL_SIMCARD_0),
+
+	PINMUX_IPSR_GPSR(IP11_15_12,	SD0_WP),
+	PINMUX_IPSR_MSEL(IP11_15_12,	SDA2_B,			SEL_I2C2_1),
+
+	PINMUX_IPSR_GPSR(IP11_19_16,	SD1_CD),
+	PINMUX_IPSR_MSEL(IP11_19_16,	SIM0_CLK_B,		SEL_SIMCARD_1),
+
+	PINMUX_IPSR_GPSR(IP11_23_20,	SD1_WP),
+	PINMUX_IPSR_MSEL(IP11_23_20,	SIM0_D_B,		SEL_SIMCARD_1),
+
+	PINMUX_IPSR_GPSR(IP11_27_24,	SCK0),
+	PINMUX_IPSR_MSEL(IP11_27_24,	HSCK1_B,		SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	MSIOF1_SS2_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	AUDIO_CLKC_B,		SEL_ADG_C_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	SDA2_A,			SEL_I2C2_0),
+	PINMUX_IPSR_MSEL(IP11_27_24,	SIM0_RST_B,		SEL_SIMCARD_1),
+	PINMUX_IPSR_MSEL(IP11_27_24,	STP_OPWM_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP11_27_24,	RIF0_CLK_B,		SEL_DRIF0_1),
+	PINMUX_IPSR_GPSR(IP11_27_24,	ADICHS2),
+	PINMUX_IPSR_MSEL(IP11_27_24,	SCK5_B,			SEL_SCIF5_1),
+
+	PINMUX_IPSR_GPSR(IP11_31_28,	RX0),
+	PINMUX_IPSR_MSEL(IP11_31_28,	HRX1_B,			SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP11_31_28,	TS_SCK0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP11_31_28,	STP_ISCLK_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP11_31_28,	RIF0_D0_B,		SEL_DRIF0_1),
+
+	/* IPSR12 */
+	PINMUX_IPSR_GPSR(IP12_3_0,	TX0),
+	PINMUX_IPSR_MSEL(IP12_3_0,	HTX1_B,			SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP12_3_0,	TS_SPSYNC0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP12_3_0,	STP_ISSYNC_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP12_3_0,	RIF0_D1_B,		SEL_DRIF0_1),
+
+	PINMUX_IPSR_GPSR(IP12_7_4,	CTS0_N),
+	PINMUX_IPSR_MSEL(IP12_7_4,	HCTS1_N_B,		SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP12_7_4,	MSIOF1_SYNC_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_7_4,	TS_SPSYNC1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_7_4,	STP_ISSYNC_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_7_4,	RIF1_SYNC_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_7_4,	AUDIO_CLKOUT_C),
+	PINMUX_IPSR_GPSR(IP12_7_4,	ADICS_SAMP),
+
+	PINMUX_IPSR_GPSR(IP12_11_8,	RTS0_N_TANS),
+	PINMUX_IPSR_MSEL(IP12_11_8,	HRTS1_N_B,		SEL_HSCIF1_1),
+	PINMUX_IPSR_MSEL(IP12_11_8,	MSIOF1_SS1_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_11_8,	AUDIO_CLKA_B,		SEL_ADG_A_1),
+	PINMUX_IPSR_MSEL(IP12_11_8,	SCL2_A,			SEL_I2C2_0),
+	PINMUX_IPSR_MSEL(IP12_11_8,	STP_IVCXO27_1_C,	SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_11_8,	RIF0_SYNC_B,		SEL_DRIF0_1),
+	PINMUX_IPSR_GPSR(IP12_11_8,	ADICHS1),
+
+	PINMUX_IPSR_MSEL(IP12_15_12,	RX1_A,			SEL_SCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_15_12,	HRX1_A,			SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_15_12,	TS_SDAT0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP12_15_12,	STP_ISD_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP12_15_12,	RIF1_CLK_C,		SEL_DRIF1_2),
+
+	PINMUX_IPSR_MSEL(IP12_19_16,	TX1_A,			SEL_SCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_19_16,	HTX1_A,			SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_19_16,	TS_SDEN0_C,		SEL_TSIF0_2),
+	PINMUX_IPSR_MSEL(IP12_19_16,	STP_ISEN_0_C,		SEL_SSP1_0_2),
+	PINMUX_IPSR_MSEL(IP12_19_16,	RIF1_D0_C,		SEL_DRIF1_2),
+
+	PINMUX_IPSR_GPSR(IP12_23_20,	CTS1_N),
+	PINMUX_IPSR_MSEL(IP12_23_20,	HCTS1_N_A,		SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_23_20,	MSIOF1_RXD_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_23_20,	TS_SDEN1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_23_20,	STP_ISEN_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_23_20,	RIF1_D0_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_23_20,	ADIDATA),
+
+	PINMUX_IPSR_GPSR(IP12_27_24,	RTS1_N_TANS),
+	PINMUX_IPSR_MSEL(IP12_27_24,	HRTS1_N_A,		SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP12_27_24,	MSIOF1_TXD_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_27_24,	TS_SDAT1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_27_24,	STP_ISD_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_27_24,	RIF1_D1_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_27_24,	ADICHS0),
+
+	PINMUX_IPSR_GPSR(IP12_31_28,	SCK2),
+	PINMUX_IPSR_MSEL(IP12_31_28,	SCIF_CLK_B,		SEL_SCIF_1),
+	PINMUX_IPSR_MSEL(IP12_31_28,	MSIOF1_SCK_B,		SEL_MSIOF1_1),
+	PINMUX_IPSR_MSEL(IP12_31_28,	TS_SCK1_C,		SEL_TSIF1_2),
+	PINMUX_IPSR_MSEL(IP12_31_28,	STP_ISCLK_1_C,		SEL_SSP1_1_2),
+	PINMUX_IPSR_MSEL(IP12_31_28,	RIF1_CLK_B,		SEL_DRIF1_1),
+	PINMUX_IPSR_GPSR(IP12_31_28,	ADICLK),
+
+	/* IPSR13 */
+	PINMUX_IPSR_MSEL(IP13_3_0,	TX2_A,			SEL_SCIF2_0),
+	PINMUX_IPSR_MSEL(IP13_3_0,	SD2_CD_B,		SEL_SDHI2_1),
+	PINMUX_IPSR_MSEL(IP13_3_0,	SCL1_A,			SEL_I2C1_0),
+	PINMUX_IPSR_MSEL(IP13_3_0,	FMCLK_A,		SEL_FM_0),
+	PINMUX_IPSR_MSEL(IP13_3_0,	RIF1_D1_C,		SEL_DRIF1_2),
+	PINMUX_IPSR_GPSR(IP13_3_0,	FSO_CFE_0_N),
+
+	PINMUX_IPSR_MSEL(IP13_7_4,	RX2_A,			SEL_SCIF2_0),
+	PINMUX_IPSR_MSEL(IP13_7_4,	SD2_WP_B,		SEL_SDHI2_1),
+	PINMUX_IPSR_MSEL(IP13_7_4,	SDA1_A,			SEL_I2C1_0),
+	PINMUX_IPSR_MSEL(IP13_7_4,	FMIN_A,			SEL_FM_0),
+	PINMUX_IPSR_MSEL(IP13_7_4,	RIF1_SYNC_C,		SEL_DRIF1_2),
+	PINMUX_IPSR_GPSR(IP13_7_4,	FSO_CFE_1_N),
+
+	PINMUX_IPSR_GPSR(IP13_11_8,	HSCK0),
+	PINMUX_IPSR_MSEL(IP13_11_8,	MSIOF1_SCK_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_11_8,	AUDIO_CLKB_A,		SEL_ADG_B_0),
+	PINMUX_IPSR_MSEL(IP13_11_8,	SSI_SDATA1_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP13_11_8,	TS_SCK0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_11_8,	STP_ISCLK_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_11_8,	RIF0_CLK_C,		SEL_DRIF0_2),
+	PINMUX_IPSR_MSEL(IP13_11_8,	RX5_B,			SEL_SCIF5_1),
+
+	PINMUX_IPSR_GPSR(IP13_15_12,	HRX0),
+	PINMUX_IPSR_MSEL(IP13_15_12,	MSIOF1_RXD_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_15_12,	SSI_SDATA2_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP13_15_12,	TS_SDEN0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_15_12,	STP_ISEN_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_15_12,	RIF0_D0_C,		SEL_DRIF0_2),
+
+	PINMUX_IPSR_GPSR(IP13_19_16,	HTX0),
+	PINMUX_IPSR_MSEL(IP13_19_16,	MSIOF1_TXD_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_19_16,	SSI_SDATA9_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP13_19_16,	TS_SDAT0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_19_16,	STP_ISD_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_19_16,	RIF0_D1_C,		SEL_DRIF0_2),
+
+	PINMUX_IPSR_GPSR(IP13_23_20,	HCTS0_N),
+	PINMUX_IPSR_MSEL(IP13_23_20,	RX2_B,			SEL_SCIF2_1),
+	PINMUX_IPSR_MSEL(IP13_23_20,	MSIOF1_SYNC_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_23_20,	SSI_SCK9_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP13_23_20,	TS_SPSYNC0_D,		SEL_TSIF0_3),
+	PINMUX_IPSR_MSEL(IP13_23_20,	STP_ISSYNC_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_23_20,	RIF0_SYNC_C,		SEL_DRIF0_2),
+	PINMUX_IPSR_GPSR(IP13_23_20,	AUDIO_CLKOUT1_A),
+
+	PINMUX_IPSR_GPSR(IP13_27_24,	HRTS0_N),
+	PINMUX_IPSR_MSEL(IP13_27_24,	TX2_B,			SEL_SCIF2_1),
+	PINMUX_IPSR_MSEL(IP13_27_24,	MSIOF1_SS1_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP13_27_24,	SSI_WS9_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP13_27_24,	STP_IVCXO27_0_D,	SEL_SSP1_0_3),
+	PINMUX_IPSR_MSEL(IP13_27_24,	BPFCLK_A,		SEL_FM_0),
+	PINMUX_IPSR_GPSR(IP13_27_24,	AUDIO_CLKOUT2_A),
+
+	PINMUX_IPSR_GPSR(IP13_31_28,	MSIOF0_SYNC),
+	PINMUX_IPSR_GPSR(IP13_31_28,	AUDIO_CLKOUT_A),
+	PINMUX_IPSR_MSEL(IP13_31_28,	TX5_B,			SEL_SCIF5_1),
+	PINMUX_IPSR_MSEL(IP13_31_28,	BPFCLK_D,		SEL_FM_3),
+
+	/* IPSR14 */
+	PINMUX_IPSR_GPSR(IP14_3_0,	MSIOF0_SS1),
+	PINMUX_IPSR_MSEL(IP14_3_0,	RX5_A,			SEL_SCIF5_0),
+	PINMUX_IPSR_MSEL(IP14_3_0,	NFWP_N_A,		SEL_NDF_0),
+	PINMUX_IPSR_MSEL(IP14_3_0,	AUDIO_CLKA_C,		SEL_ADG_A_2),
+	PINMUX_IPSR_MSEL(IP14_3_0,	SSI_SCK2_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP14_3_0,	STP_IVCXO27_0_C,	SEL_SSP1_0_2),
+	PINMUX_IPSR_GPSR(IP14_3_0,	AUDIO_CLKOUT3_A),
+	PINMUX_IPSR_MSEL(IP14_3_0,	TCLK1_B,		SEL_TIMER_TMU_1),
+
+	PINMUX_IPSR_GPSR(IP14_7_4,	MSIOF0_SS2),
+	PINMUX_IPSR_MSEL(IP14_7_4,	TX5_A,			SEL_SCIF5_0),
+	PINMUX_IPSR_MSEL(IP14_7_4,	MSIOF1_SS2_D,		SEL_MSIOF1_3),
+	PINMUX_IPSR_MSEL(IP14_7_4,	AUDIO_CLKC_A,		SEL_ADG_C_0),
+	PINMUX_IPSR_MSEL(IP14_7_4,	SSI_WS2_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP14_7_4,	STP_OPWM_0_D,		SEL_SSP1_0_3),
+	PINMUX_IPSR_GPSR(IP14_7_4,	AUDIO_CLKOUT_D),
+	PINMUX_IPSR_MSEL(IP14_7_4,	SPEEDIN_B,		SEL_SPEED_PULSE_1),
+
+	PINMUX_IPSR_GPSR(IP14_11_8,	MLB_CLK),
+	PINMUX_IPSR_MSEL(IP14_11_8,	MSIOF1_SCK_F,		SEL_MSIOF1_5),
+	PINMUX_IPSR_MSEL(IP14_11_8,	SCL1_B,			SEL_I2C1_1),
+
+	PINMUX_IPSR_GPSR(IP14_15_12,	MLB_SIG),
+	PINMUX_IPSR_MSEL(IP14_15_12,	RX1_B,			SEL_SCIF1_1),
+	PINMUX_IPSR_MSEL(IP14_15_12,	MSIOF1_SYNC_F,		SEL_MSIOF1_5),
+	PINMUX_IPSR_MSEL(IP14_15_12,	SDA1_B,			SEL_I2C1_1),
+
+	PINMUX_IPSR_GPSR(IP14_19_16,	MLB_DAT),
+	PINMUX_IPSR_MSEL(IP14_19_16,	TX1_B,			SEL_SCIF1_1),
+	PINMUX_IPSR_MSEL(IP14_19_16,	MSIOF1_RXD_F,		SEL_MSIOF1_5),
+
+	PINMUX_IPSR_GPSR(IP14_23_20,	SSI_SCK01239),
+	PINMUX_IPSR_MSEL(IP14_23_20,	MSIOF1_TXD_F,		SEL_MSIOF1_5),
+
+	PINMUX_IPSR_GPSR(IP14_27_24,	SSI_WS01239),
+	PINMUX_IPSR_MSEL(IP14_27_24,	MSIOF1_SS1_F,		SEL_MSIOF1_5),
+
+	PINMUX_IPSR_GPSR(IP14_31_28,	SSI_SDATA0),
+	PINMUX_IPSR_MSEL(IP14_31_28,	MSIOF1_SS2_F,		SEL_MSIOF1_5),
+
+	/* IPSR15 */
+	PINMUX_IPSR_MSEL(IP15_3_0,	SSI_SDATA1_A,		SEL_SSI_0),
+
+	PINMUX_IPSR_MSEL(IP15_7_4,	SSI_SDATA2_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP15_7_4,	SSI_SCK1_B,		SEL_SSI_1),
+
+	PINMUX_IPSR_GPSR(IP15_11_8,	SSI_SCK349),
+	PINMUX_IPSR_MSEL(IP15_11_8,	MSIOF1_SS1_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_11_8,	STP_OPWM_0_A,		SEL_SSP1_0_0),
+
+	PINMUX_IPSR_GPSR(IP15_15_12,	SSI_WS349),
+	PINMUX_IPSR_MSEL(IP15_15_12,	HCTS2_N_A,		SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_15_12,	MSIOF1_SS2_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_15_12,	STP_IVCXO27_0_A,	SEL_SSP1_0_0),
+
+	PINMUX_IPSR_GPSR(IP15_19_16,	SSI_SDATA3),
+	PINMUX_IPSR_MSEL(IP15_19_16,	HRTS2_N_A,		SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	MSIOF1_TXD_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	TS_SCK0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	STP_ISCLK_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	RIF0_D1_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_19_16,	RIF2_D0_A,		SEL_DRIF2_0),
+
+	PINMUX_IPSR_GPSR(IP15_23_20,	SSI_SCK4),
+	PINMUX_IPSR_MSEL(IP15_23_20,	HRX2_A,			SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	MSIOF1_SCK_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	TS_SDAT0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	STP_ISD_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	RIF0_CLK_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_23_20,	RIF2_CLK_A,		SEL_DRIF2_0),
+
+	PINMUX_IPSR_GPSR(IP15_27_24,	SSI_WS4),
+	PINMUX_IPSR_MSEL(IP15_27_24,	HTX2_A,			SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	MSIOF1_SYNC_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	TS_SDEN0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	STP_ISEN_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	RIF0_SYNC_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_27_24,	RIF2_SYNC_A,		SEL_DRIF2_0),
+
+	PINMUX_IPSR_GPSR(IP15_31_28,	SSI_SDATA4),
+	PINMUX_IPSR_MSEL(IP15_31_28,	HSCK2_A,		SEL_HSCIF2_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	MSIOF1_RXD_A,		SEL_MSIOF1_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	TS_SPSYNC0_A,		SEL_TSIF0_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	STP_ISSYNC_0_A,		SEL_SSP1_0_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	RIF0_D0_A,		SEL_DRIF0_0),
+	PINMUX_IPSR_MSEL(IP15_31_28,	RIF2_D1_A,		SEL_DRIF2_0),
+
+	/* IPSR16 */
+	PINMUX_IPSR_GPSR(IP16_3_0,	SSI_SCK6),
+	PINMUX_IPSR_MSEL(IP16_3_0,	SIM0_RST_D,		SEL_SIMCARD_3),
+
+	PINMUX_IPSR_GPSR(IP16_7_4,	SSI_WS6),
+	PINMUX_IPSR_MSEL(IP16_7_4,	SIM0_D_D,		SEL_SIMCARD_3),
+
+	PINMUX_IPSR_GPSR(IP16_11_8,	SSI_SDATA6),
+	PINMUX_IPSR_MSEL(IP16_11_8,	SIM0_CLK_D,		SEL_SIMCARD_3),
+
+	PINMUX_IPSR_GPSR(IP16_15_12,	SSI_SCK78),
+	PINMUX_IPSR_MSEL(IP16_15_12,	HRX2_B,			SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_15_12,	MSIOF1_SCK_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_15_12,	TS_SCK1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_15_12,	STP_ISCLK_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_15_12,	RIF1_CLK_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_15_12,	RIF3_CLK_A,		SEL_DRIF3_0),
+
+	PINMUX_IPSR_GPSR(IP16_19_16,	SSI_WS78),
+	PINMUX_IPSR_MSEL(IP16_19_16,	HTX2_B,			SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_19_16,	MSIOF1_SYNC_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_19_16,	TS_SDAT1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_19_16,	STP_ISD_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_19_16,	RIF1_SYNC_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_19_16,	RIF3_SYNC_A,		SEL_DRIF3_0),
+
+	PINMUX_IPSR_GPSR(IP16_23_20,	SSI_SDATA7),
+	PINMUX_IPSR_MSEL(IP16_23_20,	HCTS2_N_B,		SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_23_20,	MSIOF1_RXD_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_23_20,	TS_SDEN1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	STP_ISEN_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	RIF1_D0_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	RIF3_D0_A,		SEL_DRIF3_0),
+	PINMUX_IPSR_MSEL(IP16_23_20,	TCLK2_A,		SEL_TIMER_TMU2_0),
+
+	PINMUX_IPSR_GPSR(IP16_27_24,	SSI_SDATA8),
+	PINMUX_IPSR_MSEL(IP16_27_24,	HRTS2_N_B,		SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_27_24,	MSIOF1_TXD_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_27_24,	TS_SPSYNC1_A,		SEL_TSIF1_0),
+	PINMUX_IPSR_MSEL(IP16_27_24,	STP_ISSYNC_1_A,		SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_27_24,	RIF1_D1_A,		SEL_DRIF1_0),
+	PINMUX_IPSR_MSEL(IP16_27_24,	RIF3_D1_A,		SEL_DRIF3_0),
+
+	PINMUX_IPSR_MSEL(IP16_31_28,	SSI_SDATA9_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP16_31_28,	HSCK2_B,		SEL_HSCIF2_1),
+	PINMUX_IPSR_MSEL(IP16_31_28,	MSIOF1_SS1_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP16_31_28,	HSCK1_A,		SEL_HSCIF1_0),
+	PINMUX_IPSR_MSEL(IP16_31_28,	SSI_WS1_B,		SEL_SSI_1),
+	PINMUX_IPSR_GPSR(IP16_31_28,	SCK1),
+	PINMUX_IPSR_MSEL(IP16_31_28,	STP_IVCXO27_1_A,	SEL_SSP1_1_0),
+	PINMUX_IPSR_MSEL(IP16_31_28,	SCK5_A,			SEL_SCIF5_0),
+
+	/* IPSR17 */
+	PINMUX_IPSR_MSEL(IP17_3_0,	AUDIO_CLKA_A,		SEL_ADG_A_0),
+	PINMUX_IPSR_GPSR(IP17_3_0,	CC5_OSCOUT),
+
+	PINMUX_IPSR_MSEL(IP17_7_4,	AUDIO_CLKB_B,		SEL_ADG_B_1),
+	PINMUX_IPSR_MSEL(IP17_7_4,	SCIF_CLK_A,		SEL_SCIF_0),
+	PINMUX_IPSR_MSEL(IP17_7_4,	STP_IVCXO27_1_D,	SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_7_4,	REMOCON_A,		SEL_REMOCON_0),
+	PINMUX_IPSR_MSEL(IP17_7_4,	TCLK1_A,		SEL_TIMER_TMU_0),
+
+	PINMUX_IPSR_GPSR(IP17_11_8,	USB0_PWEN),
+	PINMUX_IPSR_MSEL(IP17_11_8,	SIM0_RST_C,		SEL_SIMCARD_2),
+	PINMUX_IPSR_MSEL(IP17_11_8,	TS_SCK1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_11_8,	STP_ISCLK_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_11_8,	BPFCLK_B,		SEL_FM_1),
+	PINMUX_IPSR_MSEL(IP17_11_8,	RIF3_CLK_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_MSEL(IP17_11_8,	HSCK2_C,		SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_15_12,	USB0_OVC),
+	PINMUX_IPSR_MSEL(IP17_15_12,	SIM0_D_C,		SEL_SIMCARD_2),
+	PINMUX_IPSR_MSEL(IP17_15_12,	TS_SDAT1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_15_12,	STP_ISD_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_15_12,	RIF3_SYNC_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_MSEL(IP17_15_12,	HRX2_C,			SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_19_16,	USB1_PWEN),
+	PINMUX_IPSR_MSEL(IP17_19_16,	SIM0_CLK_C,		SEL_SIMCARD_2),
+	PINMUX_IPSR_MSEL(IP17_19_16,	SSI_SCK1_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP17_19_16,	TS_SCK0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP17_19_16,	STP_ISCLK_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_19_16,	FMCLK_B,		SEL_FM_1),
+	PINMUX_IPSR_MSEL(IP17_19_16,	RIF2_CLK_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_MSEL(IP17_19_16,	SPEEDIN_A,		SEL_SPEED_PULSE_0),
+	PINMUX_IPSR_MSEL(IP17_19_16,	HTX2_C,			SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_23_20,	USB1_OVC),
+	PINMUX_IPSR_MSEL(IP17_23_20,	MSIOF1_SS2_C,		SEL_MSIOF1_2),
+	PINMUX_IPSR_MSEL(IP17_23_20,	SSI_WS1_A,		SEL_SSI_0),
+	PINMUX_IPSR_MSEL(IP17_23_20,	TS_SDAT0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP17_23_20,	STP_ISD_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_23_20,	FMIN_B,			SEL_FM_1),
+	PINMUX_IPSR_MSEL(IP17_23_20,	RIF2_SYNC_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_MSEL(IP17_23_20,	REMOCON_B,		SEL_REMOCON_1),
+	PINMUX_IPSR_MSEL(IP17_23_20,	HCTS2_N_C,		SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_27_24,	USB30_PWEN),
+	PINMUX_IPSR_GPSR(IP17_27_24,	AUDIO_CLKOUT_B),
+	PINMUX_IPSR_MSEL(IP17_27_24,	SSI_SCK2_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP17_27_24,	TS_SDEN1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_27_24,	STP_ISEN_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_27_24,	STP_OPWM_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_27_24,	RIF3_D0_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_MSEL(IP17_27_24,	TCLK2_B,		SEL_TIMER_TMU2_1),
+	PINMUX_IPSR_GPSR(IP17_27_24,	TPU0TO0),
+	PINMUX_IPSR_MSEL(IP17_27_24,	BPFCLK_C,		SEL_FM_2),
+	PINMUX_IPSR_MSEL(IP17_27_24,	HRTS2_N_C,		SEL_HSCIF2_2),
+
+	PINMUX_IPSR_GPSR(IP17_31_28,	USB30_OVC),
+	PINMUX_IPSR_GPSR(IP17_31_28,	AUDIO_CLKOUT1_B),
+	PINMUX_IPSR_MSEL(IP17_31_28,	SSI_WS2_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP17_31_28,	TS_SPSYNC1_D,		SEL_TSIF1_3),
+	PINMUX_IPSR_MSEL(IP17_31_28,	STP_ISSYNC_1_D,		SEL_SSP1_1_3),
+	PINMUX_IPSR_MSEL(IP17_31_28,	STP_IVCXO27_0_E,	SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP17_31_28,	RIF3_D1_B,		SEL_DRIF3_1),
+	PINMUX_IPSR_GPSR(IP17_31_28,	FSO_TOE_N),
+	PINMUX_IPSR_GPSR(IP17_31_28,	TPU0TO1),
+
+	/* IPSR18 */
+	PINMUX_IPSR_GPSR(IP18_3_0,	GP6_30),
+	PINMUX_IPSR_GPSR(IP18_3_0,	AUDIO_CLKOUT2_B),
+	PINMUX_IPSR_MSEL(IP18_3_0,	SSI_SCK9_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP18_3_0,	TS_SDEN0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP18_3_0,	STP_ISEN_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP18_3_0,	RIF2_D0_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_GPSR(IP18_3_0,	TPU0TO2),
+	PINMUX_IPSR_MSEL(IP18_3_0,	FMCLK_C,		SEL_FM_2),
+	PINMUX_IPSR_MSEL(IP18_3_0,	FMCLK_D,		SEL_FM_3),
+
+	PINMUX_IPSR_GPSR(IP18_7_4,	GP6_31),
+	PINMUX_IPSR_GPSR(IP18_7_4,	AUDIO_CLKOUT3_B),
+	PINMUX_IPSR_MSEL(IP18_7_4,	SSI_WS9_B,		SEL_SSI_1),
+	PINMUX_IPSR_MSEL(IP18_7_4,	TS_SPSYNC0_E,		SEL_TSIF0_4),
+	PINMUX_IPSR_MSEL(IP18_7_4,	STP_ISSYNC_0_E,		SEL_SSP1_0_4),
+	PINMUX_IPSR_MSEL(IP18_7_4,	RIF2_D1_B,		SEL_DRIF2_1),
+	PINMUX_IPSR_GPSR(IP18_7_4,	TPU0TO3),
+	PINMUX_IPSR_MSEL(IP18_7_4,	FMIN_C,			SEL_FM_2),
+	PINMUX_IPSR_MSEL(IP18_7_4,	FMIN_D,			SEL_FM_3),
+
+	/* I2C */
+	PINMUX_IPSR_NOGP(0,		I2C_SEL_0_1),
+	PINMUX_IPSR_NOGP(0,		I2C_SEL_3_1),
+	PINMUX_IPSR_NOGP(0,		I2C_SEL_5_1),
+
+/*
+ * Static pins can not be muxed between different functions but
+ * still needs a mark entry in the pinmux list. Add each static
+ * pin to the list without an associated function. The sh-pfc
+ * core will do the right thing and skip trying to mux then pin
+ * while still applying configuration to it
+ */
+#define FM(x)   PINMUX_DATA(x##_MARK, 0),
+	PINMUX_STATIC
+#undef FM
+};
+
+/*
+ * R8A7796 has 8 banks with 32 GPIOs in each => 256 GPIOs.
+ * Physical layout rows: A - AW, cols: 1 - 39.
+ */
+#define ROW_GROUP_A(r) ('Z' - 'A' + 1 + (r))
+#define PIN_NUMBER(r, c) (((r) - 'A') * 39 + (c) + 300)
+#define PIN_A_NUMBER(r, c) PIN_NUMBER(ROW_GROUP_A(r), c)
+
+static const struct sh_pfc_pin pinmux_pins[] = {
+	PINMUX_GPIO_GP_ALL(),
+
+	/*
+	 * Pins not associated with a GPIO port.
+	 *
+	 * The pin positions are different between different r8a7796
+	 * packages, all that is needed for the pfc driver is a unique
+	 * number for each pin. To this end use the pin layout from
+	 * R-Car M3SiP to calculate a unique number for each pin.
+	 */
+	SH_PFC_PIN_NAMED_CFG('A',  8, AVB_TX_CTL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A',  9, AVB_MDIO, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 12, AVB_TXCREFCLK, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 13, AVB_RD0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 14, AVB_RD2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 16, AVB_RX_CTL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 17, AVB_TD2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 18, AVB_TD0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('A', 19, AVB_TXC, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 13, AVB_RD1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 14, AVB_RD3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 17, AVB_TD3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 18, AVB_TD1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('B', 19, AVB_RXC, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('C',  1, PRESETOUT#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('H', 37, MLB_REF, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  3, QSPI1_SPCLK, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  5, QSPI1_SSL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  6, RPC_WP#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('V',  7, RPC_RESET#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('W',  3, QSPI0_SPCLK, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('Y',  3, QSPI0_SSL, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('Y',  6, QSPI0_IO2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG('Y',  7, RPC_INT#, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('B'),  4, QSPI0_MISO_IO1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('B'),  6, QSPI0_IO3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('C'),  3, QSPI1_IO3, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('C'),  5, QSPI0_MOSI_IO0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('C'),  7, QSPI1_MOSI_IO0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('D'), 38, FSCLKST, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('D'), 39, EXTALR, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('E'),  4, QSPI1_IO2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('E'),  5, QSPI1_MISO_IO1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('P'),  7, DU_DOTCLKIN0, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('P'),  8, DU_DOTCLKIN1, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'),  8, DU_DOTCLKIN2, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'), 26, TRST#, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'), 29, TDI, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('R'), 30, TMS, CFG_FLAGS),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('T'), 27, TCK, SH_PFC_PIN_CFG_PULL_UP | SH_PFC_PIN_CFG_PULL_DOWN),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('T'), 28, TDO, SH_PFC_PIN_CFG_DRIVE_STRENGTH),
+	SH_PFC_PIN_NAMED_CFG(ROW_GROUP_A('T'), 30, ASEBRK, CFG_FLAGS),
+};
+
+/* - AUDIO CLOCK ------------------------------------------------------------ */
+static const unsigned int audio_clk_a_a_pins[] = {
+	/* CLK A */
+	RCAR_GP_PIN(6, 22),
+};
+static const unsigned int audio_clk_a_a_mux[] = {
+	AUDIO_CLKA_A_MARK,
+};
+static const unsigned int audio_clk_a_b_pins[] = {
+	/* CLK A */
+	RCAR_GP_PIN(5, 4),
+};
+static const unsigned int audio_clk_a_b_mux[] = {
+	AUDIO_CLKA_B_MARK,
+};
+static const unsigned int audio_clk_a_c_pins[] = {
+	/* CLK A */
+	RCAR_GP_PIN(5, 19),
+};
+static const unsigned int audio_clk_a_c_mux[] = {
+	AUDIO_CLKA_C_MARK,
+};
+static const unsigned int audio_clk_b_a_pins[] = {
+	/* CLK B */
+	RCAR_GP_PIN(5, 12),
+};
+static const unsigned int audio_clk_b_a_mux[] = {
+	AUDIO_CLKB_A_MARK,
+};
+static const unsigned int audio_clk_b_b_pins[] = {
+	/* CLK B */
+	RCAR_GP_PIN(6, 23),
+};
+static const unsigned int audio_clk_b_b_mux[] = {
+	AUDIO_CLKB_B_MARK,
+};
+static const unsigned int audio_clk_c_a_pins[] = {
+	/* CLK C */
+	RCAR_GP_PIN(5, 21),
+};
+static const unsigned int audio_clk_c_a_mux[] = {
+	AUDIO_CLKC_A_MARK,
+};
+static const unsigned int audio_clk_c_b_pins[] = {
+	/* CLK C */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int audio_clk_c_b_mux[] = {
+	AUDIO_CLKC_B_MARK,
+};
+static const unsigned int audio_clkout_a_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(5, 18),
+};
+static const unsigned int audio_clkout_a_mux[] = {
+	AUDIO_CLKOUT_A_MARK,
+};
+static const unsigned int audio_clkout_b_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(6, 28),
+};
+static const unsigned int audio_clkout_b_mux[] = {
+	AUDIO_CLKOUT_B_MARK,
+};
+static const unsigned int audio_clkout_c_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(5, 3),
+};
+static const unsigned int audio_clkout_c_mux[] = {
+	AUDIO_CLKOUT_C_MARK,
+};
+static const unsigned int audio_clkout_d_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(5, 21),
+};
+static const unsigned int audio_clkout_d_mux[] = {
+	AUDIO_CLKOUT_D_MARK,
+};
+static const unsigned int audio_clkout1_a_pins[] = {
+	/* CLKOUT1 */
+	RCAR_GP_PIN(5, 15),
+};
+static const unsigned int audio_clkout1_a_mux[] = {
+	AUDIO_CLKOUT1_A_MARK,
+};
+static const unsigned int audio_clkout1_b_pins[] = {
+	/* CLKOUT1 */
+	RCAR_GP_PIN(6, 29),
+};
+static const unsigned int audio_clkout1_b_mux[] = {
+	AUDIO_CLKOUT1_B_MARK,
+};
+static const unsigned int audio_clkout2_a_pins[] = {
+	/* CLKOUT2 */
+	RCAR_GP_PIN(5, 16),
+};
+static const unsigned int audio_clkout2_a_mux[] = {
+	AUDIO_CLKOUT2_A_MARK,
+};
+static const unsigned int audio_clkout2_b_pins[] = {
+	/* CLKOUT2 */
+	RCAR_GP_PIN(6, 30),
+};
+static const unsigned int audio_clkout2_b_mux[] = {
+	AUDIO_CLKOUT2_B_MARK,
+};
+
+static const unsigned int audio_clkout3_a_pins[] = {
+	/* CLKOUT3 */
+	RCAR_GP_PIN(5, 19),
+};
+static const unsigned int audio_clkout3_a_mux[] = {
+	AUDIO_CLKOUT3_A_MARK,
+};
+static const unsigned int audio_clkout3_b_pins[] = {
+	/* CLKOUT3 */
+	RCAR_GP_PIN(6, 31),
+};
+static const unsigned int audio_clkout3_b_mux[] = {
+	AUDIO_CLKOUT3_B_MARK,
+};
+
+/* - EtherAVB --------------------------------------------------------------- */
+static const unsigned int avb_link_pins[] = {
+	/* AVB_LINK */
+	RCAR_GP_PIN(2, 12),
+};
+static const unsigned int avb_link_mux[] = {
+	AVB_LINK_MARK,
+};
+static const unsigned int avb_magic_pins[] = {
+	/* AVB_MAGIC_ */
+	RCAR_GP_PIN(2, 10),
+};
+static const unsigned int avb_magic_mux[] = {
+	AVB_MAGIC_MARK,
+};
+static const unsigned int avb_phy_int_pins[] = {
+	/* AVB_PHY_INT */
+	RCAR_GP_PIN(2, 11),
+};
+static const unsigned int avb_phy_int_mux[] = {
+	AVB_PHY_INT_MARK,
+};
+static const unsigned int avb_mdc_pins[] = {
+	/* AVB_MDC, AVB_MDIO */
+	RCAR_GP_PIN(2, 9), PIN_NUMBER('A', 9),
+};
+static const unsigned int avb_mdc_mux[] = {
+	AVB_MDC_MARK, AVB_MDIO_MARK,
+};
+static const unsigned int avb_mii_pins[] = {
+	/*
+	 * AVB_TX_CTL, AVB_TXC, AVB_TD0,
+	 * AVB_TD1, AVB_TD2, AVB_TD3,
+	 * AVB_RX_CTL, AVB_RXC, AVB_RD0,
+	 * AVB_RD1, AVB_RD2, AVB_RD3,
+	 * AVB_TXCREFCLK
+	 */
+	PIN_NUMBER('A', 8), PIN_NUMBER('A', 19), PIN_NUMBER('A', 18),
+	PIN_NUMBER('B', 18), PIN_NUMBER('A', 17), PIN_NUMBER('B', 17),
+	PIN_NUMBER('A', 16), PIN_NUMBER('B', 19), PIN_NUMBER('A', 13),
+	PIN_NUMBER('B', 13), PIN_NUMBER('A', 14), PIN_NUMBER('B', 14),
+	PIN_NUMBER('A', 12),
+
+};
+static const unsigned int avb_mii_mux[] = {
+	AVB_TX_CTL_MARK, AVB_TXC_MARK, AVB_TD0_MARK,
+	AVB_TD1_MARK, AVB_TD2_MARK, AVB_TD3_MARK,
+	AVB_RX_CTL_MARK, AVB_RXC_MARK, AVB_RD0_MARK,
+	AVB_RD1_MARK, AVB_RD2_MARK, AVB_RD3_MARK,
+	AVB_TXCREFCLK_MARK,
+};
+static const unsigned int avb_avtp_pps_pins[] = {
+	/* AVB_AVTP_PPS */
+	RCAR_GP_PIN(2, 6),
+};
+static const unsigned int avb_avtp_pps_mux[] = {
+	AVB_AVTP_PPS_MARK,
+};
+static const unsigned int avb_avtp_match_a_pins[] = {
+	/* AVB_AVTP_MATCH_A */
+	RCAR_GP_PIN(2, 13),
+};
+static const unsigned int avb_avtp_match_a_mux[] = {
+	AVB_AVTP_MATCH_A_MARK,
+};
+static const unsigned int avb_avtp_capture_a_pins[] = {
+	/* AVB_AVTP_CAPTURE_A */
+	RCAR_GP_PIN(2, 14),
+};
+static const unsigned int avb_avtp_capture_a_mux[] = {
+	AVB_AVTP_CAPTURE_A_MARK,
+};
+static const unsigned int avb_avtp_match_b_pins[] = {
+	/*  AVB_AVTP_MATCH_B */
+	RCAR_GP_PIN(1, 8),
+};
+static const unsigned int avb_avtp_match_b_mux[] = {
+	AVB_AVTP_MATCH_B_MARK,
+};
+static const unsigned int avb_avtp_capture_b_pins[] = {
+	/* AVB_AVTP_CAPTURE_B */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int avb_avtp_capture_b_mux[] = {
+	AVB_AVTP_CAPTURE_B_MARK,
+};
+
+/* - CAN ------------------------------------------------------------------ */
+static const unsigned int can0_data_a_pins[] = {
+	/* TX, RX */
+	RCAR_GP_PIN(1, 23),	RCAR_GP_PIN(1, 24),
+};
+static const unsigned int can0_data_a_mux[] = {
+	CAN0_TX_A_MARK,		CAN0_RX_A_MARK,
+};
+static const unsigned int can0_data_b_pins[] = {
+	/* TX, RX */
+	RCAR_GP_PIN(2, 0),	RCAR_GP_PIN(2, 1),
+};
+static const unsigned int can0_data_b_mux[] = {
+	CAN0_TX_B_MARK,		CAN0_RX_B_MARK,
+};
+static const unsigned int can1_data_pins[] = {
+	/* TX, RX */
+	RCAR_GP_PIN(1, 22),	RCAR_GP_PIN(1, 26),
+};
+static const unsigned int can1_data_mux[] = {
+	CAN1_TX_MARK,		CAN1_RX_MARK,
+};
+
+/* - CAN Clock -------------------------------------------------------------- */
+static const unsigned int can_clk_pins[] = {
+	/* CLK */
+	RCAR_GP_PIN(1, 25),
+};
+static const unsigned int can_clk_mux[] = {
+	CAN_CLK_MARK,
+};
+
+/* - CAN FD --------------------------------------------------------------- */
+static const unsigned int canfd0_data_a_pins[] = {
+	/* TX, RX */
+	RCAR_GP_PIN(1, 23),     RCAR_GP_PIN(1, 24),
+};
+static const unsigned int canfd0_data_a_mux[] = {
+	CANFD0_TX_A_MARK,       CANFD0_RX_A_MARK,
+};
+static const unsigned int canfd0_data_b_pins[] = {
+	/* TX, RX */
+	RCAR_GP_PIN(2, 0),      RCAR_GP_PIN(2, 1),
+};
+static const unsigned int canfd0_data_b_mux[] = {
+	CANFD0_TX_B_MARK,       CANFD0_RX_B_MARK,
+};
+static const unsigned int canfd1_data_pins[] = {
+	/* TX, RX */
+	RCAR_GP_PIN(1, 22),     RCAR_GP_PIN(1, 26),
+};
+static const unsigned int canfd1_data_mux[] = {
+	CANFD1_TX_MARK,         CANFD1_RX_MARK,
+};
+
+/* - DRIF0 --------------------------------------------------------------- */
+static const unsigned int drif0_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
+};
+static const unsigned int drif0_ctrl_a_mux[] = {
+	RIF0_CLK_A_MARK, RIF0_SYNC_A_MARK,
+};
+static const unsigned int drif0_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int drif0_data0_a_mux[] = {
+	RIF0_D0_A_MARK,
+};
+static const unsigned int drif0_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int drif0_data1_a_mux[] = {
+	RIF0_D1_A_MARK,
+};
+static const unsigned int drif0_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 0), RCAR_GP_PIN(5, 4),
+};
+static const unsigned int drif0_ctrl_b_mux[] = {
+	RIF0_CLK_B_MARK, RIF0_SYNC_B_MARK,
+};
+static const unsigned int drif0_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 1),
+};
+static const unsigned int drif0_data0_b_mux[] = {
+	RIF0_D0_B_MARK,
+};
+static const unsigned int drif0_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 2),
+};
+static const unsigned int drif0_data1_b_mux[] = {
+	RIF0_D1_B_MARK,
+};
+static const unsigned int drif0_ctrl_c_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 12), RCAR_GP_PIN(5, 15),
+};
+static const unsigned int drif0_ctrl_c_mux[] = {
+	RIF0_CLK_C_MARK, RIF0_SYNC_C_MARK,
+};
+static const unsigned int drif0_data0_c_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 13),
+};
+static const unsigned int drif0_data0_c_mux[] = {
+	RIF0_D0_C_MARK,
+};
+static const unsigned int drif0_data1_c_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 14),
+};
+static const unsigned int drif0_data1_c_mux[] = {
+	RIF0_D1_C_MARK,
+};
+/* - DRIF1 --------------------------------------------------------------- */
+static const unsigned int drif1_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
+};
+static const unsigned int drif1_ctrl_a_mux[] = {
+	RIF1_CLK_A_MARK, RIF1_SYNC_A_MARK,
+};
+static const unsigned int drif1_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int drif1_data0_a_mux[] = {
+	RIF1_D0_A_MARK,
+};
+static const unsigned int drif1_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int drif1_data1_a_mux[] = {
+	RIF1_D1_A_MARK,
+};
+static const unsigned int drif1_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 9), RCAR_GP_PIN(5, 3),
+};
+static const unsigned int drif1_ctrl_b_mux[] = {
+	RIF1_CLK_B_MARK, RIF1_SYNC_B_MARK,
+};
+static const unsigned int drif1_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 7),
+};
+static const unsigned int drif1_data0_b_mux[] = {
+	RIF1_D0_B_MARK,
+};
+static const unsigned int drif1_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 8),
+};
+static const unsigned int drif1_data1_b_mux[] = {
+	RIF1_D1_B_MARK,
+};
+static const unsigned int drif1_ctrl_c_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 11),
+};
+static const unsigned int drif1_ctrl_c_mux[] = {
+	RIF1_CLK_C_MARK, RIF1_SYNC_C_MARK,
+};
+static const unsigned int drif1_data0_c_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(5, 6),
+};
+static const unsigned int drif1_data0_c_mux[] = {
+	RIF1_D0_C_MARK,
+};
+static const unsigned int drif1_data1_c_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(5, 10),
+};
+static const unsigned int drif1_data1_c_mux[] = {
+	RIF1_D1_C_MARK,
+};
+/* - DRIF2 --------------------------------------------------------------- */
+static const unsigned int drif2_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
+};
+static const unsigned int drif2_ctrl_a_mux[] = {
+	RIF2_CLK_A_MARK, RIF2_SYNC_A_MARK,
+};
+static const unsigned int drif2_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int drif2_data0_a_mux[] = {
+	RIF2_D0_A_MARK,
+};
+static const unsigned int drif2_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int drif2_data1_a_mux[] = {
+	RIF2_D1_A_MARK,
+};
+static const unsigned int drif2_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
+};
+static const unsigned int drif2_ctrl_b_mux[] = {
+	RIF2_CLK_B_MARK, RIF2_SYNC_B_MARK,
+};
+static const unsigned int drif2_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 30),
+};
+static const unsigned int drif2_data0_b_mux[] = {
+	RIF2_D0_B_MARK,
+};
+static const unsigned int drif2_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 31),
+};
+static const unsigned int drif2_data1_b_mux[] = {
+	RIF2_D1_B_MARK,
+};
+/* - DRIF3 --------------------------------------------------------------- */
+static const unsigned int drif3_ctrl_a_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
+};
+static const unsigned int drif3_ctrl_a_mux[] = {
+	RIF3_CLK_A_MARK, RIF3_SYNC_A_MARK,
+};
+static const unsigned int drif3_data0_a_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int drif3_data0_a_mux[] = {
+	RIF3_D0_A_MARK,
+};
+static const unsigned int drif3_data1_a_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int drif3_data1_a_mux[] = {
+	RIF3_D1_A_MARK,
+};
+static const unsigned int drif3_ctrl_b_pins[] = {
+	/* CLK, SYNC */
+	RCAR_GP_PIN(6, 24), RCAR_GP_PIN(6, 25),
+};
+static const unsigned int drif3_ctrl_b_mux[] = {
+	RIF3_CLK_B_MARK, RIF3_SYNC_B_MARK,
+};
+static const unsigned int drif3_data0_b_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(6, 28),
+};
+static const unsigned int drif3_data0_b_mux[] = {
+	RIF3_D0_B_MARK,
+};
+static const unsigned int drif3_data1_b_pins[] = {
+	/* D1 */
+	RCAR_GP_PIN(6, 29),
+};
+static const unsigned int drif3_data1_b_mux[] = {
+	RIF3_D1_B_MARK,
+};
+
+/* - DU --------------------------------------------------------------------- */
+static const unsigned int du_rgb666_pins[] = {
+	/* R[7:2], G[7:2], B[7:2] */
+	RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 13),
+	RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
+	RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 13),
+	RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 19), RCAR_GP_PIN(1, 18),
+	RCAR_GP_PIN(1, 7),  RCAR_GP_PIN(1, 6),  RCAR_GP_PIN(1, 5),
+	RCAR_GP_PIN(1, 4),  RCAR_GP_PIN(1, 3),  RCAR_GP_PIN(1, 2),
+};
+static const unsigned int du_rgb666_mux[] = {
+	DU_DR7_MARK, DU_DR6_MARK, DU_DR5_MARK, DU_DR4_MARK,
+	DU_DR3_MARK, DU_DR2_MARK,
+	DU_DG7_MARK, DU_DG6_MARK, DU_DG5_MARK, DU_DG4_MARK,
+	DU_DG3_MARK, DU_DG2_MARK,
+	DU_DB7_MARK, DU_DB6_MARK, DU_DB5_MARK, DU_DB4_MARK,
+	DU_DB3_MARK, DU_DB2_MARK,
+};
+static const unsigned int du_rgb888_pins[] = {
+	/* R[7:0], G[7:0], B[7:0] */
+	RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 13),
+	RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
+	RCAR_GP_PIN(0, 9),  RCAR_GP_PIN(0, 8),
+	RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14), RCAR_GP_PIN(1, 13),
+	RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 19), RCAR_GP_PIN(1, 18),
+	RCAR_GP_PIN(1, 17), RCAR_GP_PIN(1, 16),
+	RCAR_GP_PIN(1, 7),  RCAR_GP_PIN(1, 6),  RCAR_GP_PIN(1, 5),
+	RCAR_GP_PIN(1, 4),  RCAR_GP_PIN(1, 3),  RCAR_GP_PIN(1, 2),
+	RCAR_GP_PIN(1, 1),  RCAR_GP_PIN(1, 0),
+};
+static const unsigned int du_rgb888_mux[] = {
+	DU_DR7_MARK, DU_DR6_MARK, DU_DR5_MARK, DU_DR4_MARK,
+	DU_DR3_MARK, DU_DR2_MARK, DU_DR1_MARK, DU_DR0_MARK,
+	DU_DG7_MARK, DU_DG6_MARK, DU_DG5_MARK, DU_DG4_MARK,
+	DU_DG3_MARK, DU_DG2_MARK, DU_DG1_MARK, DU_DG0_MARK,
+	DU_DB7_MARK, DU_DB6_MARK, DU_DB5_MARK, DU_DB4_MARK,
+	DU_DB3_MARK, DU_DB2_MARK, DU_DB1_MARK, DU_DB0_MARK,
+};
+static const unsigned int du_clk_out_0_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(1, 27),
+};
+static const unsigned int du_clk_out_0_mux[] = {
+	DU_DOTCLKOUT0_MARK
+};
+static const unsigned int du_clk_out_1_pins[] = {
+	/* CLKOUT */
+	RCAR_GP_PIN(2, 3),
+};
+static const unsigned int du_clk_out_1_mux[] = {
+	DU_DOTCLKOUT1_MARK
+};
+static const unsigned int du_sync_pins[] = {
+	/* EXVSYNC/VSYNC, EXHSYNC/HSYNC */
+	RCAR_GP_PIN(2, 5), RCAR_GP_PIN(2, 4),
+};
+static const unsigned int du_sync_mux[] = {
+	DU_EXVSYNC_DU_VSYNC_MARK, DU_EXHSYNC_DU_HSYNC_MARK
+};
+static const unsigned int du_oddf_pins[] = {
+	/* EXDISP/EXODDF/EXCDE */
+	RCAR_GP_PIN(2, 2),
+};
+static const unsigned int du_oddf_mux[] = {
+	DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
+};
+static const unsigned int du_cde_pins[] = {
+	/* CDE */
+	RCAR_GP_PIN(2, 0),
+};
+static const unsigned int du_cde_mux[] = {
+	DU_CDE_MARK,
+};
+static const unsigned int du_disp_pins[] = {
+	/* DISP */
+	RCAR_GP_PIN(2, 1),
+};
+static const unsigned int du_disp_mux[] = {
+	DU_DISP_MARK,
+};
+
+/* - HSCIF0 ----------------------------------------------------------------- */
+static const unsigned int hscif0_data_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 13), RCAR_GP_PIN(5, 14),
+};
+static const unsigned int hscif0_data_mux[] = {
+	HRX0_MARK, HTX0_MARK,
+};
+static const unsigned int hscif0_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 12),
+};
+static const unsigned int hscif0_clk_mux[] = {
+	HSCK0_MARK,
+};
+static const unsigned int hscif0_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 16), RCAR_GP_PIN(5, 15),
+};
+static const unsigned int hscif0_ctrl_mux[] = {
+	HRTS0_N_MARK, HCTS0_N_MARK,
+};
+/* - HSCIF1 ----------------------------------------------------------------- */
+static const unsigned int hscif1_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 6),
+};
+static const unsigned int hscif1_data_a_mux[] = {
+	HRX1_A_MARK, HTX1_A_MARK,
+};
+static const unsigned int hscif1_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int hscif1_clk_a_mux[] = {
+	HSCK1_A_MARK,
+};
+static const unsigned int hscif1_ctrl_a_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 8), RCAR_GP_PIN(5, 7),
+};
+static const unsigned int hscif1_ctrl_a_mux[] = {
+	HRTS1_N_A_MARK, HCTS1_N_A_MARK,
+};
+
+static const unsigned int hscif1_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 1), RCAR_GP_PIN(5, 2),
+};
+static const unsigned int hscif1_data_b_mux[] = {
+	HRX1_B_MARK, HTX1_B_MARK,
+};
+static const unsigned int hscif1_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int hscif1_clk_b_mux[] = {
+	HSCK1_B_MARK,
+};
+static const unsigned int hscif1_ctrl_b_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 4), RCAR_GP_PIN(5, 3),
+};
+static const unsigned int hscif1_ctrl_b_mux[] = {
+	HRTS1_N_B_MARK, HCTS1_N_B_MARK,
+};
+/* - HSCIF2 ----------------------------------------------------------------- */
+static const unsigned int hscif2_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
+};
+static const unsigned int hscif2_data_a_mux[] = {
+	HRX2_A_MARK, HTX2_A_MARK,
+};
+static const unsigned int hscif2_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int hscif2_clk_a_mux[] = {
+	HSCK2_A_MARK,
+};
+static const unsigned int hscif2_ctrl_a_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(6, 7), RCAR_GP_PIN(6, 6),
+};
+static const unsigned int hscif2_ctrl_a_mux[] = {
+	HRTS2_N_A_MARK, HCTS2_N_A_MARK,
+};
+
+static const unsigned int hscif2_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
+};
+static const unsigned int hscif2_data_b_mux[] = {
+	HRX2_B_MARK, HTX2_B_MARK,
+};
+static const unsigned int hscif2_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int hscif2_clk_b_mux[] = {
+	HSCK2_B_MARK,
+};
+static const unsigned int hscif2_ctrl_b_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(6, 20), RCAR_GP_PIN(6, 19),
+};
+static const unsigned int hscif2_ctrl_b_mux[] = {
+	HRTS2_N_B_MARK, HCTS2_N_B_MARK,
+};
+
+static const unsigned int hscif2_data_c_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(6, 25), RCAR_GP_PIN(6, 26),
+};
+static const unsigned int hscif2_data_c_mux[] = {
+	HRX2_C_MARK, HTX2_C_MARK,
+};
+static const unsigned int hscif2_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 24),
+};
+static const unsigned int hscif2_clk_c_mux[] = {
+	HSCK2_C_MARK,
+};
+static const unsigned int hscif2_ctrl_c_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(6, 28), RCAR_GP_PIN(6, 27),
+};
+static const unsigned int hscif2_ctrl_c_mux[] = {
+	HRTS2_N_C_MARK, HCTS2_N_C_MARK,
+};
+/* - HSCIF3 ----------------------------------------------------------------- */
+static const unsigned int hscif3_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
+};
+static const unsigned int hscif3_data_a_mux[] = {
+	HRX3_A_MARK, HTX3_A_MARK,
+};
+static const unsigned int hscif3_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 22),
+};
+static const unsigned int hscif3_clk_mux[] = {
+	HSCK3_MARK,
+};
+static const unsigned int hscif3_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
+};
+static const unsigned int hscif3_ctrl_mux[] = {
+	HRTS3_N_MARK, HCTS3_N_MARK,
+};
+
+static const unsigned int hscif3_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(0, 10), RCAR_GP_PIN(0, 11),
+};
+static const unsigned int hscif3_data_b_mux[] = {
+	HRX3_B_MARK, HTX3_B_MARK,
+};
+static const unsigned int hscif3_data_c_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(0, 14), RCAR_GP_PIN(0, 15),
+};
+static const unsigned int hscif3_data_c_mux[] = {
+	HRX3_C_MARK, HTX3_C_MARK,
+};
+static const unsigned int hscif3_data_d_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(2, 7), RCAR_GP_PIN(2, 8),
+};
+static const unsigned int hscif3_data_d_mux[] = {
+	HRX3_D_MARK, HTX3_D_MARK,
+};
+/* - HSCIF4 ----------------------------------------------------------------- */
+static const unsigned int hscif4_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 12), RCAR_GP_PIN(1, 13),
+};
+static const unsigned int hscif4_data_a_mux[] = {
+	HRX4_A_MARK, HTX4_A_MARK,
+};
+static const unsigned int hscif4_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int hscif4_clk_mux[] = {
+	HSCK4_MARK,
+};
+static const unsigned int hscif4_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(1, 15), RCAR_GP_PIN(1, 14),
+};
+static const unsigned int hscif4_ctrl_mux[] = {
+	HRTS4_N_MARK, HCTS4_N_MARK,
+};
+
+static const unsigned int hscif4_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
+};
+static const unsigned int hscif4_data_b_mux[] = {
+	HRX4_B_MARK, HTX4_B_MARK,
+};
+
+/* - I2C -------------------------------------------------------------------- */
+static const unsigned int i2c1_a_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(5, 11), RCAR_GP_PIN(5, 10),
+};
+static const unsigned int i2c1_a_mux[] = {
+	SDA1_A_MARK, SCL1_A_MARK,
+};
+static const unsigned int i2c1_b_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(5, 24), RCAR_GP_PIN(5, 23),
+};
+static const unsigned int i2c1_b_mux[] = {
+	SDA1_B_MARK, SCL1_B_MARK,
+};
+static const unsigned int i2c2_a_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(5, 0), RCAR_GP_PIN(5, 4),
+};
+static const unsigned int i2c2_a_mux[] = {
+	SDA2_A_MARK, SCL2_A_MARK,
+};
+static const unsigned int i2c2_b_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(3, 13), RCAR_GP_PIN(3, 12),
+};
+static const unsigned int i2c2_b_mux[] = {
+	SDA2_B_MARK, SCL2_B_MARK,
+};
+static const unsigned int i2c6_a_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
+};
+static const unsigned int i2c6_a_mux[] = {
+	SDA6_A_MARK, SCL6_A_MARK,
+};
+static const unsigned int i2c6_b_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
+};
+static const unsigned int i2c6_b_mux[] = {
+	SDA6_B_MARK, SCL6_B_MARK,
+};
+static const unsigned int i2c6_c_pins[] = {
+	/* SDA, SCL */
+	RCAR_GP_PIN(0, 15), RCAR_GP_PIN(0, 14),
+};
+static const unsigned int i2c6_c_mux[] = {
+	SDA6_C_MARK, SCL6_C_MARK,
+};
+
+/* - MSIOF0 ----------------------------------------------------------------- */
+static const unsigned int msiof0_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 17),
+};
+static const unsigned int msiof0_clk_mux[] = {
+	MSIOF0_SCK_MARK,
+};
+static const unsigned int msiof0_sync_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 18),
+};
+static const unsigned int msiof0_sync_mux[] = {
+	MSIOF0_SYNC_MARK,
+};
+static const unsigned int msiof0_ss1_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(5, 19),
+};
+static const unsigned int msiof0_ss1_mux[] = {
+	MSIOF0_SS1_MARK,
+};
+static const unsigned int msiof0_ss2_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(5, 21),
+};
+static const unsigned int msiof0_ss2_mux[] = {
+	MSIOF0_SS2_MARK,
+};
+static const unsigned int msiof0_txd_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(5, 20),
+};
+static const unsigned int msiof0_txd_mux[] = {
+	MSIOF0_TXD_MARK,
+};
+static const unsigned int msiof0_rxd_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 22),
+};
+static const unsigned int msiof0_rxd_mux[] = {
+	MSIOF0_RXD_MARK,
+};
+/* - MSIOF1 ----------------------------------------------------------------- */
+static const unsigned int msiof1_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 8),
+};
+static const unsigned int msiof1_clk_a_mux[] = {
+	MSIOF1_SCK_A_MARK,
+};
+static const unsigned int msiof1_sync_a_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(6, 9),
+};
+static const unsigned int msiof1_sync_a_mux[] = {
+	MSIOF1_SYNC_A_MARK,
+};
+static const unsigned int msiof1_ss1_a_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(6, 5),
+};
+static const unsigned int msiof1_ss1_a_mux[] = {
+	MSIOF1_SS1_A_MARK,
+};
+static const unsigned int msiof1_ss2_a_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(6, 6),
+};
+static const unsigned int msiof1_ss2_a_mux[] = {
+	MSIOF1_SS2_A_MARK,
+};
+static const unsigned int msiof1_txd_a_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int msiof1_txd_a_mux[] = {
+	MSIOF1_TXD_A_MARK,
+};
+static const unsigned int msiof1_rxd_a_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int msiof1_rxd_a_mux[] = {
+	MSIOF1_RXD_A_MARK,
+};
+static const unsigned int msiof1_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 9),
+};
+static const unsigned int msiof1_clk_b_mux[] = {
+	MSIOF1_SCK_B_MARK,
+};
+static const unsigned int msiof1_sync_b_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 3),
+};
+static const unsigned int msiof1_sync_b_mux[] = {
+	MSIOF1_SYNC_B_MARK,
+};
+static const unsigned int msiof1_ss1_b_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(5, 4),
+};
+static const unsigned int msiof1_ss1_b_mux[] = {
+	MSIOF1_SS1_B_MARK,
+};
+static const unsigned int msiof1_ss2_b_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int msiof1_ss2_b_mux[] = {
+	MSIOF1_SS2_B_MARK,
+};
+static const unsigned int msiof1_txd_b_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(5, 8),
+};
+static const unsigned int msiof1_txd_b_mux[] = {
+	MSIOF1_TXD_B_MARK,
+};
+static const unsigned int msiof1_rxd_b_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 7),
+};
+static const unsigned int msiof1_rxd_b_mux[] = {
+	MSIOF1_RXD_B_MARK,
+};
+static const unsigned int msiof1_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 17),
+};
+static const unsigned int msiof1_clk_c_mux[] = {
+	MSIOF1_SCK_C_MARK,
+};
+static const unsigned int msiof1_sync_c_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(6, 18),
+};
+static const unsigned int msiof1_sync_c_mux[] = {
+	MSIOF1_SYNC_C_MARK,
+};
+static const unsigned int msiof1_ss1_c_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int msiof1_ss1_c_mux[] = {
+	MSIOF1_SS1_C_MARK,
+};
+static const unsigned int msiof1_ss2_c_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(6, 27),
+};
+static const unsigned int msiof1_ss2_c_mux[] = {
+	MSIOF1_SS2_C_MARK,
+};
+static const unsigned int msiof1_txd_c_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int msiof1_txd_c_mux[] = {
+	MSIOF1_TXD_C_MARK,
+};
+static const unsigned int msiof1_rxd_c_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int msiof1_rxd_c_mux[] = {
+	MSIOF1_RXD_C_MARK,
+};
+static const unsigned int msiof1_clk_d_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 12),
+};
+static const unsigned int msiof1_clk_d_mux[] = {
+	MSIOF1_SCK_D_MARK,
+};
+static const unsigned int msiof1_sync_d_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 15),
+};
+static const unsigned int msiof1_sync_d_mux[] = {
+	MSIOF1_SYNC_D_MARK,
+};
+static const unsigned int msiof1_ss1_d_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(5, 16),
+};
+static const unsigned int msiof1_ss1_d_mux[] = {
+	MSIOF1_SS1_D_MARK,
+};
+static const unsigned int msiof1_ss2_d_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(5, 21),
+};
+static const unsigned int msiof1_ss2_d_mux[] = {
+	MSIOF1_SS2_D_MARK,
+};
+static const unsigned int msiof1_txd_d_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(5, 14),
+};
+static const unsigned int msiof1_txd_d_mux[] = {
+	MSIOF1_TXD_D_MARK,
+};
+static const unsigned int msiof1_rxd_d_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 13),
+};
+static const unsigned int msiof1_rxd_d_mux[] = {
+	MSIOF1_RXD_D_MARK,
+};
+static const unsigned int msiof1_clk_e_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(3, 0),
+};
+static const unsigned int msiof1_clk_e_mux[] = {
+	MSIOF1_SCK_E_MARK,
+};
+static const unsigned int msiof1_sync_e_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(3, 1),
+};
+static const unsigned int msiof1_sync_e_mux[] = {
+	MSIOF1_SYNC_E_MARK,
+};
+static const unsigned int msiof1_ss1_e_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(3, 4),
+};
+static const unsigned int msiof1_ss1_e_mux[] = {
+	MSIOF1_SS1_E_MARK,
+};
+static const unsigned int msiof1_ss2_e_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(3, 5),
+};
+static const unsigned int msiof1_ss2_e_mux[] = {
+	MSIOF1_SS2_E_MARK,
+};
+static const unsigned int msiof1_txd_e_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(3, 3),
+};
+static const unsigned int msiof1_txd_e_mux[] = {
+	MSIOF1_TXD_E_MARK,
+};
+static const unsigned int msiof1_rxd_e_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(3, 2),
+};
+static const unsigned int msiof1_rxd_e_mux[] = {
+	MSIOF1_RXD_E_MARK,
+};
+static const unsigned int msiof1_clk_f_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 23),
+};
+static const unsigned int msiof1_clk_f_mux[] = {
+	MSIOF1_SCK_F_MARK,
+};
+static const unsigned int msiof1_sync_f_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(5, 24),
+};
+static const unsigned int msiof1_sync_f_mux[] = {
+	MSIOF1_SYNC_F_MARK,
+};
+static const unsigned int msiof1_ss1_f_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(6, 1),
+};
+static const unsigned int msiof1_ss1_f_mux[] = {
+	MSIOF1_SS1_F_MARK,
+};
+static const unsigned int msiof1_ss2_f_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(6, 2),
+};
+static const unsigned int msiof1_ss2_f_mux[] = {
+	MSIOF1_SS2_F_MARK,
+};
+static const unsigned int msiof1_txd_f_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(6, 0),
+};
+static const unsigned int msiof1_txd_f_mux[] = {
+	MSIOF1_TXD_F_MARK,
+};
+static const unsigned int msiof1_rxd_f_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(5, 25),
+};
+static const unsigned int msiof1_rxd_f_mux[] = {
+	MSIOF1_RXD_F_MARK,
+};
+static const unsigned int msiof1_clk_g_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(3, 6),
+};
+static const unsigned int msiof1_clk_g_mux[] = {
+	MSIOF1_SCK_G_MARK,
+};
+static const unsigned int msiof1_sync_g_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(3, 7),
+};
+static const unsigned int msiof1_sync_g_mux[] = {
+	MSIOF1_SYNC_G_MARK,
+};
+static const unsigned int msiof1_ss1_g_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(3, 10),
+};
+static const unsigned int msiof1_ss1_g_mux[] = {
+	MSIOF1_SS1_G_MARK,
+};
+static const unsigned int msiof1_ss2_g_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(3, 11),
+};
+static const unsigned int msiof1_ss2_g_mux[] = {
+	MSIOF1_SS2_G_MARK,
+};
+static const unsigned int msiof1_txd_g_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(3, 9),
+};
+static const unsigned int msiof1_txd_g_mux[] = {
+	MSIOF1_TXD_G_MARK,
+};
+static const unsigned int msiof1_rxd_g_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(3, 8),
+};
+static const unsigned int msiof1_rxd_g_mux[] = {
+	MSIOF1_RXD_G_MARK,
+};
+/* - MSIOF2 ----------------------------------------------------------------- */
+static const unsigned int msiof2_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 9),
+};
+static const unsigned int msiof2_clk_a_mux[] = {
+	MSIOF2_SCK_A_MARK,
+};
+static const unsigned int msiof2_sync_a_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 8),
+};
+static const unsigned int msiof2_sync_a_mux[] = {
+	MSIOF2_SYNC_A_MARK,
+};
+static const unsigned int msiof2_ss1_a_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(1, 6),
+};
+static const unsigned int msiof2_ss1_a_mux[] = {
+	MSIOF2_SS1_A_MARK,
+};
+static const unsigned int msiof2_ss2_a_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(1, 7),
+};
+static const unsigned int msiof2_ss2_a_mux[] = {
+	MSIOF2_SS2_A_MARK,
+};
+static const unsigned int msiof2_txd_a_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int msiof2_txd_a_mux[] = {
+	MSIOF2_TXD_A_MARK,
+};
+static const unsigned int msiof2_rxd_a_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 10),
+};
+static const unsigned int msiof2_rxd_a_mux[] = {
+	MSIOF2_RXD_A_MARK,
+};
+static const unsigned int msiof2_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 4),
+};
+static const unsigned int msiof2_clk_b_mux[] = {
+	MSIOF2_SCK_B_MARK,
+};
+static const unsigned int msiof2_sync_b_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(0, 5),
+};
+static const unsigned int msiof2_sync_b_mux[] = {
+	MSIOF2_SYNC_B_MARK,
+};
+static const unsigned int msiof2_ss1_b_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(0, 0),
+};
+static const unsigned int msiof2_ss1_b_mux[] = {
+	MSIOF2_SS1_B_MARK,
+};
+static const unsigned int msiof2_ss2_b_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(0, 1),
+};
+static const unsigned int msiof2_ss2_b_mux[] = {
+	MSIOF2_SS2_B_MARK,
+};
+static const unsigned int msiof2_txd_b_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(0, 7),
+};
+static const unsigned int msiof2_txd_b_mux[] = {
+	MSIOF2_TXD_B_MARK,
+};
+static const unsigned int msiof2_rxd_b_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(0, 6),
+};
+static const unsigned int msiof2_rxd_b_mux[] = {
+	MSIOF2_RXD_B_MARK,
+};
+static const unsigned int msiof2_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(2, 12),
+};
+static const unsigned int msiof2_clk_c_mux[] = {
+	MSIOF2_SCK_C_MARK,
+};
+static const unsigned int msiof2_sync_c_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(2, 11),
+};
+static const unsigned int msiof2_sync_c_mux[] = {
+	MSIOF2_SYNC_C_MARK,
+};
+static const unsigned int msiof2_ss1_c_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(2, 10),
+};
+static const unsigned int msiof2_ss1_c_mux[] = {
+	MSIOF2_SS1_C_MARK,
+};
+static const unsigned int msiof2_ss2_c_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(2, 9),
+};
+static const unsigned int msiof2_ss2_c_mux[] = {
+	MSIOF2_SS2_C_MARK,
+};
+static const unsigned int msiof2_txd_c_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(2, 14),
+};
+static const unsigned int msiof2_txd_c_mux[] = {
+	MSIOF2_TXD_C_MARK,
+};
+static const unsigned int msiof2_rxd_c_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(2, 13),
+};
+static const unsigned int msiof2_rxd_c_mux[] = {
+	MSIOF2_RXD_C_MARK,
+};
+static const unsigned int msiof2_clk_d_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 8),
+};
+static const unsigned int msiof2_clk_d_mux[] = {
+	MSIOF2_SCK_D_MARK,
+};
+static const unsigned int msiof2_sync_d_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(0, 9),
+};
+static const unsigned int msiof2_sync_d_mux[] = {
+	MSIOF2_SYNC_D_MARK,
+};
+static const unsigned int msiof2_ss1_d_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(0, 12),
+};
+static const unsigned int msiof2_ss1_d_mux[] = {
+	MSIOF2_SS1_D_MARK,
+};
+static const unsigned int msiof2_ss2_d_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(0, 13),
+};
+static const unsigned int msiof2_ss2_d_mux[] = {
+	MSIOF2_SS2_D_MARK,
+};
+static const unsigned int msiof2_txd_d_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(0, 11),
+};
+static const unsigned int msiof2_txd_d_mux[] = {
+	MSIOF2_TXD_D_MARK,
+};
+static const unsigned int msiof2_rxd_d_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(0, 10),
+};
+static const unsigned int msiof2_rxd_d_mux[] = {
+	MSIOF2_RXD_D_MARK,
+};
+/* - MSIOF3 ----------------------------------------------------------------- */
+static const unsigned int msiof3_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 0),
+};
+static const unsigned int msiof3_clk_a_mux[] = {
+	MSIOF3_SCK_A_MARK,
+};
+static const unsigned int msiof3_sync_a_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(0, 1),
+};
+static const unsigned int msiof3_sync_a_mux[] = {
+	MSIOF3_SYNC_A_MARK,
+};
+static const unsigned int msiof3_ss1_a_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(0, 14),
+};
+static const unsigned int msiof3_ss1_a_mux[] = {
+	MSIOF3_SS1_A_MARK,
+};
+static const unsigned int msiof3_ss2_a_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(0, 15),
+};
+static const unsigned int msiof3_ss2_a_mux[] = {
+	MSIOF3_SS2_A_MARK,
+};
+static const unsigned int msiof3_txd_a_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(0, 3),
+};
+static const unsigned int msiof3_txd_a_mux[] = {
+	MSIOF3_TXD_A_MARK,
+};
+static const unsigned int msiof3_rxd_a_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(0, 2),
+};
+static const unsigned int msiof3_rxd_a_mux[] = {
+	MSIOF3_RXD_A_MARK,
+};
+static const unsigned int msiof3_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 2),
+};
+static const unsigned int msiof3_clk_b_mux[] = {
+	MSIOF3_SCK_B_MARK,
+};
+static const unsigned int msiof3_sync_b_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 0),
+};
+static const unsigned int msiof3_sync_b_mux[] = {
+	MSIOF3_SYNC_B_MARK,
+};
+static const unsigned int msiof3_ss1_b_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(1, 4),
+};
+static const unsigned int msiof3_ss1_b_mux[] = {
+	MSIOF3_SS1_B_MARK,
+};
+static const unsigned int msiof3_ss2_b_pins[] = {
+	/* SS2 */
+	RCAR_GP_PIN(1, 5),
+};
+static const unsigned int msiof3_ss2_b_mux[] = {
+	MSIOF3_SS2_B_MARK,
+};
+static const unsigned int msiof3_txd_b_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 1),
+};
+static const unsigned int msiof3_txd_b_mux[] = {
+	MSIOF3_TXD_B_MARK,
+};
+static const unsigned int msiof3_rxd_b_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 3),
+};
+static const unsigned int msiof3_rxd_b_mux[] = {
+	MSIOF3_RXD_B_MARK,
+};
+static const unsigned int msiof3_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 12),
+};
+static const unsigned int msiof3_clk_c_mux[] = {
+	MSIOF3_SCK_C_MARK,
+};
+static const unsigned int msiof3_sync_c_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 13),
+};
+static const unsigned int msiof3_sync_c_mux[] = {
+	MSIOF3_SYNC_C_MARK,
+};
+static const unsigned int msiof3_txd_c_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 15),
+};
+static const unsigned int msiof3_txd_c_mux[] = {
+	MSIOF3_TXD_C_MARK,
+};
+static const unsigned int msiof3_rxd_c_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 14),
+};
+static const unsigned int msiof3_rxd_c_mux[] = {
+	MSIOF3_RXD_C_MARK,
+};
+static const unsigned int msiof3_clk_d_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 22),
+};
+static const unsigned int msiof3_clk_d_mux[] = {
+	MSIOF3_SCK_D_MARK,
+};
+static const unsigned int msiof3_sync_d_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(1, 23),
+};
+static const unsigned int msiof3_sync_d_mux[] = {
+	MSIOF3_SYNC_D_MARK,
+};
+static const unsigned int msiof3_ss1_d_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(1, 26),
+};
+static const unsigned int msiof3_ss1_d_mux[] = {
+	MSIOF3_SS1_D_MARK,
+};
+static const unsigned int msiof3_txd_d_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(1, 25),
+};
+static const unsigned int msiof3_txd_d_mux[] = {
+	MSIOF3_TXD_D_MARK,
+};
+static const unsigned int msiof3_rxd_d_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(1, 24),
+};
+static const unsigned int msiof3_rxd_d_mux[] = {
+	MSIOF3_RXD_D_MARK,
+};
+
+static const unsigned int msiof3_clk_e_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(2, 3),
+};
+static const unsigned int msiof3_clk_e_mux[] = {
+	MSIOF3_SCK_E_MARK,
+};
+static const unsigned int msiof3_sync_e_pins[] = {
+	/* SYNC */
+	RCAR_GP_PIN(2, 2),
+};
+static const unsigned int msiof3_sync_e_mux[] = {
+	MSIOF3_SYNC_E_MARK,
+};
+static const unsigned int msiof3_ss1_e_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(2, 1),
+};
+static const unsigned int msiof3_ss1_e_mux[] = {
+	MSIOF3_SS1_E_MARK,
+};
+static const unsigned int msiof3_ss2_e_pins[] = {
+	/* SS1 */
+	RCAR_GP_PIN(2, 0),
+};
+static const unsigned int msiof3_ss2_e_mux[] = {
+	MSIOF3_SS2_E_MARK,
+};
+static const unsigned int msiof3_txd_e_pins[] = {
+	/* TXD */
+	RCAR_GP_PIN(2, 5),
+};
+static const unsigned int msiof3_txd_e_mux[] = {
+	MSIOF3_TXD_E_MARK,
+};
+static const unsigned int msiof3_rxd_e_pins[] = {
+	/* RXD */
+	RCAR_GP_PIN(2, 4),
+};
+static const unsigned int msiof3_rxd_e_mux[] = {
+	MSIOF3_RXD_E_MARK,
+};
+
+/* - PWM0 --------------------------------------------------------------------*/
+static const unsigned int pwm0_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 6),
+};
+static const unsigned int pwm0_mux[] = {
+	PWM0_MARK,
+};
+/* - PWM1 --------------------------------------------------------------------*/
+static const unsigned int pwm1_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 7),
+};
+static const unsigned int pwm1_a_mux[] = {
+	PWM1_A_MARK,
+};
+static const unsigned int pwm1_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 8),
+};
+static const unsigned int pwm1_b_mux[] = {
+	PWM1_B_MARK,
+};
+/* - PWM2 --------------------------------------------------------------------*/
+static const unsigned int pwm2_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 8),
+};
+static const unsigned int pwm2_a_mux[] = {
+	PWM2_A_MARK,
+};
+static const unsigned int pwm2_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 11),
+};
+static const unsigned int pwm2_b_mux[] = {
+	PWM2_B_MARK,
+};
+/* - PWM3 --------------------------------------------------------------------*/
+static const unsigned int pwm3_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 0),
+};
+static const unsigned int pwm3_a_mux[] = {
+	PWM3_A_MARK,
+};
+static const unsigned int pwm3_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 2),
+};
+static const unsigned int pwm3_b_mux[] = {
+	PWM3_B_MARK,
+};
+/* - PWM4 --------------------------------------------------------------------*/
+static const unsigned int pwm4_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 1),
+};
+static const unsigned int pwm4_a_mux[] = {
+	PWM4_A_MARK,
+};
+static const unsigned int pwm4_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 3),
+};
+static const unsigned int pwm4_b_mux[] = {
+	PWM4_B_MARK,
+};
+/* - PWM5 --------------------------------------------------------------------*/
+static const unsigned int pwm5_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 2),
+};
+static const unsigned int pwm5_a_mux[] = {
+	PWM5_A_MARK,
+};
+static const unsigned int pwm5_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 4),
+};
+static const unsigned int pwm5_b_mux[] = {
+	PWM5_B_MARK,
+};
+/* - PWM6 --------------------------------------------------------------------*/
+static const unsigned int pwm6_a_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(1, 3),
+};
+static const unsigned int pwm6_a_mux[] = {
+	PWM6_A_MARK,
+};
+static const unsigned int pwm6_b_pins[] = {
+	/* PWM */
+	RCAR_GP_PIN(2, 5),
+};
+static const unsigned int pwm6_b_mux[] = {
+	PWM6_B_MARK,
+};
+
+/* - SCIF0 ------------------------------------------------------------------ */
+static const unsigned int scif0_data_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 1), RCAR_GP_PIN(5, 2),
+};
+static const unsigned int scif0_data_mux[] = {
+	RX0_MARK, TX0_MARK,
+};
+static const unsigned int scif0_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int scif0_clk_mux[] = {
+	SCK0_MARK,
+};
+static const unsigned int scif0_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 4), RCAR_GP_PIN(5, 3),
+};
+static const unsigned int scif0_ctrl_mux[] = {
+	RTS0_N_TANS_MARK, CTS0_N_MARK,
+};
+/* - SCIF1 ------------------------------------------------------------------ */
+static const unsigned int scif1_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 5), RCAR_GP_PIN(5, 6),
+};
+static const unsigned int scif1_data_a_mux[] = {
+	RX1_A_MARK, TX1_A_MARK,
+};
+static const unsigned int scif1_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int scif1_clk_mux[] = {
+	SCK1_MARK,
+};
+static const unsigned int scif1_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(5, 8), RCAR_GP_PIN(5, 7),
+};
+static const unsigned int scif1_ctrl_mux[] = {
+	RTS1_N_TANS_MARK, CTS1_N_MARK,
+};
+
+static const unsigned int scif1_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 24), RCAR_GP_PIN(5, 25),
+};
+static const unsigned int scif1_data_b_mux[] = {
+	RX1_B_MARK, TX1_B_MARK,
+};
+/* - SCIF2 ------------------------------------------------------------------ */
+static const unsigned int scif2_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 11), RCAR_GP_PIN(5, 10),
+};
+static const unsigned int scif2_data_a_mux[] = {
+	RX2_A_MARK, TX2_A_MARK,
+};
+static const unsigned int scif2_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 9),
+};
+static const unsigned int scif2_clk_mux[] = {
+	SCK2_MARK,
+};
+static const unsigned int scif2_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 15), RCAR_GP_PIN(5, 16),
+};
+static const unsigned int scif2_data_b_mux[] = {
+	RX2_B_MARK, TX2_B_MARK,
+};
+/* - SCIF3 ------------------------------------------------------------------ */
+static const unsigned int scif3_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 23), RCAR_GP_PIN(1, 24),
+};
+static const unsigned int scif3_data_a_mux[] = {
+	RX3_A_MARK, TX3_A_MARK,
+};
+static const unsigned int scif3_clk_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 22),
+};
+static const unsigned int scif3_clk_mux[] = {
+	SCK3_MARK,
+};
+static const unsigned int scif3_ctrl_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(1, 26), RCAR_GP_PIN(1, 25),
+};
+static const unsigned int scif3_ctrl_mux[] = {
+	RTS3_N_TANS_MARK, CTS3_N_MARK,
+};
+static const unsigned int scif3_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 8), RCAR_GP_PIN(1, 11),
+};
+static const unsigned int scif3_data_b_mux[] = {
+	RX3_B_MARK, TX3_B_MARK,
+};
+/* - SCIF4 ------------------------------------------------------------------ */
+static const unsigned int scif4_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(2, 11), RCAR_GP_PIN(2, 12),
+};
+static const unsigned int scif4_data_a_mux[] = {
+	RX4_A_MARK, TX4_A_MARK,
+};
+static const unsigned int scif4_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(2, 10),
+};
+static const unsigned int scif4_clk_a_mux[] = {
+	SCK4_A_MARK,
+};
+static const unsigned int scif4_ctrl_a_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(2, 14), RCAR_GP_PIN(2, 13),
+};
+static const unsigned int scif4_ctrl_a_mux[] = {
+	RTS4_N_TANS_A_MARK, CTS4_N_A_MARK,
+};
+static const unsigned int scif4_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(1, 6), RCAR_GP_PIN(1, 7),
+};
+static const unsigned int scif4_data_b_mux[] = {
+	RX4_B_MARK, TX4_B_MARK,
+};
+static const unsigned int scif4_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(1, 5),
+};
+static const unsigned int scif4_clk_b_mux[] = {
+	SCK4_B_MARK,
+};
+static const unsigned int scif4_ctrl_b_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(1, 10), RCAR_GP_PIN(1, 9),
+};
+static const unsigned int scif4_ctrl_b_mux[] = {
+	RTS4_N_TANS_B_MARK, CTS4_N_B_MARK,
+};
+static const unsigned int scif4_data_c_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(0, 12), RCAR_GP_PIN(0, 13),
+};
+static const unsigned int scif4_data_c_mux[] = {
+	RX4_C_MARK, TX4_C_MARK,
+};
+static const unsigned int scif4_clk_c_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(0, 8),
+};
+static const unsigned int scif4_clk_c_mux[] = {
+	SCK4_C_MARK,
+};
+static const unsigned int scif4_ctrl_c_pins[] = {
+	/* RTS, CTS */
+	RCAR_GP_PIN(0, 11), RCAR_GP_PIN(0, 10),
+};
+static const unsigned int scif4_ctrl_c_mux[] = {
+	RTS4_N_TANS_C_MARK, CTS4_N_C_MARK,
+};
+/* - SCIF5 ------------------------------------------------------------------ */
+static const unsigned int scif5_data_a_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 19), RCAR_GP_PIN(5, 21),
+};
+static const unsigned int scif5_data_a_mux[] = {
+	RX5_A_MARK, TX5_A_MARK,
+};
+static const unsigned int scif5_clk_a_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int scif5_clk_a_mux[] = {
+	SCK5_A_MARK,
+};
+
+static const unsigned int scif5_data_b_pins[] = {
+	/* RX, TX */
+	RCAR_GP_PIN(5, 12), RCAR_GP_PIN(5, 18),
+};
+static const unsigned int scif5_data_b_mux[] = {
+	RX5_B_MARK, TX5_B_MARK,
+};
+static const unsigned int scif5_clk_b_pins[] = {
+	/* SCK */
+	RCAR_GP_PIN(5, 0),
+};
+static const unsigned int scif5_clk_b_mux[] = {
+	SCK5_B_MARK,
+};
+
+/* - SCIF Clock ------------------------------------------------------------- */
+static const unsigned int scif_clk_a_pins[] = {
+	/* SCIF_CLK */
+	RCAR_GP_PIN(6, 23),
+};
+static const unsigned int scif_clk_a_mux[] = {
+	SCIF_CLK_A_MARK,
+};
+static const unsigned int scif_clk_b_pins[] = {
+	/* SCIF_CLK */
+	RCAR_GP_PIN(5, 9),
+};
+static const unsigned int scif_clk_b_mux[] = {
+	SCIF_CLK_B_MARK,
+};
+
+/* - SDHI0 ------------------------------------------------------------------ */
+static const unsigned int sdhi0_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(3, 2),
+};
+static const unsigned int sdhi0_data1_mux[] = {
+	SD0_DAT0_MARK,
+};
+static const unsigned int sdhi0_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(3, 2), RCAR_GP_PIN(3, 3),
+	RCAR_GP_PIN(3, 4), RCAR_GP_PIN(3, 5),
+};
+static const unsigned int sdhi0_data4_mux[] = {
+	SD0_DAT0_MARK, SD0_DAT1_MARK,
+	SD0_DAT2_MARK, SD0_DAT3_MARK,
+};
+static const unsigned int sdhi0_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(3, 0), RCAR_GP_PIN(3, 1),
+};
+static const unsigned int sdhi0_ctrl_mux[] = {
+	SD0_CLK_MARK, SD0_CMD_MARK,
+};
+static const unsigned int sdhi0_cd_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(3, 12),
+};
+static const unsigned int sdhi0_cd_mux[] = {
+	SD0_CD_MARK,
+};
+static const unsigned int sdhi0_wp_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(3, 13),
+};
+static const unsigned int sdhi0_wp_mux[] = {
+	SD0_WP_MARK,
+};
+/* - SDHI1 ------------------------------------------------------------------ */
+static const unsigned int sdhi1_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(3, 8),
+};
+static const unsigned int sdhi1_data1_mux[] = {
+	SD1_DAT0_MARK,
+};
+static const unsigned int sdhi1_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(3, 8),  RCAR_GP_PIN(3, 9),
+	RCAR_GP_PIN(3, 10), RCAR_GP_PIN(3, 11),
+};
+static const unsigned int sdhi1_data4_mux[] = {
+	SD1_DAT0_MARK, SD1_DAT1_MARK,
+	SD1_DAT2_MARK, SD1_DAT3_MARK,
+};
+static const unsigned int sdhi1_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(3, 6), RCAR_GP_PIN(3, 7),
+};
+static const unsigned int sdhi1_ctrl_mux[] = {
+	SD1_CLK_MARK, SD1_CMD_MARK,
+};
+static const unsigned int sdhi1_cd_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(3, 14),
+};
+static const unsigned int sdhi1_cd_mux[] = {
+	SD1_CD_MARK,
+};
+static const unsigned int sdhi1_wp_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(3, 15),
+};
+static const unsigned int sdhi1_wp_mux[] = {
+	SD1_WP_MARK,
+};
+/* - SDHI2 ------------------------------------------------------------------ */
+static const unsigned int sdhi2_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(4, 2),
+};
+static const unsigned int sdhi2_data1_mux[] = {
+	SD2_DAT0_MARK,
+};
+static const unsigned int sdhi2_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(4, 2), RCAR_GP_PIN(4, 3),
+	RCAR_GP_PIN(4, 4), RCAR_GP_PIN(4, 5),
+};
+static const unsigned int sdhi2_data4_mux[] = {
+	SD2_DAT0_MARK, SD2_DAT1_MARK,
+	SD2_DAT2_MARK, SD2_DAT3_MARK,
+};
+static const unsigned int sdhi2_data8_pins[] = {
+	/* D[0:7] */
+	RCAR_GP_PIN(4, 2),  RCAR_GP_PIN(4, 3),
+	RCAR_GP_PIN(4, 4),  RCAR_GP_PIN(4, 5),
+	RCAR_GP_PIN(3, 8),  RCAR_GP_PIN(3, 9),
+	RCAR_GP_PIN(3, 10), RCAR_GP_PIN(3, 11),
+};
+static const unsigned int sdhi2_data8_mux[] = {
+	SD2_DAT0_MARK, SD2_DAT1_MARK,
+	SD2_DAT2_MARK, SD2_DAT3_MARK,
+	SD2_DAT4_MARK, SD2_DAT5_MARK,
+	SD2_DAT6_MARK, SD2_DAT7_MARK,
+};
+static const unsigned int sdhi2_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(4, 0), RCAR_GP_PIN(4, 1),
+};
+static const unsigned int sdhi2_ctrl_mux[] = {
+	SD2_CLK_MARK, SD2_CMD_MARK,
+};
+static const unsigned int sdhi2_cd_a_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(4, 13),
+};
+static const unsigned int sdhi2_cd_a_mux[] = {
+	SD2_CD_A_MARK,
+};
+static const unsigned int sdhi2_cd_b_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(5, 10),
+};
+static const unsigned int sdhi2_cd_b_mux[] = {
+	SD2_CD_B_MARK,
+};
+static const unsigned int sdhi2_wp_a_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(4, 14),
+};
+static const unsigned int sdhi2_wp_a_mux[] = {
+	SD2_WP_A_MARK,
+};
+static const unsigned int sdhi2_wp_b_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(5, 11),
+};
+static const unsigned int sdhi2_wp_b_mux[] = {
+	SD2_WP_B_MARK,
+};
+static const unsigned int sdhi2_ds_pins[] = {
+	/* DS */
+	RCAR_GP_PIN(4, 6),
+};
+static const unsigned int sdhi2_ds_mux[] = {
+	SD2_DS_MARK,
+};
+/* - SDHI3 ------------------------------------------------------------------ */
+static const unsigned int sdhi3_data1_pins[] = {
+	/* D0 */
+	RCAR_GP_PIN(4, 9),
+};
+static const unsigned int sdhi3_data1_mux[] = {
+	SD3_DAT0_MARK,
+};
+static const unsigned int sdhi3_data4_pins[] = {
+	/* D[0:3] */
+	RCAR_GP_PIN(4, 9),  RCAR_GP_PIN(4, 10),
+	RCAR_GP_PIN(4, 11), RCAR_GP_PIN(4, 12),
+};
+static const unsigned int sdhi3_data4_mux[] = {
+	SD3_DAT0_MARK, SD3_DAT1_MARK,
+	SD3_DAT2_MARK, SD3_DAT3_MARK,
+};
+static const unsigned int sdhi3_data8_pins[] = {
+	/* D[0:7] */
+	RCAR_GP_PIN(4, 9),  RCAR_GP_PIN(4, 10),
+	RCAR_GP_PIN(4, 11), RCAR_GP_PIN(4, 12),
+	RCAR_GP_PIN(4, 13), RCAR_GP_PIN(4, 14),
+	RCAR_GP_PIN(4, 15), RCAR_GP_PIN(4, 16),
+};
+static const unsigned int sdhi3_data8_mux[] = {
+	SD3_DAT0_MARK, SD3_DAT1_MARK,
+	SD3_DAT2_MARK, SD3_DAT3_MARK,
+	SD3_DAT4_MARK, SD3_DAT5_MARK,
+	SD3_DAT6_MARK, SD3_DAT7_MARK,
+};
+static const unsigned int sdhi3_ctrl_pins[] = {
+	/* CLK, CMD */
+	RCAR_GP_PIN(4, 7), RCAR_GP_PIN(4, 8),
+};
+static const unsigned int sdhi3_ctrl_mux[] = {
+	SD3_CLK_MARK, SD3_CMD_MARK,
+};
+static const unsigned int sdhi3_cd_pins[] = {
+	/* CD */
+	RCAR_GP_PIN(4, 15),
+};
+static const unsigned int sdhi3_cd_mux[] = {
+	SD3_CD_MARK,
+};
+static const unsigned int sdhi3_wp_pins[] = {
+	/* WP */
+	RCAR_GP_PIN(4, 16),
+};
+static const unsigned int sdhi3_wp_mux[] = {
+	SD3_WP_MARK,
+};
+static const unsigned int sdhi3_ds_pins[] = {
+	/* DS */
+	RCAR_GP_PIN(4, 17),
+};
+static const unsigned int sdhi3_ds_mux[] = {
+	SD3_DS_MARK,
+};
+
+/* - SSI -------------------------------------------------------------------- */
+static const unsigned int ssi0_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 2),
+};
+static const unsigned int ssi0_data_mux[] = {
+	SSI_SDATA0_MARK,
+};
+static const unsigned int ssi01239_ctrl_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 0), RCAR_GP_PIN(6, 1),
+};
+static const unsigned int ssi01239_ctrl_mux[] = {
+	SSI_SCK01239_MARK, SSI_WS01239_MARK,
+};
+static const unsigned int ssi1_data_a_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 3),
+};
+static const unsigned int ssi1_data_a_mux[] = {
+	SSI_SDATA1_A_MARK,
+};
+static const unsigned int ssi1_data_b_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(5, 12),
+};
+static const unsigned int ssi1_data_b_mux[] = {
+	SSI_SDATA1_B_MARK,
+};
+static const unsigned int ssi1_ctrl_a_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
+};
+static const unsigned int ssi1_ctrl_a_mux[] = {
+	SSI_SCK1_A_MARK, SSI_WS1_A_MARK,
+};
+static const unsigned int ssi1_ctrl_b_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 4), RCAR_GP_PIN(6, 21),
+};
+static const unsigned int ssi1_ctrl_b_mux[] = {
+	SSI_SCK1_B_MARK, SSI_WS1_B_MARK,
+};
+static const unsigned int ssi2_data_a_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 4),
+};
+static const unsigned int ssi2_data_a_mux[] = {
+	SSI_SDATA2_A_MARK,
+};
+static const unsigned int ssi2_data_b_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(5, 13),
+};
+static const unsigned int ssi2_data_b_mux[] = {
+	SSI_SDATA2_B_MARK,
+};
+static const unsigned int ssi2_ctrl_a_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(5, 19), RCAR_GP_PIN(5, 21),
+};
+static const unsigned int ssi2_ctrl_a_mux[] = {
+	SSI_SCK2_A_MARK, SSI_WS2_A_MARK,
+};
+static const unsigned int ssi2_ctrl_b_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 28), RCAR_GP_PIN(6, 29),
+};
+static const unsigned int ssi2_ctrl_b_mux[] = {
+	SSI_SCK2_B_MARK, SSI_WS2_B_MARK,
+};
+static const unsigned int ssi3_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 7),
+};
+static const unsigned int ssi3_data_mux[] = {
+	SSI_SDATA3_MARK,
+};
+static const unsigned int ssi349_ctrl_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 5), RCAR_GP_PIN(6, 6),
+};
+static const unsigned int ssi349_ctrl_mux[] = {
+	SSI_SCK349_MARK, SSI_WS349_MARK,
+};
+static const unsigned int ssi4_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 10),
+};
+static const unsigned int ssi4_data_mux[] = {
+	SSI_SDATA4_MARK,
+};
+static const unsigned int ssi4_ctrl_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 8), RCAR_GP_PIN(6, 9),
+};
+static const unsigned int ssi4_ctrl_mux[] = {
+	SSI_SCK4_MARK, SSI_WS4_MARK,
+};
+static const unsigned int ssi5_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 13),
+};
+static const unsigned int ssi5_data_mux[] = {
+	SSI_SDATA5_MARK,
+};
+static const unsigned int ssi5_ctrl_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 11), RCAR_GP_PIN(6, 12),
+};
+static const unsigned int ssi5_ctrl_mux[] = {
+	SSI_SCK5_MARK, SSI_WS5_MARK,
+};
+static const unsigned int ssi6_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 16),
+};
+static const unsigned int ssi6_data_mux[] = {
+	SSI_SDATA6_MARK,
+};
+static const unsigned int ssi6_ctrl_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 14), RCAR_GP_PIN(6, 15),
+};
+static const unsigned int ssi6_ctrl_mux[] = {
+	SSI_SCK6_MARK, SSI_WS6_MARK,
+};
+static const unsigned int ssi7_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 19),
+};
+static const unsigned int ssi7_data_mux[] = {
+	SSI_SDATA7_MARK,
+};
+static const unsigned int ssi78_ctrl_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 17), RCAR_GP_PIN(6, 18),
+};
+static const unsigned int ssi78_ctrl_mux[] = {
+	SSI_SCK78_MARK, SSI_WS78_MARK,
+};
+static const unsigned int ssi8_data_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 20),
+};
+static const unsigned int ssi8_data_mux[] = {
+	SSI_SDATA8_MARK,
+};
+static const unsigned int ssi9_data_a_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(6, 21),
+};
+static const unsigned int ssi9_data_a_mux[] = {
+	SSI_SDATA9_A_MARK,
+};
+static const unsigned int ssi9_data_b_pins[] = {
+	/* SDATA */
+	RCAR_GP_PIN(5, 14),
+};
+static const unsigned int ssi9_data_b_mux[] = {
+	SSI_SDATA9_B_MARK,
+};
+static const unsigned int ssi9_ctrl_a_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(5, 15), RCAR_GP_PIN(5, 16),
+};
+static const unsigned int ssi9_ctrl_a_mux[] = {
+	SSI_SCK9_A_MARK, SSI_WS9_A_MARK,
+};
+static const unsigned int ssi9_ctrl_b_pins[] = {
+	/* SCK, WS */
+	RCAR_GP_PIN(6, 30), RCAR_GP_PIN(6, 31),
+};
+static const unsigned int ssi9_ctrl_b_mux[] = {
+	SSI_SCK9_B_MARK, SSI_WS9_B_MARK,
+};
+
+/* - USB0 ------------------------------------------------------------------- */
+static const unsigned int usb0_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 24), RCAR_GP_PIN(6, 25),
+};
+static const unsigned int usb0_mux[] = {
+	USB0_PWEN_MARK, USB0_OVC_MARK,
+};
+/* - USB1 ------------------------------------------------------------------- */
+static const unsigned int usb1_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 26), RCAR_GP_PIN(6, 27),
+};
+static const unsigned int usb1_mux[] = {
+	USB1_PWEN_MARK, USB1_OVC_MARK,
+};
+
+/* - USB30 ------------------------------------------------------------------ */
+static const unsigned int usb30_pins[] = {
+	/* PWEN, OVC */
+	RCAR_GP_PIN(6, 28), RCAR_GP_PIN(6, 29),
+};
+static const unsigned int usb30_mux[] = {
+	USB30_PWEN_MARK, USB30_OVC_MARK,
+};
+
+static const struct sh_pfc_pin_group pinmux_groups[] = {
+	SH_PFC_PIN_GROUP(audio_clk_a_a),
+	SH_PFC_PIN_GROUP(audio_clk_a_b),
+	SH_PFC_PIN_GROUP(audio_clk_a_c),
+	SH_PFC_PIN_GROUP(audio_clk_b_a),
+	SH_PFC_PIN_GROUP(audio_clk_b_b),
+	SH_PFC_PIN_GROUP(audio_clk_c_a),
+	SH_PFC_PIN_GROUP(audio_clk_c_b),
+	SH_PFC_PIN_GROUP(audio_clkout_a),
+	SH_PFC_PIN_GROUP(audio_clkout_b),
+	SH_PFC_PIN_GROUP(audio_clkout_c),
+	SH_PFC_PIN_GROUP(audio_clkout_d),
+	SH_PFC_PIN_GROUP(audio_clkout1_a),
+	SH_PFC_PIN_GROUP(audio_clkout1_b),
+	SH_PFC_PIN_GROUP(audio_clkout2_a),
+	SH_PFC_PIN_GROUP(audio_clkout2_b),
+	SH_PFC_PIN_GROUP(audio_clkout3_a),
+	SH_PFC_PIN_GROUP(audio_clkout3_b),
+	SH_PFC_PIN_GROUP(avb_link),
+	SH_PFC_PIN_GROUP(avb_magic),
+	SH_PFC_PIN_GROUP(avb_phy_int),
+	SH_PFC_PIN_GROUP(avb_mdc),
+	SH_PFC_PIN_GROUP(avb_mii),
+	SH_PFC_PIN_GROUP(avb_avtp_pps),
+	SH_PFC_PIN_GROUP(avb_avtp_match_a),
+	SH_PFC_PIN_GROUP(avb_avtp_capture_a),
+	SH_PFC_PIN_GROUP(avb_avtp_match_b),
+	SH_PFC_PIN_GROUP(avb_avtp_capture_b),
+	SH_PFC_PIN_GROUP(can0_data_a),
+	SH_PFC_PIN_GROUP(can0_data_b),
+	SH_PFC_PIN_GROUP(can1_data),
+	SH_PFC_PIN_GROUP(can_clk),
+	SH_PFC_PIN_GROUP(canfd0_data_a),
+	SH_PFC_PIN_GROUP(canfd0_data_b),
+	SH_PFC_PIN_GROUP(canfd1_data),
+	SH_PFC_PIN_GROUP(drif0_ctrl_a),
+	SH_PFC_PIN_GROUP(drif0_data0_a),
+	SH_PFC_PIN_GROUP(drif0_data1_a),
+	SH_PFC_PIN_GROUP(drif0_ctrl_b),
+	SH_PFC_PIN_GROUP(drif0_data0_b),
+	SH_PFC_PIN_GROUP(drif0_data1_b),
+	SH_PFC_PIN_GROUP(drif0_ctrl_c),
+	SH_PFC_PIN_GROUP(drif0_data0_c),
+	SH_PFC_PIN_GROUP(drif0_data1_c),
+	SH_PFC_PIN_GROUP(drif1_ctrl_a),
+	SH_PFC_PIN_GROUP(drif1_data0_a),
+	SH_PFC_PIN_GROUP(drif1_data1_a),
+	SH_PFC_PIN_GROUP(drif1_ctrl_b),
+	SH_PFC_PIN_GROUP(drif1_data0_b),
+	SH_PFC_PIN_GROUP(drif1_data1_b),
+	SH_PFC_PIN_GROUP(drif1_ctrl_c),
+	SH_PFC_PIN_GROUP(drif1_data0_c),
+	SH_PFC_PIN_GROUP(drif1_data1_c),
+	SH_PFC_PIN_GROUP(drif2_ctrl_a),
+	SH_PFC_PIN_GROUP(drif2_data0_a),
+	SH_PFC_PIN_GROUP(drif2_data1_a),
+	SH_PFC_PIN_GROUP(drif2_ctrl_b),
+	SH_PFC_PIN_GROUP(drif2_data0_b),
+	SH_PFC_PIN_GROUP(drif2_data1_b),
+	SH_PFC_PIN_GROUP(drif3_ctrl_a),
+	SH_PFC_PIN_GROUP(drif3_data0_a),
+	SH_PFC_PIN_GROUP(drif3_data1_a),
+	SH_PFC_PIN_GROUP(drif3_ctrl_b),
+	SH_PFC_PIN_GROUP(drif3_data0_b),
+	SH_PFC_PIN_GROUP(drif3_data1_b),
+	SH_PFC_PIN_GROUP(du_rgb666),
+	SH_PFC_PIN_GROUP(du_rgb888),
+	SH_PFC_PIN_GROUP(du_clk_out_0),
+	SH_PFC_PIN_GROUP(du_clk_out_1),
+	SH_PFC_PIN_GROUP(du_sync),
+	SH_PFC_PIN_GROUP(du_oddf),
+	SH_PFC_PIN_GROUP(du_cde),
+	SH_PFC_PIN_GROUP(du_disp),
+	SH_PFC_PIN_GROUP(hscif0_data),
+	SH_PFC_PIN_GROUP(hscif0_clk),
+	SH_PFC_PIN_GROUP(hscif0_ctrl),
+	SH_PFC_PIN_GROUP(hscif1_data_a),
+	SH_PFC_PIN_GROUP(hscif1_clk_a),
+	SH_PFC_PIN_GROUP(hscif1_ctrl_a),
+	SH_PFC_PIN_GROUP(hscif1_data_b),
+	SH_PFC_PIN_GROUP(hscif1_clk_b),
+	SH_PFC_PIN_GROUP(hscif1_ctrl_b),
+	SH_PFC_PIN_GROUP(hscif2_data_a),
+	SH_PFC_PIN_GROUP(hscif2_clk_a),
+	SH_PFC_PIN_GROUP(hscif2_ctrl_a),
+	SH_PFC_PIN_GROUP(hscif2_data_b),
+	SH_PFC_PIN_GROUP(hscif2_clk_b),
+	SH_PFC_PIN_GROUP(hscif2_ctrl_b),
+	SH_PFC_PIN_GROUP(hscif2_data_c),
+	SH_PFC_PIN_GROUP(hscif2_clk_c),
+	SH_PFC_PIN_GROUP(hscif2_ctrl_c),
+	SH_PFC_PIN_GROUP(hscif3_data_a),
+	SH_PFC_PIN_GROUP(hscif3_clk),
+	SH_PFC_PIN_GROUP(hscif3_ctrl),
+	SH_PFC_PIN_GROUP(hscif3_data_b),
+	SH_PFC_PIN_GROUP(hscif3_data_c),
+	SH_PFC_PIN_GROUP(hscif3_data_d),
+	SH_PFC_PIN_GROUP(hscif4_data_a),
+	SH_PFC_PIN_GROUP(hscif4_clk),
+	SH_PFC_PIN_GROUP(hscif4_ctrl),
+	SH_PFC_PIN_GROUP(hscif4_data_b),
+	SH_PFC_PIN_GROUP(i2c1_a),
+	SH_PFC_PIN_GROUP(i2c1_b),
+	SH_PFC_PIN_GROUP(i2c2_a),
+	SH_PFC_PIN_GROUP(i2c2_b),
+	SH_PFC_PIN_GROUP(i2c6_a),
+	SH_PFC_PIN_GROUP(i2c6_b),
+	SH_PFC_PIN_GROUP(i2c6_c),
+	SH_PFC_PIN_GROUP(msiof0_clk),
+	SH_PFC_PIN_GROUP(msiof0_sync),
+	SH_PFC_PIN_GROUP(msiof0_ss1),
+	SH_PFC_PIN_GROUP(msiof0_ss2),
+	SH_PFC_PIN_GROUP(msiof0_txd),
+	SH_PFC_PIN_GROUP(msiof0_rxd),
+	SH_PFC_PIN_GROUP(msiof1_clk_a),
+	SH_PFC_PIN_GROUP(msiof1_sync_a),
+	SH_PFC_PIN_GROUP(msiof1_ss1_a),
+	SH_PFC_PIN_GROUP(msiof1_ss2_a),
+	SH_PFC_PIN_GROUP(msiof1_txd_a),
+	SH_PFC_PIN_GROUP(msiof1_rxd_a),
+	SH_PFC_PIN_GROUP(msiof1_clk_b),
+	SH_PFC_PIN_GROUP(msiof1_sync_b),
+	SH_PFC_PIN_GROUP(msiof1_ss1_b),
+	SH_PFC_PIN_GROUP(msiof1_ss2_b),
+	SH_PFC_PIN_GROUP(msiof1_txd_b),
+	SH_PFC_PIN_GROUP(msiof1_rxd_b),
+	SH_PFC_PIN_GROUP(msiof1_clk_c),
+	SH_PFC_PIN_GROUP(msiof1_sync_c),
+	SH_PFC_PIN_GROUP(msiof1_ss1_c),
+	SH_PFC_PIN_GROUP(msiof1_ss2_c),
+	SH_PFC_PIN_GROUP(msiof1_txd_c),
+	SH_PFC_PIN_GROUP(msiof1_rxd_c),
+	SH_PFC_PIN_GROUP(msiof1_clk_d),
+	SH_PFC_PIN_GROUP(msiof1_sync_d),
+	SH_PFC_PIN_GROUP(msiof1_ss1_d),
+	SH_PFC_PIN_GROUP(msiof1_ss2_d),
+	SH_PFC_PIN_GROUP(msiof1_txd_d),
+	SH_PFC_PIN_GROUP(msiof1_rxd_d),
+	SH_PFC_PIN_GROUP(msiof1_clk_e),
+	SH_PFC_PIN_GROUP(msiof1_sync_e),
+	SH_PFC_PIN_GROUP(msiof1_ss1_e),
+	SH_PFC_PIN_GROUP(msiof1_ss2_e),
+	SH_PFC_PIN_GROUP(msiof1_txd_e),
+	SH_PFC_PIN_GROUP(msiof1_rxd_e),
+	SH_PFC_PIN_GROUP(msiof1_clk_f),
+	SH_PFC_PIN_GROUP(msiof1_sync_f),
+	SH_PFC_PIN_GROUP(msiof1_ss1_f),
+	SH_PFC_PIN_GROUP(msiof1_ss2_f),
+	SH_PFC_PIN_GROUP(msiof1_txd_f),
+	SH_PFC_PIN_GROUP(msiof1_rxd_f),
+	SH_PFC_PIN_GROUP(msiof1_clk_g),
+	SH_PFC_PIN_GROUP(msiof1_sync_g),
+	SH_PFC_PIN_GROUP(msiof1_ss1_g),
+	SH_PFC_PIN_GROUP(msiof1_ss2_g),
+	SH_PFC_PIN_GROUP(msiof1_txd_g),
+	SH_PFC_PIN_GROUP(msiof1_rxd_g),
+	SH_PFC_PIN_GROUP(msiof2_clk_a),
+	SH_PFC_PIN_GROUP(msiof2_sync_a),
+	SH_PFC_PIN_GROUP(msiof2_ss1_a),
+	SH_PFC_PIN_GROUP(msiof2_ss2_a),
+	SH_PFC_PIN_GROUP(msiof2_txd_a),
+	SH_PFC_PIN_GROUP(msiof2_rxd_a),
+	SH_PFC_PIN_GROUP(msiof2_clk_b),
+	SH_PFC_PIN_GROUP(msiof2_sync_b),
+	SH_PFC_PIN_GROUP(msiof2_ss1_b),
+	SH_PFC_PIN_GROUP(msiof2_ss2_b),
+	SH_PFC_PIN_GROUP(msiof2_txd_b),
+	SH_PFC_PIN_GROUP(msiof2_rxd_b),
+	SH_PFC_PIN_GROUP(msiof2_clk_c),
+	SH_PFC_PIN_GROUP(msiof2_sync_c),
+	SH_PFC_PIN_GROUP(msiof2_ss1_c),
+	SH_PFC_PIN_GROUP(msiof2_ss2_c),
+	SH_PFC_PIN_GROUP(msiof2_txd_c),
+	SH_PFC_PIN_GROUP(msiof2_rxd_c),
+	SH_PFC_PIN_GROUP(msiof2_clk_d),
+	SH_PFC_PIN_GROUP(msiof2_sync_d),
+	SH_PFC_PIN_GROUP(msiof2_ss1_d),
+	SH_PFC_PIN_GROUP(msiof2_ss2_d),
+	SH_PFC_PIN_GROUP(msiof2_txd_d),
+	SH_PFC_PIN_GROUP(msiof2_rxd_d),
+	SH_PFC_PIN_GROUP(msiof3_clk_a),
+	SH_PFC_PIN_GROUP(msiof3_sync_a),
+	SH_PFC_PIN_GROUP(msiof3_ss1_a),
+	SH_PFC_PIN_GROUP(msiof3_ss2_a),
+	SH_PFC_PIN_GROUP(msiof3_txd_a),
+	SH_PFC_PIN_GROUP(msiof3_rxd_a),
+	SH_PFC_PIN_GROUP(msiof3_clk_b),
+	SH_PFC_PIN_GROUP(msiof3_sync_b),
+	SH_PFC_PIN_GROUP(msiof3_ss1_b),
+	SH_PFC_PIN_GROUP(msiof3_ss2_b),
+	SH_PFC_PIN_GROUP(msiof3_txd_b),
+	SH_PFC_PIN_GROUP(msiof3_rxd_b),
+	SH_PFC_PIN_GROUP(msiof3_clk_c),
+	SH_PFC_PIN_GROUP(msiof3_sync_c),
+	SH_PFC_PIN_GROUP(msiof3_txd_c),
+	SH_PFC_PIN_GROUP(msiof3_rxd_c),
+	SH_PFC_PIN_GROUP(msiof3_clk_d),
+	SH_PFC_PIN_GROUP(msiof3_sync_d),
+	SH_PFC_PIN_GROUP(msiof3_ss1_d),
+	SH_PFC_PIN_GROUP(msiof3_txd_d),
+	SH_PFC_PIN_GROUP(msiof3_rxd_d),
+	SH_PFC_PIN_GROUP(msiof3_clk_e),
+	SH_PFC_PIN_GROUP(msiof3_sync_e),
+	SH_PFC_PIN_GROUP(msiof3_ss1_e),
+	SH_PFC_PIN_GROUP(msiof3_ss2_e),
+	SH_PFC_PIN_GROUP(msiof3_txd_e),
+	SH_PFC_PIN_GROUP(msiof3_rxd_e),
+	SH_PFC_PIN_GROUP(pwm0),
+	SH_PFC_PIN_GROUP(pwm1_a),
+	SH_PFC_PIN_GROUP(pwm1_b),
+	SH_PFC_PIN_GROUP(pwm2_a),
+	SH_PFC_PIN_GROUP(pwm2_b),
+	SH_PFC_PIN_GROUP(pwm3_a),
+	SH_PFC_PIN_GROUP(pwm3_b),
+	SH_PFC_PIN_GROUP(pwm4_a),
+	SH_PFC_PIN_GROUP(pwm4_b),
+	SH_PFC_PIN_GROUP(pwm5_a),
+	SH_PFC_PIN_GROUP(pwm5_b),
+	SH_PFC_PIN_GROUP(pwm6_a),
+	SH_PFC_PIN_GROUP(pwm6_b),
+	SH_PFC_PIN_GROUP(scif0_data),
+	SH_PFC_PIN_GROUP(scif0_clk),
+	SH_PFC_PIN_GROUP(scif0_ctrl),
+	SH_PFC_PIN_GROUP(scif1_data_a),
+	SH_PFC_PIN_GROUP(scif1_clk),
+	SH_PFC_PIN_GROUP(scif1_ctrl),
+	SH_PFC_PIN_GROUP(scif1_data_b),
+	SH_PFC_PIN_GROUP(scif2_data_a),
+	SH_PFC_PIN_GROUP(scif2_clk),
+	SH_PFC_PIN_GROUP(scif2_data_b),
+	SH_PFC_PIN_GROUP(scif3_data_a),
+	SH_PFC_PIN_GROUP(scif3_clk),
+	SH_PFC_PIN_GROUP(scif3_ctrl),
+	SH_PFC_PIN_GROUP(scif3_data_b),
+	SH_PFC_PIN_GROUP(scif4_data_a),
+	SH_PFC_PIN_GROUP(scif4_clk_a),
+	SH_PFC_PIN_GROUP(scif4_ctrl_a),
+	SH_PFC_PIN_GROUP(scif4_data_b),
+	SH_PFC_PIN_GROUP(scif4_clk_b),
+	SH_PFC_PIN_GROUP(scif4_ctrl_b),
+	SH_PFC_PIN_GROUP(scif4_data_c),
+	SH_PFC_PIN_GROUP(scif4_clk_c),
+	SH_PFC_PIN_GROUP(scif4_ctrl_c),
+	SH_PFC_PIN_GROUP(scif5_data_a),
+	SH_PFC_PIN_GROUP(scif5_clk_a),
+	SH_PFC_PIN_GROUP(scif5_data_b),
+	SH_PFC_PIN_GROUP(scif5_clk_b),
+	SH_PFC_PIN_GROUP(scif_clk_a),
+	SH_PFC_PIN_GROUP(scif_clk_b),
+	SH_PFC_PIN_GROUP(sdhi0_data1),
+	SH_PFC_PIN_GROUP(sdhi0_data4),
+	SH_PFC_PIN_GROUP(sdhi0_ctrl),
+	SH_PFC_PIN_GROUP(sdhi0_cd),
+	SH_PFC_PIN_GROUP(sdhi0_wp),
+	SH_PFC_PIN_GROUP(sdhi1_data1),
+	SH_PFC_PIN_GROUP(sdhi1_data4),
+	SH_PFC_PIN_GROUP(sdhi1_ctrl),
+	SH_PFC_PIN_GROUP(sdhi1_cd),
+	SH_PFC_PIN_GROUP(sdhi1_wp),
+	SH_PFC_PIN_GROUP(sdhi2_data1),
+	SH_PFC_PIN_GROUP(sdhi2_data4),
+	SH_PFC_PIN_GROUP(sdhi2_data8),
+	SH_PFC_PIN_GROUP(sdhi2_ctrl),
+	SH_PFC_PIN_GROUP(sdhi2_cd_a),
+	SH_PFC_PIN_GROUP(sdhi2_wp_a),
+	SH_PFC_PIN_GROUP(sdhi2_cd_b),
+	SH_PFC_PIN_GROUP(sdhi2_wp_b),
+	SH_PFC_PIN_GROUP(sdhi2_ds),
+	SH_PFC_PIN_GROUP(sdhi3_data1),
+	SH_PFC_PIN_GROUP(sdhi3_data4),
+	SH_PFC_PIN_GROUP(sdhi3_data8),
+	SH_PFC_PIN_GROUP(sdhi3_ctrl),
+	SH_PFC_PIN_GROUP(sdhi3_cd),
+	SH_PFC_PIN_GROUP(sdhi3_wp),
+	SH_PFC_PIN_GROUP(sdhi3_ds),
+	SH_PFC_PIN_GROUP(ssi0_data),
+	SH_PFC_PIN_GROUP(ssi01239_ctrl),
+	SH_PFC_PIN_GROUP(ssi1_data_a),
+	SH_PFC_PIN_GROUP(ssi1_data_b),
+	SH_PFC_PIN_GROUP(ssi1_ctrl_a),
+	SH_PFC_PIN_GROUP(ssi1_ctrl_b),
+	SH_PFC_PIN_GROUP(ssi2_data_a),
+	SH_PFC_PIN_GROUP(ssi2_data_b),
+	SH_PFC_PIN_GROUP(ssi2_ctrl_a),
+	SH_PFC_PIN_GROUP(ssi2_ctrl_b),
+	SH_PFC_PIN_GROUP(ssi3_data),
+	SH_PFC_PIN_GROUP(ssi349_ctrl),
+	SH_PFC_PIN_GROUP(ssi4_data),
+	SH_PFC_PIN_GROUP(ssi4_ctrl),
+	SH_PFC_PIN_GROUP(ssi5_data),
+	SH_PFC_PIN_GROUP(ssi5_ctrl),
+	SH_PFC_PIN_GROUP(ssi6_data),
+	SH_PFC_PIN_GROUP(ssi6_ctrl),
+	SH_PFC_PIN_GROUP(ssi7_data),
+	SH_PFC_PIN_GROUP(ssi78_ctrl),
+	SH_PFC_PIN_GROUP(ssi8_data),
+	SH_PFC_PIN_GROUP(ssi9_data_a),
+	SH_PFC_PIN_GROUP(ssi9_data_b),
+	SH_PFC_PIN_GROUP(ssi9_ctrl_a),
+	SH_PFC_PIN_GROUP(ssi9_ctrl_b),
+	SH_PFC_PIN_GROUP(usb0),
+	SH_PFC_PIN_GROUP(usb1),
+	SH_PFC_PIN_GROUP(usb30),
+};
+
+static const char * const audio_clk_groups[] = {
+	"audio_clk_a_a",
+	"audio_clk_a_b",
+	"audio_clk_a_c",
+	"audio_clk_b_a",
+	"audio_clk_b_b",
+	"audio_clk_c_a",
+	"audio_clk_c_b",
+	"audio_clkout_a",
+	"audio_clkout_b",
+	"audio_clkout_c",
+	"audio_clkout_d",
+	"audio_clkout1_a",
+	"audio_clkout1_b",
+	"audio_clkout2_a",
+	"audio_clkout2_b",
+	"audio_clkout3_a",
+	"audio_clkout3_b",
+};
+
+static const char * const avb_groups[] = {
+	"avb_link",
+	"avb_magic",
+	"avb_phy_int",
+	"avb_mdc",
+	"avb_mii",
+	"avb_avtp_pps",
+	"avb_avtp_match_a",
+	"avb_avtp_capture_a",
+	"avb_avtp_match_b",
+	"avb_avtp_capture_b",
+};
+
+static const char * const can0_groups[] = {
+	"can0_data_a",
+	"can0_data_b",
+};
+
+static const char * const can1_groups[] = {
+	"can1_data",
+};
+
+static const char * const can_clk_groups[] = {
+	"can_clk",
+};
+
+static const char * const canfd0_groups[] = {
+	"canfd0_data_a",
+	"canfd0_data_b",
+};
+
+static const char * const canfd1_groups[] = {
+	"canfd1_data",
+};
+
+static const char * const drif0_groups[] = {
+	"drif0_ctrl_a",
+	"drif0_data0_a",
+	"drif0_data1_a",
+	"drif0_ctrl_b",
+	"drif0_data0_b",
+	"drif0_data1_b",
+	"drif0_ctrl_c",
+	"drif0_data0_c",
+	"drif0_data1_c",
+};
+
+static const char * const drif1_groups[] = {
+	"drif1_ctrl_a",
+	"drif1_data0_a",
+	"drif1_data1_a",
+	"drif1_ctrl_b",
+	"drif1_data0_b",
+	"drif1_data1_b",
+	"drif1_ctrl_c",
+	"drif1_data0_c",
+	"drif1_data1_c",
+};
+
+static const char * const drif2_groups[] = {
+	"drif2_ctrl_a",
+	"drif2_data0_a",
+	"drif2_data1_a",
+	"drif2_ctrl_b",
+	"drif2_data0_b",
+	"drif2_data1_b",
+};
+
+static const char * const drif3_groups[] = {
+	"drif3_ctrl_a",
+	"drif3_data0_a",
+	"drif3_data1_a",
+	"drif3_ctrl_b",
+	"drif3_data0_b",
+	"drif3_data1_b",
+};
+
+static const char * const du_groups[] = {
+	"du_rgb666",
+	"du_rgb888",
+	"du_clk_out_0",
+	"du_clk_out_1",
+	"du_sync",
+	"du_oddf",
+	"du_cde",
+	"du_disp",
+};
+
+static const char * const hscif0_groups[] = {
+	"hscif0_data",
+	"hscif0_clk",
+	"hscif0_ctrl",
+};
+
+static const char * const hscif1_groups[] = {
+	"hscif1_data_a",
+	"hscif1_clk_a",
+	"hscif1_ctrl_a",
+	"hscif1_data_b",
+	"hscif1_clk_b",
+	"hscif1_ctrl_b",
+};
+
+static const char * const hscif2_groups[] = {
+	"hscif2_data_a",
+	"hscif2_clk_a",
+	"hscif2_ctrl_a",
+	"hscif2_data_b",
+	"hscif2_clk_b",
+	"hscif2_ctrl_b",
+	"hscif2_data_c",
+	"hscif2_clk_c",
+	"hscif2_ctrl_c",
+};
+
+static const char * const hscif3_groups[] = {
+	"hscif3_data_a",
+	"hscif3_clk",
+	"hscif3_ctrl",
+	"hscif3_data_b",
+	"hscif3_data_c",
+	"hscif3_data_d",
+};
+
+static const char * const hscif4_groups[] = {
+	"hscif4_data_a",
+	"hscif4_clk",
+	"hscif4_ctrl",
+	"hscif4_data_b",
+};
+
+static const char * const i2c1_groups[] = {
+	"i2c1_a",
+	"i2c1_b",
+};
+
+static const char * const i2c2_groups[] = {
+	"i2c2_a",
+	"i2c2_b",
+};
+
+static const char * const i2c6_groups[] = {
+	"i2c6_a",
+	"i2c6_b",
+	"i2c6_c",
+};
+
+static const char * const msiof0_groups[] = {
+	"msiof0_clk",
+	"msiof0_sync",
+	"msiof0_ss1",
+	"msiof0_ss2",
+	"msiof0_txd",
+	"msiof0_rxd",
+};
+
+static const char * const msiof1_groups[] = {
+	"msiof1_clk_a",
+	"msiof1_sync_a",
+	"msiof1_ss1_a",
+	"msiof1_ss2_a",
+	"msiof1_txd_a",
+	"msiof1_rxd_a",
+	"msiof1_clk_b",
+	"msiof1_sync_b",
+	"msiof1_ss1_b",
+	"msiof1_ss2_b",
+	"msiof1_txd_b",
+	"msiof1_rxd_b",
+	"msiof1_clk_c",
+	"msiof1_sync_c",
+	"msiof1_ss1_c",
+	"msiof1_ss2_c",
+	"msiof1_txd_c",
+	"msiof1_rxd_c",
+	"msiof1_clk_d",
+	"msiof1_sync_d",
+	"msiof1_ss1_d",
+	"msiof1_ss2_d",
+	"msiof1_txd_d",
+	"msiof1_rxd_d",
+	"msiof1_clk_e",
+	"msiof1_sync_e",
+	"msiof1_ss1_e",
+	"msiof1_ss2_e",
+	"msiof1_txd_e",
+	"msiof1_rxd_e",
+	"msiof1_clk_f",
+	"msiof1_sync_f",
+	"msiof1_ss1_f",
+	"msiof1_ss2_f",
+	"msiof1_txd_f",
+	"msiof1_rxd_f",
+	"msiof1_clk_g",
+	"msiof1_sync_g",
+	"msiof1_ss1_g",
+	"msiof1_ss2_g",
+	"msiof1_txd_g",
+	"msiof1_rxd_g",
+};
+
+static const char * const msiof2_groups[] = {
+	"msiof2_clk_a",
+	"msiof2_sync_a",
+	"msiof2_ss1_a",
+	"msiof2_ss2_a",
+	"msiof2_txd_a",
+	"msiof2_rxd_a",
+	"msiof2_clk_b",
+	"msiof2_sync_b",
+	"msiof2_ss1_b",
+	"msiof2_ss2_b",
+	"msiof2_txd_b",
+	"msiof2_rxd_b",
+	"msiof2_clk_c",
+	"msiof2_sync_c",
+	"msiof2_ss1_c",
+	"msiof2_ss2_c",
+	"msiof2_txd_c",
+	"msiof2_rxd_c",
+	"msiof2_clk_d",
+	"msiof2_sync_d",
+	"msiof2_ss1_d",
+	"msiof2_ss2_d",
+	"msiof2_txd_d",
+	"msiof2_rxd_d",
+};
+
+static const char * const msiof3_groups[] = {
+	"msiof3_clk_a",
+	"msiof3_sync_a",
+	"msiof3_ss1_a",
+	"msiof3_ss2_a",
+	"msiof3_txd_a",
+	"msiof3_rxd_a",
+	"msiof3_clk_b",
+	"msiof3_sync_b",
+	"msiof3_ss1_b",
+	"msiof3_ss2_b",
+	"msiof3_txd_b",
+	"msiof3_rxd_b",
+	"msiof3_clk_c",
+	"msiof3_sync_c",
+	"msiof3_txd_c",
+	"msiof3_rxd_c",
+	"msiof3_clk_d",
+	"msiof3_sync_d",
+	"msiof3_ss1_d",
+	"msiof3_txd_d",
+	"msiof3_rxd_d",
+	"msiof3_clk_e",
+	"msiof3_sync_e",
+	"msiof3_ss1_e",
+	"msiof3_ss2_e",
+	"msiof3_txd_e",
+	"msiof3_rxd_e",
+};
+
+static const char * const pwm0_groups[] = {
+	"pwm0",
+};
+
+static const char * const pwm1_groups[] = {
+	"pwm1_a",
+	"pwm1_b",
+};
+
+static const char * const pwm2_groups[] = {
+	"pwm2_a",
+	"pwm2_b",
+};
+
+static const char * const pwm3_groups[] = {
+	"pwm3_a",
+	"pwm3_b",
+};
+
+static const char * const pwm4_groups[] = {
+	"pwm4_a",
+	"pwm4_b",
+};
+
+static const char * const pwm5_groups[] = {
+	"pwm5_a",
+	"pwm5_b",
+};
+
+static const char * const pwm6_groups[] = {
+	"pwm6_a",
+	"pwm6_b",
+};
+
+static const char * const scif0_groups[] = {
+	"scif0_data",
+	"scif0_clk",
+	"scif0_ctrl",
+};
+
+static const char * const scif1_groups[] = {
+	"scif1_data_a",
+	"scif1_clk",
+	"scif1_ctrl",
+	"scif1_data_b",
+};
+
+static const char * const scif2_groups[] = {
+	"scif2_data_a",
+	"scif2_clk",
+	"scif2_data_b",
+};
+
+static const char * const scif3_groups[] = {
+	"scif3_data_a",
+	"scif3_clk",
+	"scif3_ctrl",
+	"scif3_data_b",
+};
+
+static const char * const scif4_groups[] = {
+	"scif4_data_a",
+	"scif4_clk_a",
+	"scif4_ctrl_a",
+	"scif4_data_b",
+	"scif4_clk_b",
+	"scif4_ctrl_b",
+	"scif4_data_c",
+	"scif4_clk_c",
+	"scif4_ctrl_c",
+};
+
+static const char * const scif5_groups[] = {
+	"scif5_data_a",
+	"scif5_clk_a",
+	"scif5_data_b",
+	"scif5_clk_b",
+};
+
+static const char * const scif_clk_groups[] = {
+	"scif_clk_a",
+	"scif_clk_b",
+};
+
+static const char * const sdhi0_groups[] = {
+	"sdhi0_data1",
+	"sdhi0_data4",
+	"sdhi0_ctrl",
+	"sdhi0_cd",
+	"sdhi0_wp",
+};
+
+static const char * const sdhi1_groups[] = {
+	"sdhi1_data1",
+	"sdhi1_data4",
+	"sdhi1_ctrl",
+	"sdhi1_cd",
+	"sdhi1_wp",
+};
+
+static const char * const sdhi2_groups[] = {
+	"sdhi2_data1",
+	"sdhi2_data4",
+	"sdhi2_data8",
+	"sdhi2_ctrl",
+	"sdhi2_cd_a",
+	"sdhi2_wp_a",
+	"sdhi2_cd_b",
+	"sdhi2_wp_b",
+	"sdhi2_ds",
+};
+
+static const char * const sdhi3_groups[] = {
+	"sdhi3_data1",
+	"sdhi3_data4",
+	"sdhi3_data8",
+	"sdhi3_ctrl",
+	"sdhi3_cd",
+	"sdhi3_wp",
+	"sdhi3_ds",
+};
+
+static const char * const ssi_groups[] = {
+	"ssi0_data",
+	"ssi01239_ctrl",
+	"ssi1_data_a",
+	"ssi1_data_b",
+	"ssi1_ctrl_a",
+	"ssi1_ctrl_b",
+	"ssi2_data_a",
+	"ssi2_data_b",
+	"ssi2_ctrl_a",
+	"ssi2_ctrl_b",
+	"ssi3_data",
+	"ssi349_ctrl",
+	"ssi4_data",
+	"ssi4_ctrl",
+	"ssi5_data",
+	"ssi5_ctrl",
+	"ssi6_data",
+	"ssi6_ctrl",
+	"ssi7_data",
+	"ssi78_ctrl",
+	"ssi8_data",
+	"ssi9_data_a",
+	"ssi9_data_b",
+	"ssi9_ctrl_a",
+	"ssi9_ctrl_b",
+};
+
+static const char * const usb0_groups[] = {
+	"usb0",
+};
+
+static const char * const usb1_groups[] = {
+	"usb1",
+};
+
+static const char * const usb30_groups[] = {
+	"usb30",
+};
+
+static const struct sh_pfc_function pinmux_functions[] = {
+	SH_PFC_FUNCTION(audio_clk),
+	SH_PFC_FUNCTION(avb),
+	SH_PFC_FUNCTION(can0),
+	SH_PFC_FUNCTION(can1),
+	SH_PFC_FUNCTION(can_clk),
+	SH_PFC_FUNCTION(canfd0),
+	SH_PFC_FUNCTION(canfd1),
+	SH_PFC_FUNCTION(drif0),
+	SH_PFC_FUNCTION(drif1),
+	SH_PFC_FUNCTION(drif2),
+	SH_PFC_FUNCTION(drif3),
+	SH_PFC_FUNCTION(du),
+	SH_PFC_FUNCTION(hscif0),
+	SH_PFC_FUNCTION(hscif1),
+	SH_PFC_FUNCTION(hscif2),
+	SH_PFC_FUNCTION(hscif3),
+	SH_PFC_FUNCTION(hscif4),
+	SH_PFC_FUNCTION(i2c1),
+	SH_PFC_FUNCTION(i2c2),
+	SH_PFC_FUNCTION(i2c6),
+	SH_PFC_FUNCTION(msiof0),
+	SH_PFC_FUNCTION(msiof1),
+	SH_PFC_FUNCTION(msiof2),
+	SH_PFC_FUNCTION(msiof3),
+	SH_PFC_FUNCTION(pwm0),
+	SH_PFC_FUNCTION(pwm1),
+	SH_PFC_FUNCTION(pwm2),
+	SH_PFC_FUNCTION(pwm3),
+	SH_PFC_FUNCTION(pwm4),
+	SH_PFC_FUNCTION(pwm5),
+	SH_PFC_FUNCTION(pwm6),
+	SH_PFC_FUNCTION(scif0),
+	SH_PFC_FUNCTION(scif1),
+	SH_PFC_FUNCTION(scif2),
+	SH_PFC_FUNCTION(scif3),
+	SH_PFC_FUNCTION(scif4),
+	SH_PFC_FUNCTION(scif5),
+	SH_PFC_FUNCTION(scif_clk),
+	SH_PFC_FUNCTION(sdhi0),
+	SH_PFC_FUNCTION(sdhi1),
+	SH_PFC_FUNCTION(sdhi2),
+	SH_PFC_FUNCTION(sdhi3),
+	SH_PFC_FUNCTION(ssi),
+	SH_PFC_FUNCTION(usb0),
+	SH_PFC_FUNCTION(usb1),
+	SH_PFC_FUNCTION(usb30),
+};
+
+static const struct pinmux_cfg_reg pinmux_config_regs[] = {
+#define F_(x, y)	FN_##y
+#define FM(x)		FN_##x
+	{ PINMUX_CFG_REG("GPSR0", 0xe6060100, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_0_15_FN,	GPSR0_15,
+		GP_0_14_FN,	GPSR0_14,
+		GP_0_13_FN,	GPSR0_13,
+		GP_0_12_FN,	GPSR0_12,
+		GP_0_11_FN,	GPSR0_11,
+		GP_0_10_FN,	GPSR0_10,
+		GP_0_9_FN,	GPSR0_9,
+		GP_0_8_FN,	GPSR0_8,
+		GP_0_7_FN,	GPSR0_7,
+		GP_0_6_FN,	GPSR0_6,
+		GP_0_5_FN,	GPSR0_5,
+		GP_0_4_FN,	GPSR0_4,
+		GP_0_3_FN,	GPSR0_3,
+		GP_0_2_FN,	GPSR0_2,
+		GP_0_1_FN,	GPSR0_1,
+		GP_0_0_FN,	GPSR0_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR1", 0xe6060104, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_1_28_FN,	GPSR1_28,
+		GP_1_27_FN,	GPSR1_27,
+		GP_1_26_FN,	GPSR1_26,
+		GP_1_25_FN,	GPSR1_25,
+		GP_1_24_FN,	GPSR1_24,
+		GP_1_23_FN,	GPSR1_23,
+		GP_1_22_FN,	GPSR1_22,
+		GP_1_21_FN,	GPSR1_21,
+		GP_1_20_FN,	GPSR1_20,
+		GP_1_19_FN,	GPSR1_19,
+		GP_1_18_FN,	GPSR1_18,
+		GP_1_17_FN,	GPSR1_17,
+		GP_1_16_FN,	GPSR1_16,
+		GP_1_15_FN,	GPSR1_15,
+		GP_1_14_FN,	GPSR1_14,
+		GP_1_13_FN,	GPSR1_13,
+		GP_1_12_FN,	GPSR1_12,
+		GP_1_11_FN,	GPSR1_11,
+		GP_1_10_FN,	GPSR1_10,
+		GP_1_9_FN,	GPSR1_9,
+		GP_1_8_FN,	GPSR1_8,
+		GP_1_7_FN,	GPSR1_7,
+		GP_1_6_FN,	GPSR1_6,
+		GP_1_5_FN,	GPSR1_5,
+		GP_1_4_FN,	GPSR1_4,
+		GP_1_3_FN,	GPSR1_3,
+		GP_1_2_FN,	GPSR1_2,
+		GP_1_1_FN,	GPSR1_1,
+		GP_1_0_FN,	GPSR1_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR2", 0xe6060108, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_2_14_FN,	GPSR2_14,
+		GP_2_13_FN,	GPSR2_13,
+		GP_2_12_FN,	GPSR2_12,
+		GP_2_11_FN,	GPSR2_11,
+		GP_2_10_FN,	GPSR2_10,
+		GP_2_9_FN,	GPSR2_9,
+		GP_2_8_FN,	GPSR2_8,
+		GP_2_7_FN,	GPSR2_7,
+		GP_2_6_FN,	GPSR2_6,
+		GP_2_5_FN,	GPSR2_5,
+		GP_2_4_FN,	GPSR2_4,
+		GP_2_3_FN,	GPSR2_3,
+		GP_2_2_FN,	GPSR2_2,
+		GP_2_1_FN,	GPSR2_1,
+		GP_2_0_FN,	GPSR2_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR3", 0xe606010c, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_3_15_FN,	GPSR3_15,
+		GP_3_14_FN,	GPSR3_14,
+		GP_3_13_FN,	GPSR3_13,
+		GP_3_12_FN,	GPSR3_12,
+		GP_3_11_FN,	GPSR3_11,
+		GP_3_10_FN,	GPSR3_10,
+		GP_3_9_FN,	GPSR3_9,
+		GP_3_8_FN,	GPSR3_8,
+		GP_3_7_FN,	GPSR3_7,
+		GP_3_6_FN,	GPSR3_6,
+		GP_3_5_FN,	GPSR3_5,
+		GP_3_4_FN,	GPSR3_4,
+		GP_3_3_FN,	GPSR3_3,
+		GP_3_2_FN,	GPSR3_2,
+		GP_3_1_FN,	GPSR3_1,
+		GP_3_0_FN,	GPSR3_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR4", 0xe6060110, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_4_17_FN,	GPSR4_17,
+		GP_4_16_FN,	GPSR4_16,
+		GP_4_15_FN,	GPSR4_15,
+		GP_4_14_FN,	GPSR4_14,
+		GP_4_13_FN,	GPSR4_13,
+		GP_4_12_FN,	GPSR4_12,
+		GP_4_11_FN,	GPSR4_11,
+		GP_4_10_FN,	GPSR4_10,
+		GP_4_9_FN,	GPSR4_9,
+		GP_4_8_FN,	GPSR4_8,
+		GP_4_7_FN,	GPSR4_7,
+		GP_4_6_FN,	GPSR4_6,
+		GP_4_5_FN,	GPSR4_5,
+		GP_4_4_FN,	GPSR4_4,
+		GP_4_3_FN,	GPSR4_3,
+		GP_4_2_FN,	GPSR4_2,
+		GP_4_1_FN,	GPSR4_1,
+		GP_4_0_FN,	GPSR4_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR5", 0xe6060114, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_5_25_FN,	GPSR5_25,
+		GP_5_24_FN,	GPSR5_24,
+		GP_5_23_FN,	GPSR5_23,
+		GP_5_22_FN,	GPSR5_22,
+		GP_5_21_FN,	GPSR5_21,
+		GP_5_20_FN,	GPSR5_20,
+		GP_5_19_FN,	GPSR5_19,
+		GP_5_18_FN,	GPSR5_18,
+		GP_5_17_FN,	GPSR5_17,
+		GP_5_16_FN,	GPSR5_16,
+		GP_5_15_FN,	GPSR5_15,
+		GP_5_14_FN,	GPSR5_14,
+		GP_5_13_FN,	GPSR5_13,
+		GP_5_12_FN,	GPSR5_12,
+		GP_5_11_FN,	GPSR5_11,
+		GP_5_10_FN,	GPSR5_10,
+		GP_5_9_FN,	GPSR5_9,
+		GP_5_8_FN,	GPSR5_8,
+		GP_5_7_FN,	GPSR5_7,
+		GP_5_6_FN,	GPSR5_6,
+		GP_5_5_FN,	GPSR5_5,
+		GP_5_4_FN,	GPSR5_4,
+		GP_5_3_FN,	GPSR5_3,
+		GP_5_2_FN,	GPSR5_2,
+		GP_5_1_FN,	GPSR5_1,
+		GP_5_0_FN,	GPSR5_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR6", 0xe6060118, 32, 1) {
+		GP_6_31_FN,	GPSR6_31,
+		GP_6_30_FN,	GPSR6_30,
+		GP_6_29_FN,	GPSR6_29,
+		GP_6_28_FN,	GPSR6_28,
+		GP_6_27_FN,	GPSR6_27,
+		GP_6_26_FN,	GPSR6_26,
+		GP_6_25_FN,	GPSR6_25,
+		GP_6_24_FN,	GPSR6_24,
+		GP_6_23_FN,	GPSR6_23,
+		GP_6_22_FN,	GPSR6_22,
+		GP_6_21_FN,	GPSR6_21,
+		GP_6_20_FN,	GPSR6_20,
+		GP_6_19_FN,	GPSR6_19,
+		GP_6_18_FN,	GPSR6_18,
+		GP_6_17_FN,	GPSR6_17,
+		GP_6_16_FN,	GPSR6_16,
+		GP_6_15_FN,	GPSR6_15,
+		GP_6_14_FN,	GPSR6_14,
+		GP_6_13_FN,	GPSR6_13,
+		GP_6_12_FN,	GPSR6_12,
+		GP_6_11_FN,	GPSR6_11,
+		GP_6_10_FN,	GPSR6_10,
+		GP_6_9_FN,	GPSR6_9,
+		GP_6_8_FN,	GPSR6_8,
+		GP_6_7_FN,	GPSR6_7,
+		GP_6_6_FN,	GPSR6_6,
+		GP_6_5_FN,	GPSR6_5,
+		GP_6_4_FN,	GPSR6_4,
+		GP_6_3_FN,	GPSR6_3,
+		GP_6_2_FN,	GPSR6_2,
+		GP_6_1_FN,	GPSR6_1,
+		GP_6_0_FN,	GPSR6_0, }
+	},
+	{ PINMUX_CFG_REG("GPSR7", 0xe606011c, 32, 1) {
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		0, 0,
+		GP_7_3_FN, GPSR7_3,
+		GP_7_2_FN, GPSR7_2,
+		GP_7_1_FN, GPSR7_1,
+		GP_7_0_FN, GPSR7_0, }
+	},
+#undef F_
+#undef FM
+
+#define F_(x, y)	x,
+#define FM(x)		FN_##x,
+	{ PINMUX_CFG_REG("IPSR0", 0xe6060200, 32, 4) {
+		IP0_31_28
+		IP0_27_24
+		IP0_23_20
+		IP0_19_16
+		IP0_15_12
+		IP0_11_8
+		IP0_7_4
+		IP0_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR1", 0xe6060204, 32, 4) {
+		IP1_31_28
+		IP1_27_24
+		IP1_23_20
+		IP1_19_16
+		IP1_15_12
+		IP1_11_8
+		IP1_7_4
+		IP1_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR2", 0xe6060208, 32, 4) {
+		IP2_31_28
+		IP2_27_24
+		IP2_23_20
+		IP2_19_16
+		IP2_15_12
+		IP2_11_8
+		IP2_7_4
+		IP2_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR3", 0xe606020c, 32, 4) {
+		IP3_31_28
+		IP3_27_24
+		IP3_23_20
+		IP3_19_16
+		IP3_15_12
+		IP3_11_8
+		IP3_7_4
+		IP3_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR4", 0xe6060210, 32, 4) {
+		IP4_31_28
+		IP4_27_24
+		IP4_23_20
+		IP4_19_16
+		IP4_15_12
+		IP4_11_8
+		IP4_7_4
+		IP4_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR5", 0xe6060214, 32, 4) {
+		IP5_31_28
+		IP5_27_24
+		IP5_23_20
+		IP5_19_16
+		IP5_15_12
+		IP5_11_8
+		IP5_7_4
+		IP5_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR6", 0xe6060218, 32, 4) {
+		IP6_31_28
+		IP6_27_24
+		IP6_23_20
+		IP6_19_16
+		IP6_15_12
+		IP6_11_8
+		IP6_7_4
+		IP6_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR7", 0xe606021c, 32, 4) {
+		IP7_31_28
+		IP7_27_24
+		IP7_23_20
+		IP7_19_16
+		/* IP7_15_12 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		IP7_11_8
+		IP7_7_4
+		IP7_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR8", 0xe6060220, 32, 4) {
+		IP8_31_28
+		IP8_27_24
+		IP8_23_20
+		IP8_19_16
+		IP8_15_12
+		IP8_11_8
+		IP8_7_4
+		IP8_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR9", 0xe6060224, 32, 4) {
+		IP9_31_28
+		IP9_27_24
+		IP9_23_20
+		IP9_19_16
+		IP9_15_12
+		IP9_11_8
+		IP9_7_4
+		IP9_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR10", 0xe6060228, 32, 4) {
+		IP10_31_28
+		IP10_27_24
+		IP10_23_20
+		IP10_19_16
+		IP10_15_12
+		IP10_11_8
+		IP10_7_4
+		IP10_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR11", 0xe606022c, 32, 4) {
+		IP11_31_28
+		IP11_27_24
+		IP11_23_20
+		IP11_19_16
+		IP11_15_12
+		IP11_11_8
+		IP11_7_4
+		IP11_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR12", 0xe6060230, 32, 4) {
+		IP12_31_28
+		IP12_27_24
+		IP12_23_20
+		IP12_19_16
+		IP12_15_12
+		IP12_11_8
+		IP12_7_4
+		IP12_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR13", 0xe6060234, 32, 4) {
+		IP13_31_28
+		IP13_27_24
+		IP13_23_20
+		IP13_19_16
+		IP13_15_12
+		IP13_11_8
+		IP13_7_4
+		IP13_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR14", 0xe6060238, 32, 4) {
+		IP14_31_28
+		IP14_27_24
+		IP14_23_20
+		IP14_19_16
+		IP14_15_12
+		IP14_11_8
+		IP14_7_4
+		IP14_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR15", 0xe606023c, 32, 4) {
+		IP15_31_28
+		IP15_27_24
+		IP15_23_20
+		IP15_19_16
+		IP15_15_12
+		IP15_11_8
+		IP15_7_4
+		IP15_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR16", 0xe6060240, 32, 4) {
+		IP16_31_28
+		IP16_27_24
+		IP16_23_20
+		IP16_19_16
+		IP16_15_12
+		IP16_11_8
+		IP16_7_4
+		IP16_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR17", 0xe6060244, 32, 4) {
+		IP17_31_28
+		IP17_27_24
+		IP17_23_20
+		IP17_19_16
+		IP17_15_12
+		IP17_11_8
+		IP17_7_4
+		IP17_3_0 }
+	},
+	{ PINMUX_CFG_REG("IPSR18", 0xe6060248, 32, 4) {
+		/* IP18_31_28 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_27_24 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_23_20 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_19_16 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_15_12 */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		/* IP18_11_8  */ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		IP18_7_4
+		IP18_3_0 }
+	},
+#undef F_
+#undef FM
+
+#define F_(x, y)	x,
+#define FM(x)		FN_##x,
+	{ PINMUX_CFG_REG_VAR("MOD_SEL0", 0xe6060500, 32,
+			     3, 2, 3, 1, 1, 1, 1, 1, 2, 1,
+			     1, 2, 1, 1, 1, 2, 2, 1, 2, 3) {
+		MOD_SEL0_31_30_29
+		MOD_SEL0_28_27
+		MOD_SEL0_26_25_24
+		MOD_SEL0_23
+		MOD_SEL0_22
+		MOD_SEL0_21
+		MOD_SEL0_20
+		MOD_SEL0_19
+		MOD_SEL0_18_17
+		MOD_SEL0_16
+		0, 0, /* RESERVED 15 */
+		MOD_SEL0_14_13
+		MOD_SEL0_12
+		MOD_SEL0_11
+		MOD_SEL0_10
+		MOD_SEL0_9_8
+		MOD_SEL0_7_6
+		MOD_SEL0_5
+		MOD_SEL0_4_3
+		/* RESERVED 2, 1, 0 */
+		0, 0, 0, 0, 0, 0, 0, 0 }
+	},
+	{ PINMUX_CFG_REG_VAR("MOD_SEL1", 0xe6060504, 32,
+			     2, 3, 1, 2, 3, 1, 1, 2, 1,
+			     2, 1, 1, 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1) {
+		MOD_SEL1_31_30
+		MOD_SEL1_29_28_27
+		MOD_SEL1_26
+		MOD_SEL1_25_24
+		MOD_SEL1_23_22_21
+		MOD_SEL1_20
+		MOD_SEL1_19
+		MOD_SEL1_18_17
+		MOD_SEL1_16
+		MOD_SEL1_15_14
+		MOD_SEL1_13
+		MOD_SEL1_12
+		MOD_SEL1_11
+		MOD_SEL1_10
+		MOD_SEL1_9
+		0, 0, 0, 0, /* RESERVED 8, 7 */
+		MOD_SEL1_6
+		MOD_SEL1_5
+		MOD_SEL1_4
+		MOD_SEL1_3
+		MOD_SEL1_2
+		MOD_SEL1_1
+		MOD_SEL1_0 }
+	},
+	{ PINMUX_CFG_REG_VAR("MOD_SEL2", 0xe6060508, 32,
+			     1, 1, 1, 2, 1, 3, 1, 1, 1, 1, 1, 1, 1,
+			     4, 4, 4, 3, 1) {
+		MOD_SEL2_31
+		MOD_SEL2_30
+		MOD_SEL2_29
+		MOD_SEL2_28_27
+		MOD_SEL2_26
+		MOD_SEL2_25_24_23
+		MOD_SEL2_22
+		MOD_SEL2_21
+		MOD_SEL2_20
+		MOD_SEL2_19
+		MOD_SEL2_18
+		MOD_SEL2_17
+		/* RESERVED 16 */
+		0, 0,
+		/* RESERVED 15, 14, 13, 12 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0,
+		/* RESERVED 11, 10, 9, 8 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0,
+		/* RESERVED 7, 6, 5, 4 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0,
+		/* RESERVED 3, 2, 1 */
+		0, 0, 0, 0, 0, 0, 0, 0,
+		MOD_SEL2_0 }
+	},
+	{ },
+};
+
+static const struct pinmux_drive_reg pinmux_drive_regs[] = {
+	{ PINMUX_DRIVE_REG("DRVCTRL0", 0xe6060300) {
+		{ PIN_NUMBER('W', 3),   28, 2 },	/* QSPI0_SPCLK */
+		{ PIN_A_NUMBER('C', 5), 24, 2 },	/* QSPI0_MOSI_IO0 */
+		{ PIN_A_NUMBER('B', 4), 20, 2 },	/* QSPI0_MISO_IO1 */
+		{ PIN_NUMBER('Y', 6),   16, 2 },	/* QSPI0_IO2 */
+		{ PIN_A_NUMBER('B', 6), 12, 2 },	/* QSPI0_IO3 */
+		{ PIN_NUMBER('Y', 3),    8, 2 },	/* QSPI0_SSL */
+		{ PIN_NUMBER('V', 3),    4, 2 },	/* QSPI1_SPCLK */
+		{ PIN_A_NUMBER('C', 7),  0, 2 },	/* QSPI1_MOSI_IO0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL1", 0xe6060304) {
+		{ PIN_A_NUMBER('E', 5), 28, 2 },	/* QSPI1_MISO_IO1 */
+		{ PIN_A_NUMBER('E', 4), 24, 2 },	/* QSPI1_IO2 */
+		{ PIN_A_NUMBER('C', 3), 20, 2 },	/* QSPI1_IO3 */
+		{ PIN_NUMBER('V', 5),   16, 2 },	/* QSPI1_SSL */
+		{ PIN_NUMBER('Y', 7),   12, 2 },	/* RPC_INT# */
+		{ PIN_NUMBER('V', 6),    8, 2 },	/* RPC_WP# */
+		{ PIN_NUMBER('V', 7),    4, 2 },	/* RPC_RESET# */
+		{ PIN_NUMBER('A', 16),   0, 3 },	/* AVB_RX_CTL */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL2", 0xe6060308) {
+		{ PIN_NUMBER('B', 19),  28, 3 },	/* AVB_RXC */
+		{ PIN_NUMBER('A', 13),  24, 3 },	/* AVB_RD0 */
+		{ PIN_NUMBER('B', 13),  20, 3 },	/* AVB_RD1 */
+		{ PIN_NUMBER('A', 14),  16, 3 },	/* AVB_RD2 */
+		{ PIN_NUMBER('B', 14),  12, 3 },	/* AVB_RD3 */
+		{ PIN_NUMBER('A', 8),    8, 3 },	/* AVB_TX_CTL */
+		{ PIN_NUMBER('A', 19),   4, 3 },	/* AVB_TXC */
+		{ PIN_NUMBER('A', 18),   0, 3 },	/* AVB_TD0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL3", 0xe606030c) {
+		{ PIN_NUMBER('B', 18),  28, 3 },	/* AVB_TD1 */
+		{ PIN_NUMBER('A', 17),  24, 3 },	/* AVB_TD2 */
+		{ PIN_NUMBER('B', 17),  20, 3 },	/* AVB_TD3 */
+		{ PIN_NUMBER('A', 12),  16, 3 },	/* AVB_TXCREFCLK */
+		{ PIN_NUMBER('A', 9),   12, 3 },	/* AVB_MDIO */
+		{ RCAR_GP_PIN(2,  9),    8, 3 },	/* AVB_MDC */
+		{ RCAR_GP_PIN(2, 10),    4, 3 },	/* AVB_MAGIC */
+		{ RCAR_GP_PIN(2, 11),    0, 3 },	/* AVB_PHY_INT */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL4", 0xe6060310) {
+		{ RCAR_GP_PIN(2, 12), 28, 3 },	/* AVB_LINK */
+		{ RCAR_GP_PIN(2, 13), 24, 3 },	/* AVB_AVTP_MATCH */
+		{ RCAR_GP_PIN(2, 14), 20, 3 },	/* AVB_AVTP_CAPTURE */
+		{ RCAR_GP_PIN(2,  0), 16, 3 },	/* IRQ0 */
+		{ RCAR_GP_PIN(2,  1), 12, 3 },	/* IRQ1 */
+		{ RCAR_GP_PIN(2,  2),  8, 3 },	/* IRQ2 */
+		{ RCAR_GP_PIN(2,  3),  4, 3 },	/* IRQ3 */
+		{ RCAR_GP_PIN(2,  4),  0, 3 },	/* IRQ4 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL5", 0xe6060314) {
+		{ RCAR_GP_PIN(2,  5), 28, 3 },	/* IRQ5 */
+		{ RCAR_GP_PIN(2,  6), 24, 3 },	/* PWM0 */
+		{ RCAR_GP_PIN(2,  7), 20, 3 },	/* PWM1 */
+		{ RCAR_GP_PIN(2,  8), 16, 3 },	/* PWM2 */
+		{ RCAR_GP_PIN(1,  0), 12, 3 },	/* A0 */
+		{ RCAR_GP_PIN(1,  1),  8, 3 },	/* A1 */
+		{ RCAR_GP_PIN(1,  2),  4, 3 },	/* A2 */
+		{ RCAR_GP_PIN(1,  3),  0, 3 },	/* A3 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL6", 0xe6060318) {
+		{ RCAR_GP_PIN(1,  4), 28, 3 },	/* A4 */
+		{ RCAR_GP_PIN(1,  5), 24, 3 },	/* A5 */
+		{ RCAR_GP_PIN(1,  6), 20, 3 },	/* A6 */
+		{ RCAR_GP_PIN(1,  7), 16, 3 },	/* A7 */
+		{ RCAR_GP_PIN(1,  8), 12, 3 },	/* A8 */
+		{ RCAR_GP_PIN(1,  9),  8, 3 },	/* A9 */
+		{ RCAR_GP_PIN(1, 10),  4, 3 },	/* A10 */
+		{ RCAR_GP_PIN(1, 11),  0, 3 },	/* A11 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL7", 0xe606031c) {
+		{ RCAR_GP_PIN(1, 12), 28, 3 },	/* A12 */
+		{ RCAR_GP_PIN(1, 13), 24, 3 },	/* A13 */
+		{ RCAR_GP_PIN(1, 14), 20, 3 },	/* A14 */
+		{ RCAR_GP_PIN(1, 15), 16, 3 },	/* A15 */
+		{ RCAR_GP_PIN(1, 16), 12, 3 },	/* A16 */
+		{ RCAR_GP_PIN(1, 17),  8, 3 },	/* A17 */
+		{ RCAR_GP_PIN(1, 18),  4, 3 },	/* A18 */
+		{ RCAR_GP_PIN(1, 19),  0, 3 },	/* A19 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL8", 0xe6060320) {
+		{ RCAR_GP_PIN(1, 28), 28, 3 },	/* CLKOUT */
+		{ RCAR_GP_PIN(1, 20), 24, 3 },	/* CS0 */
+		{ RCAR_GP_PIN(1, 21), 20, 3 },	/* CS1_A26 */
+		{ RCAR_GP_PIN(1, 22), 16, 3 },	/* BS */
+		{ RCAR_GP_PIN(1, 23), 12, 3 },	/* RD */
+		{ RCAR_GP_PIN(1, 24),  8, 3 },	/* RD_WR */
+		{ RCAR_GP_PIN(1, 25),  4, 3 },	/* WE0 */
+		{ RCAR_GP_PIN(1, 26),  0, 3 },	/* WE1 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL9", 0xe6060324) {
+		{ RCAR_GP_PIN(1, 27), 28, 3 },	/* EX_WAIT0 */
+		{ PIN_NUMBER('C', 1), 24, 3 },	/* PRESETOUT# */
+		{ RCAR_GP_PIN(0,  0), 20, 3 },	/* D0 */
+		{ RCAR_GP_PIN(0,  1), 16, 3 },	/* D1 */
+		{ RCAR_GP_PIN(0,  2), 12, 3 },	/* D2 */
+		{ RCAR_GP_PIN(0,  3),  8, 3 },	/* D3 */
+		{ RCAR_GP_PIN(0,  4),  4, 3 },	/* D4 */
+		{ RCAR_GP_PIN(0,  5),  0, 3 },	/* D5 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL10", 0xe6060328) {
+		{ RCAR_GP_PIN(0,  6), 28, 3 },	/* D6 */
+		{ RCAR_GP_PIN(0,  7), 24, 3 },	/* D7 */
+		{ RCAR_GP_PIN(0,  8), 20, 3 },	/* D8 */
+		{ RCAR_GP_PIN(0,  9), 16, 3 },	/* D9 */
+		{ RCAR_GP_PIN(0, 10), 12, 3 },	/* D10 */
+		{ RCAR_GP_PIN(0, 11),  8, 3 },	/* D11 */
+		{ RCAR_GP_PIN(0, 12),  4, 3 },	/* D12 */
+		{ RCAR_GP_PIN(0, 13),  0, 3 },	/* D13 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL11", 0xe606032c) {
+		{ RCAR_GP_PIN(0, 14),   28, 3 },	/* D14 */
+		{ RCAR_GP_PIN(0, 15),   24, 3 },	/* D15 */
+		{ RCAR_GP_PIN(7,  0),   20, 3 },	/* AVS1 */
+		{ RCAR_GP_PIN(7,  1),   16, 3 },	/* AVS2 */
+		{ RCAR_GP_PIN(7,  2),   12, 3 },	/* HDMI0_CEC */
+		{ RCAR_GP_PIN(7,  3),    8, 3 },	/* GP7_03 */
+		{ PIN_A_NUMBER('P', 7),  4, 2 },	/* DU_DOTCLKIN0 */
+		{ PIN_A_NUMBER('P', 8),  0, 2 },	/* DU_DOTCLKIN1 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL12", 0xe6060330) {
+		{ PIN_A_NUMBER('R', 8),  28, 2 },	/* DU_DOTCLKIN2 */
+		{ PIN_A_NUMBER('D', 38), 20, 2 },	/* FSCLKST */
+		{ PIN_A_NUMBER('R', 30),  4, 2 },	/* TMS */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL13", 0xe6060334) {
+		{ PIN_A_NUMBER('T', 28), 28, 2 },	/* TDO */
+		{ PIN_A_NUMBER('T', 30), 24, 2 },	/* ASEBRK */
+		{ RCAR_GP_PIN(3,  0),    20, 3 },	/* SD0_CLK */
+		{ RCAR_GP_PIN(3,  1),    16, 3 },	/* SD0_CMD */
+		{ RCAR_GP_PIN(3,  2),    12, 3 },	/* SD0_DAT0 */
+		{ RCAR_GP_PIN(3,  3),     8, 3 },	/* SD0_DAT1 */
+		{ RCAR_GP_PIN(3,  4),     4, 3 },	/* SD0_DAT2 */
+		{ RCAR_GP_PIN(3,  5),     0, 3 },	/* SD0_DAT3 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL14", 0xe6060338) {
+		{ RCAR_GP_PIN(3,  6), 28, 3 },	/* SD1_CLK */
+		{ RCAR_GP_PIN(3,  7), 24, 3 },	/* SD1_CMD */
+		{ RCAR_GP_PIN(3,  8), 20, 3 },	/* SD1_DAT0 */
+		{ RCAR_GP_PIN(3,  9), 16, 3 },	/* SD1_DAT1 */
+		{ RCAR_GP_PIN(3, 10), 12, 3 },	/* SD1_DAT2 */
+		{ RCAR_GP_PIN(3, 11),  8, 3 },	/* SD1_DAT3 */
+		{ RCAR_GP_PIN(4,  0),  4, 3 },	/* SD2_CLK */
+		{ RCAR_GP_PIN(4,  1),  0, 3 },	/* SD2_CMD */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL15", 0xe606033c) {
+		{ RCAR_GP_PIN(4,  2), 28, 3 },	/* SD2_DAT0 */
+		{ RCAR_GP_PIN(4,  3), 24, 3 },	/* SD2_DAT1 */
+		{ RCAR_GP_PIN(4,  4), 20, 3 },	/* SD2_DAT2 */
+		{ RCAR_GP_PIN(4,  5), 16, 3 },	/* SD2_DAT3 */
+		{ RCAR_GP_PIN(4,  6), 12, 3 },	/* SD2_DS */
+		{ RCAR_GP_PIN(4,  7),  8, 3 },	/* SD3_CLK */
+		{ RCAR_GP_PIN(4,  8),  4, 3 },	/* SD3_CMD */
+		{ RCAR_GP_PIN(4,  9),  0, 3 },	/* SD3_DAT0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL16", 0xe6060340) {
+		{ RCAR_GP_PIN(4, 10), 28, 3 },	/* SD3_DAT1 */
+		{ RCAR_GP_PIN(4, 11), 24, 3 },	/* SD3_DAT2 */
+		{ RCAR_GP_PIN(4, 12), 20, 3 },	/* SD3_DAT3 */
+		{ RCAR_GP_PIN(4, 13), 16, 3 },	/* SD3_DAT4 */
+		{ RCAR_GP_PIN(4, 14), 12, 3 },	/* SD3_DAT5 */
+		{ RCAR_GP_PIN(4, 15),  8, 3 },	/* SD3_DAT6 */
+		{ RCAR_GP_PIN(4, 16),  4, 3 },	/* SD3_DAT7 */
+		{ RCAR_GP_PIN(4, 17),  0, 3 },	/* SD3_DS */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL17", 0xe6060344) {
+		{ RCAR_GP_PIN(3, 12), 28, 3 },	/* SD0_CD */
+		{ RCAR_GP_PIN(3, 13), 24, 3 },	/* SD0_WP */
+		{ RCAR_GP_PIN(3, 14), 20, 3 },	/* SD1_CD */
+		{ RCAR_GP_PIN(3, 15), 16, 3 },	/* SD1_WP */
+		{ RCAR_GP_PIN(5,  0), 12, 3 },	/* SCK0 */
+		{ RCAR_GP_PIN(5,  1),  8, 3 },	/* RX0 */
+		{ RCAR_GP_PIN(5,  2),  4, 3 },	/* TX0 */
+		{ RCAR_GP_PIN(5,  3),  0, 3 },	/* CTS0 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL18", 0xe6060348) {
+		{ RCAR_GP_PIN(5,  4), 28, 3 },	/* RTS0_TANS */
+		{ RCAR_GP_PIN(5,  5), 24, 3 },	/* RX1 */
+		{ RCAR_GP_PIN(5,  6), 20, 3 },	/* TX1 */
+		{ RCAR_GP_PIN(5,  7), 16, 3 },	/* CTS1 */
+		{ RCAR_GP_PIN(5,  8), 12, 3 },	/* RTS1_TANS */
+		{ RCAR_GP_PIN(5,  9),  8, 3 },	/* SCK2 */
+		{ RCAR_GP_PIN(5, 10),  4, 3 },	/* TX2 */
+		{ RCAR_GP_PIN(5, 11),  0, 3 },	/* RX2 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL19", 0xe606034c) {
+		{ RCAR_GP_PIN(5, 12), 28, 3 },	/* HSCK0 */
+		{ RCAR_GP_PIN(5, 13), 24, 3 },	/* HRX0 */
+		{ RCAR_GP_PIN(5, 14), 20, 3 },	/* HTX0 */
+		{ RCAR_GP_PIN(5, 15), 16, 3 },	/* HCTS0 */
+		{ RCAR_GP_PIN(5, 16), 12, 3 },	/* HRTS0 */
+		{ RCAR_GP_PIN(5, 17),  8, 3 },	/* MSIOF0_SCK */
+		{ RCAR_GP_PIN(5, 18),  4, 3 },	/* MSIOF0_SYNC */
+		{ RCAR_GP_PIN(5, 19),  0, 3 },	/* MSIOF0_SS1 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL20", 0xe6060350) {
+		{ RCAR_GP_PIN(5, 20), 28, 3 },	/* MSIOF0_TXD */
+		{ RCAR_GP_PIN(5, 21), 24, 3 },	/* MSIOF0_SS2 */
+		{ RCAR_GP_PIN(5, 22), 20, 3 },	/* MSIOF0_RXD */
+		{ RCAR_GP_PIN(5, 23), 16, 3 },	/* MLB_CLK */
+		{ RCAR_GP_PIN(5, 24), 12, 3 },	/* MLB_SIG */
+		{ RCAR_GP_PIN(5, 25),  8, 3 },	/* MLB_DAT */
+		{ PIN_NUMBER('H', 37),  4, 3 },	/* MLB_REF */
+		{ RCAR_GP_PIN(6,  0),  0, 3 },	/* SSI_SCK01239 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL21", 0xe6060354) {
+		{ RCAR_GP_PIN(6,  1), 28, 3 },	/* SSI_WS01239 */
+		{ RCAR_GP_PIN(6,  2), 24, 3 },	/* SSI_SDATA0 */
+		{ RCAR_GP_PIN(6,  3), 20, 3 },	/* SSI_SDATA1 */
+		{ RCAR_GP_PIN(6,  4), 16, 3 },	/* SSI_SDATA2 */
+		{ RCAR_GP_PIN(6,  5), 12, 3 },	/* SSI_SCK349 */
+		{ RCAR_GP_PIN(6,  6),  8, 3 },	/* SSI_WS349 */
+		{ RCAR_GP_PIN(6,  7),  4, 3 },	/* SSI_SDATA3 */
+		{ RCAR_GP_PIN(6,  8),  0, 3 },	/* SSI_SCK4 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL22", 0xe6060358) {
+		{ RCAR_GP_PIN(6,  9), 28, 3 },	/* SSI_WS4 */
+		{ RCAR_GP_PIN(6, 10), 24, 3 },	/* SSI_SDATA4 */
+		{ RCAR_GP_PIN(6, 11), 20, 3 },	/* SSI_SCK5 */
+		{ RCAR_GP_PIN(6, 12), 16, 3 },	/* SSI_WS5 */
+		{ RCAR_GP_PIN(6, 13), 12, 3 },	/* SSI_SDATA5 */
+		{ RCAR_GP_PIN(6, 14),  8, 3 },	/* SSI_SCK6 */
+		{ RCAR_GP_PIN(6, 15),  4, 3 },	/* SSI_WS6 */
+		{ RCAR_GP_PIN(6, 16),  0, 3 },	/* SSI_SDATA6 */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL23", 0xe606035c) {
+		{ RCAR_GP_PIN(6, 17), 28, 3 },	/* SSI_SCK78 */
+		{ RCAR_GP_PIN(6, 18), 24, 3 },	/* SSI_WS78 */
+		{ RCAR_GP_PIN(6, 19), 20, 3 },	/* SSI_SDATA7 */
+		{ RCAR_GP_PIN(6, 20), 16, 3 },	/* SSI_SDATA8 */
+		{ RCAR_GP_PIN(6, 21), 12, 3 },	/* SSI_SDATA9 */
+		{ RCAR_GP_PIN(6, 22),  8, 3 },	/* AUDIO_CLKA */
+		{ RCAR_GP_PIN(6, 23),  4, 3 },	/* AUDIO_CLKB */
+		{ RCAR_GP_PIN(6, 24),  0, 3 },	/* USB0_PWEN */
+	} },
+	{ PINMUX_DRIVE_REG("DRVCTRL24", 0xe6060360) {
+		{ RCAR_GP_PIN(6, 25), 28, 3 },	/* USB0_OVC */
+		{ RCAR_GP_PIN(6, 26), 24, 3 },	/* USB1_PWEN */
+		{ RCAR_GP_PIN(6, 27), 20, 3 },	/* USB1_OVC */
+		{ RCAR_GP_PIN(6, 28), 16, 3 },	/* USB30_PWEN */
+		{ RCAR_GP_PIN(6, 29), 12, 3 },	/* USB30_OVC */
+		{ RCAR_GP_PIN(6, 30),  8, 3 },	/* GP6_30 */
+		{ RCAR_GP_PIN(6, 31),  4, 3 },	/* GP6_31 */
+	} },
+	{ },
+};
+
+static int r8a7796_pin_to_pocctrl(struct sh_pfc *pfc, unsigned int pin, u32 *pocctrl)
+{
+	int bit = -EINVAL;
+
+	*pocctrl = 0xe6060380;
+
+	if (pin >= RCAR_GP_PIN(3, 0) && pin <= RCAR_GP_PIN(3, 11))
+		bit = pin & 0x1f;
+
+	if (pin >= RCAR_GP_PIN(4, 0) && pin <= RCAR_GP_PIN(4, 17))
+		bit = (pin & 0x1f) + 12;
+
+	return bit;
+}
+
+#define PUEN	0xe6060400
+#define PUD	0xe6060440
+
+#define PU0	0x00
+#define PU1	0x04
+#define PU2	0x08
+#define PU3	0x0c
+#define PU4	0x10
+#define PU5	0x14
+#define PU6	0x18
+
+static const struct sh_pfc_bias_info bias_info[] = {
+	{ RCAR_GP_PIN(2, 11),    PU0, 31 },	/* AVB_PHY_INT */
+	{ RCAR_GP_PIN(2, 10),    PU0, 30 },	/* AVB_MAGIC */
+	{ RCAR_GP_PIN(2,  9),    PU0, 29 },	/* AVB_MDC */
+	{ PIN_NUMBER('A', 9),    PU0, 28 },	/* AVB_MDIO */
+	{ PIN_NUMBER('A', 12),   PU0, 27 },	/* AVB_TXCREFCLK */
+	{ PIN_NUMBER('B', 17),   PU0, 26 },	/* AVB_TD3 */
+	{ PIN_NUMBER('A', 17),   PU0, 25 },	/* AVB_TD2 */
+	{ PIN_NUMBER('B', 18),   PU0, 24 },	/* AVB_TD1 */
+	{ PIN_NUMBER('A', 18),   PU0, 23 },	/* AVB_TD0 */
+	{ PIN_NUMBER('A', 19),   PU0, 22 },	/* AVB_TXC */
+	{ PIN_NUMBER('A', 8),    PU0, 21 },	/* AVB_TX_CTL */
+	{ PIN_NUMBER('B', 14),   PU0, 20 },	/* AVB_RD3 */
+	{ PIN_NUMBER('A', 14),   PU0, 19 },	/* AVB_RD2 */
+	{ PIN_NUMBER('B', 13),   PU0, 18 },	/* AVB_RD1 */
+	{ PIN_NUMBER('A', 13),   PU0, 17 },	/* AVB_RD0 */
+	{ PIN_NUMBER('B', 19),   PU0, 16 },	/* AVB_RXC */
+	{ PIN_NUMBER('A', 16),   PU0, 15 },	/* AVB_RX_CTL */
+	{ PIN_NUMBER('V', 7),    PU0, 14 },	/* RPC_RESET# */
+	{ PIN_NUMBER('V', 6),    PU0, 13 },	/* RPC_WP# */
+	{ PIN_NUMBER('Y', 7),    PU0, 12 },	/* RPC_INT# */
+	{ PIN_NUMBER('V', 5),    PU0, 11 },	/* QSPI1_SSL */
+	{ PIN_A_NUMBER('C', 3),  PU0, 10 },	/* QSPI1_IO3 */
+	{ PIN_A_NUMBER('E', 4),  PU0,  9 },	/* QSPI1_IO2 */
+	{ PIN_A_NUMBER('E', 5),  PU0,  8 },	/* QSPI1_MISO_IO1 */
+	{ PIN_A_NUMBER('C', 7),  PU0,  7 },	/* QSPI1_MOSI_IO0 */
+	{ PIN_NUMBER('V', 3),    PU0,  6 },	/* QSPI1_SPCLK */
+	{ PIN_NUMBER('Y', 3),    PU0,  5 },	/* QSPI0_SSL */
+	{ PIN_A_NUMBER('B', 6),  PU0,  4 },	/* QSPI0_IO3 */
+	{ PIN_NUMBER('Y', 6),    PU0,  3 },	/* QSPI0_IO2 */
+	{ PIN_A_NUMBER('B', 4),  PU0,  2 },	/* QSPI0_MISO_IO1 */
+	{ PIN_A_NUMBER('C', 5),  PU0,  1 },	/* QSPI0_MOSI_IO0 */
+	{ PIN_NUMBER('W', 3),    PU0,  0 },	/* QSPI0_SPCLK */
+
+	{ RCAR_GP_PIN(1, 19),    PU1, 31 },	/* A19 */
+	{ RCAR_GP_PIN(1, 18),    PU1, 30 },	/* A18 */
+	{ RCAR_GP_PIN(1, 17),    PU1, 29 },	/* A17 */
+	{ RCAR_GP_PIN(1, 16),    PU1, 28 },	/* A16 */
+	{ RCAR_GP_PIN(1, 15),    PU1, 27 },	/* A15 */
+	{ RCAR_GP_PIN(1, 14),    PU1, 26 },	/* A14 */
+	{ RCAR_GP_PIN(1, 13),    PU1, 25 },	/* A13 */
+	{ RCAR_GP_PIN(1, 12),    PU1, 24 },	/* A12 */
+	{ RCAR_GP_PIN(1, 11),    PU1, 23 },	/* A11 */
+	{ RCAR_GP_PIN(1, 10),    PU1, 22 },	/* A10 */
+	{ RCAR_GP_PIN(1,  9),    PU1, 21 },	/* A9 */
+	{ RCAR_GP_PIN(1,  8),    PU1, 20 },	/* A8 */
+	{ RCAR_GP_PIN(1,  7),    PU1, 19 },	/* A7 */
+	{ RCAR_GP_PIN(1,  6),    PU1, 18 },	/* A6 */
+	{ RCAR_GP_PIN(1,  5),    PU1, 17 },	/* A5 */
+	{ RCAR_GP_PIN(1,  4),    PU1, 16 },	/* A4 */
+	{ RCAR_GP_PIN(1,  3),    PU1, 15 },	/* A3 */
+	{ RCAR_GP_PIN(1,  2),    PU1, 14 },	/* A2 */
+	{ RCAR_GP_PIN(1,  1),    PU1, 13 },	/* A1 */
+	{ RCAR_GP_PIN(1,  0),    PU1, 12 },	/* A0 */
+	{ RCAR_GP_PIN(2,  8),    PU1, 11 },	/* PWM2_A */
+	{ RCAR_GP_PIN(2,  7),    PU1, 10 },	/* PWM1_A */
+	{ RCAR_GP_PIN(2,  6),    PU1,  9 },	/* PWM0 */
+	{ RCAR_GP_PIN(2,  5),    PU1,  8 },	/* IRQ5 */
+	{ RCAR_GP_PIN(2,  4),    PU1,  7 },	/* IRQ4 */
+	{ RCAR_GP_PIN(2,  3),    PU1,  6 },	/* IRQ3 */
+	{ RCAR_GP_PIN(2,  2),    PU1,  5 },	/* IRQ2 */
+	{ RCAR_GP_PIN(2,  1),    PU1,  4 },	/* IRQ1 */
+	{ RCAR_GP_PIN(2,  0),    PU1,  3 },	/* IRQ0 */
+	{ RCAR_GP_PIN(2, 14),    PU1,  2 },	/* AVB_AVTP_CAPTURE_A */
+	{ RCAR_GP_PIN(2, 13),    PU1,  1 },	/* AVB_AVTP_MATCH_A */
+	{ RCAR_GP_PIN(2, 12),    PU1,  0 },	/* AVB_LINK */
+
+	{ PIN_A_NUMBER('P', 8),  PU2, 31 },	/* DU_DOTCLKIN1 */
+	{ PIN_A_NUMBER('P', 7),  PU2, 30 },	/* DU_DOTCLKIN0 */
+	{ RCAR_GP_PIN(7,  3),    PU2, 29 },	/* GP7_03 */
+	{ RCAR_GP_PIN(7,  2),    PU2, 28 },	/* HDMI0_CEC */
+	{ RCAR_GP_PIN(7,  1),    PU2, 27 },	/* AVS2 */
+	{ RCAR_GP_PIN(7,  0),    PU2, 26 },	/* AVS1 */
+	{ RCAR_GP_PIN(0, 15),    PU2, 25 },	/* D15 */
+	{ RCAR_GP_PIN(0, 14),    PU2, 24 },	/* D14 */
+	{ RCAR_GP_PIN(0, 13),    PU2, 23 },	/* D13 */
+	{ RCAR_GP_PIN(0, 12),    PU2, 22 },	/* D12 */
+	{ RCAR_GP_PIN(0, 11),    PU2, 21 },	/* D11 */
+	{ RCAR_GP_PIN(0, 10),    PU2, 20 },	/* D10 */
+	{ RCAR_GP_PIN(0,  9),    PU2, 19 },	/* D9 */
+	{ RCAR_GP_PIN(0,  8),    PU2, 18 },	/* D8 */
+	{ RCAR_GP_PIN(0,  7),    PU2, 17 },	/* D7 */
+	{ RCAR_GP_PIN(0,  6),    PU2, 16 },	/* D6 */
+	{ RCAR_GP_PIN(0,  5),    PU2, 15 },	/* D5 */
+	{ RCAR_GP_PIN(0,  4),    PU2, 14 },	/* D4 */
+	{ RCAR_GP_PIN(0,  3),    PU2, 13 },	/* D3 */
+	{ RCAR_GP_PIN(0,  2),    PU2, 12 },	/* D2 */
+	{ RCAR_GP_PIN(0,  1),    PU2, 11 },	/* D1 */
+	{ RCAR_GP_PIN(0,  0),    PU2, 10 },	/* D0 */
+	{ PIN_NUMBER('C', 1),    PU2,  9 },	/* PRESETOUT# */
+	{ RCAR_GP_PIN(1, 27),    PU2,  8 },	/* EX_WAIT0_A */
+	{ RCAR_GP_PIN(1, 26),    PU2,  7 },	/* WE1_N */
+	{ RCAR_GP_PIN(1, 25),    PU2,  6 },	/* WE0_N */
+	{ RCAR_GP_PIN(1, 24),    PU2,  5 },	/* RD_WR_N */
+	{ RCAR_GP_PIN(1, 23),    PU2,  4 },	/* RD_N */
+	{ RCAR_GP_PIN(1, 22),    PU2,  3 },	/* BS_N */
+	{ RCAR_GP_PIN(1, 21),    PU2,  2 },	/* CS1_N */
+	{ RCAR_GP_PIN(1, 20),    PU2,  1 },	/* CS0_N */
+	{ RCAR_GP_PIN(1, 28),    PU2,  0 },	/* CLKOUT */
+
+	{ RCAR_GP_PIN(4,  9),    PU3, 31 },	/* SD3_DAT0 */
+	{ RCAR_GP_PIN(4,  8),    PU3, 30 },	/* SD3_CMD */
+	{ RCAR_GP_PIN(4,  7),    PU3, 29 },	/* SD3_CLK */
+	{ RCAR_GP_PIN(4,  6),    PU3, 28 },	/* SD2_DS */
+	{ RCAR_GP_PIN(4,  5),    PU3, 27 },	/* SD2_DAT3 */
+	{ RCAR_GP_PIN(4,  4),    PU3, 26 },	/* SD2_DAT2 */
+	{ RCAR_GP_PIN(4,  3),    PU3, 25 },	/* SD2_DAT1 */
+	{ RCAR_GP_PIN(4,  2),    PU3, 24 },	/* SD2_DAT0 */
+	{ RCAR_GP_PIN(4,  1),    PU3, 23 },	/* SD2_CMD */
+	{ RCAR_GP_PIN(4,  0),    PU3, 22 },	/* SD2_CLK */
+	{ RCAR_GP_PIN(3, 11),    PU3, 21 },	/* SD1_DAT3 */
+	{ RCAR_GP_PIN(3, 10),    PU3, 20 },	/* SD1_DAT2 */
+	{ RCAR_GP_PIN(3,  9),    PU3, 19 },	/* SD1_DAT1 */
+	{ RCAR_GP_PIN(3,  8),    PU3, 18 },	/* SD1_DAT0 */
+	{ RCAR_GP_PIN(3,  7),    PU3, 17 },	/* SD1_CMD */
+	{ RCAR_GP_PIN(3,  6),    PU3, 16 },	/* SD1_CLK */
+	{ RCAR_GP_PIN(3,  5),    PU3, 15 },	/* SD0_DAT3 */
+	{ RCAR_GP_PIN(3,  4),    PU3, 14 },	/* SD0_DAT2 */
+	{ RCAR_GP_PIN(3,  3),    PU3, 13 },	/* SD0_DAT1 */
+	{ RCAR_GP_PIN(3,  2),    PU3, 12 },	/* SD0_DAT0 */
+	{ RCAR_GP_PIN(3,  1),    PU3, 11 },	/* SD0_CMD */
+	{ RCAR_GP_PIN(3,  0),    PU3, 10 },	/* SD0_CLK */
+	{ PIN_A_NUMBER('T', 30), PU3,  9 },	/* ASEBRK */
+	/* bit 8 n/a */
+	{ PIN_A_NUMBER('R', 29), PU3,  7 },	/* TDI */
+	{ PIN_A_NUMBER('R', 30), PU3,  6 },	/* TMS */
+	{ PIN_A_NUMBER('T', 27), PU3,  5 },	/* TCK */
+	{ PIN_A_NUMBER('R', 26), PU3,  4 },	/* TRST# */
+	{ PIN_A_NUMBER('D', 39), PU3,  3 },	/* EXTALR*/
+	{ PIN_A_NUMBER('D', 38), PU3,  2 },	/* FSCLKST */
+	/* bit 1 n/a on M3*/
+	{ PIN_A_NUMBER('R', 8),  PU3,  0 },	/* DU_DOTCLKIN2 */
+
+	{ RCAR_GP_PIN(5, 19),    PU4, 31 },	/* MSIOF0_SS1 */
+	{ RCAR_GP_PIN(5, 18),    PU4, 30 },	/* MSIOF0_SYNC */
+	{ RCAR_GP_PIN(5, 17),    PU4, 29 },	/* MSIOF0_SCK */
+	{ RCAR_GP_PIN(5, 16),    PU4, 28 },	/* HRTS0_N */
+	{ RCAR_GP_PIN(5, 15),    PU4, 27 },	/* HCTS0_N */
+	{ RCAR_GP_PIN(5, 14),    PU4, 26 },	/* HTX0 */
+	{ RCAR_GP_PIN(5, 13),    PU4, 25 },	/* HRX0 */
+	{ RCAR_GP_PIN(5, 12),    PU4, 24 },	/* HSCK0 */
+	{ RCAR_GP_PIN(5, 11),    PU4, 23 },	/* RX2_A */
+	{ RCAR_GP_PIN(5, 10),    PU4, 22 },	/* TX2_A */
+	{ RCAR_GP_PIN(5,  9),    PU4, 21 },	/* SCK2 */
+	{ RCAR_GP_PIN(5,  8),    PU4, 20 },	/* RTS1_N_TANS */
+	{ RCAR_GP_PIN(5,  7),    PU4, 19 },	/* CTS1_N */
+	{ RCAR_GP_PIN(5,  6),    PU4, 18 },	/* TX1_A */
+	{ RCAR_GP_PIN(5,  5),    PU4, 17 },	/* RX1_A */
+	{ RCAR_GP_PIN(5,  4),    PU4, 16 },	/* RTS0_N_TANS */
+	{ RCAR_GP_PIN(5,  3),    PU4, 15 },	/* CTS0_N */
+	{ RCAR_GP_PIN(5,  2),    PU4, 14 },	/* TX0 */
+	{ RCAR_GP_PIN(5,  1),    PU4, 13 },	/* RX0 */
+	{ RCAR_GP_PIN(5,  0),    PU4, 12 },	/* SCK0 */
+	{ RCAR_GP_PIN(3, 15),    PU4, 11 },	/* SD1_WP */
+	{ RCAR_GP_PIN(3, 14),    PU4, 10 },	/* SD1_CD */
+	{ RCAR_GP_PIN(3, 13),    PU4,  9 },	/* SD0_WP */
+	{ RCAR_GP_PIN(3, 12),    PU4,  8 },	/* SD0_CD */
+	{ RCAR_GP_PIN(4, 17),    PU4,  7 },	/* SD3_DS */
+	{ RCAR_GP_PIN(4, 16),    PU4,  6 },	/* SD3_DAT7 */
+	{ RCAR_GP_PIN(4, 15),    PU4,  5 },	/* SD3_DAT6 */
+	{ RCAR_GP_PIN(4, 14),    PU4,  4 },	/* SD3_DAT5 */
+	{ RCAR_GP_PIN(4, 13),    PU4,  3 },	/* SD3_DAT4 */
+	{ RCAR_GP_PIN(4, 12),    PU4,  2 },	/* SD3_DAT3 */
+	{ RCAR_GP_PIN(4, 11),    PU4,  1 },	/* SD3_DAT2 */
+	{ RCAR_GP_PIN(4, 10),    PU4,  0 },	/* SD3_DAT1 */
+
+	{ RCAR_GP_PIN(6, 24),    PU5, 31 },	/* USB0_PWEN */
+	{ RCAR_GP_PIN(6, 23),    PU5, 30 },	/* AUDIO_CLKB_B */
+	{ RCAR_GP_PIN(6, 22),    PU5, 29 },	/* AUDIO_CLKA_A */
+	{ RCAR_GP_PIN(6, 21),    PU5, 28 },	/* SSI_SDATA9_A */
+	{ RCAR_GP_PIN(6, 20),    PU5, 27 },	/* SSI_SDATA8 */
+	{ RCAR_GP_PIN(6, 19),    PU5, 26 },	/* SSI_SDATA7 */
+	{ RCAR_GP_PIN(6, 18),    PU5, 25 },	/* SSI_WS78 */
+	{ RCAR_GP_PIN(6, 17),    PU5, 24 },	/* SSI_SCK78 */
+	{ RCAR_GP_PIN(6, 16),    PU5, 23 },	/* SSI_SDATA6 */
+	{ RCAR_GP_PIN(6, 15),    PU5, 22 },	/* SSI_WS6 */
+	{ RCAR_GP_PIN(6, 14),    PU5, 21 },	/* SSI_SCK6 */
+	{ RCAR_GP_PIN(6, 13),    PU5, 20 },	/* SSI_SDATA5 */
+	{ RCAR_GP_PIN(6, 12),    PU5, 19 },	/* SSI_WS5 */
+	{ RCAR_GP_PIN(6, 11),    PU5, 18 },	/* SSI_SCK5 */
+	{ RCAR_GP_PIN(6, 10),    PU5, 17 },	/* SSI_SDATA4 */
+	{ RCAR_GP_PIN(6,  9),    PU5, 16 },	/* SSI_WS4 */
+	{ RCAR_GP_PIN(6,  8),    PU5, 15 },	/* SSI_SCK4 */
+	{ RCAR_GP_PIN(6,  7),    PU5, 14 },	/* SSI_SDATA3 */
+	{ RCAR_GP_PIN(6,  6),    PU5, 13 },	/* SSI_WS349 */
+	{ RCAR_GP_PIN(6,  5),    PU5, 12 },	/* SSI_SCK349 */
+	{ RCAR_GP_PIN(6,  4),    PU5, 11 },	/* SSI_SDATA2_A */
+	{ RCAR_GP_PIN(6,  3),    PU5, 10 },	/* SSI_SDATA1_A */
+	{ RCAR_GP_PIN(6,  2),    PU5,  9 },	/* SSI_SDATA0 */
+	{ RCAR_GP_PIN(6,  1),    PU5,  8 },	/* SSI_WS01239 */
+	{ RCAR_GP_PIN(6,  0),    PU5,  7 },	/* SSI_SCK01239 */
+	{ PIN_NUMBER('H', 37),   PU5,  6 },	/* MLB_REF */
+	{ RCAR_GP_PIN(5, 25),    PU5,  5 },	/* MLB_DAT */
+	{ RCAR_GP_PIN(5, 24),    PU5,  4 },	/* MLB_SIG */
+	{ RCAR_GP_PIN(5, 23),    PU5,  3 },	/* MLB_CLK */
+	{ RCAR_GP_PIN(5, 22),    PU5,  2 },	/* MSIOF0_RXD */
+	{ RCAR_GP_PIN(5, 21),    PU5,  1 },	/* MSIOF0_SS2 */
+	{ RCAR_GP_PIN(5, 20),    PU5,  0 },	/* MSIOF0_TXD */
+
+	{ RCAR_GP_PIN(6, 31),    PU6,  6 },	/* GP6_31 */
+	{ RCAR_GP_PIN(6, 30),    PU6,  5 },	/* GP6_30 */
+	{ RCAR_GP_PIN(6, 29),    PU6,  4 },	/* USB30_OVC */
+	{ RCAR_GP_PIN(6, 28),    PU6,  3 },	/* USB30_PWEN */
+	{ RCAR_GP_PIN(6, 27),    PU6,  2 },	/* USB1_OVC */
+	{ RCAR_GP_PIN(6, 26),    PU6,  1 },	/* USB1_PWEN */
+	{ RCAR_GP_PIN(6, 25),    PU6,  0 },	/* USB0_OVC */
+};
+
+static unsigned int r8a7796_pinmux_get_bias(struct sh_pfc *pfc,
+					    unsigned int pin)
+{
+	const struct sh_pfc_bias_info *info;
+	u32 reg;
+	u32 bit;
+
+	info = sh_pfc_pin_to_bias_info(bias_info, ARRAY_SIZE(bias_info), pin);
+	if (!info)
+		return PIN_CONFIG_BIAS_DISABLE;
+
+	reg = info->reg;
+	bit = BIT(info->bit);
+
+	if (!(sh_pfc_read_reg(pfc, PUEN + reg, 32) & bit))
+		return PIN_CONFIG_BIAS_DISABLE;
+	else if (sh_pfc_read_reg(pfc, PUD + reg, 32) & bit)
+		return PIN_CONFIG_BIAS_PULL_UP;
+	else
+		return PIN_CONFIG_BIAS_PULL_DOWN;
+}
+
+static void r8a7796_pinmux_set_bias(struct sh_pfc *pfc, unsigned int pin,
+				   unsigned int bias)
+{
+	const struct sh_pfc_bias_info *info;
+	u32 enable, updown;
+	u32 reg;
+	u32 bit;
+
+	info = sh_pfc_pin_to_bias_info(bias_info, ARRAY_SIZE(bias_info), pin);
+	if (!info)
+		return;
+
+	reg = info->reg;
+	bit = BIT(info->bit);
+
+	enable = sh_pfc_read_reg(pfc, PUEN + reg, 32) & ~bit;
+	if (bias != PIN_CONFIG_BIAS_DISABLE)
+		enable |= bit;
+
+	updown = sh_pfc_read_reg(pfc, PUD + reg, 32) & ~bit;
+	if (bias == PIN_CONFIG_BIAS_PULL_UP)
+		updown |= bit;
+
+	sh_pfc_write_reg(pfc, PUD + reg, 32, updown);
+	sh_pfc_write_reg(pfc, PUEN + reg, 32, enable);
+}
+
+static const struct sh_pfc_soc_operations r8a7796_pinmux_ops = {
+	.pin_to_pocctrl = r8a7796_pin_to_pocctrl,
+	.get_bias = r8a7796_pinmux_get_bias,
+	.set_bias = r8a7796_pinmux_set_bias,
+};
+
+const struct sh_pfc_soc_info r8a7796_pinmux_info = {
+	.name = "r8a77960_pfc",
+	.ops = &r8a7796_pinmux_ops,
+	.unlock_reg = 0xe6060000, /* PMMR */
+
+	.function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
+
+	.pins = pinmux_pins,
+	.nr_pins = ARRAY_SIZE(pinmux_pins),
+	.groups = pinmux_groups,
+	.nr_groups = ARRAY_SIZE(pinmux_groups),
+	.functions = pinmux_functions,
+	.nr_functions = ARRAY_SIZE(pinmux_functions),
+
+	.cfg_regs = pinmux_config_regs,
+	.drive_regs = pinmux_drive_regs,
+
+	.pinmux_data = pinmux_data,
+	.pinmux_data_size = ARRAY_SIZE(pinmux_data),
+};
diff --git a/drivers/pinctrl/renesas/pfc.c b/drivers/pinctrl/renesas/pfc.c
new file mode 100644
index 0000000000..63e2eeb449
--- /dev/null
+++ b/drivers/pinctrl/renesas/pfc.c
@@ -0,0 +1,565 @@
+/*
+ * Pin Control driver for SuperH Pin Function Controller.
+ *
+ * Authors: Magnus Damm, Paul Mundt, Laurent Pinchart
+ *
+ * Copyright (C) 2008 Magnus Damm
+ * Copyright (C) 2009 - 2012 Paul Mundt
+ * Copyright (C) 2017 Marek Vasut
+ *
+ * SPDX-License-Identifier:	GPL-2.0
+ */
+
+#define DRV_NAME "sh-pfc"
+
+#include <common.h>
+#include <dm.h>
+#include <errno.h>
+#include <dm/pinctrl.h>
+#include <linux/io.h>
+#include <linux/sizes.h>
+
+#include "sh_pfc.h"
+
+DECLARE_GLOBAL_DATA_PTR;
+
+enum sh_pfc_model {
+	SH_PFC_R8A7795 = 0,
+	SH_PFC_R8A7796,
+};
+
+struct sh_pfc_pin_config {
+	u32 type;
+};
+
+struct sh_pfc_pinctrl {
+	struct sh_pfc *pfc;
+
+	struct sh_pfc_pin_config *configs;
+
+	const char *func_prop_name;
+	const char *groups_prop_name;
+	const char *pins_prop_name;
+};
+
+struct sh_pfc_pin_range {
+	u16 start;
+	u16 end;
+};
+
+struct sh_pfc_pinctrl_priv {
+	struct sh_pfc			pfc;
+	struct sh_pfc_pinctrl		pmx;
+};
+
+int sh_pfc_get_pin_index(struct sh_pfc *pfc, unsigned int pin)
+{
+	unsigned int offset;
+	unsigned int i;
+
+	for (i = 0, offset = 0; i < pfc->nr_ranges; ++i) {
+		const struct sh_pfc_pin_range *range = &pfc->ranges[i];
+
+		if (pin <= range->end)
+			return pin >= range->start
+			     ? offset + pin - range->start : -1;
+
+		offset += range->end - range->start + 1;
+	}
+
+	return -EINVAL;
+}
+
+static int sh_pfc_enum_in_range(u16 enum_id, const struct pinmux_range *r)
+{
+	if (enum_id < r->begin)
+		return 0;
+
+	if (enum_id > r->end)
+		return 0;
+
+	return 1;
+}
+
+u32 sh_pfc_read_raw_reg(void __iomem *mapped_reg, unsigned int reg_width)
+{
+	switch (reg_width) {
+	case 8:
+		return readb(mapped_reg);
+	case 16:
+		return readw(mapped_reg);
+	case 32:
+		return readl(mapped_reg);
+	}
+
+	BUG();
+	return 0;
+}
+
+void sh_pfc_write_raw_reg(void __iomem *mapped_reg, unsigned int reg_width,
+			  u32 data)
+{
+	switch (reg_width) {
+	case 8:
+		writeb(data, mapped_reg);
+		return;
+	case 16:
+		writew(data, mapped_reg);
+		return;
+	case 32:
+		writel(data, mapped_reg);
+		return;
+	}
+
+	BUG();
+}
+
+u32 sh_pfc_read_reg(struct sh_pfc *pfc, u32 reg, unsigned int width)
+{
+	return sh_pfc_read_raw_reg(pfc->regs + reg, width);
+}
+
+void sh_pfc_write_reg(struct sh_pfc *pfc, u32 reg, unsigned int width, u32 data)
+{
+	void __iomem *unlock_reg =
+		(void __iomem *)(uintptr_t)pfc->info->unlock_reg;
+
+	if (pfc->info->unlock_reg)
+		sh_pfc_write_raw_reg(unlock_reg, 32, ~data);
+
+	sh_pfc_write_raw_reg(pfc->regs + reg, width, data);
+}
+
+static void sh_pfc_config_reg_helper(struct sh_pfc *pfc,
+				     const struct pinmux_cfg_reg *crp,
+				     unsigned int in_pos,
+				     void __iomem **mapped_regp, u32 *maskp,
+				     unsigned int *posp)
+{
+	unsigned int k;
+
+	*mapped_regp = (void __iomem *)(uintptr_t)crp->reg;
+
+	if (crp->field_width) {
+		*maskp = (1 << crp->field_width) - 1;
+		*posp = crp->reg_width - ((in_pos + 1) * crp->field_width);
+	} else {
+		*maskp = (1 << crp->var_field_width[in_pos]) - 1;
+		*posp = crp->reg_width;
+		for (k = 0; k <= in_pos; k++)
+			*posp -= crp->var_field_width[k];
+	}
+}
+
+static void sh_pfc_write_config_reg(struct sh_pfc *pfc,
+				    const struct pinmux_cfg_reg *crp,
+				    unsigned int field, u32 value)
+{
+	void __iomem *mapped_reg;
+	void __iomem *unlock_reg =
+		(void __iomem *)(uintptr_t)pfc->info->unlock_reg;
+	unsigned int pos;
+	u32 mask, data;
+
+	sh_pfc_config_reg_helper(pfc, crp, field, &mapped_reg, &mask, &pos);
+
+	dev_dbg(pfc->dev, "write_reg addr = %x, value = 0x%x, field = %u, "
+		"r_width = %u, f_width = %u\n",
+		crp->reg, value, field, crp->reg_width, crp->field_width);
+
+	mask = ~(mask << pos);
+	value = value << pos;
+
+	data = sh_pfc_read_raw_reg(mapped_reg, crp->reg_width);
+	data &= mask;
+	data |= value;
+
+	if (pfc->info->unlock_reg)
+		sh_pfc_write_raw_reg(unlock_reg, 32, ~data);
+
+	sh_pfc_write_raw_reg(mapped_reg, crp->reg_width, data);
+}
+
+static int sh_pfc_get_config_reg(struct sh_pfc *pfc, u16 enum_id,
+				 const struct pinmux_cfg_reg **crp,
+				 unsigned int *fieldp, u32 *valuep)
+{
+	unsigned int k = 0;
+
+	while (1) {
+		const struct pinmux_cfg_reg *config_reg =
+			pfc->info->cfg_regs + k;
+		unsigned int r_width = config_reg->reg_width;
+		unsigned int f_width = config_reg->field_width;
+		unsigned int curr_width;
+		unsigned int bit_pos;
+		unsigned int pos = 0;
+		unsigned int m = 0;
+
+		if (!r_width)
+			break;
+
+		for (bit_pos = 0; bit_pos < r_width; bit_pos += curr_width) {
+			u32 ncomb;
+			u32 n;
+
+			if (f_width)
+				curr_width = f_width;
+			else
+				curr_width = config_reg->var_field_width[m];
+
+			ncomb = 1 << curr_width;
+			for (n = 0; n < ncomb; n++) {
+				if (config_reg->enum_ids[pos + n] == enum_id) {
+					*crp = config_reg;
+					*fieldp = m;
+					*valuep = n;
+					return 0;
+				}
+			}
+			pos += ncomb;
+			m++;
+		}
+		k++;
+	}
+
+	return -EINVAL;
+}
+
+static int sh_pfc_mark_to_enum(struct sh_pfc *pfc, u16 mark, int pos,
+			      u16 *enum_idp)
+{
+	const u16 *data = pfc->info->pinmux_data;
+	unsigned int k;
+
+	if (pos) {
+		*enum_idp = data[pos + 1];
+		return pos + 1;
+	}
+
+	for (k = 0; k < pfc->info->pinmux_data_size; k++) {
+		if (data[k] == mark) {
+			*enum_idp = data[k + 1];
+			return k + 1;
+		}
+	}
+
+	dev_err(pfc->dev, "cannot locate data/mark enum_id for mark %d\n",
+		mark);
+	return -EINVAL;
+}
+
+int sh_pfc_config_mux(struct sh_pfc *pfc, unsigned mark, int pinmux_type)
+{
+	const struct pinmux_range *range;
+	int pos = 0;
+
+	switch (pinmux_type) {
+	case PINMUX_TYPE_GPIO:
+	case PINMUX_TYPE_FUNCTION:
+		range = NULL;
+		break;
+
+	case PINMUX_TYPE_OUTPUT:
+		range = &pfc->info->output;
+		break;
+
+	case PINMUX_TYPE_INPUT:
+		range = &pfc->info->input;
+		break;
+
+	default:
+		return -EINVAL;
+	}
+
+	/* Iterate over all the configuration fields we need to update. */
+	while (1) {
+		const struct pinmux_cfg_reg *cr;
+		unsigned int field;
+		u16 enum_id;
+		u32 value;
+		int in_range;
+		int ret;
+
+		pos = sh_pfc_mark_to_enum(pfc, mark, pos, &enum_id);
+		if (pos < 0)
+			return pos;
+
+		if (!enum_id)
+			break;
+
+		/* Check if the configuration field selects a function. If it
+		 * doesn't, skip the field if it's not applicable to the
+		 * requested pinmux type.
+		 */
+		in_range = sh_pfc_enum_in_range(enum_id, &pfc->info->function);
+		if (!in_range) {
+			if (pinmux_type == PINMUX_TYPE_FUNCTION) {
+				/* Functions are allowed to modify all
+				 * fields.
+				 */
+				in_range = 1;
+			} else if (pinmux_type != PINMUX_TYPE_GPIO) {
+				/* Input/output types can only modify fields
+				 * that correspond to their respective ranges.
+				 */
+				in_range = sh_pfc_enum_in_range(enum_id, range);
+
+				/*
+				 * special case pass through for fixed
+				 * input-only or output-only pins without
+				 * function enum register association.
+				 */
+				if (in_range && enum_id == range->force)
+					continue;
+			}
+			/* GPIOs are only allowed to modify function fields. */
+		}
+
+		if (!in_range)
+			continue;
+
+		ret = sh_pfc_get_config_reg(pfc, enum_id, &cr, &field, &value);
+		if (ret < 0)
+			return ret;
+
+		sh_pfc_write_config_reg(pfc, cr, field, value);
+	}
+
+	return 0;
+}
+
+const struct sh_pfc_bias_info *
+sh_pfc_pin_to_bias_info(const struct sh_pfc_bias_info *info,
+			unsigned int num, unsigned int pin)
+{
+	unsigned int i;
+
+	for (i = 0; i < num; i++)
+		if (info[i].pin == pin)
+			return &info[i];
+
+	printf("Pin %u is not in bias info list\n", pin);
+
+	return NULL;
+}
+
+static int sh_pfc_init_ranges(struct sh_pfc *pfc)
+{
+	struct sh_pfc_pin_range *range;
+	unsigned int nr_ranges;
+	unsigned int i;
+
+	if (pfc->info->pins[0].pin == (u16)-1) {
+		/* Pin number -1 denotes that the SoC doesn't report pin numbers
+		 * in its pin arrays yet. Consider the pin numbers range as
+		 * continuous and allocate a single range.
+		 */
+		pfc->nr_ranges = 1;
+		pfc->ranges = kzalloc(sizeof(*pfc->ranges), GFP_KERNEL);
+		if (pfc->ranges == NULL)
+			return -ENOMEM;
+
+		pfc->ranges->start = 0;
+		pfc->ranges->end = pfc->info->nr_pins - 1;
+		pfc->nr_gpio_pins = pfc->info->nr_pins;
+
+		return 0;
+	}
+
+	/* Count, allocate and fill the ranges. The PFC SoC data pins array must
+	 * be sorted by pin numbers, and pins without a GPIO port must come
+	 * last.
+	 */
+	for (i = 1, nr_ranges = 1; i < pfc->info->nr_pins; ++i) {
+		if (pfc->info->pins[i-1].pin != pfc->info->pins[i].pin - 1)
+			nr_ranges++;
+	}
+
+	pfc->nr_ranges = nr_ranges;
+	pfc->ranges = kzalloc(sizeof(*pfc->ranges) * nr_ranges, GFP_KERNEL);
+	if (pfc->ranges == NULL)
+		return -ENOMEM;
+
+	range = pfc->ranges;
+	range->start = pfc->info->pins[0].pin;
+
+	for (i = 1; i < pfc->info->nr_pins; ++i) {
+		if (pfc->info->pins[i-1].pin == pfc->info->pins[i].pin - 1)
+			continue;
+
+		range->end = pfc->info->pins[i-1].pin;
+		if (!(pfc->info->pins[i-1].configs & SH_PFC_PIN_CFG_NO_GPIO))
+			pfc->nr_gpio_pins = range->end + 1;
+
+		range++;
+		range->start = pfc->info->pins[i].pin;
+	}
+
+	range->end = pfc->info->pins[i-1].pin;
+	if (!(pfc->info->pins[i-1].configs & SH_PFC_PIN_CFG_NO_GPIO))
+		pfc->nr_gpio_pins = range->end + 1;
+
+	return 0;
+}
+
+static int sh_pfc_pinctrl_get_pins_count(struct udevice *dev)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+
+	return priv->pfc.info->nr_pins;
+}
+
+static const char *sh_pfc_pinctrl_get_pin_name(struct udevice *dev,
+						  unsigned selector)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+
+	return priv->pfc.info->pins[selector].name;
+}
+
+static int sh_pfc_pinctrl_get_groups_count(struct udevice *dev)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+
+	return priv->pfc.info->nr_groups;
+}
+
+static const char *sh_pfc_pinctrl_get_group_name(struct udevice *dev,
+						  unsigned selector)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+
+	return priv->pfc.info->groups[selector].name;
+}
+
+static int sh_pfc_pinctrl_get_functions_count(struct udevice *dev)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+
+	return priv->pfc.info->nr_functions;
+}
+
+static const char *sh_pfc_pinctrl_get_function_name(struct udevice *dev,
+						  unsigned selector)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+
+	return priv->pfc.info->functions[selector].name;
+}
+
+static int sh_pfc_pinctrl_group_set(struct udevice *dev, unsigned group_selector,
+				     unsigned func_selector)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+	struct sh_pfc_pinctrl *pmx = &priv->pmx;
+	struct sh_pfc *pfc = &priv->pfc;
+	const struct sh_pfc_pin_group *grp = &priv->pfc.info->groups[group_selector];
+	unsigned int i;
+	int ret = 0;
+
+	for (i = 0; i < grp->nr_pins; ++i) {
+		int idx = sh_pfc_get_pin_index(pfc, grp->pins[i]);
+		struct sh_pfc_pin_config *cfg = &pmx->configs[idx];
+
+		if (cfg->type != PINMUX_TYPE_NONE) {
+			ret = -EBUSY;
+			goto done;
+		}
+	}
+
+	for (i = 0; i < grp->nr_pins; ++i) {
+		ret = sh_pfc_config_mux(pfc, grp->mux[i], PINMUX_TYPE_FUNCTION);
+		if (ret < 0)
+			break;
+	}
+
+done:
+	return ret;
+}
+
+static struct pinctrl_ops sh_pfc_pinctrl_ops = {
+	.get_pins_count		= sh_pfc_pinctrl_get_pins_count,
+	.get_pin_name		= sh_pfc_pinctrl_get_pin_name,
+	.get_groups_count	= sh_pfc_pinctrl_get_groups_count,
+	.get_group_name		= sh_pfc_pinctrl_get_group_name,
+	.get_functions_count	= sh_pfc_pinctrl_get_functions_count,
+	.get_function_name	= sh_pfc_pinctrl_get_function_name,
+
+	.pinmux_group_set	= sh_pfc_pinctrl_group_set,
+	.set_state		= pinctrl_generic_set_state,
+};
+
+static int sh_pfc_map_pins(struct sh_pfc *pfc, struct sh_pfc_pinctrl *pmx)
+{
+	unsigned int i;
+
+	/* Allocate and initialize the pins and configs arrays. */
+	pmx->configs = kzalloc(sizeof(*pmx->configs) * pfc->info->nr_pins,
+				    GFP_KERNEL);
+	if (unlikely(!pmx->configs))
+		return -ENOMEM;
+
+	for (i = 0; i < pfc->info->nr_pins; ++i) {
+		struct sh_pfc_pin_config *cfg = &pmx->configs[i];
+		cfg->type = PINMUX_TYPE_NONE;
+	}
+
+	return 0;
+}
+
+
+static int sh_pfc_pinctrl_probe(struct udevice *dev)
+{
+	struct sh_pfc_pinctrl_priv *priv = dev_get_priv(dev);
+	enum sh_pfc_model model = dev_get_driver_data(dev);
+	fdt_addr_t base;
+
+	base = devfdt_get_addr(dev);
+	if (base == FDT_ADDR_T_NONE)
+		return -EINVAL;
+
+	priv->pfc.regs = devm_ioremap(dev, base, SZ_2K);
+	if (!priv->pfc.regs)
+		return -ENOMEM;
+
+#ifdef CONFIG_PINCTRL_PFC_R8A7795
+	if (model == SH_PFC_R8A7795)
+		priv->pfc.info = &r8a7795_pinmux_info;
+#endif
+#ifdef CONFIG_PINCTRL_PFC_R8A7796
+	if (model == SH_PFC_R8A7796)
+		priv->pfc.info = &r8a7796_pinmux_info;
+#endif
+
+	priv->pmx.pfc = &priv->pfc;
+	sh_pfc_init_ranges(&priv->pfc);
+	sh_pfc_map_pins(&priv->pfc, &priv->pmx);
+
+	return 0;
+}
+
+static const struct udevice_id sh_pfc_pinctrl_ids[] = {
+#ifdef CONFIG_PINCTRL_PFC_R8A7795
+	{
+		.compatible = "renesas,pfc-r8a7795",
+		.data = SH_PFC_R8A7795,
+	},
+#endif
+#ifdef CONFIG_PINCTRL_PFC_R8A7796
+	{
+		.compatible = "renesas,pfc-r8a7796",
+		.data = SH_PFC_R8A7796,
+	},
+#endif
+	{ },
+};
+
+U_BOOT_DRIVER(pinctrl_sh_pfc) = {
+	.name		= "sh_pfc_pinctrl",
+	.id		= UCLASS_PINCTRL,
+	.of_match	= sh_pfc_pinctrl_ids,
+	.priv_auto_alloc_size = sizeof(struct sh_pfc_pinctrl_priv),
+	.ops		= &sh_pfc_pinctrl_ops,
+	.probe		= sh_pfc_pinctrl_probe,
+};
diff --git a/drivers/pinctrl/renesas/sh_pfc.h b/drivers/pinctrl/renesas/sh_pfc.h
new file mode 100644
index 0000000000..7aef2d360b
--- /dev/null
+++ b/drivers/pinctrl/renesas/sh_pfc.h
@@ -0,0 +1,575 @@
+/*
+ * SuperH Pin Function Controller Support
+ *
+ * Copyright (c) 2008 Magnus Damm
+ *
+ * This file is subject to the terms and conditions of the GNU General Public
+ * License.  See the file "COPYING" in the main directory of this archive
+ * for more details.
+ */
+
+#ifndef __SH_PFC_H
+#define __SH_PFC_H
+
+#include <linux/stringify.h>
+
+enum {
+	PINMUX_TYPE_NONE,
+	PINMUX_TYPE_FUNCTION,
+	PINMUX_TYPE_GPIO,
+	PINMUX_TYPE_OUTPUT,
+	PINMUX_TYPE_INPUT,
+};
+
+#define SH_PFC_PIN_CFG_INPUT		(1 << 0)
+#define SH_PFC_PIN_CFG_OUTPUT		(1 << 1)
+#define SH_PFC_PIN_CFG_PULL_UP		(1 << 2)
+#define SH_PFC_PIN_CFG_PULL_DOWN	(1 << 3)
+#define SH_PFC_PIN_CFG_IO_VOLTAGE	(1 << 4)
+#define SH_PFC_PIN_CFG_DRIVE_STRENGTH	(1 << 5)
+#define SH_PFC_PIN_CFG_NO_GPIO		(1 << 31)
+
+struct sh_pfc_pin {
+	u16 pin;
+	u16 enum_id;
+	const char *name;
+	unsigned int configs;
+};
+
+#define SH_PFC_PIN_GROUP(n)				\
+	{						\
+		.name = #n,				\
+		.pins = n##_pins,			\
+		.mux = n##_mux,				\
+		.nr_pins = ARRAY_SIZE(n##_pins),	\
+	}
+
+struct sh_pfc_pin_group {
+	const char *name;
+	const unsigned int *pins;
+	const unsigned int *mux;
+	unsigned int nr_pins;
+};
+
+/*
+ * Using union vin_data saves memory occupied by the VIN data pins.
+ * VIN_DATA_PIN_GROUP() is  a macro  used  to describe the VIN pin groups
+ * in this case.
+ */
+#define VIN_DATA_PIN_GROUP(n, s)				\
+	{							\
+		.name = #n#s,					\
+		.pins = n##_pins.data##s,			\
+		.mux = n##_mux.data##s,				\
+		.nr_pins = ARRAY_SIZE(n##_pins.data##s),	\
+	}
+
+union vin_data {
+	unsigned int data24[24];
+	unsigned int data20[20];
+	unsigned int data16[16];
+	unsigned int data12[12];
+	unsigned int data10[10];
+	unsigned int data8[8];
+	unsigned int data4[4];
+};
+
+#define SH_PFC_FUNCTION(n)				\
+	{						\
+		.name = #n,				\
+		.groups = n##_groups,			\
+		.nr_groups = ARRAY_SIZE(n##_groups),	\
+	}
+
+struct sh_pfc_function {
+	const char *name;
+	const char * const *groups;
+	unsigned int nr_groups;
+};
+
+struct pinmux_func {
+	u16 enum_id;
+	const char *name;
+};
+
+struct pinmux_cfg_reg {
+	u32 reg;
+	u8 reg_width, field_width;
+	const u16 *enum_ids;
+	const u8 *var_field_width;
+};
+
+/*
+ * Describe a config register consisting of several fields of the same width
+ *   - name: Register name (unused, for documentation purposes only)
+ *   - r: Physical register address
+ *   - r_width: Width of the register (in bits)
+ *   - f_width: Width of the fixed-width register fields (in bits)
+ * This macro must be followed by initialization data: For each register field
+ * (from left to right, i.e. MSB to LSB), 2^f_width enum IDs must be specified,
+ * one for each possible combination of the register field bit values.
+ */
+#define PINMUX_CFG_REG(name, r, r_width, f_width) \
+	.reg = r, .reg_width = r_width, .field_width = f_width,		\
+	.enum_ids = (const u16 [(r_width / f_width) * (1 << f_width)])
+
+/*
+ * Describe a config register consisting of several fields of different widths
+ *   - name: Register name (unused, for documentation purposes only)
+ *   - r: Physical register address
+ *   - r_width: Width of the register (in bits)
+ *   - var_fw0, var_fwn...: List of widths of the register fields (in bits),
+ *                          From left to right (i.e. MSB to LSB)
+ * This macro must be followed by initialization data: For each register field
+ * (from left to right, i.e. MSB to LSB), 2^var_fwi enum IDs must be specified,
+ * one for each possible combination of the register field bit values.
+ */
+#define PINMUX_CFG_REG_VAR(name, r, r_width, var_fw0, var_fwn...) \
+	.reg = r, .reg_width = r_width,	\
+	.var_field_width = (const u8 [r_width]) \
+		{ var_fw0, var_fwn, 0 }, \
+	.enum_ids = (const u16 [])
+
+struct pinmux_drive_reg_field {
+	u16 pin;
+	u8 offset;
+	u8 size;
+};
+
+struct pinmux_drive_reg {
+	u32 reg;
+	const struct pinmux_drive_reg_field fields[8];
+};
+
+#define PINMUX_DRIVE_REG(name, r) \
+	.reg = r, \
+	.fields =
+
+struct pinmux_data_reg {
+	u32 reg;
+	u8 reg_width;
+	const u16 *enum_ids;
+};
+
+/*
+ * Describe a data register
+ *   - name: Register name (unused, for documentation purposes only)
+ *   - r: Physical register address
+ *   - r_width: Width of the register (in bits)
+ * This macro must be followed by initialization data: For each register bit
+ * (from left to right, i.e. MSB to LSB), one enum ID must be specified.
+ */
+#define PINMUX_DATA_REG(name, r, r_width) \
+	.reg = r, .reg_width = r_width,	\
+	.enum_ids = (const u16 [r_width]) \
+
+struct pinmux_irq {
+	const short *gpios;
+};
+
+/*
+ * Describe the mapping from GPIOs to a single IRQ
+ *   - ids...: List of GPIOs that are mapped to the same IRQ
+ */
+#define PINMUX_IRQ(ids...)			   \
+	{ .gpios = (const short []) { ids, -1 } }
+
+struct pinmux_range {
+	u16 begin;
+	u16 end;
+	u16 force;
+};
+
+struct sh_pfc_bias_info {
+	u16 pin;
+	u16 reg : 11;
+	u16 bit : 5;
+};
+
+struct sh_pfc_pin_range;
+
+struct sh_pfc {
+	struct device *dev;
+	const struct sh_pfc_soc_info *info;
+
+	void *regs;
+
+	struct sh_pfc_pin_range *ranges;
+	unsigned int nr_ranges;
+
+	unsigned int nr_gpio_pins;
+
+	struct sh_pfc_chip *gpio;
+};
+
+struct sh_pfc_soc_operations {
+	int (*init)(struct sh_pfc *pfc);
+	unsigned int (*get_bias)(struct sh_pfc *pfc, unsigned int pin);
+	void (*set_bias)(struct sh_pfc *pfc, unsigned int pin,
+			 unsigned int bias);
+	int (*pin_to_pocctrl)(struct sh_pfc *pfc, unsigned int pin, u32 *pocctrl);
+};
+
+struct sh_pfc_soc_info {
+	const char *name;
+	const struct sh_pfc_soc_operations *ops;
+
+	struct pinmux_range input;
+	struct pinmux_range output;
+	struct pinmux_range function;
+
+	const struct sh_pfc_pin *pins;
+	unsigned int nr_pins;
+	const struct sh_pfc_pin_group *groups;
+	unsigned int nr_groups;
+	const struct sh_pfc_function *functions;
+	unsigned int nr_functions;
+
+	const struct pinmux_cfg_reg *cfg_regs;
+	const struct pinmux_drive_reg *drive_regs;
+	const struct pinmux_data_reg *data_regs;
+
+	const u16 *pinmux_data;
+	unsigned int pinmux_data_size;
+
+	const struct pinmux_irq *gpio_irq;
+	unsigned int gpio_irq_size;
+
+	u32 unlock_reg;
+};
+
+u32 sh_pfc_read_reg(struct sh_pfc *pfc, u32 reg, unsigned int width);
+void sh_pfc_write_reg(struct sh_pfc *pfc, u32 reg, unsigned int width, u32 data);
+const struct sh_pfc_bias_info *
+sh_pfc_pin_to_bias_info(const struct sh_pfc_bias_info *info,
+			unsigned int num, unsigned int pin);
+
+extern const struct sh_pfc_soc_info r8a7795_pinmux_info;
+extern const struct sh_pfc_soc_info r8a7796_pinmux_info;
+/* -----------------------------------------------------------------------------
+ * Helper macros to create pin and port lists
+ */
+
+/*
+ * sh_pfc_soc_info pinmux_data array macros
+ */
+
+/*
+ * Describe generic pinmux data
+ *   - data_or_mark: *_DATA or *_MARK enum ID
+ *   - ids...: List of enum IDs to associate with data_or_mark
+ */
+#define PINMUX_DATA(data_or_mark, ids...)	data_or_mark, ids, 0
+
+/*
+ * Describe a pinmux configuration without GPIO function that needs
+ * configuration in a Peripheral Function Select Register (IPSR)
+ *   - ipsr: IPSR field (unused, for documentation purposes only)
+ *   - fn: Function name, referring to a field in the IPSR
+ */
+#define PINMUX_IPSR_NOGP(ipsr, fn)					\
+	PINMUX_DATA(fn##_MARK, FN_##fn)
+
+/*
+ * Describe a pinmux configuration with GPIO function that needs configuration
+ * in both a Peripheral Function Select Register (IPSR) and in a
+ * GPIO/Peripheral Function Select Register (GPSR)
+ *   - ipsr: IPSR field
+ *   - fn: Function name, also referring to the IPSR field
+ */
+#define PINMUX_IPSR_GPSR(ipsr, fn)					\
+	PINMUX_DATA(fn##_MARK, FN_##fn, FN_##ipsr)
+
+/*
+ * Describe a pinmux configuration without GPIO function that needs
+ * configuration in a Peripheral Function Select Register (IPSR), and where the
+ * pinmux function has a representation in a Module Select Register (MOD_SEL).
+ *   - ipsr: IPSR field (unused, for documentation purposes only)
+ *   - fn: Function name, also referring to the IPSR field
+ *   - msel: Module selector
+ */
+#define PINMUX_IPSR_NOGM(ipsr, fn, msel)				\
+	PINMUX_DATA(fn##_MARK, FN_##fn, FN_##msel)
+
+/*
+ * Describe a pinmux configuration with GPIO function where the pinmux function
+ * has no representation in a Peripheral Function Select Register (IPSR), but
+ * instead solely depends on a group selection.
+ *   - gpsr: GPSR field
+ *   - fn: Function name, also referring to the GPSR field
+ *   - gsel: Group selector
+ */
+#define PINMUX_IPSR_NOFN(gpsr, fn, gsel)				\
+	PINMUX_DATA(fn##_MARK, FN_##gpsr, FN_##gsel)
+
+/*
+ * Describe a pinmux configuration with GPIO function that needs configuration
+ * in both a Peripheral Function Select Register (IPSR) and a GPIO/Peripheral
+ * Function Select Register (GPSR), and where the pinmux function has a
+ * representation in a Module Select Register (MOD_SEL).
+ *   - ipsr: IPSR field
+ *   - fn: Function name, also referring to the IPSR field
+ *   - msel: Module selector
+ */
+#define PINMUX_IPSR_MSEL(ipsr, fn, msel)				\
+	PINMUX_DATA(fn##_MARK, FN_##msel, FN_##fn, FN_##ipsr)
+
+/*
+ * Describe a pinmux configuration for a single-function pin with GPIO
+ * capability.
+ *   - fn: Function name
+ */
+#define PINMUX_SINGLE(fn)						\
+	PINMUX_DATA(fn##_MARK, FN_##fn)
+
+/*
+ * GP port style (32 ports banks)
+ */
+
+#define PORT_GP_CFG_1(bank, pin, fn, sfx, cfg)				\
+	fn(bank, pin, GP_##bank##_##pin, sfx, cfg)
+#define PORT_GP_1(bank, pin, fn, sfx)	PORT_GP_CFG_1(bank, pin, fn, sfx, 0)
+
+#define PORT_GP_CFG_4(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_1(bank, 0,  fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 1,  fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 2,  fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 3,  fn, sfx, cfg)
+#define PORT_GP_4(bank, fn, sfx)	PORT_GP_CFG_4(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_8(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_4(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 4,  fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 5,  fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 6,  fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 7,  fn, sfx, cfg)
+#define PORT_GP_8(bank, fn, sfx)	PORT_GP_CFG_8(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_9(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_8(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 8,  fn, sfx, cfg)
+#define PORT_GP_9(bank, fn, sfx)	PORT_GP_CFG_9(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_10(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_9(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 9,  fn, sfx, cfg)
+#define PORT_GP_10(bank, fn, sfx)	PORT_GP_CFG_10(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_12(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_10(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 10, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 11, fn, sfx, cfg)
+#define PORT_GP_12(bank, fn, sfx)	PORT_GP_CFG_12(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_14(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_12(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 12, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 13, fn, sfx, cfg)
+#define PORT_GP_14(bank, fn, sfx)	PORT_GP_CFG_14(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_15(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_14(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 14, fn, sfx, cfg)
+#define PORT_GP_15(bank, fn, sfx)	PORT_GP_CFG_15(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_16(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_15(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 15, fn, sfx, cfg)
+#define PORT_GP_16(bank, fn, sfx)	PORT_GP_CFG_16(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_17(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_16(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 16, fn, sfx, cfg)
+#define PORT_GP_17(bank, fn, sfx)	PORT_GP_CFG_17(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_18(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_17(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 17, fn, sfx, cfg)
+#define PORT_GP_18(bank, fn, sfx)	PORT_GP_CFG_18(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_20(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_18(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 18, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 19, fn, sfx, cfg)
+#define PORT_GP_20(bank, fn, sfx)	PORT_GP_CFG_20(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_21(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_20(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 20, fn, sfx, cfg)
+#define PORT_GP_21(bank, fn, sfx)	PORT_GP_CFG_21(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_23(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_21(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 21, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 22, fn, sfx, cfg)
+#define PORT_GP_23(bank, fn, sfx)	PORT_GP_CFG_23(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_24(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_23(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 23, fn, sfx, cfg)
+#define PORT_GP_24(bank, fn, sfx)	PORT_GP_CFG_24(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_26(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_24(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 24, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 25, fn, sfx, cfg)
+#define PORT_GP_26(bank, fn, sfx)	PORT_GP_CFG_26(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_28(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_26(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 26, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 27, fn, sfx, cfg)
+#define PORT_GP_28(bank, fn, sfx)	PORT_GP_CFG_28(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_29(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_28(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 28, fn, sfx, cfg)
+#define PORT_GP_29(bank, fn, sfx)	PORT_GP_CFG_29(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_30(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_29(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 29, fn, sfx, cfg)
+#define PORT_GP_30(bank, fn, sfx)	PORT_GP_CFG_30(bank, fn, sfx, 0)
+
+#define PORT_GP_CFG_32(bank, fn, sfx, cfg)				\
+	PORT_GP_CFG_30(bank, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 30, fn, sfx, cfg),				\
+	PORT_GP_CFG_1(bank, 31, fn, sfx, cfg)
+#define PORT_GP_32(bank, fn, sfx)	PORT_GP_CFG_32(bank, fn, sfx, 0)
+
+#define PORT_GP_32_REV(bank, fn, sfx)					\
+	PORT_GP_1(bank, 31, fn, sfx), PORT_GP_1(bank, 30, fn, sfx),	\
+	PORT_GP_1(bank, 29, fn, sfx), PORT_GP_1(bank, 28, fn, sfx),	\
+	PORT_GP_1(bank, 27, fn, sfx), PORT_GP_1(bank, 26, fn, sfx),	\
+	PORT_GP_1(bank, 25, fn, sfx), PORT_GP_1(bank, 24, fn, sfx),	\
+	PORT_GP_1(bank, 23, fn, sfx), PORT_GP_1(bank, 22, fn, sfx),	\
+	PORT_GP_1(bank, 21, fn, sfx), PORT_GP_1(bank, 20, fn, sfx),	\
+	PORT_GP_1(bank, 19, fn, sfx), PORT_GP_1(bank, 18, fn, sfx),	\
+	PORT_GP_1(bank, 17, fn, sfx), PORT_GP_1(bank, 16, fn, sfx),	\
+	PORT_GP_1(bank, 15, fn, sfx), PORT_GP_1(bank, 14, fn, sfx),	\
+	PORT_GP_1(bank, 13, fn, sfx), PORT_GP_1(bank, 12, fn, sfx),	\
+	PORT_GP_1(bank, 11, fn, sfx), PORT_GP_1(bank, 10, fn, sfx),	\
+	PORT_GP_1(bank, 9,  fn, sfx), PORT_GP_1(bank, 8,  fn, sfx),	\
+	PORT_GP_1(bank, 7,  fn, sfx), PORT_GP_1(bank, 6,  fn, sfx),	\
+	PORT_GP_1(bank, 5,  fn, sfx), PORT_GP_1(bank, 4,  fn, sfx),	\
+	PORT_GP_1(bank, 3,  fn, sfx), PORT_GP_1(bank, 2,  fn, sfx),	\
+	PORT_GP_1(bank, 1,  fn, sfx), PORT_GP_1(bank, 0,  fn, sfx)
+
+/* GP_ALL(suffix) - Expand to a list of GP_#_#_suffix */
+#define _GP_ALL(bank, pin, name, sfx, cfg)	name##_##sfx
+#define GP_ALL(str)			CPU_ALL_PORT(_GP_ALL, str)
+
+/* PINMUX_GPIO_GP_ALL - Expand to a list of sh_pfc_pin entries */
+#define _GP_GPIO(bank, _pin, _name, sfx, cfg)				\
+	{								\
+		.pin = (bank * 32) + _pin,				\
+		.name = __stringify(_name),				\
+		.enum_id = _name##_DATA,				\
+		.configs = cfg,						\
+	}
+#define PINMUX_GPIO_GP_ALL()		CPU_ALL_PORT(_GP_GPIO, unused)
+
+/* PINMUX_DATA_GP_ALL -  Expand to a list of name_DATA, name_FN marks */
+#define _GP_DATA(bank, pin, name, sfx, cfg)	PINMUX_DATA(name##_DATA, name##_FN)
+#define PINMUX_DATA_GP_ALL()		CPU_ALL_PORT(_GP_DATA, unused)
+
+/*
+ * PORT style (linear pin space)
+ */
+
+#define PORT_1(pn, fn, pfx, sfx) fn(pn, pfx, sfx)
+
+#define PORT_10(pn, fn, pfx, sfx)					  \
+	PORT_1(pn,   fn, pfx##0, sfx), PORT_1(pn+1, fn, pfx##1, sfx),	  \
+	PORT_1(pn+2, fn, pfx##2, sfx), PORT_1(pn+3, fn, pfx##3, sfx),	  \
+	PORT_1(pn+4, fn, pfx##4, sfx), PORT_1(pn+5, fn, pfx##5, sfx),	  \
+	PORT_1(pn+6, fn, pfx##6, sfx), PORT_1(pn+7, fn, pfx##7, sfx),	  \
+	PORT_1(pn+8, fn, pfx##8, sfx), PORT_1(pn+9, fn, pfx##9, sfx)
+
+#define PORT_90(pn, fn, pfx, sfx)					  \
+	PORT_10(pn+10, fn, pfx##1, sfx), PORT_10(pn+20, fn, pfx##2, sfx), \
+	PORT_10(pn+30, fn, pfx##3, sfx), PORT_10(pn+40, fn, pfx##4, sfx), \
+	PORT_10(pn+50, fn, pfx##5, sfx), PORT_10(pn+60, fn, pfx##6, sfx), \
+	PORT_10(pn+70, fn, pfx##7, sfx), PORT_10(pn+80, fn, pfx##8, sfx), \
+	PORT_10(pn+90, fn, pfx##9, sfx)
+
+/* PORT_ALL(suffix) - Expand to a list of PORT_#_suffix */
+#define _PORT_ALL(pn, pfx, sfx)		pfx##_##sfx
+#define PORT_ALL(str)			CPU_ALL_PORT(_PORT_ALL, PORT, str)
+
+/* PINMUX_GPIO - Expand to a sh_pfc_pin entry */
+#define PINMUX_GPIO(_pin)						\
+	[GPIO_##_pin] = {						\
+		.pin = (u16)-1,						\
+		.name = __stringify(GPIO_##_pin),			\
+		.enum_id = _pin##_DATA,					\
+	}
+
+/* SH_PFC_PIN_CFG - Expand to a sh_pfc_pin entry (named PORT#) with config */
+#define SH_PFC_PIN_CFG(_pin, cfgs)					\
+	{								\
+		.pin = _pin,						\
+		.name = __stringify(PORT##_pin),			\
+		.enum_id = PORT##_pin##_DATA,				\
+		.configs = cfgs,					\
+	}
+
+/* SH_PFC_PIN_NAMED - Expand to a sh_pfc_pin entry with the given name */
+#define SH_PFC_PIN_NAMED(row, col, _name)				\
+	{								\
+		.pin = PIN_NUMBER(row, col),				\
+		.name = __stringify(PIN_##_name),			\
+		.configs = SH_PFC_PIN_CFG_NO_GPIO,			\
+	}
+
+/* SH_PFC_PIN_NAMED_CFG - Expand to a sh_pfc_pin entry with the given name */
+#define SH_PFC_PIN_NAMED_CFG(row, col, _name, cfgs)			\
+	{								\
+		.pin = PIN_NUMBER(row, col),				\
+		.name = __stringify(PIN_##_name),			\
+		.configs = SH_PFC_PIN_CFG_NO_GPIO | cfgs,		\
+	}
+
+/* PINMUX_DATA_ALL - Expand to a list of PORT_name_DATA, PORT_name_FN0,
+ *		     PORT_name_OUT, PORT_name_IN marks
+ */
+#define _PORT_DATA(pn, pfx, sfx)					\
+	PINMUX_DATA(PORT##pfx##_DATA, PORT##pfx##_FN0,			\
+		    PORT##pfx##_OUT, PORT##pfx##_IN)
+#define PINMUX_DATA_ALL()		CPU_ALL_PORT(_PORT_DATA, , unused)
+
+/* GPIO_FN(name) - Expand to a sh_pfc_pin entry for a function GPIO */
+#define PINMUX_GPIO_FN(gpio, base, data_or_mark)			\
+	[gpio - (base)] = {						\
+		.name = __stringify(gpio),				\
+		.enum_id = data_or_mark,				\
+	}
+#define GPIO_FN(str)							\
+	PINMUX_GPIO_FN(GPIO_FN_##str, PINMUX_FN_BASE, str##_MARK)
+
+/*
+ * PORTnCR helper macro for SH-Mobile/R-Mobile
+ */
+#define PORTCR(nr, reg)							\
+	{								\
+		PINMUX_CFG_REG_VAR("PORT" nr "CR", reg, 8, 2, 2, 1, 3) {\
+			/* PULMD[1:0], handled by .set_bias() */	\
+			0, 0, 0, 0,					\
+			/* IE and OE */					\
+			0, PORT##nr##_OUT, PORT##nr##_IN, 0,		\
+			/* SEC, not supported */			\
+			0, 0,						\
+			/* PTMD[2:0] */					\
+			PORT##nr##_FN0, PORT##nr##_FN1,			\
+			PORT##nr##_FN2, PORT##nr##_FN3,			\
+			PORT##nr##_FN4, PORT##nr##_FN5,			\
+			PORT##nr##_FN6, PORT##nr##_FN7			\
+		}							\
+	}
+
+/*
+ * GPIO number helper macro for R-Car
+ */
+#define RCAR_GP_PIN(bank, pin)		(((bank) * 32) + (pin))
+
+#endif /* __SH_PFC_H */
-- 
2.11.0

^ permalink raw reply related	[flat|nested] 7+ messages in thread

* [U-Boot] [PATCH 2/5] gpio: rmobile: Add Renesas RCar GPIO driver
  2017-09-15 19:13 [U-Boot] [PATCH 1/5] pinctrl: rmobile: Add Renesas RCar pincontrol driver Marek Vasut
@ 2017-09-15 19:13 ` Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 3/5] ARM: rmobile: Switch to DM PFC pinmux and " Marek Vasut
                   ` (2 subsequent siblings)
  3 siblings, 0 replies; 7+ messages in thread
From: Marek Vasut @ 2017-09-15 19:13 UTC (permalink / raw)
  To: u-boot

Add GPIO driver for the Renesas RCar SoCs . The driver currently supports
only the RCar Gen3 R8A7795 and R8A7796 SoCs, but is easily extensible for
the other RCar SoCs as well.

This driver is meant to replace the pinmux part of SH_GPIO_PFC driver.

Signed-off-by: Marek Vasut <marek.vasut+renesas@gmail.com>
Cc: Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
---
 drivers/gpio/Kconfig     |   6 ++
 drivers/gpio/Makefile    |   1 +
 drivers/gpio/gpio-rcar.c | 169 +++++++++++++++++++++++++++++++++++++++++++++++
 3 files changed, 176 insertions(+)
 create mode 100644 drivers/gpio/gpio-rcar.c

diff --git a/drivers/gpio/Kconfig b/drivers/gpio/Kconfig
index ffeda9425a..6240c39539 100644
--- a/drivers/gpio/Kconfig
+++ b/drivers/gpio/Kconfig
@@ -135,6 +135,12 @@ config PCF8575_GPIO
 	 Support for PCF8575 I2C 16-bit GPIO expander. Most of these
 	 chips are from NXP and TI.
 
+config RCAR_GPIO
+	bool "Renesas RCar GPIO driver"
+	depends on DM_GPIO && ARCH_RMOBILE
+	help
+	  This driver supports the GPIO banks on Renesas RCar SoCs.
+
 config ROCKCHIP_GPIO
 	bool "Rockchip GPIO driver"
 	depends on DM_GPIO
diff --git a/drivers/gpio/Makefile b/drivers/gpio/Makefile
index 1396467ab6..81f55a576b 100644
--- a/drivers/gpio/Makefile
+++ b/drivers/gpio/Makefile
@@ -28,6 +28,7 @@ obj-$(CONFIG_MXS_GPIO)	+= mxs_gpio.o
 obj-$(CONFIG_PCA953X)		+= pca953x.o
 obj-$(CONFIG_PCA9698)		+= pca9698.o
 obj-$(CONFIG_ROCKCHIP_GPIO)	+= rk_gpio.o
+obj-$(CONFIG_RCAR_GPIO)		+= gpio-rcar.o
 obj-$(CONFIG_S5P)		+= s5p_gpio.o
 obj-$(CONFIG_SANDBOX_GPIO)	+= sandbox.o
 obj-$(CONFIG_SPEAR_GPIO)	+= spear_gpio.o
diff --git a/drivers/gpio/gpio-rcar.c b/drivers/gpio/gpio-rcar.c
new file mode 100644
index 0000000000..8504dceb84
--- /dev/null
+++ b/drivers/gpio/gpio-rcar.c
@@ -0,0 +1,169 @@
+/*
+ * Copyright (C) 2017 Marek Vasut <marek.vasut@gmail.com>
+ *
+ * SPDX-License-Identifier:	GPL-2.0+
+ */
+
+#include <common.h>
+#include <clk.h>
+#include <dm.h>
+#include <errno.h>
+#include <asm/gpio.h>
+#include <asm/io.h>
+
+#define GPIO_IOINTSEL	0x00	/* General IO/Interrupt Switching Register */
+#define GPIO_INOUTSEL	0x04	/* General Input/Output Switching Register */
+#define GPIO_OUTDT	0x08	/* General Output Register */
+#define GPIO_INDT	0x0c	/* General Input Register */
+#define GPIO_INTDT	0x10	/* Interrupt Display Register */
+#define GPIO_INTCLR	0x14	/* Interrupt Clear Register */
+#define GPIO_INTMSK	0x18	/* Interrupt Mask Register */
+#define GPIO_MSKCLR	0x1c	/* Interrupt Mask Clear Register */
+#define GPIO_POSNEG	0x20	/* Positive/Negative Logic Select Register */
+#define GPIO_EDGLEVEL	0x24	/* Edge/level Select Register */
+#define GPIO_FILONOFF	0x28	/* Chattering Prevention On/Off Register */
+#define GPIO_BOTHEDGE	0x4c	/* One Edge/Both Edge Select Register */
+
+#define RCAR_MAX_GPIO_PER_BANK		32
+
+DECLARE_GLOBAL_DATA_PTR;
+
+struct rcar_gpio_priv {
+	void __iomem *regs;
+};
+
+static int rcar_gpio_get_value(struct udevice *dev, unsigned offset)
+{
+	struct rcar_gpio_priv *priv = dev_get_priv(dev);
+	const u32 bit = BIT(offset);
+
+	/*
+	 * Testing on r8a7790 shows that INDT does not show correct pin state
+	 * when configured as output, so use OUTDT in case of output pins.
+	 */
+	if (readl(priv->regs + GPIO_INOUTSEL) & bit)
+		return !!(readl(priv->regs + GPIO_OUTDT) & bit);
+	else
+		return !!(readl(priv->regs + GPIO_INDT) & bit);
+}
+
+static int rcar_gpio_set_value(struct udevice *dev, unsigned offset,
+			       int value)
+{
+	struct rcar_gpio_priv *priv = dev_get_priv(dev);
+
+	if (value)
+		setbits_le32(priv->regs + GPIO_OUTDT, BIT(offset));
+	else
+		clrbits_le32(priv->regs + GPIO_OUTDT, BIT(offset));
+
+	return 0;
+}
+
+static void rcar_gpio_set_direction(void __iomem *regs, unsigned offset,
+				    bool output)
+{
+	/*
+	 * follow steps in the GPIO documentation for
+	 * "Setting General Output Mode" and
+	 * "Setting General Input Mode"
+	 */
+
+	/* Configure postive logic in POSNEG */
+	clrbits_le32(regs + GPIO_POSNEG, BIT(offset));
+
+	/* Select "General Input/Output Mode" in IOINTSEL */
+	clrbits_le32(regs + GPIO_IOINTSEL, BIT(offset));
+
+	/* Select Input Mode or Output Mode in INOUTSEL */
+	if (output)
+		setbits_le32(regs + GPIO_INOUTSEL, BIT(offset));
+	else
+		clrbits_le32(regs + GPIO_INOUTSEL, BIT(offset));
+}
+
+static int rcar_gpio_direction_input(struct udevice *dev, unsigned offset)
+{
+	struct rcar_gpio_priv *priv = dev_get_priv(dev);
+
+	rcar_gpio_set_direction(priv->regs, offset, false);
+
+	return 0;
+}
+
+static int rcar_gpio_direction_output(struct udevice *dev, unsigned offset,
+				      int value)
+{
+	struct rcar_gpio_priv *priv = dev_get_priv(dev);
+
+	/* write GPIO value to output before selecting output mode of pin */
+	rcar_gpio_set_value(dev, offset, value);
+	rcar_gpio_set_direction(priv->regs, offset, true);
+
+	return 0;
+}
+
+static int rcar_gpio_get_function(struct udevice *dev, unsigned offset)
+{
+	struct rcar_gpio_priv *priv = dev_get_priv(dev);
+
+	if (readl(priv->regs + GPIO_INOUTSEL) & BIT(offset))
+		return GPIOF_OUTPUT;
+	else
+		return GPIOF_INPUT;
+}
+
+static const struct dm_gpio_ops rcar_gpio_ops = {
+	.direction_input	= rcar_gpio_direction_input,
+	.direction_output	= rcar_gpio_direction_output,
+	.get_value		= rcar_gpio_get_value,
+	.set_value		= rcar_gpio_set_value,
+	.get_function		= rcar_gpio_get_function,
+};
+
+static int rcar_gpio_probe(struct udevice *dev)
+{
+	struct gpio_dev_priv *uc_priv = dev_get_uclass_priv(dev);
+	struct rcar_gpio_priv *priv = dev_get_priv(dev);
+	struct fdtdec_phandle_args args;
+	struct clk clk;
+	int node = dev_of_offset(dev);
+	int ret;
+
+	priv->regs = (void __iomem *)devfdt_get_addr(dev);
+	uc_priv->bank_name = dev->name;
+
+	ret = fdtdec_parse_phandle_with_args(gd->fdt_blob, node, "gpio-ranges",
+					     NULL, 3, 0, &args);
+	uc_priv->gpio_count = ret == 0 ? args.args[2] : RCAR_MAX_GPIO_PER_BANK;
+
+	ret = clk_get_by_index(dev, 0, &clk);
+	if (ret < 0) {
+		dev_err(dev, "Failed to get GPIO bank clock\n");
+		return ret;
+	}
+
+	ret = clk_enable(&clk);
+	clk_free(&clk);
+	if (ret) {
+		dev_err(dev, "Failed to enable GPIO bank clock\n");
+		return ret;
+	}
+
+	return 0;
+}
+
+static const struct udevice_id rcar_gpio_ids[] = {
+	{ .compatible = "renesas,gpio-r8a7795" },
+	{ .compatible = "renesas,gpio-r8a7796" },
+	{ /* sentinel */ }
+};
+
+U_BOOT_DRIVER(rcar_gpio) = {
+	.name	= "rcar-gpio",
+	.id	= UCLASS_GPIO,
+	.of_match = rcar_gpio_ids,
+	.ops	= &rcar_gpio_ops,
+	.priv_auto_alloc_size = sizeof(struct rcar_gpio_priv),
+	.probe	= rcar_gpio_probe,
+};
-- 
2.11.0

^ permalink raw reply related	[flat|nested] 7+ messages in thread

* [U-Boot] [PATCH 3/5] ARM: rmobile: Switch to DM PFC pinmux and GPIO driver
  2017-09-15 19:13 [U-Boot] [PATCH 1/5] pinctrl: rmobile: Add Renesas RCar pincontrol driver Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 2/5] gpio: rmobile: Add Renesas RCar GPIO driver Marek Vasut
@ 2017-09-15 19:13 ` Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 4/5] ARM: rmobile: Zap ad-hoc PFC and GPIO setup in board files Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables Marek Vasut
  3 siblings, 0 replies; 7+ messages in thread
From: Marek Vasut @ 2017-09-15 19:13 UTC (permalink / raw)
  To: u-boot

Enable the PFC pinmux and GPIO drivers and disable the SH GPIO combo
driver. This allows the drivers to obtain pinmux and GPIO configuration
from DT rather than hard-coding it in board files.

Signed-off-by: Marek Vasut <marek.vasut+renesas@gmail.com>
Cc: Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
---
 board/renesas/salvator-x/salvator-x.c | 2 ++
 board/renesas/ulcb/ulcb.c             | 2 ++
 configs/r8a7795_salvator-x_defconfig  | 4 ++++
 configs/r8a7795_ulcb_defconfig        | 4 ++++
 configs/r8a7796_salvator-x_defconfig  | 4 ++++
 configs/r8a7796_ulcb_defconfig        | 4 ++++
 include/configs/rcar-gen3-common.h    | 2 --
 7 files changed, 20 insertions(+), 2 deletions(-)

diff --git a/board/renesas/salvator-x/salvator-x.c b/board/renesas/salvator-x/salvator-x.c
index 78fc22a0e8..9d9de58370 100644
--- a/board/renesas/salvator-x/salvator-x.c
+++ b/board/renesas/salvator-x/salvator-x.c
@@ -82,12 +82,14 @@ int board_init(void)
 	/* adress of boot parameters */
 	gd->bd->bi_boot_params = CONFIG_SYS_TEXT_BASE + 0x50000;
 
+#ifdef CONFIG_SH_GPIO_PFC
 	/* Init PFC controller */
 #if defined(CONFIG_R8A7795)
 	r8a7795_pinmux_init();
 #elif defined(CONFIG_R8A7796)
 	r8a7796_pinmux_init();
 #endif
+#endif
 
 #if defined(CONFIG_R8A7795)
 	/* GSX: force power and clock supply */
diff --git a/board/renesas/ulcb/ulcb.c b/board/renesas/ulcb/ulcb.c
index 9b96645d11..3ee6990b57 100644
--- a/board/renesas/ulcb/ulcb.c
+++ b/board/renesas/ulcb/ulcb.c
@@ -81,12 +81,14 @@ int board_init(void)
 	/* adress of boot parameters */
 	gd->bd->bi_boot_params = CONFIG_SYS_TEXT_BASE + 0x50000;
 
+#ifdef CONFIG_SH_GPIO_PFC
 	/* Init PFC controller */
 #if defined(CONFIG_R8A7795)
 	r8a7795_pinmux_init();
 #elif defined(CONFIG_R8A7796)
 	r8a7796_pinmux_init();
 #endif
+#endif
 
 	/* USB1 pull-up */
 	setbits_le32(PFC_PUEN6, PUEN_USB1_OVC | PUEN_USB1_PWEN);
diff --git a/configs/r8a7795_salvator-x_defconfig b/configs/r8a7795_salvator-x_defconfig
index 72aada3078..d8f5d35fb2 100644
--- a/configs/r8a7795_salvator-x_defconfig
+++ b/configs/r8a7795_salvator-x_defconfig
@@ -29,12 +29,16 @@ CONFIG_OF_CONTROL=y
 CONFIG_ENV_IS_IN_MMC=y
 CONFIG_CLK=y
 CONFIG_CLK_RENESAS=y
+CONFIG_DM_GPIO=y
+CONFIG_RCAR_GPIO=y
 CONFIG_DM_MMC=y
 CONFIG_MMC_UNIPHIER=y
 CONFIG_PHY_MICREL=y
 CONFIG_PHY_MICREL_KSZ90X1=y
 CONFIG_DM_ETH=y
 CONFIG_RENESAS_RAVB=y
+CONFIG_PINCTRL=y
+CONFIG_PINCTRL_PFC=y
 CONFIG_DM_REGULATOR=y
 CONFIG_DM_REGULATOR_FIXED=y
 CONFIG_DM_REGULATOR_GPIO=y
diff --git a/configs/r8a7795_ulcb_defconfig b/configs/r8a7795_ulcb_defconfig
index aaad75a74d..1aa2e69ac6 100644
--- a/configs/r8a7795_ulcb_defconfig
+++ b/configs/r8a7795_ulcb_defconfig
@@ -28,10 +28,14 @@ CONFIG_OF_CONTROL=y
 CONFIG_ENV_IS_IN_MMC=y
 CONFIG_CLK=y
 CONFIG_CLK_RENESAS=y
+CONFIG_DM_GPIO=y
+CONFIG_RCAR_GPIO=y
 CONFIG_DM_MMC=y
 CONFIG_MMC_UNIPHIER=y
 CONFIG_DM_ETH=y
 CONFIG_RENESAS_RAVB=y
+CONFIG_PINCTRL=y
+CONFIG_PINCTRL_PFC=y
 CONFIG_DM_REGULATOR=y
 CONFIG_DM_REGULATOR_FIXED=y
 CONFIG_DM_REGULATOR_GPIO=y
diff --git a/configs/r8a7796_salvator-x_defconfig b/configs/r8a7796_salvator-x_defconfig
index cd10ecb3db..e0085d215b 100644
--- a/configs/r8a7796_salvator-x_defconfig
+++ b/configs/r8a7796_salvator-x_defconfig
@@ -30,12 +30,16 @@ CONFIG_OF_CONTROL=y
 CONFIG_ENV_IS_IN_MMC=y
 CONFIG_CLK=y
 CONFIG_CLK_RENESAS=y
+CONFIG_DM_GPIO=y
+CONFIG_RCAR_GPIO=y
 CONFIG_DM_MMC=y
 CONFIG_MMC_UNIPHIER=y
 CONFIG_PHY_MICREL=y
 CONFIG_PHY_MICREL_KSZ90X1=y
 CONFIG_DM_ETH=y
 CONFIG_RENESAS_RAVB=y
+CONFIG_PINCTRL=y
+CONFIG_PINCTRL_PFC=y
 CONFIG_DM_REGULATOR=y
 CONFIG_DM_REGULATOR_FIXED=y
 CONFIG_DM_REGULATOR_GPIO=y
diff --git a/configs/r8a7796_ulcb_defconfig b/configs/r8a7796_ulcb_defconfig
index 107fa46b80..cbeda77bfd 100644
--- a/configs/r8a7796_ulcb_defconfig
+++ b/configs/r8a7796_ulcb_defconfig
@@ -29,10 +29,14 @@ CONFIG_OF_CONTROL=y
 CONFIG_ENV_IS_IN_MMC=y
 CONFIG_CLK=y
 CONFIG_CLK_RENESAS=y
+CONFIG_DM_GPIO=y
+CONFIG_RCAR_GPIO=y
 CONFIG_DM_MMC=y
 CONFIG_MMC_UNIPHIER=y
 CONFIG_DM_ETH=y
 CONFIG_RENESAS_RAVB=y
+CONFIG_PINCTRL=y
+CONFIG_PINCTRL_PFC=y
 CONFIG_DM_REGULATOR=y
 CONFIG_DM_REGULATOR_FIXED=y
 CONFIG_DM_REGULATOR_GPIO=y
diff --git a/include/configs/rcar-gen3-common.h b/include/configs/rcar-gen3-common.h
index 6ed08e5bfc..6deed0dcd7 100644
--- a/include/configs/rcar-gen3-common.h
+++ b/include/configs/rcar-gen3-common.h
@@ -31,8 +31,6 @@
 
 #define CONFIG_ARCH_CPU_INIT
 
-#define CONFIG_SH_GPIO_PFC
-
 /* console */
 #define CONFIG_SYS_CBSIZE		2048
 #define CONFIG_SYS_BARGSIZE		CONFIG_SYS_CBSIZE
-- 
2.11.0

^ permalink raw reply related	[flat|nested] 7+ messages in thread

* [U-Boot] [PATCH 4/5] ARM: rmobile: Zap ad-hoc PFC and GPIO setup in board files
  2017-09-15 19:13 [U-Boot] [PATCH 1/5] pinctrl: rmobile: Add Renesas RCar pincontrol driver Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 2/5] gpio: rmobile: Add Renesas RCar GPIO driver Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 3/5] ARM: rmobile: Switch to DM PFC pinmux and " Marek Vasut
@ 2017-09-15 19:13 ` Marek Vasut
  2017-09-15 19:13 ` [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables Marek Vasut
  3 siblings, 0 replies; 7+ messages in thread
From: Marek Vasut @ 2017-09-15 19:13 UTC (permalink / raw)
  To: u-boot

At long last, nuke all this ad-hoc setup in board files in favor of
letting PFC pinmux and GPIO drivers do the same job, but based on DT
description of the hardware rather than this board-file ugliness.

Signed-off-by: Marek Vasut <marek.vasut+renesas@gmail.com>
Cc: Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
---
 board/renesas/salvator-x/salvator-x.c | 117 ----------------------------------
 board/renesas/ulcb/ulcb.c             |  80 -----------------------
 2 files changed, 197 deletions(-)

diff --git a/board/renesas/salvator-x/salvator-x.c b/board/renesas/salvator-x/salvator-x.c
index 9d9de58370..debd1db721 100644
--- a/board/renesas/salvator-x/salvator-x.c
+++ b/board/renesas/salvator-x/salvator-x.c
@@ -82,15 +82,6 @@ int board_init(void)
 	/* adress of boot parameters */
 	gd->bd->bi_boot_params = CONFIG_SYS_TEXT_BASE + 0x50000;
 
-#ifdef CONFIG_SH_GPIO_PFC
-	/* Init PFC controller */
-#if defined(CONFIG_R8A7795)
-	r8a7795_pinmux_init();
-#elif defined(CONFIG_R8A7796)
-	r8a7796_pinmux_init();
-#endif
-#endif
-
 #if defined(CONFIG_R8A7795)
 	/* GSX: force power and clock supply */
 	writel(0x0000001F, SYSC_PWRONCR2);
@@ -111,114 +102,6 @@ int board_init(void)
 	/* low power status */
 	setbits_le16(HSUSB_REG_LPSTS, HSUSB_REG_LPSTS_SUSPM_NORMAL);
 
-#ifdef CONFIG_RENESAS_RAVB
-	/* EtherAVB Enable */
-	/* GPSR2 */
-	gpio_request(GPIO_GFN_AVB_AVTP_CAPTURE_A, NULL);
-	gpio_request(GPIO_GFN_AVB_AVTP_MATCH_A, NULL);
-	gpio_request(GPIO_GFN_AVB_LINK, NULL);
-	gpio_request(GPIO_GFN_AVB_PHY_INT, NULL);
-	gpio_request(GPIO_GFN_AVB_MAGIC, NULL);
-	gpio_request(GPIO_GFN_AVB_MDC, NULL);
-
-	/* IPSR0 */
-	gpio_request(GPIO_IFN_AVB_MDC, NULL);
-	gpio_request(GPIO_IFN_AVB_MAGIC, NULL);
-	gpio_request(GPIO_IFN_AVB_PHY_INT, NULL);
-	gpio_request(GPIO_IFN_AVB_LINK, NULL);
-	gpio_request(GPIO_IFN_AVB_AVTP_MATCH_A, NULL);
-	gpio_request(GPIO_IFN_AVB_AVTP_CAPTURE_A, NULL);
-	/* IPSR1 */
-	gpio_request(GPIO_FN_AVB_AVTP_PPS, NULL);
-	/* IPSR2 */
-	gpio_request(GPIO_FN_AVB_AVTP_MATCH_B, NULL);
-	/* IPSR3 */
-	gpio_request(GPIO_FN_AVB_AVTP_CAPTURE_B, NULL);
-
-#if defined(CONFIG_R8A7795)
-	/* USB2_OVC */
-	gpio_request(GPIO_GP_6_15, NULL);
-	gpio_direction_input(GPIO_GP_6_15);
-
-	/* USB2_PWEN */
-	gpio_request(GPIO_GP_6_14, NULL);
-	gpio_direction_output(GPIO_GP_6_14, 1);
-	gpio_set_value(GPIO_GP_6_14, 1);
-#endif
-	/* AVB_PHY_RST */
-	gpio_request(GPIO_GP_2_10, NULL);
-	gpio_direction_output(GPIO_GP_2_10, 0);
-	mdelay(20);
-	gpio_set_value(GPIO_GP_2_10, 1);
-	udelay(1);
-#endif
-
-#ifdef CONFIG_MMC
-	/* SDHI0 */
-	gpio_request(GPIO_GFN_SD0_DAT0, NULL);
-	gpio_request(GPIO_GFN_SD0_DAT1, NULL);
-	gpio_request(GPIO_GFN_SD0_DAT2, NULL);
-	gpio_request(GPIO_GFN_SD0_DAT3, NULL);
-	gpio_request(GPIO_GFN_SD0_CLK, NULL);
-	gpio_request(GPIO_GFN_SD0_CMD, NULL);
-	gpio_request(GPIO_GFN_SD0_CD, NULL);
-	gpio_request(GPIO_GFN_SD0_WP, NULL);
-
-	gpio_request(GPIO_GP_5_2, NULL);
-	gpio_request(GPIO_GP_5_1, NULL);
-	gpio_direction_output(GPIO_GP_5_2, 1);	/* power on */
-	gpio_direction_output(GPIO_GP_5_1, 1);	/* 1: 3.3V, 0: 1.8V */
-
-	/* SDHI1/SDHI2 eMMC */
-	gpio_request(GPIO_GFN_SD1_DAT0, NULL);
-	gpio_request(GPIO_GFN_SD1_DAT1, NULL);
-	gpio_request(GPIO_GFN_SD1_DAT2, NULL);
-	gpio_request(GPIO_GFN_SD1_DAT3, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT0, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT1, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT2, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT3, NULL);
-	gpio_request(GPIO_GFN_SD2_CLK, NULL);
-#if defined(CONFIG_R8A7795)
-	gpio_request(GPIO_GFN_SD2_CMD, NULL);
-#elif defined(CONFIG_R8A7796)
-	gpio_request(GPIO_FN_SD2_CMD, NULL);
-#else
-#error Only R8A7795 and R87796 is supported
-#endif
-	gpio_request(GPIO_GP_5_3, NULL);
-	gpio_request(GPIO_GP_5_9, NULL);
-	gpio_direction_output(GPIO_GP_5_3, 0);	/* 1: 3.3V, 0: 1.8V */
-	gpio_direction_output(GPIO_GP_5_9, 0);	/* 1: 3.3V, 0: 1.8V */
-
-#if defined(CONFIG_R8A7795)
-	/* SDHI3 */
-	gpio_request(GPIO_GFN_SD3_DAT0, NULL);	/* GP_4_9 */
-	gpio_request(GPIO_GFN_SD3_DAT1, NULL);	/* GP_4_10 */
-	gpio_request(GPIO_GFN_SD3_DAT2, NULL);	/* GP_4_11 */
-	gpio_request(GPIO_GFN_SD3_DAT3, NULL);	/* GP_4_12 */
-	gpio_request(GPIO_GFN_SD3_CLK, NULL);	/* GP_4_7 */
-	gpio_request(GPIO_GFN_SD3_CMD, NULL);	/* GP_4_8 */
-#elif defined(CONFIG_R8A7796)
-	gpio_request(GPIO_FN_SD3_DAT0, NULL);	/* GP_4_9 */
-	gpio_request(GPIO_FN_SD3_DAT1, NULL);	/* GP_4_10 */
-	gpio_request(GPIO_FN_SD3_DAT2, NULL);	/* GP_4_11 */
-	gpio_request(GPIO_FN_SD3_DAT3, NULL);	/* GP_4_12 */
-	gpio_request(GPIO_FN_SD3_CLK, NULL);	/* GP_4_7 */
-	gpio_request(GPIO_FN_SD3_CMD, NULL);	/* GP_4_8 */
-#else
-#error Only R8A7795 and R87796 is supported
-#endif
-	/* IPSR10 */
-	gpio_request(GPIO_FN_SD3_CD, NULL);
-	gpio_request(GPIO_FN_SD3_WP, NULL);
-
-	gpio_request(GPIO_GP_3_15, NULL);
-	gpio_request(GPIO_GP_3_14, NULL);
-	gpio_direction_output(GPIO_GP_3_15, 1);	/* power on */
-	gpio_direction_output(GPIO_GP_3_14, 1);	/* 1: 3.3V, 0: 1.8V */
-#endif
-
 	return 0;
 }
 
diff --git a/board/renesas/ulcb/ulcb.c b/board/renesas/ulcb/ulcb.c
index 3ee6990b57..ca1b71975b 100644
--- a/board/renesas/ulcb/ulcb.c
+++ b/board/renesas/ulcb/ulcb.c
@@ -81,15 +81,6 @@ int board_init(void)
 	/* adress of boot parameters */
 	gd->bd->bi_boot_params = CONFIG_SYS_TEXT_BASE + 0x50000;
 
-#ifdef CONFIG_SH_GPIO_PFC
-	/* Init PFC controller */
-#if defined(CONFIG_R8A7795)
-	r8a7795_pinmux_init();
-#elif defined(CONFIG_R8A7796)
-	r8a7796_pinmux_init();
-#endif
-#endif
-
 	/* USB1 pull-up */
 	setbits_le32(PFC_PUEN6, PUEN_USB1_OVC | PUEN_USB1_PWEN);
 
@@ -101,77 +92,6 @@ int board_init(void)
 	/* low power status */
 	setbits_le16(HSUSB_REG_LPSTS, HSUSB_REG_LPSTS_SUSPM_NORMAL);
 
-#ifdef CONFIG_RENESAS_RAVB
-	/* EtherAVB Enable */
-	/* GPSR2 */
-	gpio_request(GPIO_GFN_AVB_AVTP_CAPTURE_A, NULL);
-	gpio_request(GPIO_GFN_AVB_AVTP_MATCH_A, NULL);
-	gpio_request(GPIO_GFN_AVB_LINK, NULL);
-	gpio_request(GPIO_GFN_AVB_PHY_INT, NULL);
-	gpio_request(GPIO_GFN_AVB_MAGIC, NULL);
-	gpio_request(GPIO_GFN_AVB_MDC, NULL);
-
-	/* IPSR0 */
-	gpio_request(GPIO_IFN_AVB_MDC, NULL);
-	gpio_request(GPIO_IFN_AVB_MAGIC, NULL);
-	gpio_request(GPIO_IFN_AVB_PHY_INT, NULL);
-	gpio_request(GPIO_IFN_AVB_LINK, NULL);
-	gpio_request(GPIO_IFN_AVB_AVTP_MATCH_A, NULL);
-	gpio_request(GPIO_IFN_AVB_AVTP_CAPTURE_A, NULL);
-	/* IPSR1 */
-	gpio_request(GPIO_FN_AVB_AVTP_PPS, NULL);
-	/* IPSR2 */
-	gpio_request(GPIO_FN_AVB_AVTP_MATCH_B, NULL);
-	/* IPSR3 */
-	gpio_request(GPIO_FN_AVB_AVTP_CAPTURE_B, NULL);
-
-	/* AVB_PHY_RST */
-	gpio_request(GPIO_GP_2_10, NULL);
-	gpio_direction_output(GPIO_GP_2_10, 0);
-	mdelay(20);
-	gpio_set_value(GPIO_GP_2_10, 1);
-	udelay(1);
-#endif
-
-#ifdef CONFIG_MMC
-	/* SDHI0 */
-	gpio_request(GPIO_GFN_SD0_DAT0, NULL);
-	gpio_request(GPIO_GFN_SD0_DAT1, NULL);
-	gpio_request(GPIO_GFN_SD0_DAT2, NULL);
-	gpio_request(GPIO_GFN_SD0_DAT3, NULL);
-	gpio_request(GPIO_GFN_SD0_CLK, NULL);
-	gpio_request(GPIO_GFN_SD0_CMD, NULL);
-	gpio_request(GPIO_GFN_SD0_CD, NULL);
-	gpio_request(GPIO_GFN_SD0_WP, NULL);
-
-	gpio_request(GPIO_GP_5_2, NULL);
-	gpio_request(GPIO_GP_5_1, NULL);
-	gpio_direction_output(GPIO_GP_5_2, 1);	/* power on */
-	gpio_direction_output(GPIO_GP_5_1, 1);	/* 1: 3.3V, 0: 1.8V */
-
-	/* SDHI1/SDHI2 eMMC */
-	gpio_request(GPIO_GFN_SD1_DAT0, NULL);
-	gpio_request(GPIO_GFN_SD1_DAT1, NULL);
-	gpio_request(GPIO_GFN_SD1_DAT2, NULL);
-	gpio_request(GPIO_GFN_SD1_DAT3, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT0, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT1, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT2, NULL);
-	gpio_request(GPIO_GFN_SD2_DAT3, NULL);
-	gpio_request(GPIO_GFN_SD2_CLK, NULL);
-#if defined(CONFIG_R8A7795)
-	gpio_request(GPIO_GFN_SD2_CMD, NULL);
-#elif defined(CONFIG_R8A7796)
-	gpio_request(GPIO_FN_SD2_CMD, NULL);
-#else
-#error Only R8A7795 and R87796 is supported
-#endif
-	gpio_request(GPIO_GP_5_3, NULL);
-	gpio_request(GPIO_GP_5_9, NULL);
-	gpio_direction_output(GPIO_GP_5_3, 0);	/* 1: 3.3V, 0: 1.8V */
-	gpio_direction_output(GPIO_GP_5_9, 0);	/* 1: 3.3V, 0: 1.8V */
-#endif
-
 	return 0;
 }
 
-- 
2.11.0

^ permalink raw reply related	[flat|nested] 7+ messages in thread

* [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables
  2017-09-15 19:13 [U-Boot] [PATCH 1/5] pinctrl: rmobile: Add Renesas RCar pincontrol driver Marek Vasut
                   ` (2 preceding siblings ...)
  2017-09-15 19:13 ` [U-Boot] [PATCH 4/5] ARM: rmobile: Zap ad-hoc PFC and GPIO setup in board files Marek Vasut
@ 2017-09-15 19:13 ` Marek Vasut
  2017-10-03 23:46   ` Nobuhiro Iwamatsu
  3 siblings, 1 reply; 7+ messages in thread
From: Marek Vasut @ 2017-09-15 19:13 UTC (permalink / raw)
  To: u-boot

These old PFC tables are no longer needed as there is now a proper
PFC pinmux driver in drivers/pinctrl/renesas . Remove them .

Signed-off-by: Marek Vasut <marek.vasut+renesas@gmail.com>
Cc: Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
---
 arch/arm/mach-rmobile/Makefile                    |    4 +-
 arch/arm/mach-rmobile/include/mach/gpio.h         |    6 -
 arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h | 1016 ----
 arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h | 1084 -----
 arch/arm/mach-rmobile/pfc-r8a7795.c               | 5005 --------------------
 arch/arm/mach-rmobile/pfc-r8a7796.c               | 5253 ---------------------
 6 files changed, 2 insertions(+), 12366 deletions(-)
 delete mode 100644 arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h
 delete mode 100644 arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h
 delete mode 100644 arch/arm/mach-rmobile/pfc-r8a7795.c
 delete mode 100644 arch/arm/mach-rmobile/pfc-r8a7796.c

diff --git a/arch/arm/mach-rmobile/Makefile b/arch/arm/mach-rmobile/Makefile
index 2aea527bae..8aa2b4f82a 100644
--- a/arch/arm/mach-rmobile/Makefile
+++ b/arch/arm/mach-rmobile/Makefile
@@ -16,7 +16,7 @@ obj-$(CONFIG_R8A7791) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7791.o
 obj-$(CONFIG_R8A7792) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7792.o
 obj-$(CONFIG_R8A7793) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7793.o
 obj-$(CONFIG_R8A7794) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7794.o
-obj-$(CONFIG_R8A7795) += lowlevel_init_gen3.o cpu_info-rcar.o pfc-r8a7795.o memmap-r8a7795.o
-obj-$(CONFIG_R8A7796) += lowlevel_init_gen3.o cpu_info-rcar.o pfc-r8a7796.o memmap-r8a7796.o
+obj-$(CONFIG_R8A7795) += lowlevel_init_gen3.o cpu_info-rcar.o memmap-r8a7795.o
+obj-$(CONFIG_R8A7796) += lowlevel_init_gen3.o cpu_info-rcar.o memmap-r8a7796.o
 obj-$(CONFIG_SH73A0) += lowlevel_init.o cpu_info-sh73a0.o pfc-sh73a0.o
 obj-$(CONFIG_TMU_TIMER) += ../../sh/lib/time.o
diff --git a/arch/arm/mach-rmobile/include/mach/gpio.h b/arch/arm/mach-rmobile/include/mach/gpio.h
index 02b29364c5..448d189e92 100644
--- a/arch/arm/mach-rmobile/include/mach/gpio.h
+++ b/arch/arm/mach-rmobile/include/mach/gpio.h
@@ -22,12 +22,6 @@ void r8a7793_pinmux_init(void);
 #elif defined(CONFIG_R8A7794)
 #include "r8a7794-gpio.h"
 void r8a7794_pinmux_init(void);
-#elif defined(CONFIG_R8A7795)
-#include "r8a7795-gpio.h"
-void r8a7795_pinmux_init(void);
-#elif defined(CONFIG_R8A7796)
-#include "r8a7796-gpio.h"
-void r8a7796_pinmux_init(void);
 #endif
 
 #endif /* __ASM_ARCH_GPIO_H */
diff --git a/arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h b/arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h
deleted file mode 100644
index 554063ab8f..0000000000
--- a/arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h
+++ /dev/null
@@ -1,1016 +0,0 @@
-/*
- * arch/arm/include/asm/arch-rcar_gen3/r8a7795-gpio.h
- *	This file defines pin function control of gpio.
- *
- * Copyright (C) 2015-2016 Renesas Electronics Corporation
- *
- * SPDX-License-Identifier:	GPL-2.0+
- */
-#ifndef __ASM_R8A7795_GPIO_H__
-#define __ASM_R8A7795_GPIO_H__
-
-/* Pin Function Controller:
- * GPIO_FN_xx - GPIO used to select pin function
- * GPIO_GP_x_x - GPIO mapped to real I/O pin on CPU
- */
-
-/* V2(ES2.0) */
-enum {
-	GPIO_GP_0_0, GPIO_GP_0_1, GPIO_GP_0_2, GPIO_GP_0_3,
-	GPIO_GP_0_4, GPIO_GP_0_5, GPIO_GP_0_6, GPIO_GP_0_7,
-	GPIO_GP_0_8, GPIO_GP_0_9, GPIO_GP_0_10, GPIO_GP_0_11,
-	GPIO_GP_0_12, GPIO_GP_0_13, GPIO_GP_0_14, GPIO_GP_0_15,
-
-	GPIO_GP_1_0, GPIO_GP_1_1, GPIO_GP_1_2, GPIO_GP_1_3,
-	GPIO_GP_1_4, GPIO_GP_1_5, GPIO_GP_1_6, GPIO_GP_1_7,
-	GPIO_GP_1_8, GPIO_GP_1_9, GPIO_GP_1_10, GPIO_GP_1_11,
-	GPIO_GP_1_12, GPIO_GP_1_13, GPIO_GP_1_14, GPIO_GP_1_15,
-	GPIO_GP_1_16, GPIO_GP_1_17, GPIO_GP_1_18, GPIO_GP_1_19,
-	GPIO_GP_1_20, GPIO_GP_1_21, GPIO_GP_1_22, GPIO_GP_1_23,
-	GPIO_GP_1_24, GPIO_GP_1_25, GPIO_GP_1_26, GPIO_GP_1_27,
-	GPIO_GP_1_28,
-
-	GPIO_GP_2_0, GPIO_GP_2_1, GPIO_GP_2_2, GPIO_GP_2_3,
-	GPIO_GP_2_4, GPIO_GP_2_5, GPIO_GP_2_6, GPIO_GP_2_7,
-	GPIO_GP_2_8, GPIO_GP_2_9, GPIO_GP_2_10, GPIO_GP_2_11,
-	GPIO_GP_2_12, GPIO_GP_2_13, GPIO_GP_2_14,
-
-	GPIO_GP_3_0, GPIO_GP_3_1, GPIO_GP_3_2, GPIO_GP_3_3,
-	GPIO_GP_3_4, GPIO_GP_3_5, GPIO_GP_3_6, GPIO_GP_3_7,
-	GPIO_GP_3_8, GPIO_GP_3_9, GPIO_GP_3_10, GPIO_GP_3_11,
-	GPIO_GP_3_12, GPIO_GP_3_13, GPIO_GP_3_14, GPIO_GP_3_15,
-
-	GPIO_GP_4_0, GPIO_GP_4_1, GPIO_GP_4_2, GPIO_GP_4_3,
-	GPIO_GP_4_4, GPIO_GP_4_5, GPIO_GP_4_6, GPIO_GP_4_7,
-	GPIO_GP_4_8, GPIO_GP_4_9, GPIO_GP_4_10, GPIO_GP_4_11,
-	GPIO_GP_4_12, GPIO_GP_4_13, GPIO_GP_4_14, GPIO_GP_4_15,
-	GPIO_GP_4_16, GPIO_GP_4_17,
-
-	GPIO_GP_5_0, GPIO_GP_5_1, GPIO_GP_5_2, GPIO_GP_5_3,
-	GPIO_GP_5_4, GPIO_GP_5_5, GPIO_GP_5_6, GPIO_GP_5_7,
-	GPIO_GP_5_8, GPIO_GP_5_9, GPIO_GP_5_10, GPIO_GP_5_11,
-	GPIO_GP_5_12, GPIO_GP_5_13, GPIO_GP_5_14, GPIO_GP_5_15,
-	GPIO_GP_5_16, GPIO_GP_5_17, GPIO_GP_5_18, GPIO_GP_5_19,
-	GPIO_GP_5_20, GPIO_GP_5_21, GPIO_GP_5_22, GPIO_GP_5_23,
-	GPIO_GP_5_24, GPIO_GP_5_25,
-
-	GPIO_GP_6_0, GPIO_GP_6_1, GPIO_GP_6_2, GPIO_GP_6_3,
-	GPIO_GP_6_4, GPIO_GP_6_5, GPIO_GP_6_6, GPIO_GP_6_7,
-	GPIO_GP_6_8, GPIO_GP_6_9, GPIO_GP_6_10, GPIO_GP_6_11,
-	GPIO_GP_6_12, GPIO_GP_6_13, GPIO_GP_6_14, GPIO_GP_6_15,
-	GPIO_GP_6_16, GPIO_GP_6_17, GPIO_GP_6_18, GPIO_GP_6_19,
-	GPIO_GP_6_20, GPIO_GP_6_21, GPIO_GP_6_22, GPIO_GP_6_23,
-	GPIO_GP_6_24, GPIO_GP_6_25, GPIO_GP_6_26, GPIO_GP_6_27,
-	GPIO_GP_6_28, GPIO_GP_6_29, GPIO_GP_6_30, GPIO_GP_6_31,
-
-	GPIO_GP_7_0, GPIO_GP_7_1, GPIO_GP_7_2, GPIO_GP_7_3,
-
-	/* GPSR0 */
-	GPIO_GFN_D15,
-	GPIO_GFN_D14,
-	GPIO_GFN_D13,
-	GPIO_GFN_D12,
-	GPIO_GFN_D11,
-	GPIO_GFN_D10,
-	GPIO_GFN_D9,
-	GPIO_GFN_D8,
-	GPIO_GFN_D7,
-	GPIO_GFN_D6,
-	GPIO_GFN_D5,
-	GPIO_GFN_D4,
-	GPIO_GFN_D3,
-	GPIO_GFN_D2,
-	GPIO_GFN_D1,
-	GPIO_GFN_D0,
-
-	/* GPSR1 */
-	GPIO_GFN_CLKOUT,
-	GPIO_GFN_EX_WAIT0_A,
-	GPIO_GFN_WE1x,
-	GPIO_GFN_WE0x,
-	GPIO_GFN_RD_WRx,
-	GPIO_GFN_RDx,
-	GPIO_GFN_BSx,
-	GPIO_GFN_CS1x_A26,
-	GPIO_GFN_CS0x,
-	GPIO_GFN_A19,
-	GPIO_GFN_A18,
-	GPIO_GFN_A17,
-	GPIO_GFN_A16,
-	GPIO_GFN_A15,
-	GPIO_GFN_A14,
-	GPIO_GFN_A13,
-	GPIO_GFN_A12,
-	GPIO_GFN_A11,
-	GPIO_GFN_A10,
-	GPIO_GFN_A9,
-	GPIO_GFN_A8,
-	GPIO_GFN_A7,
-	GPIO_GFN_A6,
-	GPIO_GFN_A5,
-	GPIO_GFN_A4,
-	GPIO_GFN_A3,
-	GPIO_GFN_A2,
-	GPIO_GFN_A1,
-	GPIO_GFN_A0,
-
-	/* GPSR2 */
-	GPIO_GFN_AVB_AVTP_CAPTURE_A,
-	GPIO_GFN_AVB_AVTP_MATCH_A,
-	GPIO_GFN_AVB_LINK,
-	GPIO_GFN_AVB_PHY_INT,
-	GPIO_GFN_AVB_MAGIC,
-	GPIO_GFN_AVB_MDC,
-	GPIO_GFN_PWM2_A,
-	GPIO_GFN_PWM1_A,
-	GPIO_GFN_PWM0,
-	GPIO_GFN_IRQ5,
-	GPIO_GFN_IRQ4,
-	GPIO_GFN_IRQ3,
-	GPIO_GFN_IRQ2,
-	GPIO_GFN_IRQ1,
-	GPIO_GFN_IRQ0,
-
-	/* GPSR3 */
-	GPIO_GFN_SD1_WP,
-	GPIO_GFN_SD1_CD,
-	GPIO_GFN_SD0_WP,
-	GPIO_GFN_SD0_CD,
-	GPIO_GFN_SD1_DAT3,
-	GPIO_GFN_SD1_DAT2,
-	GPIO_GFN_SD1_DAT1,
-	GPIO_GFN_SD1_DAT0,
-	GPIO_GFN_SD1_CMD,
-	GPIO_GFN_SD1_CLK,
-	GPIO_GFN_SD0_DAT3,
-	GPIO_GFN_SD0_DAT2,
-	GPIO_GFN_SD0_DAT1,
-	GPIO_GFN_SD0_DAT0,
-	GPIO_GFN_SD0_CMD,
-	GPIO_GFN_SD0_CLK,
-
-	/* GPSR4 */
-	GPIO_GFN_SD3_DS,
-	GPIO_GFN_SD3_DAT7,
-	GPIO_GFN_SD3_DAT6,
-	GPIO_GFN_SD3_DAT5,
-	GPIO_GFN_SD3_DAT4,
-	GPIO_GFN_SD3_DAT3,
-	GPIO_GFN_SD3_DAT2,
-	GPIO_GFN_SD3_DAT1,
-	GPIO_GFN_SD3_DAT0,
-	GPIO_GFN_SD3_CMD,
-	GPIO_GFN_SD3_CLK,
-	GPIO_GFN_SD2_DS,
-	GPIO_GFN_SD2_DAT3,
-	GPIO_GFN_SD2_DAT2,
-	GPIO_GFN_SD2_DAT1,
-	GPIO_GFN_SD2_DAT0,
-	GPIO_GFN_SD2_CMD,
-	GPIO_GFN_SD2_CLK,
-
-	/* GPSR5 */
-	GPIO_GFN_MLB_DAT,
-	GPIO_GFN_MLB_SIG,
-	GPIO_GFN_MLB_CLK,
-	GPIO_FN_MSIOF0_RXD,
-	GPIO_GFN_MSIOF0_SS2,
-	GPIO_FN_MSIOF0_TXD,
-	GPIO_GFN_MSIOF0_SS1,
-	GPIO_GFN_MSIOF0_SYNC,
-	GPIO_FN_MSIOF0_SCK,
-	GPIO_GFN_HRTS0x,
-	GPIO_GFN_HCTS0x,
-	GPIO_GFN_HTX0,
-	GPIO_GFN_HRX0,
-	GPIO_GFN_HSCK0,
-	GPIO_GFN_RX2_A,
-	GPIO_GFN_TX2_A,
-	GPIO_GFN_SCK2,
-	GPIO_GFN_RTS1x_TANS,
-	GPIO_GFN_CTS1x,
-	GPIO_GFN_TX1_A,
-	GPIO_GFN_RX1_A,
-	GPIO_GFN_RTS0x_TANS,
-	GPIO_GFN_CTS0x,
-	GPIO_GFN_TX0,
-	GPIO_GFN_RX0,
-	GPIO_GFN_SCK0,
-
-	/* GPSR6 */
-	GPIO_GFN_USB3_OVC,
-	GPIO_GFN_USB3_PWEN,
-	GPIO_GFN_USB30_OVC,
-	GPIO_GFN_USB30_PWEN,
-	GPIO_GFN_USB1_OVC,
-	GPIO_GFN_USB1_PWEN,
-	GPIO_GFN_USB0_OVC,
-	GPIO_GFN_USB0_PWEN,
-	GPIO_GFN_AUDIO_CLKB_B,
-	GPIO_GFN_AUDIO_CLKA_A,
-	GPIO_GFN_SSI_SDATA9_A,
-	GPIO_GFN_SSI_SDATA8,
-	GPIO_GFN_SSI_SDATA7,
-	GPIO_GFN_SSI_WS78,
-	GPIO_GFN_SSI_SCK78,
-	GPIO_GFN_SSI_SDATA6,
-	GPIO_GFN_SSI_WS6,
-	GPIO_GFN_SSI_SCK6,
-	GPIO_FN_SSI_SDATA5,
-	GPIO_FN_SSI_WS5,
-	GPIO_FN_SSI_SCK5,
-	GPIO_GFN_SSI_SDATA4,
-	GPIO_GFN_SSI_WS4,
-	GPIO_GFN_SSI_SCK4,
-	GPIO_GFN_SSI_SDATA3,
-	GPIO_GFN_SSI_WS34,
-	GPIO_GFN_SSI_SCK34,
-	GPIO_GFN_SSI_SDATA2_A,
-	GPIO_GFN_SSI_SDATA1_A,
-	GPIO_GFN_SSI_SDATA0,
-	GPIO_GFN_SSI_WS01239,
-	GPIO_GFN_SSI_SCK01239,
-
-	/* GPSR7 */
-	GPIO_FN_HDMI1_CEC,
-	GPIO_FN_HDMI0_CEC,
-	GPIO_FN_AVS2,
-	GPIO_FN_AVS1,
-
-	/* IPSR0 */
-	GPIO_IFN_AVB_MDC,
-	GPIO_FN_MSIOF2_SS2_C,
-	GPIO_IFN_AVB_MAGIC,
-	GPIO_FN_MSIOF2_SS1_C,
-	GPIO_FN_SCK4_A,
-	GPIO_IFN_AVB_PHY_INT,
-	GPIO_FN_MSIOF2_SYNC_C,
-	GPIO_FN_RX4_A,
-	GPIO_IFN_AVB_LINK,
-	GPIO_FN_MSIOF2_SCK_C,
-	GPIO_FN_TX4_A,
-	GPIO_IFN_AVB_AVTP_MATCH_A,
-	GPIO_FN_MSIOF2_RXD_C,
-	GPIO_FN_CTS4x_A,
-	GPIO_FN_FSCLKST2x_A,
-	GPIO_IFN_AVB_AVTP_CAPTURE_A,
-	GPIO_FN_MSIOF2_TXD_C,
-	GPIO_FN_RTS4x_TANS_A,
-	GPIO_IFN_IRQ0,
-	GPIO_FN_QPOLB,
-	GPIO_FN_DU_CDE,
-	GPIO_FN_VI4_DATA0_B,
-	GPIO_FN_CAN0_TX_B,
-	GPIO_FN_CANFD0_TX_B,
-	GPIO_FN_MSIOF3_SS2_E,
-	GPIO_IFN_IRQ1,
-	GPIO_FN_QPOLA,
-	GPIO_FN_DU_DISP,
-	GPIO_FN_VI4_DATA1_B,
-	GPIO_FN_CAN0_RX_B,
-	GPIO_FN_CANFD0_RX_B,
-	GPIO_FN_MSIOF3_SS1_E,
-
-	/* IPSR1 */
-	GPIO_IFN_IRQ2,
-	GPIO_FN_QCPV_QDE,
-	GPIO_FN_DU_EXODDF_DU_ODDF_DISP_CDE,
-	GPIO_FN_VI4_DATA2_B,
-	GPIO_FN_MSIOF3_SYNC_E,
-	GPIO_FN_PWM3_B,
-	GPIO_IFN_IRQ3,
-	GPIO_FN_QSTVB_QVE,
-	GPIO_FN_A25,
-	GPIO_FN_DU_DOTCLKOUT1,
-	GPIO_FN_VI4_DATA3_B,
-	GPIO_FN_MSIOF3_SCK_E,
-	GPIO_FN_PWM4_B,
-	GPIO_IFN_IRQ4,
-	GPIO_FN_QSTH_QHS,
-	GPIO_FN_A24,
-	GPIO_FN_DU_EXHSYNC_DU_HSYNC,
-	GPIO_FN_VI4_DATA4_B,
-	GPIO_FN_MSIOF3_RXD_E,
-	GPIO_FN_PWM5_B,
-	GPIO_IFN_IRQ5,
-	GPIO_FN_QSTB_QHE,
-	GPIO_FN_A23,
-	GPIO_FN_DU_EXVSYNC_DU_VSYNC,
-	GPIO_FN_VI4_DATA5_B,
-	GPIO_FN_FSCLKST2x_B,
-	GPIO_FN_MSIOF3_TXD_E,
-	GPIO_FN_PWM6_B,
-	GPIO_IFN_PWM0,
-	GPIO_FN_AVB_AVTP_PPS,
-	GPIO_FN_VI4_DATA6_B,
-	GPIO_FN_IECLK_B,
-	GPIO_IFN_PWM1_A,
-	GPIO_FN_HRX3_D,
-	GPIO_FN_VI4_DATA7_B,
-	GPIO_FN_IERX_B,
-	GPIO_IFN_PWM2_A,
-	GPIO_FN_HTX3_D,
-	GPIO_FN_IETX_B,
-	GPIO_IFN_A0,
-	GPIO_FN_LCDOUT16,
-	GPIO_FN_MSIOF3_SYNC_B,
-	GPIO_FN_VI4_DATA8,
-	GPIO_FN_DU_DB0,
-	GPIO_FN_PWM3_A,
-
-	/* IPSR2 */
-	GPIO_IFN_A1,
-	GPIO_FN_LCDOUT17,
-	GPIO_FN_MSIOF3_TXD_B,
-	GPIO_FN_VI4_DATA9,
-	GPIO_FN_DU_DB1,
-	GPIO_FN_PWM4_A,
-	GPIO_IFN_A2,
-	GPIO_FN_LCDOUT18,
-	GPIO_FN_MSIOF3_SCK_B,
-	GPIO_FN_VI4_DATA10,
-	GPIO_FN_DU_DB2,
-	GPIO_FN_PWM5_A,
-	GPIO_IFN_A3,
-	GPIO_FN_LCDOUT19,
-	GPIO_FN_MSIOF3_RXD_B,
-	GPIO_FN_VI4_DATA11,
-	GPIO_FN_DU_DB3,
-	GPIO_FN_PWM6_A,
-	GPIO_IFN_A4,
-	GPIO_FN_LCDOUT20,
-	GPIO_FN_MSIOF3_SS1_B,
-	GPIO_FN_VI4_DATA12,
-	GPIO_FN_VI5_DATA12,
-	GPIO_FN_DU_DB4,
-	GPIO_IFN_A5,
-	GPIO_FN_LCDOUT21,
-	GPIO_FN_MSIOF3_SS2_B,
-	GPIO_FN_SCK4_B,
-	GPIO_FN_VI4_DATA13,
-	GPIO_FN_VI5_DATA13,
-	GPIO_FN_DU_DB5,
-	GPIO_IFN_A6,
-	GPIO_FN_LCDOUT22,
-	GPIO_FN_MSIOF2_SS1_A,
-	GPIO_FN_RX4_B,
-	GPIO_FN_VI4_DATA14,
-	GPIO_FN_VI5_DATA14,
-	GPIO_FN_DU_DB6,
-	GPIO_IFN_A7,
-	GPIO_FN_LCDOUT23,
-	GPIO_FN_MSIOF2_SS2_A,
-	GPIO_FN_TX4_B,
-	GPIO_FN_VI4_DATA15,
-	GPIO_FN_V15_DATA15,
-	GPIO_FN_DU_DB7,
-	GPIO_IFN_A8,
-	GPIO_FN_RX3_B,
-	GPIO_FN_MSIOF2_SYNC_A,
-	GPIO_FN_HRX4_B,
-	GPIO_FN_SDA6_A,
-	GPIO_FN_AVB_AVTP_MATCH_B,
-	GPIO_FN_PWM1_B,
-
-	/* IPSR3 */
-	GPIO_IFN_A9,
-	GPIO_FN_MSIOF2_SCK_A,
-	GPIO_FN_CTS4x_B,
-	GPIO_FN_VI5_VSYNCx,
-	GPIO_IFN_A10,
-	GPIO_FN_MSIOF2_RXD_A,
-	GPIO_FN_RTS4n_TANS_B,
-	GPIO_FN_VI5_HSYNCx,
-	GPIO_IFN_A11,
-	GPIO_FN_TX3_B,
-	GPIO_FN_MSIOF2_TXD_A,
-	GPIO_FN_HTX4_B,
-	GPIO_FN_HSCK4,
-	GPIO_FN_VI5_FIELD,
-	GPIO_FN_SCL6_A,
-	GPIO_FN_AVB_AVTP_CAPTURE_B,
-	GPIO_FN_PWM2_B,
-	GPIO_IFN_A12,
-	GPIO_FN_LCDOUT12,
-	GPIO_FN_MSIOF3_SCK_C,
-	GPIO_FN_HRX4_A,
-	GPIO_FN_VI5_DATA8,
-	GPIO_FN_DU_DG4,
-	GPIO_IFN_A13,
-	GPIO_FN_LCDOUT13,
-	GPIO_FN_MSIOF3_SYNC_C,
-	GPIO_FN_HTX4_A,
-	GPIO_FN_VI5_DATA9,
-	GPIO_FN_DU_DG5,
-	GPIO_IFN_A14,
-	GPIO_FN_LCDOUT14,
-	GPIO_FN_MSIOF3_RXD_C,
-	GPIO_FN_HCTS4x,
-	GPIO_FN_VI5_DATA10,
-	GPIO_FN_DU_DG6,
-	GPIO_IFN_A15,
-	GPIO_FN_LCDOUT15,
-	GPIO_FN_MSIOF3_TXD_C,
-	GPIO_FN_HRTS4x,
-	GPIO_FN_VI5_DATA11,
-	GPIO_FN_DU_DG7,
-	GPIO_IFN_A16,
-	GPIO_FN_LCDOUT8,
-	GPIO_FN_VI4_FIELD,
-	GPIO_FN_DU_DG0,
-
-	/* IPSR4 */
-	GPIO_IFN_A17,
-	GPIO_FN_LCDOUT9,
-	GPIO_FN_VI4_VSYNCx,
-	GPIO_FN_DU_DG1,
-	GPIO_IFN_A18,
-	GPIO_FN_LCDOUT10,
-	GPIO_FN_VI4_HSYNCx,
-	GPIO_FN_DU_DG2,
-	GPIO_IFN_A19,
-	GPIO_FN_LCDOUT11,
-	GPIO_FN_VI4_CLKENB,
-	GPIO_FN_DU_DG3,
-	GPIO_IFN_CS0x,
-	GPIO_FN_VI5_CLKENB,
-	GPIO_IFN_CS1x_A26,
-	GPIO_FN_VI5_CLK,
-	GPIO_FN_EX_WAIT0_B,
-	GPIO_IFN_BSx,
-	GPIO_FN_QSTVA_QVS,
-	GPIO_FN_MSIOF3_SCK_D,
-	GPIO_FN_SCK3,
-	GPIO_FN_HSCK3,
-	GPIO_FN_CAN1_TX,
-	GPIO_FN_CANFD1_TX,
-	GPIO_FN_IETX_A,
-	GPIO_IFN_RDx,
-	GPIO_FN_MSIOF3_SYNC_D,
-	GPIO_FN_RX3_A,
-	GPIO_FN_HRX3_A,
-	GPIO_FN_CAN0_TX_A,
-	GPIO_FN_CANFD0_TX_A,
-	GPIO_IFN_RD_WRx,
-	GPIO_FN_MSIOF3_RXD_D,
-	GPIO_FN_TX3_A,
-	GPIO_FN_HTX3_A,
-	GPIO_FN_CAN0_RX_A,
-	GPIO_FN_CANFD0_RX_A,
-
-	/* IPSR5 */
-	GPIO_IFN_WE0x,
-	GPIO_FN_MSIIOF3_TXD_D,
-	GPIO_FN_CTS3x,
-	GPIO_FN_HCTS3x,
-	GPIO_FN_SCL6_B,
-	GPIO_FN_CAN_CLK,
-	GPIO_FN_IECLK_A,
-	GPIO_IFN_WE1x,
-	GPIO_FN_MSIOF3_SS1_D,
-	GPIO_FN_RTS3x_TANS,
-	GPIO_FN_HRTS3x,
-	GPIO_FN_SDA6_B,
-	GPIO_FN_CAN1_RX,
-	GPIO_FN_CANFD1_RX,
-	GPIO_FN_IERX_A,
-	GPIO_IFN_EX_WAIT0_A,
-	GPIO_FN_QCLK,
-	GPIO_FN_VI4_CLK,
-	GPIO_FN_DU_DOTCLKOUT0,
-	GPIO_IFN_D0,
-	GPIO_FN_MSIOF2_SS1_B,
-	GPIO_FN_MSIOF3_SCK_A,
-	GPIO_FN_VI4_DATA16,
-	GPIO_FN_VI5_DATA0,
-	GPIO_IFN_D1,
-	GPIO_FN_MSIOF2_SS2_B,
-	GPIO_FN_MSIOF3_SYNC_A,
-	GPIO_FN_VI4_DATA17,
-	GPIO_FN_VI5_DATA1,
-	GPIO_IFN_D2,
-	GPIO_FN_MSIOF3_RXD_A,
-	GPIO_FN_VI4_DATA18,
-	GPIO_FN_VI5_DATA2,
-	GPIO_IFN_D3,
-	GPIO_FN_MSIOF3_TXD_A,
-	GPIO_FN_VI4_DATA19,
-	GPIO_FN_VI5_DATA3,
-	GPIO_IFN_D4,
-	GPIO_FN_MSIOF2_SCK_B,
-	GPIO_FN_VI4_DATA20,
-	GPIO_FN_VI5_DATA4,
-
-	/* IPSR6 */
-	GPIO_IFN_D5,
-	GPIO_FN_MSIOF2_SYNC_B,
-	GPIO_FN_VI4_DATA21,
-	GPIO_FN_VI5_DATA5,
-	GPIO_IFN_D6,
-	GPIO_FN_MSIOF2_RXD_B,
-	GPIO_FN_VI4_DATA22,
-	GPIO_FN_VI5_DATA6,
-	GPIO_IFN_D7,
-	GPIO_FN_MSIOF2_TXD_B,
-	GPIO_FN_VI4_DATA23,
-	GPIO_FN_VI5_DATA7,
-	GPIO_IFN_D8,
-	GPIO_FN_LCDOUT0,
-	GPIO_FN_MSIOF2_SCK_D,
-	GPIO_FN_SCK4_C,
-	GPIO_FN_VI4_DATA0_A,
-	GPIO_FN_DU_DR0,
-	GPIO_IFN_D9,
-	GPIO_FN_LCDOUT1,
-	GPIO_FN_MSIOF2_SYNC_D,
-	GPIO_FN_VI4_DATA1_A,
-	GPIO_FN_DU_DR1,
-	GPIO_IFN_D10,
-	GPIO_FN_LCDOUT2,
-	GPIO_FN_MSIOF2_RXD_D,
-	GPIO_FN_HRX3_B,
-	GPIO_FN_VI4_DATA2_A,
-	GPIO_FN_CTS4x_C,
-	GPIO_FN_DU_DR2,
-	GPIO_IFN_D11,
-	GPIO_FN_LCDOUT3,
-	GPIO_FN_MSIOF2_TXD_D,
-	GPIO_FN_HTX3_B,
-	GPIO_FN_VI4_DATA3_A,
-	GPIO_FN_RTS4x_TANS_C,
-	GPIO_FN_DU_DR3,
-	GPIO_IFN_D12,
-	GPIO_FN_LCDOUT4,
-	GPIO_FN_MSIOF2_SS1_D,
-	GPIO_FN_RX4_C,
-	GPIO_FN_VI4_DATA4_A,
-	GPIO_FN_DU_DR4,
-
-	/* IPSR7 */
-	GPIO_IFN_D13,
-	GPIO_FN_LCDOUT5,
-	GPIO_FN_MSIOF2_SS2_D,
-	GPIO_FN_TX4_C,
-	GPIO_FN_VI4_DATA5_A,
-	GPIO_FN_DU_DR5,
-	GPIO_IFN_D14,
-	GPIO_FN_LCDOUT6,
-	GPIO_FN_MSIOF3_SS1_A,
-	GPIO_FN_HRX3_C,
-	GPIO_FN_VI4_DATA6_A,
-	GPIO_FN_DU_DR6,
-	GPIO_FN_SCL6_C,
-	GPIO_IFN_D15,
-	GPIO_FN_LCDOUT7,
-	GPIO_FN_MSIOF3_SS2_A,
-	GPIO_FN_HTX3_C,
-	GPIO_FN_VI4_DATA7_A,
-	GPIO_FN_DU_DR7,
-	GPIO_FN_SDA6_C,
-	GPIO_FN_FSCLKST,
-	GPIO_IFN_SD0_CLK,
-	GPIO_FN_MSIOF1_SCK_E,
-	GPIO_FN_STP_OPWM_0_B,
-	GPIO_IFN_SD0_CMD,
-	GPIO_FN_MSIOF1_SYNC_E,
-	GPIO_FN_STP_IVCXO27_0_B,
-	GPIO_IFN_SD0_DAT0,
-	GPIO_FN_MSIOF1_RXD_E,
-	GPIO_FN_TS_SCK0_B,
-	GPIO_FN_STP_ISCLK_0_B,
-	GPIO_IFN_SD0_DAT1,
-	GPIO_FN_MSIOF1_TXD_E,
-	GPIO_FN_TS_SPSYNC0_B,
-	GPIO_FN_STP_ISSYNC_0_B,
-
-	/* IPSR8 */
-	GPIO_IFN_SD0_DAT2,
-	GPIO_FN_MSIOF1_SS1_E,
-	GPIO_FN_TS_SDAT0_B,
-	GPIO_FN_STP_ISD_0_B,
-	GPIO_IFN_SD0_DAT3,
-	GPIO_FN_MSIOF1_SS2_E,
-	GPIO_FN_TS_SDEN0_B,
-	GPIO_FN_STP_ISEN_0_B,
-	GPIO_IFN_SD1_CLK,
-	GPIO_FN_MSIOF1_SCK_G,
-	GPIO_FN_SIM0_CLK_A,
-	GPIO_IFN_SD1_CMD,
-	GPIO_FN_MSIOF1_SYNC_G,
-	GPIO_FN_NFCEx_B,
-	GPIO_FN_SIM0_D_A,
-	GPIO_FN_STP_IVCXO27_1_B,
-	GPIO_IFN_SD1_DAT0,
-	GPIO_FN_SD2_DAT4,
-	GPIO_FN_MSIOF1_RXD_G,
-	GPIO_FN_NFWPx_B,
-	GPIO_FN_TS_SCK1_B,
-	GPIO_FN_STP_ISCLK_1_B,
-	GPIO_IFN_SD1_DAT1,
-	GPIO_FN_SD2_DAT5,
-	GPIO_FN_MSIOF1_TXD_G,
-	GPIO_FN_NFDATA14_B,
-	GPIO_FN_TS_SPSYNC1_B,
-	GPIO_FN_STP_ISSYNC_1_B,
-	GPIO_IFN_SD1_DAT2,
-	GPIO_FN_SD2_DAT6,
-	GPIO_FN_MSIOF1_SS1_G,
-	GPIO_FN_NFDATA15_B,
-	GPIO_FN_TS_SDAT1_B,
-	GPIO_FN_STP_IOD_1_B,
-	GPIO_IFN_SD1_DAT3,
-	GPIO_FN_SD2_DAT7,
-	GPIO_FN_MSIOF1_SS2_G,
-	GPIO_FN_NFRBx_B,
-	GPIO_FN_TS_SDEN1_B,
-	GPIO_FN_STP_ISEN_1_B,
-
-	/* IPSR9 */
-	GPIO_IFN_SD2_CLK,
-	GPIO_FN_NFDATA8,
-	GPIO_IFN_SD2_CMD,
-	GPIO_FN_NFDATA9,
-	GPIO_IFN_SD2_DAT0,
-	GPIO_FN_NFDATA10,
-	GPIO_IFN_SD2_DAT1,
-	GPIO_FN_NFDATA11,
-	GPIO_IFN_SD2_DAT2,
-	GPIO_FN_NFDATA12,
-	GPIO_IFN_SD2_DAT3,
-	GPIO_FN_NFDATA13,
-	GPIO_IFN_SD2_DS,
-	GPIO_FN_NFALE,
-	GPIO_FN_SATA_DEVSLP_B,
-	GPIO_IFN_SD3_CLK,
-	GPIO_FN_NFWEx,
-
-	/* IPSR10 */
-	GPIO_IFN_SD3_CMD,
-	GPIO_FN_NFREx,
-	GPIO_IFN_SD3_DAT0,
-	GPIO_FN_NFDATA0,
-	GPIO_IFN_SD3_DAT1,
-	GPIO_FN_NFDATA1,
-	GPIO_IFN_SD3_DAT2,
-	GPIO_FN_NFDATA2,
-	GPIO_IFN_SD3_DAT3,
-	GPIO_FN_NFDATA3,
-	GPIO_IFN_SD3_DAT4,
-	GPIO_FN_SD2_CD_A,
-	GPIO_FN_NFDATA4,
-	GPIO_IFN_SD3_DAT5,
-	GPIO_FN_SD2_WP_A,
-	GPIO_FN_NFDATA5,
-	GPIO_IFN_SD3_DAT6,
-	GPIO_FN_SD3_CD,
-	GPIO_FN_NFDATA6,
-
-	/* IPSR11 */
-	GPIO_IFN_SD3_DAT7,
-	GPIO_FN_SD3_WP,
-	GPIO_FN_NFDATA7,
-	GPIO_IFN_SD3_DS,
-	GPIO_FN_NFCLE,
-	GPIO_IFN_SD0_CD,
-	GPIO_FN_NFDATA14_A,
-	GPIO_FN_SCL2_B,
-	GPIO_FN_SIM0_RST_A,
-	GPIO_IFN_SD0_WP,
-	GPIO_FN_NFDATA15_A,
-	GPIO_FN_SDA2_B,
-	GPIO_IFN_SD1_CD,
-	GPIO_FN_NFRBx_A,
-	GPIO_FN_SIM0_CLK_B,
-	GPIO_IFN_SD1_WP,
-	GPIO_FN_NFCEx_A,
-	GPIO_FN_SIM0_D_B,
-	GPIO_IFN_SCK0,
-	GPIO_FN_HSCK1_B,
-	GPIO_FN_MSIOF1_SS2_B,
-	GPIO_FN_AUDIO_CLKC_B,
-	GPIO_FN_SDA2_A,
-	GPIO_FN_SIM0_RST_B,
-	GPIO_FN_STP_OPWM_0_C,
-	GPIO_FN_RIF0_CLK_B,
-	GPIO_FN_ADICHS2,
-	GPIO_FN_SCK5_B,
-	GPIO_IFN_RX0,
-	GPIO_FN_HRX1_B,
-	GPIO_FN_TS_SCK0_C,
-	GPIO_FN_STP_ISCLK_0_C,
-	GPIO_FN_RIF0_D0_B,
-
-	/* IPSR12 */
-	GPIO_IFN_TX0,
-	GPIO_FN_HTX1_B,
-	GPIO_FN_TS_SPSYNC0_C,
-	GPIO_FN_STP_ISSYNC_0_C,
-	GPIO_FN_RIF0_D1_B,
-	GPIO_IFN_CTS0x,
-	GPIO_FN_HCTS1x_B,
-	GPIO_FN_MSIOF1_SYNC_B,
-	GPIO_FN_TS_SPSYNC1_C,
-	GPIO_FN_STP_ISSYNC_1_C,
-	GPIO_FN_RIF1_SYNC_B,
-	GPIO_FN_AUDIO_CLKOUT_C,
-	GPIO_FN_ADICS_SAMP,
-	GPIO_IFN_RTS0x_TANS,
-	GPIO_FN_HRTS1x_B,
-	GPIO_FN_MSIOF1_SS1_B,
-	GPIO_FN_AUDIO_CLKA_B,
-	GPIO_FN_SCL2_A,
-	GPIO_FN_STP_IVCXO27_1_C,
-	GPIO_FN_RIF0_SYNC_B,
-	GPIO_FN_ADICHS1,
-	GPIO_IFN_RX1_A,
-	GPIO_FN_HRX1_A,
-	GPIO_FN_TS_SDAT0_C,
-	GPIO_FN_STP_ISD_0_C,
-	GPIO_FN_RIF1_CLK_C,
-	GPIO_IFN_TX1_A,
-	GPIO_FN_HTX1_A,
-	GPIO_FN_TS_SDEN0_C,
-	GPIO_FN_STP_ISEN_0_C,
-	GPIO_FN_RIF1_D0_C,
-	GPIO_IFN_CTS1x,
-	GPIO_FN_HCTS1x_A,
-	GPIO_FN_MSIOF1_RXD_B,
-	GPIO_FN_TS_SDEN1_C,
-	GPIO_FN_STP_ISEN_1_C,
-	GPIO_FN_RIF1_D0_B,
-	GPIO_FN_ADIDATA,
-	GPIO_IFN_RTS1x_TANS,
-	GPIO_FN_HRTS1x_A,
-	GPIO_FN_MSIOF1_TXD_B,
-	GPIO_FN_TS_SDAT1_C,
-	GPIO_FN_STP_ISD_1_C,
-	GPIO_FN_RIF1_D1_B,
-	GPIO_FN_ADICHS0,
-	GPIO_IFN_SCK2,
-	GPIO_FN_SCIF_CLK_B,
-	GPIO_FN_MSIOF1_SCK_B,
-	GPIO_FN_TS_SCK1_C,
-	GPIO_FN_STP_ISCLK_1_C,
-	GPIO_FN_RIF1_CLK_B,
-	GPIO_FN_ADICLK,
-
-	/* IPSR13 */
-	GPIO_IFN_TX2_A,
-	GPIO_FN_SD2_CD_B,
-	GPIO_FN_SCL1_A,
-	GPIO_FN_FMCLK_A,
-	GPIO_FN_RIF1_D1_C,
-	GPIO_FN_FSO_CFE_0x,
-	GPIO_IFN_RX2_A,
-	GPIO_FN_SD2_WP_B,
-	GPIO_FN_SDA1_A,
-	GPIO_FN_FMIN_A,
-	GPIO_FN_RIF1_SYNC_C,
-	GPIO_FN_FSO_CFE_1x,
-	GPIO_IFN_HSCK0,
-	GPIO_FN_MSIOF1_SCK_D,
-	GPIO_FN_AUDIO_CLKB_A,
-	GPIO_FN_SSI_SDATA1_B,
-	GPIO_FN_TS_SCK0_D,
-	GPIO_FN_STP_ISCLK_0_D,
-	GPIO_FN_RIF0_CLK_C,
-	GPIO_FN_RX5_B,
-	GPIO_IFN_HRX0,
-	GPIO_FN_MSIOF1_RXD_D,
-	GPIO_FN_SSI_SDATA2_B,
-	GPIO_FN_TS_SDEN0_D,
-	GPIO_FN_STP_ISEN_0_D,
-	GPIO_FN_RIF0_D0_C,
-	GPIO_IFN_HTX0,
-	GPIO_FN_MSIOF1_TXD_D,
-	GPIO_FN_SSI_SDATA9_B,
-	GPIO_FN_TS_SDAT0_D,
-	GPIO_FN_STP_ISD_0_D,
-	GPIO_FN_RIF0_D1_C,
-	GPIO_IFN_HCTS0x,
-	GPIO_FN_RX2_B,
-	GPIO_FN_MSIOF1_SYNC_D,
-	GPIO_FN_SSI_SCK9_A,
-	GPIO_FN_TS_SPSYNC0_D,
-	GPIO_FN_STP_ISSYNC_0_D,
-	GPIO_FN_RIF0_SYNC_C,
-	GPIO_FN_AUDIO_CLKOUT1_A,
-	GPIO_IFN_HRTS0x,
-	GPIO_FN_TX2_B,
-	GPIO_FN_MSIOF1_SS1_D,
-	GPIO_FN_SSI_WS9_A,
-	GPIO_FN_STP_IVCXO27_0_D,
-	GPIO_FN_BPFCLK_A,
-	GPIO_FN_AUDIO_CLKOUT2_A,
-	GPIO_IFN_MSIOF0_SYNC,
-	GPIO_FN_AUDIO_CLKOUT_A,
-	GPIO_FN_TX5_B,
-	GPIO_FN_BPFCLK_D,
-
-	/* IPSR14 */
-	GPIO_IFN_MSIOF0_SS1,
-	GPIO_FN_RX5_A,
-	GPIO_FN_NFWPx_A,
-	GPIO_FN_AUDIO_CLKA_C,
-	GPIO_FN_SSI_SCK2_A,
-	GPIO_FN_STP_IVCXO27_0_C,
-	GPIO_FN_AUDIO_CLKOUT3_A,
-	GPIO_FN_TCLK1_B,
-	GPIO_IFN_MSIOF0_SS2,
-	GPIO_FN_TX5_A,
-	GPIO_FN_MSIOF1_SS2_D,
-	GPIO_FN_AUDIO_CLKC_A,
-	GPIO_FN_SSI_WS2_A,
-	GPIO_FN_STP_OPWM_0_D,
-	GPIO_FN_AUDIO_CLKOUT_D,
-	GPIO_FN_SPEEDIN_B,
-	GPIO_IFN_MLB_CLK,
-	GPIO_FN_MSIOF1_SCK_F,
-	GPIO_FN_SCL1_B,
-	GPIO_IFN_MLB_SIG,
-	GPIO_FN_RX1_B,
-	GPIO_FN_MSIOF1_SYNC_F,
-	GPIO_FN_SDA1_B,
-	GPIO_IFN_MLB_DAT,
-	GPIO_FN_TX1_B,
-	GPIO_FN_MSIOF1_RXD_F,
-	GPIO_IFN_SSI_SCK01239,
-	GPIO_FN_MSIOF1_TXD_F,
-	GPIO_FN_MOUT0,
-	GPIO_IFN_SSI_WS01239,
-	GPIO_FN_MSIOF1_SS1_F,
-	GPIO_FN_MOUT1,
-	GPIO_IFN_SSI_SDATA0,
-	GPIO_FN_MSIOF1_SS2_F,
-	GPIO_FN_MOUT2,
-
-	/* IPSR15 */
-	GPIO_IFN_SSI_SDATA1_A,
-	GPIO_FN_MOUT5,
-	GPIO_IFN_SSI_SDATA2_A,
-	GPIO_FN_SSI_SCK1_B,
-	GPIO_FN_MOUT6,
-	GPIO_IFN_SSI_SCK34,
-	GPIO_FN_MSIOF1_SS1_A,
-	GPIO_FN_STP_OPWM_0_A,
-	GPIO_IFN_SSI_WS34,
-	GPIO_FN_HCTS2x_A,
-	GPIO_FN_MSIOF1_SS2_A,
-	GPIO_FN_STP_IVCXO27_0_A,
-	GPIO_IFN_SSI_SDATA3,
-	GPIO_FN_HRTS2x_A,
-	GPIO_FN_MSIOF1_TXD_A,
-	GPIO_FN_TS_SCK0_A,
-	GPIO_FN_STP_ISCLK_0_A,
-	GPIO_FN_RIF0_D1_A,
-	GPIO_FN_RIF2_D0_A,
-	GPIO_IFN_SSI_SCK4,
-	GPIO_FN_HRX2_A,
-	GPIO_FN_MSIOF1_SCK_A,
-	GPIO_FN_TS_SDAT0_A,
-	GPIO_FN_STP_ISD_0_A,
-	GPIO_FN_RIF0_CLK_A,
-	GPIO_FN_RIF2_CLK_A,
-	GPIO_IFN_SSI_WS4,
-	GPIO_FN_HTX2_A,
-	GPIO_FN_MSIOF1_SYNC_A,
-	GPIO_FN_TS_SDEN0_A,
-	GPIO_FN_STP_ISEN_0_A,
-	GPIO_FN_RIF0_SYNC_A,
-	GPIO_FN_RIF2_SYNC_A,
-	GPIO_IFN_SSI_SDATA4,
-	GPIO_FN_HSCK2_A,
-	GPIO_FN_MSIOF1_RXD_A,
-	GPIO_FN_TS_SPSYNC0_A,
-	GPIO_FN_STP_ISSYNC_0_A,
-	GPIO_FN_RIF0_D0_A,
-	GPIO_FN_RIF2_D1_A,
-
-	/* IPSR16 */
-	GPIO_IFN_SSI_SCK6,
-	GPIO_FN_SIM0_RST_D,
-	GPIO_IFN_SSI_WS6,
-	GPIO_FN_SIM0_D_D,
-	GPIO_IFN_SSI_SDATA6,
-	GPIO_FN_SIM0_CLK_D,
-	GPIO_FN_SATA_DEVSLP_A,
-	GPIO_IFN_SSI_SCK78,
-	GPIO_FN_HRX2_B,
-	GPIO_FN_MSIOF1_SCK_C,
-	GPIO_FN_TS_SCK1_A,
-	GPIO_FN_STP_ISCLK_1_A,
-	GPIO_FN_RIF1_CLK_A,
-	GPIO_FN_RIF3_CLK_A,
-	GPIO_IFN_SSI_WS78,
-	GPIO_FN_HTX2_B,
-	GPIO_FN_MSIOF1_SYNC_C,
-	GPIO_FN_TS_SDAT1_A,
-	GPIO_FN_STP_ISD_1_A,
-	GPIO_FN_RIF1_SYNC_A,
-	GPIO_FN_RIF3_SYNC_A,
-	GPIO_IFN_SSI_SDATA7,
-	GPIO_FN_HCTS2x_B,
-	GPIO_FN_MSIOF1_RXD_C,
-	GPIO_FN_TS_SDEN1_A,
-	GPIO_FN_STP_ISEN_1_A,
-	GPIO_FN_RIF1_D0_A,
-	GPIO_FN_RIF3_D0_A,
-	GPIO_FN_TCLK2_A,
-	GPIO_IFN_SSI_SDATA8,
-	GPIO_FN_HRTS2x_B,
-	GPIO_FN_MSIOF1_TXD_C,
-	GPIO_FN_TS_SPSYNC1_A,
-	GPIO_FN_STP_ISSYNC_1_A,
-	GPIO_FN_RIF1_D1_A,
-	GPIO_FN_RIF3_D1_A,
-	GPIO_IFN_SSI_SDATA9_A,
-	GPIO_FN_HSCK2_B,
-	GPIO_FN_MSIOF1_SS1_C,
-	GPIO_FN_HSCK1_A,
-	GPIO_FN_SSI_WS1_B,
-	GPIO_FN_SCK1,
-	GPIO_FN_STP_IVCXO27_1_A,
-	GPIO_FN_SCK5_A,
-
-	/* IPSR17 */
-	GPIO_IFN_AUDIO_CLKA_A,
-	GPIO_FN_CC5_OSCOUT,
-	GPIO_IFN_AUDIO_CLKB_B,
-	GPIO_FN_SCIF_CLK_A,
-	GPIO_FN_STP_IVCXO27_1_D,
-	GPIO_FN_REMOCON_A,
-	GPIO_FN_TCLK1_A,
-	GPIO_IFN_USB0_PWEN,
-	GPIO_FN_SIM0_RST_C,
-	GPIO_FN_TS_SCK1_D,
-	GPIO_FN_STP_ISCLK_1_D,
-	GPIO_FN_BPFCLK_B,
-	GPIO_FN_RIF3_CLK_B,
-	GPIO_FN_HSCK2_C,
-	GPIO_IFN_USB0_OVC,
-	GPIO_FN_SIM0_D_C,
-	GPIO_FN_TS_SDAT1_D,
-	GPIO_FN_STP_ISD_1_D,
-	GPIO_FN_RIF3_SYNC_B,
-	GPIO_FN_HRX2_C,
-	GPIO_IFN_USB1_PWEN,
-	GPIO_FN_SIM0_CLK_C,
-	GPIO_FN_SSI_SCK1_A,
-	GPIO_FN_TS_SCK0_E,
-	GPIO_FN_STP_ISCLK_0_E,
-	GPIO_FN_FMCLK_B,
-	GPIO_FN_RIF2_CLK_B,
-	GPIO_FN_SPEEDIN_A,
-	GPIO_FN_HTX2_C,
-	GPIO_IFN_USB1_OVC,
-	GPIO_FN_MSIOF1_SS2_C,
-	GPIO_FN_SSI_WS1_A,
-	GPIO_FN_TS_SDAT0_E,
-	GPIO_FN_STP_ISD_0_E,
-	GPIO_FN_FMIN_B,
-	GPIO_FN_RIF2_SYNC_B,
-	GPIO_FN_REMOCON_B,
-	GPIO_FN_HCTS2x_C,
-	GPIO_IFN_USB30_PWEN,
-	GPIO_FN_AUDIO_CLKOUT_B,
-	GPIO_FN_SSI_SCK2_B,
-	GPIO_FN_TS_SDEN1_D,
-	GPIO_FN_STP_ISEN_1_D,
-	GPIO_FN_STP_OPWM_0_E,
-	GPIO_FN_RIF3_D0_B,
-	GPIO_FN_TCLK2_B,
-	GPIO_FN_TPU0TO0,
-	GPIO_FN_BPFCLK_C,
-	GPIO_FN_HRTS2x_C,
-	GPIO_IFN_USB30_OVC,
-	GPIO_FN_AUDIO_CLKOUT1_B,
-	GPIO_FN_SSI_WS2_B,
-	GPIO_FN_TS_SPSYNC1_D,
-	GPIO_FN_STP_ISSYNC_1_D,
-	GPIO_FN_STP_IVCXO27_0_E,
-	GPIO_FN_RIF3_D1_B,
-	GPIO_FN_FSO_TOEx,
-	GPIO_FN_TPU0TO1,
-
-	/* IPSR18 */
-	GPIO_IFN_USB3_PWEN,
-	GPIO_FN_AUDIO_CLKOUT2_B,
-	GPIO_FN_SSI_SCK9_B,
-	GPIO_FN_TS_SDEN0_E,
-	GPIO_FN_STP_ISEN_0_E,
-	GPIO_FN_RIF2_D0_B,
-	GPIO_FN_TPU0TO2,
-	GPIO_FN_FMCLK_C,
-	GPIO_FN_FMCLK_D,
-	GPIO_IFN_USB3_OVC,
-	GPIO_FN_AUDIO_CLKOUT3_B,
-	GPIO_FN_SSI_WS9_B,
-	GPIO_FN_TS_SPSYNC0_E,
-	GPIO_FN_STP_ISSYNC_0_E,
-	GPIO_FN_RIF2_D1_B,
-	GPIO_FN_TPU0TO3,
-	GPIO_FN_FMIN_C,
-	GPIO_FN_FMIN_D,
-};
-
-#endif /* __ASM_R8A7795_GPIO_H__ */
diff --git a/arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h b/arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h
deleted file mode 100644
index 2359e36a14..0000000000
--- a/arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h
+++ /dev/null
@@ -1,1084 +0,0 @@
-/*
- * arch/arm/include/asm/arch-rcar_gen3/r8a7796-gpio.h
- *	This file defines pin function control of gpio.
- *
- * Copyright (C) 2016 Renesas Electronics Corporation
- *
- * SPDX-License-Identifier:	GPL-2.0+
- */
-#ifndef __ASM_R8A7796_GPIO_H__
-#define __ASM_R8A7796_GPIO_H__
-
-/* Pin Function Controller:
- * GPIO_FN_xx - GPIO used to select pin function
- * GPIO_GP_x_x - GPIO mapped to real I/O pin on CPU
- */
-enum {
-	GPIO_GP_0_0, GPIO_GP_0_1, GPIO_GP_0_2, GPIO_GP_0_3,
-	GPIO_GP_0_4, GPIO_GP_0_5, GPIO_GP_0_6, GPIO_GP_0_7,
-	GPIO_GP_0_8, GPIO_GP_0_9, GPIO_GP_0_10, GPIO_GP_0_11,
-	GPIO_GP_0_12, GPIO_GP_0_13, GPIO_GP_0_14, GPIO_GP_0_15,
-
-	GPIO_GP_1_0, GPIO_GP_1_1, GPIO_GP_1_2, GPIO_GP_1_3,
-	GPIO_GP_1_4, GPIO_GP_1_5, GPIO_GP_1_6, GPIO_GP_1_7,
-	GPIO_GP_1_8, GPIO_GP_1_9, GPIO_GP_1_10, GPIO_GP_1_11,
-	GPIO_GP_1_12, GPIO_GP_1_13, GPIO_GP_1_14, GPIO_GP_1_15,
-	GPIO_GP_1_16, GPIO_GP_1_17, GPIO_GP_1_18, GPIO_GP_1_19,
-	GPIO_GP_1_20, GPIO_GP_1_21, GPIO_GP_1_22, GPIO_GP_1_23,
-	GPIO_GP_1_24, GPIO_GP_1_25, GPIO_GP_1_26, GPIO_GP_1_27,
-	GPIO_GP_1_28,
-
-	GPIO_GP_2_0, GPIO_GP_2_1, GPIO_GP_2_2, GPIO_GP_2_3,
-	GPIO_GP_2_4, GPIO_GP_2_5, GPIO_GP_2_6, GPIO_GP_2_7,
-	GPIO_GP_2_8, GPIO_GP_2_9, GPIO_GP_2_10, GPIO_GP_2_11,
-	GPIO_GP_2_12, GPIO_GP_2_13, GPIO_GP_2_14,
-
-	GPIO_GP_3_0, GPIO_GP_3_1, GPIO_GP_3_2, GPIO_GP_3_3,
-	GPIO_GP_3_4, GPIO_GP_3_5, GPIO_GP_3_6, GPIO_GP_3_7,
-	GPIO_GP_3_8, GPIO_GP_3_9, GPIO_GP_3_10, GPIO_GP_3_11,
-	GPIO_GP_3_12, GPIO_GP_3_13, GPIO_GP_3_14, GPIO_GP_3_15,
-
-	GPIO_GP_4_0, GPIO_GP_4_1, GPIO_GP_4_2, GPIO_GP_4_3,
-	GPIO_GP_4_4, GPIO_GP_4_5, GPIO_GP_4_6, GPIO_GP_4_7,
-	GPIO_GP_4_8, GPIO_GP_4_9, GPIO_GP_4_10, GPIO_GP_4_11,
-	GPIO_GP_4_12, GPIO_GP_4_13, GPIO_GP_4_14, GPIO_GP_4_15,
-	GPIO_GP_4_16, GPIO_GP_4_17,
-
-	GPIO_GP_5_0, GPIO_GP_5_1, GPIO_GP_5_2, GPIO_GP_5_3,
-	GPIO_GP_5_4, GPIO_GP_5_5, GPIO_GP_5_6, GPIO_GP_5_7,
-	GPIO_GP_5_8, GPIO_GP_5_9, GPIO_GP_5_10, GPIO_GP_5_11,
-	GPIO_GP_5_12, GPIO_GP_5_13, GPIO_GP_5_14, GPIO_GP_5_15,
-	GPIO_GP_5_16, GPIO_GP_5_17, GPIO_GP_5_18, GPIO_GP_5_19,
-	GPIO_GP_5_20, GPIO_GP_5_21, GPIO_GP_5_22, GPIO_GP_5_23,
-	GPIO_GP_5_24, GPIO_GP_5_25,
-
-	GPIO_GP_6_0, GPIO_GP_6_1, GPIO_GP_6_2, GPIO_GP_6_3,
-	GPIO_GP_6_4, GPIO_GP_6_5, GPIO_GP_6_6, GPIO_GP_6_7,
-	GPIO_GP_6_8, GPIO_GP_6_9, GPIO_GP_6_10, GPIO_GP_6_11,
-	GPIO_GP_6_12, GPIO_GP_6_13, GPIO_GP_6_14, GPIO_GP_6_15,
-	GPIO_GP_6_16, GPIO_GP_6_17, GPIO_GP_6_18, GPIO_GP_6_19,
-	GPIO_GP_6_20, GPIO_GP_6_21, GPIO_GP_6_22, GPIO_GP_6_23,
-	GPIO_GP_6_24, GPIO_GP_6_25, GPIO_GP_6_26, GPIO_GP_6_27,
-	GPIO_GP_6_28, GPIO_GP_6_29, GPIO_GP_6_30, GPIO_GP_6_31,
-
-	GPIO_GP_7_0, GPIO_GP_7_1, GPIO_GP_7_2, GPIO_GP_7_3,
-
-	/* GPSR0 */
-	GPIO_GFN_D15,
-	GPIO_GFN_D14,
-	GPIO_GFN_D13,
-	GPIO_GFN_D12,
-	GPIO_GFN_D11,
-	GPIO_GFN_D10,
-	GPIO_GFN_D9,
-	GPIO_GFN_D8,
-	GPIO_GFN_D7,
-	GPIO_GFN_D6,
-	GPIO_GFN_D5,
-	GPIO_GFN_D4,
-	GPIO_GFN_D3,
-	GPIO_GFN_D2,
-	GPIO_GFN_D1,
-	GPIO_GFN_D0,
-
-	/* GPSR1 */
-	GPIO_GFN_CLKOUT,
-	GPIO_GFN_EX_WAIT0_A,
-	GPIO_GFN_WE1x,
-	GPIO_GFN_WE0x,
-	GPIO_GFN_RD_WRx,
-	GPIO_GFN_RDx,
-	GPIO_GFN_BSx,
-	GPIO_GFN_CS1x_A26,
-	GPIO_GFN_CS0x,
-	GPIO_GFN_A19,
-	GPIO_GFN_A18,
-	GPIO_GFN_A17,
-	GPIO_GFN_A16,
-	GPIO_GFN_A15,
-	GPIO_GFN_A14,
-	GPIO_GFN_A13,
-	GPIO_GFN_A12,
-	GPIO_GFN_A11,
-	GPIO_GFN_A10,
-	GPIO_GFN_A9,
-	GPIO_GFN_A8,
-	GPIO_GFN_A7,
-	GPIO_GFN_A6,
-	GPIO_GFN_A5,
-	GPIO_GFN_A4,
-	GPIO_GFN_A3,
-	GPIO_GFN_A2,
-	GPIO_GFN_A1,
-	GPIO_GFN_A0,
-
-	/* GPSR2 */
-	GPIO_GFN_AVB_AVTP_CAPTURE_A,
-	GPIO_GFN_AVB_AVTP_MATCH_A,
-	GPIO_GFN_AVB_LINK,
-	GPIO_GFN_AVB_PHY_INT,
-	GPIO_GFN_AVB_MAGIC,
-	GPIO_GFN_AVB_MDC,
-	GPIO_GFN_PWM2_A,
-	GPIO_GFN_PWM1_A,
-	GPIO_GFN_PWM0,
-	GPIO_GFN_IRQ5,
-	GPIO_GFN_IRQ4,
-	GPIO_GFN_IRQ3,
-	GPIO_GFN_IRQ2,
-	GPIO_GFN_IRQ1,
-	GPIO_GFN_IRQ0,
-
-	/* GPSR3 */
-	GPIO_GFN_SD1_WP,
-	GPIO_GFN_SD1_CD,
-	GPIO_GFN_SD0_WP,
-	GPIO_GFN_SD0_CD,
-	GPIO_GFN_SD1_DAT3,
-	GPIO_GFN_SD1_DAT2,
-	GPIO_GFN_SD1_DAT1,
-	GPIO_GFN_SD1_DAT0,
-	GPIO_GFN_SD1_CMD,
-	GPIO_GFN_SD1_CLK,
-	GPIO_GFN_SD0_DAT3,
-	GPIO_GFN_SD0_DAT2,
-	GPIO_GFN_SD0_DAT1,
-	GPIO_GFN_SD0_DAT0,
-	GPIO_GFN_SD0_CMD,
-	GPIO_GFN_SD0_CLK,
-
-	/* GPSR4 */
-	GPIO_GFN_SD3_DS,
-	GPIO_GFN_SD3_DAT7,
-	GPIO_GFN_SD3_DAT6,
-	GPIO_GFN_SD3_DAT5,
-	GPIO_GFN_SD3_DAT4,
-	GPIO_FN_SD3_DAT3,
-	GPIO_FN_SD3_DAT2,
-	GPIO_FN_SD3_DAT1,
-	GPIO_FN_SD3_DAT0,
-	GPIO_FN_SD3_CMD,
-	GPIO_FN_SD3_CLK,
-	GPIO_GFN_SD2_DS,
-	GPIO_GFN_SD2_DAT3,
-	GPIO_GFN_SD2_DAT2,
-	GPIO_GFN_SD2_DAT1,
-	GPIO_GFN_SD2_DAT0,
-	GPIO_FN_SD2_CMD,
-	GPIO_GFN_SD2_CLK,
-
-	/* GPSR5 */
-	GPIO_GFN_MLB_DAT,
-	GPIO_GFN_MLB_SIG,
-	GPIO_GFN_MLB_CLK,
-	GPIO_FN_MSIOF0_RXD,
-	GPIO_GFN_MSIOF0_SS2,
-	GPIO_FN_MSIOF0_TXD,
-	GPIO_GFN_MSIOF0_SS1,
-	GPIO_GFN_MSIOF0_SYNC,
-	GPIO_FN_MSIOF0_SCK,
-	GPIO_GFN_HRTS0x,
-	GPIO_GFN_HCTS0x,
-	GPIO_GFN_HTX0,
-	GPIO_GFN_HRX0,
-	GPIO_GFN_HSCK0,
-	GPIO_GFN_RX2_A,
-	GPIO_GFN_TX2_A,
-	GPIO_GFN_SCK2,
-	GPIO_GFN_RTS1x_TANS,
-	GPIO_GFN_CTS1x,
-	GPIO_GFN_TX1_A,
-	GPIO_GFN_RX1_A,
-	GPIO_GFN_RTS0x_TANS,
-	GPIO_GFN_CTS0x,
-	GPIO_GFN_TX0,
-	GPIO_GFN_RX0,
-	GPIO_GFN_SCK0,
-
-	/* GPSR6 */
-	GPIO_GFN_GP6_31,
-	GPIO_GFN_GP6_30,
-	GPIO_GFN_USB30_OVC,
-	GPIO_GFN_USB30_PWEN,
-	GPIO_GFN_USB1_OVC,
-	GPIO_GFN_USB1_PWEN,
-	GPIO_GFN_USB0_OVC,
-	GPIO_GFN_USB0_PWEN,
-	GPIO_GFN_AUDIO_CLKB_B,
-	GPIO_GFN_AUDIO_CLKA_A,
-	GPIO_GFN_SSI_SDATA9_A,
-	GPIO_GFN_SSI_SDATA8,
-	GPIO_GFN_SSI_SDATA7,
-	GPIO_GFN_SSI_WS78,
-	GPIO_GFN_SSI_SCK78,
-	GPIO_GFN_SSI_SDATA6,
-	GPIO_GFN_SSI_WS6,
-	GPIO_GFN_SSI_SCK6,
-	GPIO_FN_SSI_SDATA5,
-	GPIO_FN_SSI_WS5,
-	GPIO_FN_SSI_SCK5,
-	GPIO_GFN_SSI_SDATA4,
-	GPIO_GFN_SSI_WS4,
-	GPIO_GFN_SSI_SCK4,
-	GPIO_GFN_SSI_SDATA3,
-	GPIO_GFN_SSI_WS34,
-	GPIO_GFN_SSI_SCK34,
-	GPIO_GFN_SSI_SDATA2_A,
-	GPIO_GFN_SSI_SDATA1_A,
-	GPIO_GFN_SSI_SDATA0,
-	GPIO_GFN_SSI_WS01239,
-	GPIO_GFN_SSI_SCK01239,
-
-	/* GPSR7 */
-	GPIO_FN_HDMI1_CEC,
-	GPIO_FN_HDMI0_CEC,
-	GPIO_FN_AVS2,
-	GPIO_FN_AVS1,
-
-	/* IPSR0 */
-	GPIO_IFN_AVB_MDC,
-	GPIO_FN_MSIOF2_SS2_C,
-	GPIO_IFN_AVB_MAGIC,
-	GPIO_FN_MSIOF2_SS1_C,
-	GPIO_FN_SCK4_A,
-	GPIO_IFN_AVB_PHY_INT,
-	GPIO_FN_MSIOF2_SYNC_C,
-	GPIO_FN_RX4_A,
-	GPIO_IFN_AVB_LINK,
-	GPIO_FN_MSIOF2_SCK_C,
-	GPIO_FN_TX4_A,
-	GPIO_IFN_AVB_AVTP_MATCH_A,
-	GPIO_FN_MSIOF2_RXD_C,
-	GPIO_FN_CTS4x_A,
-	GPIO_IFN_AVB_AVTP_CAPTURE_A,
-	GPIO_FN_MSIOF2_TXD_C,
-	GPIO_FN_RTS4x_TANS_A,
-	GPIO_IFN_IRQ0,
-	GPIO_FN_QPOLB,
-	GPIO_FN_DU_CDE,
-	GPIO_FN_VI4_DATA0_B,
-	GPIO_FN_CAN0_TX_B,
-	GPIO_FN_CANFD0_TX_B,
-	GPIO_FN_MSIOF3_SS2_E,
-	GPIO_IFN_IRQ1,
-	GPIO_FN_QPOLA,
-	GPIO_FN_DU_DISP,
-	GPIO_FN_VI4_DATA1_B,
-	GPIO_FN_CAN0_RX_B,
-	GPIO_FN_CANFD0_RX_B,
-	GPIO_FN_MSIOF3_SS1_E,
-
-	/* IPSR1 */
-	GPIO_IFN_IRQ2,
-	GPIO_FN_QCPV_QDE,
-	GPIO_FN_DU_EXODDF_DU_ODDF_DISP_CDE,
-	GPIO_FN_VI4_DATA2_B,
-	GPIO_FN_MSIOF3_SYNC_E,
-	GPIO_FN_PWM3_B,
-	GPIO_IFN_IRQ3,
-	GPIO_FN_QSTVB_QVE,
-	GPIO_FN_DU_DOTCLKOUT1,
-	GPIO_FN_VI4_DATA3_B,
-	GPIO_FN_MSIOF3_SCK_E,
-	GPIO_FN_PWM4_B,
-	GPIO_IFN_IRQ4,
-	GPIO_FN_QSTH_QHS,
-	GPIO_FN_DU_EXHSYNC_DU_HSYNC,
-	GPIO_FN_VI4_DATA4_B,
-	GPIO_FN_MSIOF3_RXD_E,
-	GPIO_FN_PWM5_B,
-	GPIO_IFN_IRQ5,
-	GPIO_FN_QSTB_QHE,
-	GPIO_FN_DU_EXVSYNC_DU_VSYNC,
-	GPIO_FN_VI4_DATA5_B,
-	GPIO_FN_MSIOF3_TXD_E,
-	GPIO_FN_PWM6_B,
-	GPIO_IFN_PWM0,
-	GPIO_FN_AVB_AVTP_PPS,
-	GPIO_FN_VI4_DATA6_B,
-	GPIO_FN_IECLK_B,
-	GPIO_IFN_PWM1_A,
-	GPIO_FN_HRX3_D,
-	GPIO_FN_VI4_DATA7_B,
-	GPIO_FN_IERX_B,
-	GPIO_IFN_PWM2_A,
-	GPIO_FN_PWMFSW0,
-	GPIO_FN_HTX3_D,
-	GPIO_FN_IETX_B,
-	GPIO_IFN_A0,
-	GPIO_FN_LCDOUT16,
-	GPIO_FN_MSIOF3_SYNC_B,
-	GPIO_FN_VI4_DATA8,
-	GPIO_FN_DU_DB0,
-	GPIO_FN_PWM3_A,
-
-	/* IPSR2 */
-	GPIO_IFN_A1,
-	GPIO_FN_LCDOUT17,
-	GPIO_FN_MSIOF3_TXD_B,
-	GPIO_FN_VI4_DATA9,
-	GPIO_FN_DU_DB1,
-	GPIO_FN_PWM4_A,
-	GPIO_IFN_A2,
-	GPIO_FN_LCDOUT18,
-	GPIO_FN_MSIOF3_SCK_B,
-	GPIO_FN_VI4_DATA10,
-	GPIO_FN_DU_DB2,
-	GPIO_FN_PWM5_A,
-	GPIO_IFN_A3,
-	GPIO_FN_LCDOUT19,
-	GPIO_FN_MSIOF3_RXD_B,
-	GPIO_FN_VI4_DATA11,
-	GPIO_FN_DU_DB3,
-	GPIO_FN_PWM6_A,
-	GPIO_IFN_A4,
-	GPIO_FN_LCDOUT20,
-	GPIO_FN_MSIOF3_SS1_B,
-	GPIO_FN_VI4_DATA12,
-	GPIO_FN_VI5_DATA12,
-	GPIO_FN_DU_DB4,
-	GPIO_IFN_A5,
-	GPIO_FN_LCDOUT21,
-	GPIO_FN_MSIOF3_SS2_B,
-	GPIO_FN_SCK4_B,
-	GPIO_FN_VI4_DATA13,
-	GPIO_FN_VI5_DATA13,
-	GPIO_FN_DU_DB5,
-	GPIO_IFN_A6,
-	GPIO_FN_LCDOUT22,
-	GPIO_FN_MSIOF2_SS1_A,
-	GPIO_FN_RX4_B,
-	GPIO_FN_VI4_DATA14,
-	GPIO_FN_VI5_DATA14,
-	GPIO_FN_DU_DB6,
-	GPIO_IFN_A7,
-	GPIO_FN_LCDOUT23,
-	GPIO_FN_MSIOF2_SS2_A,
-	GPIO_FN_TX4_B,
-	GPIO_FN_VI4_DATA15,
-	GPIO_FN_V15_DATA15,
-	GPIO_FN_DU_DB7,
-	GPIO_IFN_A8,
-	GPIO_FN_RX3_B,
-	GPIO_FN_MSIOF2_SYNC_A,
-	GPIO_FN_HRX4_B,
-	GPIO_FN_SDA6_A,
-	GPIO_FN_AVB_AVTP_MATCH_B,
-	GPIO_FN_PWM1_B,
-
-	/* IPSR3 */
-	GPIO_IFN_A9,
-	GPIO_FN_MSIOF2_SCK_A,
-	GPIO_FN_CTS4x_B,
-	GPIO_FN_VI5_VSYNCx,
-	GPIO_IFN_A10,
-	GPIO_FN_MSIOF2_RXD_A,
-	GPIO_FN_RTS4n_TANS_B,
-	GPIO_FN_VI5_HSYNCx,
-	GPIO_IFN_A11,
-	GPIO_FN_TX3_B,
-	GPIO_FN_MSIOF2_TXD_A,
-	GPIO_FN_HTX4_B,
-	GPIO_FN_HSCK4,
-	GPIO_FN_VI5_FIELD,
-	GPIO_FN_SCL6_A,
-	GPIO_FN_AVB_AVTP_CAPTURE_B,
-	GPIO_FN_PWM2_B,
-	GPIO_FN_SPV_EVEN,
-	GPIO_IFN_A12,
-	GPIO_FN_LCDOUT12,
-	GPIO_FN_MSIOF3_SCK_C,
-	GPIO_FN_HRX4_A,
-	GPIO_FN_VI5_DATA8,
-	GPIO_FN_DU_DG4,
-	GPIO_IFN_A13,
-	GPIO_FN_LCDOUT13,
-	GPIO_FN_MSIOF3_SYNC_C,
-	GPIO_FN_HTX4_A,
-	GPIO_FN_VI5_DATA9,
-	GPIO_FN_DU_DG5,
-	GPIO_IFN_A14,
-	GPIO_FN_LCDOUT14,
-	GPIO_FN_MSIOF3_RXD_C,
-	GPIO_FN_HCTS4x,
-	GPIO_FN_VI5_DATA10,
-	GPIO_FN_DU_DG6,
-	GPIO_IFN_A15,
-	GPIO_FN_LCDOUT15,
-	GPIO_FN_MSIOF3_TXD_C,
-	GPIO_FN_HRTS4x,
-	GPIO_FN_VI5_DATA11,
-	GPIO_FN_DU_DG7,
-	GPIO_IFN_A16,
-	GPIO_FN_LCDOUT8,
-	GPIO_FN_VI4_FIELD,
-	GPIO_FN_DU_DG0,
-
-	/* IPSR4 */
-	GPIO_IFN_A17,
-	GPIO_FN_LCDOUT9,
-	GPIO_FN_VI4_VSYNCx,
-	GPIO_FN_DU_DG1,
-	GPIO_IFN_A18,
-	GPIO_FN_LCDOUT10,
-	GPIO_FN_VI4_HSYNCx,
-	GPIO_FN_DU_DG2,
-	GPIO_IFN_A19,
-	GPIO_FN_LCDOUT11,
-	GPIO_FN_VI4_CLKENB,
-	GPIO_FN_DU_DG3,
-	GPIO_IFN_CS0x,
-	GPIO_FN_VI5_CLKENB,
-	GPIO_IFN_CS1x_A26,
-	GPIO_FN_VI5_CLK,
-	GPIO_FN_EX_WAIT0_B,
-	GPIO_IFN_BSx,
-	GPIO_FN_QSTVA_QVS,
-	GPIO_FN_MSIOF3_SCK_D,
-	GPIO_FN_SCK3,
-	GPIO_FN_HSCK3,
-	GPIO_FN_CAN1_TX,
-	GPIO_FN_CANFD1_TX,
-	GPIO_FN_IETX_A,
-	GPIO_IFN_RDx,
-	GPIO_FN_MSIOF3_SYNC_D,
-	GPIO_FN_RX3_A,
-	GPIO_FN_HRX3_A,
-	GPIO_FN_CAN0_TX_A,
-	GPIO_FN_CANFD0_TX_A,
-	GPIO_IFN_RD_WRx,
-	GPIO_FN_MSIOF3_RXD_D,
-	GPIO_FN_TX3_A,
-	GPIO_FN_HTX3_A,
-	GPIO_FN_CAN0_RX_A,
-	GPIO_FN_CANFD0_RX_A,
-
-	/* IPSR5 */
-	GPIO_IFN_WE0x,
-	GPIO_FN_MSIIOF3_TXD_D,
-	GPIO_FN_CTS3x,
-	GPIO_FN_HCTS3x,
-	GPIO_FN_SCL6_B,
-	GPIO_FN_CAN_CLK,
-	GPIO_FN_IECLK_A,
-	GPIO_IFN_WE1x,
-	GPIO_FN_MSIOF3_SS1_D,
-	GPIO_FN_RTS3x_TANS,
-	GPIO_FN_HRTS3x,
-	GPIO_FN_SDA6_B,
-	GPIO_FN_CAN1_RX,
-	GPIO_FN_CANFD1_RX,
-	GPIO_FN_IERX_A,
-	GPIO_IFN_EX_WAIT0_A,
-	GPIO_FN_QCLK,
-	GPIO_FN_VI4_CLK,
-	GPIO_FN_DU_DOTCLKOUT0,
-	GPIO_IFN_D0,
-	GPIO_FN_MSIOF2_SS1_B,
-	GPIO_FN_MSIOF3_SCK_A,
-	GPIO_FN_VI4_DATA16,
-	GPIO_FN_VI5_DATA0,
-	GPIO_IFN_D1,
-	GPIO_FN_MSIOF2_SS2_B,
-	GPIO_FN_MSIOF3_SYNC_A,
-	GPIO_FN_VI4_DATA17,
-	GPIO_FN_VI5_DATA1,
-	GPIO_IFN_D2,
-	GPIO_FN_MSIOF3_RXD_A,
-	GPIO_FN_VI4_DATA18,
-	GPIO_FN_VI5_DATA2,
-	GPIO_IFN_D3,
-	GPIO_FN_MSIOF3_TXD_A,
-	GPIO_FN_VI4_DATA19,
-	GPIO_FN_VI5_DATA3,
-	GPIO_IFN_D4,
-	GPIO_FN_MSIOF2_SCK_B,
-	GPIO_FN_VI4_DATA20,
-	GPIO_FN_VI5_DATA4,
-
-	/* IPSR6 */
-	GPIO_IFN_D5,
-	GPIO_FN_MSIOF2_SYNC_B,
-	GPIO_FN_VI4_DATA21,
-	GPIO_FN_VI5_DATA5,
-	GPIO_IFN_D6,
-	GPIO_FN_MSIOF2_RXD_B,
-	GPIO_FN_VI4_DATA22,
-	GPIO_FN_VI5_DATA6,
-	GPIO_IFN_D7,
-	GPIO_FN_MSIOF2_TXD_B,
-	GPIO_FN_VI4_DATA23,
-	GPIO_FN_VI5_DATA7,
-	GPIO_IFN_D8,
-	GPIO_FN_LCDOUT0,
-	GPIO_FN_MSIOF2_SCK_D,
-	GPIO_FN_SCK4_C,
-	GPIO_FN_VI4_DATA0_A,
-	GPIO_FN_DU_DR0,
-	GPIO_IFN_D9,
-	GPIO_FN_LCDOUT1,
-	GPIO_FN_MSIOF2_SYNC_D,
-	GPIO_FN_VI4_DATA1_A,
-	GPIO_FN_DU_DR1,
-	GPIO_IFN_D10,
-	GPIO_FN_LCDOUT2,
-	GPIO_FN_MSIOF2_RXD_D,
-	GPIO_FN_HRX3_B,
-	GPIO_FN_VI4_DATA2_A,
-	GPIO_FN_CTS4x_C,
-	GPIO_FN_DU_DR2,
-	GPIO_IFN_D11,
-	GPIO_FN_LCDOUT3,
-	GPIO_FN_MSIOF2_TXD_D,
-	GPIO_FN_HTX3_B,
-	GPIO_FN_VI4_DATA3_A,
-	GPIO_FN_RTS4x_TANS_C,
-	GPIO_FN_DU_DR3,
-	GPIO_IFN_D12,
-	GPIO_FN_LCDOUT4,
-	GPIO_FN_MSIOF2_SS1_D,
-	GPIO_FN_RX4_C,
-	GPIO_FN_VI4_DATA4_A,
-	GPIO_FN_DU_DR4,
-
-	/* IPSR7 */
-	GPIO_IFN_D13,
-	GPIO_FN_LCDOUT5,
-	GPIO_FN_MSIOF2_SS2_D,
-	GPIO_FN_TX4_C,
-	GPIO_FN_VI4_DATA5_A,
-	GPIO_FN_DU_DR5,
-	GPIO_IFN_D14,
-	GPIO_FN_LCDOUT6,
-	GPIO_FN_MSIOF3_SS1_A,
-	GPIO_FN_HRX3_C,
-	GPIO_FN_VI4_DATA6_A,
-	GPIO_FN_DU_DR6,
-	GPIO_FN_SCL6_C,
-	GPIO_IFN_D15,
-	GPIO_FN_LCDOUT7,
-	GPIO_FN_MSIOF3_SS2_A,
-	GPIO_FN_HTX3_C,
-	GPIO_FN_VI4_DATA7_A,
-	GPIO_FN_DU_DR7,
-	GPIO_FN_SDA6_C,
-	GPIO_FN_FSCLKST,
-	GPIO_IFN_SD0_CLK,
-	GPIO_FN_MSIOF1_SCK_E,
-	GPIO_FN_STP_OPWM_0_B,
-	GPIO_IFN_SD0_CMD,
-	GPIO_FN_MSIOF1_SYNC_E,
-	GPIO_FN_STP_IVCXO27_0_B,
-	GPIO_IFN_SD0_DAT0,
-	GPIO_FN_MSIOF1_RXD_E,
-	GPIO_FN_TS_SCK0_B,
-	GPIO_FN_STP_ISCLK_0_B,
-	GPIO_IFN_SD0_DAT1,
-	GPIO_FN_MSIOF1_TXD_E,
-	GPIO_FN_TS_SPSYNC0_B,
-	GPIO_FN_STP_ISSYNC_0_B,
-
-	/* IPSR8 */
-	GPIO_IFN_SD0_DAT2,
-	GPIO_FN_MSIOF1_SS1_E,
-	GPIO_FN_TS_SDAT0_B,
-	GPIO_FN_STP_ISD_0_B,
-
-	GPIO_IFN_SD0_DAT3,
-	GPIO_FN_MSIOF1_SS2_E,
-	GPIO_FN_TS_SDEN0_B,
-	GPIO_FN_STP_ISEN_0_B,
-
-	GPIO_IFN_SD1_CLK,
-	GPIO_FN_MSIOF1_SCK_G,
-	GPIO_FN_SIM0_CLK_A,
-
-	GPIO_IFN_SD1_CMD,
-	GPIO_FN_MSIOF1_SYNC_G,
-	GPIO_FN_NFCEx_B,
-	GPIO_FN_SIM0_D_A,
-	GPIO_FN_STP_IVCXO27_1_B,
-
-	GPIO_IFN_SD1_DAT0,
-	GPIO_FN_SD2_DAT4,
-	GPIO_FN_MSIOF1_RXD_G,
-	GPIO_FN_NFWPx_B,
-	GPIO_FN_TS_SCK1_B,
-	GPIO_FN_STP_ISCLK_1_B,
-
-	GPIO_IFN_SD1_DAT1,
-	GPIO_FN_SD2_DAT5,
-	GPIO_FN_MSIOF1_TXD_G,
-	GPIO_FN_NFDATA14_B,
-	GPIO_FN_TS_SPSYNC1_B,
-	GPIO_FN_STP_ISSYNC_1_B,
-
-	GPIO_IFN_SD1_DAT2,
-	GPIO_FN_SD2_DAT6,
-	GPIO_FN_MSIOF1_SS1_G,
-	GPIO_FN_NFDATA15_B,
-	GPIO_FN_TS_SDAT1_B,
-	GPIO_FN_STP_IOD_1_B,
-
-	GPIO_IFN_SD1_DAT3,
-	GPIO_FN_SD2_DAT7,
-	GPIO_FN_MSIOF1_SS2_G,
-	GPIO_FN_NFRBx_B,
-	GPIO_FN_TS_SDEN1_B,
-	GPIO_FN_STP_ISEN_1_B,
-
-	/* IPSR9 */
-	GPIO_IFN_SD2_CLK,
-	GPIO_FN_NFDATA8,
-
-	GPIO_IFN_SD2_CMD,
-	GPIO_FN_NFDATA9,
-
-	GPIO_IFN_SD2_DAT0,
-	GPIO_FN_NFDATA10,
-
-	GPIO_IFN_SD2_DAT1,
-	GPIO_FN_NFDATA11,
-
-	GPIO_IFN_SD2_DAT2,
-	GPIO_FN_NFDATA12,
-
-	GPIO_IFN_SD2_DAT3,
-	GPIO_FN_NFDATA13,
-
-	GPIO_IFN_SD2_DS,
-	GPIO_FN_NFALE,
-
-	GPIO_IFN_SD3_CLK,
-	GPIO_FN_NFWEx,
-
-	/* IPSR10 */
-	GPIO_IFN_SD3_CMD,
-	GPIO_FN_NFREx,
-
-	GPIO_IFN_SD3_DAT0,
-	GPIO_FN_NFDATA0,
-
-	GPIO_IFN_SD3_DAT1,
-	GPIO_FN_NFDATA1,
-
-	GPIO_IFN_SD3_DAT2,
-	GPIO_FN_NFDATA2,
-
-	GPIO_IFN_SD3_DAT3,
-	GPIO_FN_NFDATA3,
-
-	GPIO_IFN_SD3_DAT4,
-	GPIO_FN_SD2_CD_A,
-	GPIO_FN_NFDATA4,
-
-	GPIO_IFN_SD3_DAT5,
-	GPIO_FN_SD2_WP_A,
-	GPIO_FN_NFDATA5,
-
-	GPIO_IFN_SD3_DAT6,
-	GPIO_FN_SD3_CD,
-	GPIO_FN_NFDATA6,
-
-	/* IPSR11 */
-	GPIO_IFN_SD3_DAT7,
-	GPIO_FN_SD3_WP,
-	GPIO_FN_NFDATA7,
-
-	GPIO_IFN_SD3_DS,
-	GPIO_FN_NFCLE,
-
-	GPIO_IFN_SD0_CD,
-	GPIO_FN_NFDATA14_A,
-	GPIO_FN_SCL2_B,
-	GPIO_FN_SIM0_RST_A,
-
-	GPIO_IFN_SD0_WP,
-	GPIO_FN_NFDATA15_A,
-	GPIO_FN_SDA2_B,
-
-	GPIO_IFN_SD1_CD,
-	GPIO_FN_NFRBx_A,
-	GPIO_FN_SIM0_CLK_B,
-
-	GPIO_IFN_SD1_WP,
-	GPIO_FN_NFCEx_A,
-	GPIO_FN_SIM0_D_B,
-
-	GPIO_IFN_SCK0,
-	GPIO_FN_HSCK1_B,
-	GPIO_FN_MSIOF1_SS2_B,
-	GPIO_FN_AUDIO_CLKC_B,
-	GPIO_FN_SDA2_A,
-	GPIO_FN_SIM0_RST_B,
-	GPIO_FN_STP_OPWM_0_C,
-	GPIO_FN_RIF0_CLK_B,
-	GPIO_FN_ADICHS2,
-	GPIO_FN_SCK5_B,
-
-	GPIO_IFN_RX0,
-	GPIO_FN_HRX1_B,
-	GPIO_FN_TS_SCK0_C,
-	GPIO_FN_STP_ISCLK_0_C,
-	GPIO_FN_RIF0_D0_B,
-
-	/* IPSR12 */
-	GPIO_IFN_TX0,
-	GPIO_FN_HTX1_B,
-	GPIO_FN_TS_SPSYNC0_C,
-	GPIO_FN_STP_ISSYNC_0_C,
-	GPIO_FN_RIF0_D1_B,
-
-	GPIO_IFN_CTS0x,
-	GPIO_FN_HCTS1x_B,
-	GPIO_FN_MSIOF1_SYNC_B,
-	GPIO_FN_TS_SPSYNC1_C,
-	GPIO_FN_STP_ISSYNC_1_C,
-	GPIO_FN_RIF1_SYNC_B,
-	GPIO_FN_AUDIO_CLKOUT_C,
-	GPIO_FN_ADICS_SAMP,
-
-	GPIO_IFN_RTS0x_TANS,
-	GPIO_FN_HRTS1x_B,
-	GPIO_FN_MSIOF1_SS1_B,
-	GPIO_FN_AUDIO_CLKA_B,
-	GPIO_FN_SCL2_A,
-	GPIO_FN_STP_IVCXO27_1_C,
-	GPIO_FN_RIF0_SYNC_B,
-	GPIO_FN_ADICHS1,
-
-	GPIO_IFN_RX1_A,
-	GPIO_FN_HRX1_A,
-	GPIO_FN_TS_SDAT0_C,
-	GPIO_FN_STP_ISD_0_C,
-	GPIO_FN_RIF1_CLK_C,
-
-	GPIO_IFN_TX1_A,
-	GPIO_FN_HTX1_A,
-	GPIO_FN_TS_SDEN0_C,
-	GPIO_FN_STP_ISEN_0_C,
-	GPIO_FN_RIF1_D0_C,
-
-	GPIO_IFN_CTS1x,
-	GPIO_FN_HCTS1x_A,
-	GPIO_FN_MSIOF1_RXD_B,
-	GPIO_FN_TS_SDEN1_C,
-	GPIO_FN_STP_ISEN_1_C,
-	GPIO_FN_RIF1_D0_B,
-	GPIO_FN_ADIDATA,
-
-	GPIO_IFN_RTS1x_TANS,
-	GPIO_FN_HRTS1x_A,
-	GPIO_FN_MSIOF1_TXD_B,
-	GPIO_FN_TS_SDAT1_C,
-	GPIO_FN_STP_ISD_1_C,
-	GPIO_FN_RIF1_D1_B,
-	GPIO_FN_ADICHS0,
-
-	GPIO_IFN_SCK2,
-	GPIO_FN_SCIF_CLK_B,
-	GPIO_FN_MSIOF1_SCK_B,
-	GPIO_FN_TS_SCK1_C,
-	GPIO_FN_STP_ISCLK_1_C,
-	GPIO_FN_RIF1_CLK_B,
-	GPIO_FN_ADICLK,
-
-	/* IPSR13 */
-	GPIO_IFN_TX2_A,
-	GPIO_FN_SD2_CD_B,
-	GPIO_FN_SCL1_A,
-	GPIO_FN_FMCLK_A,
-	GPIO_FN_RIF1_D1_C,
-	GPIO_FN_FSO_CFE_0_B,
-
-	GPIO_IFN_RX2_A,
-	GPIO_FN_SD2_WP_B,
-	GPIO_FN_SDA1_A,
-	GPIO_FN_FMIN_A,
-	GPIO_FN_RIF1_SYNC_C,
-	GPIO_FN_FSO_CEF_1_B,
-
-	GPIO_IFN_HSCK0,
-	GPIO_FN_MSIOF1_SCK_D,
-	GPIO_FN_AUDIO_CLKB_A,
-	GPIO_FN_SSI_SDATA1_B,
-	GPIO_FN_TS_SCK0_D,
-	GPIO_FN_STP_ISCLK_0_D,
-	GPIO_FN_RIF0_CLK_C,
-	GPIO_FN_RX5_B,
-
-	GPIO_IFN_HRX0,
-	GPIO_FN_MSIOF1_RXD_D,
-	GPIO_FN_SS1_SDATA2_B,
-	GPIO_FN_TS_SDEN0_D,
-	GPIO_FN_STP_ISEN_0_D,
-	GPIO_FN_RIF0_D0_C,
-
-	GPIO_IFN_HTX0,
-	GPIO_FN_MSIOF1_TXD_D,
-	GPIO_FN_SSI_SDATA9_B,
-	GPIO_FN_TS_SDAT0_D,
-	GPIO_FN_STP_ISD_0_D,
-	GPIO_FN_RIF0_D1_C,
-
-	GPIO_IFN_HCTS0x,
-	GPIO_FN_RX2_B,
-	GPIO_FN_MSIOF1_SYNC_D,
-	GPIO_FN_SSI_SCK9_A,
-	GPIO_FN_TS_SPSYNC0_D,
-	GPIO_FN_STP_ISSYNC_0_D,
-	GPIO_FN_RIF0_SYNC_C,
-	GPIO_FN_AUDIO_CLKOUT1_A,
-
-	GPIO_IFN_HRTS0x,
-	GPIO_FN_TX2_B,
-	GPIO_FN_MSIOF1_SS1_D,
-	GPIO_FN_SSI_WS9_A,
-	GPIO_FN_STP_IVCXO27_0_D,
-	GPIO_FN_BPFCLK_A,
-	GPIO_FN_AUDIO_CLKOUT2_A,
-
-	GPIO_IFN_MSIOF0_SYNC,
-	GPIO_FN_AUDIO_CLKOUT_A,
-	GPIO_FN_TX5_B,
-	GPIO_FN_BPFCLK_D,
-
-	/* IPSR14 */
-	GPIO_IFN_MSIOF0_SS1,
-	GPIO_FN_RX5_A,
-	GPIO_FN_NFWPx_A,
-	GPIO_FN_AUDIO_CLKA_C,
-	GPIO_FN_SSI_SCK2_A,
-	GPIO_FN_STP_IVCXO27_0_C,
-	GPIO_FN_AUDIO_CLKOUT3_A,
-	GPIO_FN_TCLK1_B,
-
-	GPIO_IFN_MSIOF0_SS2,
-	GPIO_FN_TX5_A,
-	GPIO_FN_MSIOF1_SS2_D,
-	GPIO_FN_AUDIO_CLKC_A,
-	GPIO_FN_SSI_WS2_A,
-	GPIO_FN_STP_OPWM_0_D,
-	GPIO_FN_AUDIO_CLKOUT_D,
-	GPIO_FN_SPEEDIN_B,
-
-	GPIO_IFN_MLB_CLK,
-	GPIO_FN_MSIOF1_SCK_F,
-	GPIO_FN_SCL1_B,
-
-	GPIO_IFN_MLB_SIG,
-	GPIO_FN_RX1_B,
-	GPIO_FN_MSIOF1_SYNC_F,
-	GPIO_FN_SDA1_B,
-
-	GPIO_IFN_MLB_DAT,
-	GPIO_FN_TX1_B,
-	GPIO_FN_MSIOF1_RXD_F,
-
-	GPIO_IFN_SSI_SCK0129,
-	GPIO_FN_MSIOF1_TXD_F,
-	GPIO_FN_MOUT0,
-
-	GPIO_IFN_SSI_WS0129,
-	GPIO_FN_MSIOF1_SS1_F,
-	GPIO_FN_MOUT1,
-
-	GPIO_IFN_SSI_SDATA0,
-	GPIO_FN_MSIOF1_SS2_F,
-	GPIO_FN_MOUT2,
-
-	/* IPSR15 */
-	GPIO_IFN_SSI_SDATA1_A,
-	GPIO_FN_MOUT5,
-
-	GPIO_IFN_SSI_SDATA2_A,
-	GPIO_FN_SSI_SCK1_B,
-	GPIO_FN_MOUT6,
-
-	GPIO_IFN_SSI_SCK34,
-	GPIO_FN_MSIOF1_SS1_A,
-	GPIO_FN_STP_OPWM_0_A,
-
-	GPIO_IFN_SSI_WS34,
-	GPIO_FN_HCTS2x_A,
-	GPIO_FN_MSIOF1_SS2_A,
-	GPIO_FN_STP_IVCXO27_0_A,
-
-	GPIO_IFN_SSI_SDATA3,
-	GPIO_FN_HRTS2x_A,
-	GPIO_FN_MSIOF1_TXD_A,
-	GPIO_FN_TS_SCK0_A,
-	GPIO_FN_STP_ISCLK_0_A,
-	GPIO_FN_RIF0_D1_A,
-	GPIO_FN_RIF2_D0_A,
-
-	GPIO_IFN_SSI_SCK4,
-	GPIO_FN_HRX2_A,
-	GPIO_FN_MSIOF1_SCK_A,
-	GPIO_FN_TS_SDAT0_A,
-	GPIO_FN_STP_ISD_0_A,
-	GPIO_FN_RIF0_CLK_A,
-	GPIO_FN_RIF2_CLK_A,
-
-	GPIO_IFN_SSI_WS4,
-	GPIO_FN_HTX2_A,
-	GPIO_FN_MSIOF1_SYNC_A,
-	GPIO_FN_TS_SDEN0_A,
-	GPIO_FN_STP_ISEN_0_A,
-	GPIO_FN_RIF0_SYNC_A,
-	GPIO_FN_RIF2_SYNC_A,
-
-	GPIO_IFN_SSI_SDATA4,
-	GPIO_FN_HSCK2_A,
-	GPIO_FN_MSIOF1_RXD_A,
-	GPIO_FN_TS_SPSYNC0_A,
-	GPIO_FN_STP_ISSYNC_0_A,
-	GPIO_FN_RIF0_D0_A,
-	GPIO_FN_RIF2_D1_A,
-
-	/* IPSR16 */
-	GPIO_IFN_SSI_SCK6,
-	GPIO_FN_SIM0_RST_D,
-	GPIO_FN_FSO_TOE_A,
-
-	GPIO_IFN_SSI_WS6,
-	GPIO_FN_SIM0_D_D,
-
-	GPIO_IFN_SSI_SDATA6,
-	GPIO_FN_SIM0_CLK_D,
-
-	GPIO_IFN_SSI_SCK78,
-	GPIO_FN_HRX2_B,
-	GPIO_FN_MSIOF1_SCK_C,
-	GPIO_FN_TS_SCK1_A,
-	GPIO_FN_STP_ISCLK_1_A,
-	GPIO_FN_RIF1_CLK_A,
-	GPIO_FN_RIF3_CLK_A,
-
-	GPIO_IFN_SSI_WS78,
-	GPIO_FN_HTX2_B,
-	GPIO_FN_MSIOF1_SYNC_C,
-	GPIO_FN_TS_SDAT1_A,
-	GPIO_FN_STP_ISD_1_A,
-	GPIO_FN_RIF1_SYNC_A,
-	GPIO_FN_RIF3_SYNC_A,
-
-	GPIO_IFN_SSI_SDATA7,
-	GPIO_FN_HCTS2x_B,
-	GPIO_FN_MSIOF1_RXD_C,
-	GPIO_FN_TS_SDEN1_A,
-	GPIO_FN_STP_IEN_1_A,
-	GPIO_FN_RIF1_D0_A,
-	GPIO_FN_RIF3_D0_A,
-	GPIO_FN_TCLK2_A,
-
-	GPIO_IFN_SSI_SDATA8,
-	GPIO_FN_HRTS2x_B,
-	GPIO_FN_MSIOF1_TXD_C,
-	GPIO_FN_TS_SPSYNC1_A,
-	GPIO_FN_STP_ISSYNC_1_A,
-	GPIO_FN_RIF1_D1_A,
-	GPIO_FN_EIF3_D1_A,
-
-	GPIO_IFN_SSI_SDATA9_A,
-	GPIO_FN_HSCK2_B,
-	GPIO_FN_MSIOF1_SS1_C,
-	GPIO_FN_HSCK1_A,
-	GPIO_FN_SSI_WS1_B,
-	GPIO_FN_SCK1,
-	GPIO_FN_STP_IVCXO27_1_A,
-	GPIO_FN_SCK5,
-
-	/* IPSR17 */
-	GPIO_IFN_AUDIO_CLKA_A,
-	GPIO_FN_CC5_OSCOUT,
-
-	GPIO_IFN_AUDIO_CLKB_B,
-	GPIO_FN_SCIF_CLK_A,
-	GPIO_FN_STP_IVCXO27_1_D,
-	GPIO_FN_REMOCON_A,
-	GPIO_FN_TCLK1_A,
-
-	GPIO_IFN_USB0_PWEN,
-	GPIO_FN_SIM0_RST_C,
-	GPIO_FN_TS_SCK1_D,
-	GPIO_FN_STP_ISCLK_1_D,
-	GPIO_FN_BPFCLK_B,
-	GPIO_FN_RIF3_CLK_B,
-	GPIO_FN_FSO_CFE_1_A,
-	GPIO_FN_HSCK2_C,
-
-	GPIO_IFN_USB0_OVC,
-	GPIO_FN_SIM0_D_C,
-	GPIO_FN_TS_SDAT1_D,
-	GPIO_FN_STP_ISD_1_D,
-	GPIO_FN_RIF3_SYNC_B,
-	GPIO_FN_HRX2_C,
-
-	GPIO_IFN_USB1_PWEN,
-	GPIO_FN_SIM0_CLK_C,
-	GPIO_FN_SSI_SCK1_A,
-	GPIO_FN_TS_SCK0_E,
-	GPIO_FN_STP_ISCLK_0_E,
-	GPIO_FN_FMCLK_B,
-	GPIO_FN_RIF2_CLK_B,
-	GPIO_FN_SPEEDIN_A,
-	GPIO_FN_HTX2_C,
-
-	GPIO_IFN_USB1_OVC,
-	GPIO_FN_MSIOF1_SS2_C,
-	GPIO_FN_SSI_WS1_A,
-	GPIO_FN_TS_SDAT0_E,
-	GPIO_FN_STP_ISD_0_E,
-	GPIO_FN_FMIN_B,
-	GPIO_FN_RIF2_SYNC_B,
-	GPIO_FN_REMOCON_B,
-	GPIO_FN_HCTS2x_C,
-
-	GPIO_IFN_USB30_PWEN,
-	GPIO_FN_AUDIO_CLKOUT_B,
-	GPIO_FN_SSI_SCK2_B,
-	GPIO_FN_TS_SDEN1_D,
-	GPIO_FN_STP_ISEN_1_D,
-	GPIO_FN_STP_OPWM_0_E,
-	GPIO_FN_RIF3_D0_B,
-	GPIO_FN_TCLK2_B,
-	GPIO_FN_TPU0TO0,
-	GPIO_FN_BPFCLK_C,
-	GPIO_FN_HRTS2x_C,
-
-	GPIO_IFN_USB30_OVC,
-	GPIO_FN_AUDIO_CLKOUT1_B,
-	GPIO_FN_SSI_WS2_B,
-	GPIO_FN_TS_SPSYNC1_D,
-	GPIO_FN_STP_ISSYNC_1_D,
-	GPIO_FN_STP_IVCXO27_0_E,
-	GPIO_FN_RIF3_D1_B,
-	GPIO_FN_FSO_TOE_B,
-	GPIO_FN_TPU0TO1,
-
-	/* IPSR18 */
-	GPIO_IFN_GP6_30,
-	GPIO_FN_AUDIO_CLKOUT2_B,
-	GPIO_FN_SSI_SCK9_B,
-	GPIO_FN_TS_SDEN0_E,
-	GPIO_FN_STP_ISEN_0_E,
-	GPIO_FN_RIF2_D0_B,
-	GPIO_FN_FSO_CFE_0_A,
-	GPIO_FN_TPU0TO2,
-	GPIO_FN_FMCLK_C,
-	GPIO_FN_FMCLK_D,
-
-	GPIO_IFN_GP6_31,
-	GPIO_FN_AUDIO_CLKOUT3_B,
-	GPIO_FN_SSI_WS9_B,
-	GPIO_FN_TS_SPSYNC0_E,
-	GPIO_FN_STP_ISSYNC_0_E,
-	GPIO_FN_RIF2_D1_B,
-	GPIO_FN_TPU0TO3,
-	GPIO_FN_FMIN_C,
-	GPIO_FN_FMIN_D,
-
-};
-
-#endif /* __ASM_R8A7796_GPIO_H__ */
diff --git a/arch/arm/mach-rmobile/pfc-r8a7795.c b/arch/arm/mach-rmobile/pfc-r8a7795.c
deleted file mode 100644
index 93aaf31ed9..0000000000
--- a/arch/arm/mach-rmobile/pfc-r8a7795.c
+++ /dev/null
@@ -1,5005 +0,0 @@
-/*
- * arch/arm/cpu/armv8/rcar_gen3/pfc-r8a7795.c
- *     This file is r8a7795 processor support - PFC hardware block.
- *
- * Copyright (C) 2015-2016 Renesas Electronics Corporation
- *
- * SPDX-License-Identifier:	GPL-2.0+
- */
-
-#include <common.h>
-#include <sh_pfc.h>
-#include <asm/gpio.h>
-
-#define CPU_32_PORT(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),	\
-	PORT_10(fn, pfx##2, sfx), PORT_1(fn, pfx##30, sfx),	\
-	PORT_1(fn, pfx##31, sfx)
-
-#define CPU_32_PORT1(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),	\
-	PORT_10(fn, pfx##2, sfx)
-
-#define CPU_32_PORT2(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),	\
-	PORT_10(fn, pfx##2, sfx)
-
-#define CPU_32_PORT_29(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_10(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##20, sfx),				\
-	PORT_1(fn, pfx##21, sfx),				\
-	PORT_1(fn, pfx##22, sfx),				\
-	PORT_1(fn, pfx##23, sfx),				\
-	PORT_1(fn, pfx##24, sfx),				\
-	PORT_1(fn, pfx##25, sfx),				\
-	PORT_1(fn, pfx##26, sfx),				\
-	PORT_1(fn, pfx##27, sfx),				\
-	PORT_1(fn, pfx##28, sfx)
-
-#define CPU_32_PORT_28(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_10(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##20, sfx),				\
-	PORT_1(fn, pfx##21, sfx),				\
-	PORT_1(fn, pfx##22, sfx),				\
-	PORT_1(fn, pfx##23, sfx),				\
-	PORT_1(fn, pfx##24, sfx),				\
-	PORT_1(fn, pfx##25, sfx),				\
-	PORT_1(fn, pfx##26, sfx),				\
-	PORT_1(fn, pfx##27, sfx)
-
-#define CPU_32_PORT_26(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_10(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##20, sfx),				\
-	PORT_1(fn, pfx##21, sfx),				\
-	PORT_1(fn, pfx##22, sfx),				\
-	PORT_1(fn, pfx##23, sfx),				\
-	PORT_1(fn, pfx##24, sfx),				\
-	PORT_1(fn, pfx##25, sfx)
-
-#define CPU_32_PORT_18(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_1(fn, pfx##10, sfx),				\
-	PORT_1(fn, pfx##11, sfx),				\
-	PORT_1(fn, pfx##12, sfx),				\
-	PORT_1(fn, pfx##13, sfx),				\
-	PORT_1(fn, pfx##14, sfx),				\
-	PORT_1(fn, pfx##15, sfx),				\
-	PORT_1(fn, pfx##16, sfx),				\
-	PORT_1(fn, pfx##17, sfx)
-
-#define CPU_32_PORT_16(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_1(fn, pfx##10, sfx),				\
-	PORT_1(fn, pfx##11, sfx),				\
-	PORT_1(fn, pfx##12, sfx),				\
-	PORT_1(fn, pfx##13, sfx),				\
-	PORT_1(fn, pfx##14, sfx),				\
-	PORT_1(fn, pfx##15, sfx)
-
-#define CPU_32_PORT_15(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_1(fn, pfx##10, sfx),				\
-	PORT_1(fn, pfx##11, sfx),				\
-	PORT_1(fn, pfx##12, sfx),				\
-	PORT_1(fn, pfx##13, sfx),				\
-	PORT_1(fn, pfx##14, sfx)
-
-#define CPU_32_PORT_4(fn, pfx, sfx)				\
-	PORT_1(fn, pfx##0, sfx),				\
-	PORT_1(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##2, sfx),				\
-	PORT_1(fn, pfx##3, sfx)
-
-
-/* --gen3-- */
-/* GP_0_0_DATA -> GP_7_4_DATA */
-/* except for GP0[16] - [31],
-		GP1[28] - [31],
-		GP2[15] - [31],
-		GP3[16] - [31],
-		GP4[18] - [31],
-		GP5[26] - [31],
-		GP7[4] - [31] */
-
-#define ES_CPU_ALL_PORT(fn, pfx, sfx)		\
-	CPU_32_PORT_16(fn, pfx##_0_, sfx),	\
-	CPU_32_PORT_28(fn, pfx##_1_, sfx),	\
-	CPU_32_PORT_15(fn, pfx##_2_, sfx),	\
-	CPU_32_PORT_16(fn, pfx##_3_, sfx),	\
-	CPU_32_PORT_18(fn, pfx##_4_, sfx),	\
-	CPU_32_PORT_26(fn, pfx##_5_, sfx),	\
-	CPU_32_PORT(fn, pfx##_6_, sfx),		\
-	CPU_32_PORT_4(fn, pfx##_7_, sfx)
-
-#define CPU_ALL_PORT(fn, pfx, sfx)		\
-	CPU_32_PORT_16(fn, pfx##_0_, sfx),	\
-	CPU_32_PORT_29(fn, pfx##_1_, sfx),	\
-	CPU_32_PORT_15(fn, pfx##_2_, sfx),	\
-	CPU_32_PORT_16(fn, pfx##_3_, sfx),	\
-	CPU_32_PORT_18(fn, pfx##_4_, sfx),	\
-	CPU_32_PORT_26(fn, pfx##_5_, sfx),	\
-	CPU_32_PORT(fn, pfx##_6_, sfx),		\
-	CPU_32_PORT_4(fn, pfx##_7_, sfx)
-
-#define _GP_GPIO(pfx, sfx) PINMUX_GPIO(GPIO_GP##pfx, GP##pfx##_DATA)
-#define _GP_DATA(pfx, sfx) PINMUX_DATA(GP##pfx##_DATA, GP##pfx##_FN,	\
-				       GP##pfx##_IN, GP##pfx##_OUT)
-
-#define _GP_INOUTSEL(pfx, sfx) GP##pfx##_IN, GP##pfx##_OUT
-#define _GP_INDT(pfx, sfx) GP##pfx##_DATA
-
-#define GP_ALL(str)	CPU_ALL_PORT(_PORT_ALL, GP, str)
-#define PINMUX_GPIO_GP_ALL()	CPU_ALL_PORT(_GP_GPIO, , unused)
-#define PINMUX_DATA_GP_ALL()	CPU_ALL_PORT(_GP_DATA, , unused)
-
-
-#define PORT_10_REV(fn, pfx, sfx)				\
-	PORT_1(fn, pfx##9, sfx), PORT_1(fn, pfx##8, sfx),	\
-	PORT_1(fn, pfx##7, sfx), PORT_1(fn, pfx##6, sfx),	\
-	PORT_1(fn, pfx##5, sfx), PORT_1(fn, pfx##4, sfx),	\
-	PORT_1(fn, pfx##3, sfx), PORT_1(fn, pfx##2, sfx),	\
-	PORT_1(fn, pfx##1, sfx), PORT_1(fn, pfx##0, sfx)
-
-#define CPU_32_PORT_REV(fn, pfx, sfx)					\
-	PORT_1(fn, pfx##31, sfx), PORT_1(fn, pfx##30, sfx),		\
-	PORT_10_REV(fn, pfx##2, sfx), PORT_10_REV(fn, pfx##1, sfx),	\
-	PORT_10_REV(fn, pfx, sfx)
-
-#define GP_INOUTSEL(bank) CPU_32_PORT_REV(_GP_INOUTSEL, _##bank##_, unused)
-#define GP_INDT(bank) CPU_32_PORT_REV(_GP_INDT, _##bank##_, unused)
-
-#define PINMUX_IPSR_DATA(ipsr, fn) PINMUX_DATA(fn##_MARK, FN_##ipsr, FN_##fn)
-#define PINMUX_IPSR_MODSEL_DATA(ipsr, fn, ms) PINMUX_DATA(fn##_MARK, FN_##ms, \
-							  FN_##ipsr, FN_##fn)
-
-enum {
-	PINMUX_RESERVED = 0,
-
-	PINMUX_DATA_BEGIN,
-	GP_ALL(DATA),
-	PINMUX_DATA_END,
-
-	PINMUX_INPUT_BEGIN,
-	GP_ALL(IN),
-	PINMUX_INPUT_END,
-
-	PINMUX_OUTPUT_BEGIN,
-	GP_ALL(OUT),
-	PINMUX_OUTPUT_END,
-
-	PINMUX_FUNCTION_BEGIN,
-	GP_ALL(FN),
-
-	/* GPSR0 */
-	GFN_D15,
-	GFN_D14,
-	GFN_D13,
-	GFN_D12,
-	GFN_D11,
-	GFN_D10,
-	GFN_D9,
-	GFN_D8,
-	GFN_D7,
-	GFN_D6,
-	GFN_D5,
-	GFN_D4,
-	GFN_D3,
-	GFN_D2,
-	GFN_D1,
-	GFN_D0,
-
-	/* GPSR1 */
-	GFN_CLKOUT,
-	GFN_EX_WAIT0_A,
-	GFN_WE1x,
-	GFN_WE0x,
-	GFN_RD_WRx,
-	GFN_RDx,
-	GFN_BSx,
-	GFN_CS1x_A26,
-	GFN_CS0x,
-	GFN_A19,
-	GFN_A18,
-	GFN_A17,
-	GFN_A16,
-	GFN_A15,
-	GFN_A14,
-	GFN_A13,
-	GFN_A12,
-	GFN_A11,
-	GFN_A10,
-	GFN_A9,
-	GFN_A8,
-	GFN_A7,
-	GFN_A6,
-	GFN_A5,
-	GFN_A4,
-	GFN_A3,
-	GFN_A2,
-	GFN_A1,
-	GFN_A0,
-
-	/* GPSR2 */
-	GFN_AVB_AVTP_CAPTURE_A,
-	GFN_AVB_AVTP_MATCH_A,
-	GFN_AVB_LINK,
-	GFN_AVB_PHY_INT,
-	GFN_AVB_MAGIC,
-	GFN_AVB_MDC,
-	GFN_PWM2_A,
-	GFN_PWM1_A,
-	GFN_PWM0,
-	GFN_IRQ5,
-	GFN_IRQ4,
-	GFN_IRQ3,
-	GFN_IRQ2,
-	GFN_IRQ1,
-	GFN_IRQ0,
-
-	/* GPSR3 */
-	GFN_SD1_WP,
-	GFN_SD1_CD,
-	GFN_SD0_WP,
-	GFN_SD0_CD,
-	GFN_SD1_DAT3,
-	GFN_SD1_DAT2,
-	GFN_SD1_DAT1,
-	GFN_SD1_DAT0,
-	GFN_SD1_CMD,
-	GFN_SD1_CLK,
-	GFN_SD0_DAT3,
-	GFN_SD0_DAT2,
-	GFN_SD0_DAT1,
-	GFN_SD0_DAT0,
-	GFN_SD0_CMD,
-	GFN_SD0_CLK,
-
-	/* GPSR4 */
-	GFN_SD3_DS,
-	GFN_SD3_DAT7,
-	GFN_SD3_DAT6,
-	GFN_SD3_DAT5,
-	GFN_SD3_DAT4,
-	GFN_SD3_DAT3,
-	GFN_SD3_DAT2,
-	GFN_SD3_DAT1,
-	GFN_SD3_DAT0,
-	GFN_SD3_CMD,
-	GFN_SD3_CLK,
-	GFN_SD2_DS,
-	GFN_SD2_DAT3,
-	GFN_SD2_DAT2,
-	GFN_SD2_DAT1,
-	GFN_SD2_DAT0,
-	GFN_SD2_CMD,
-	GFN_SD2_CLK,
-
-	/* GPSR5 */
-	GFN_MLB_DAT,
-	GFN_MLB_SIG,
-	GFN_MLB_CLK,
-	FN_MSIOF0_RXD,
-	GFN_MSIOF0_SS2,
-	FN_MSIOF0_TXD,
-	GFN_MSIOF0_SS1,
-	GFN_MSIOF0_SYNC,
-	FN_MSIOF0_SCK,
-	GFN_HRTS0x,
-	GFN_HCTS0x,
-	GFN_HTX0,
-	GFN_HRX0,
-	GFN_HSCK0,
-	GFN_RX2_A,
-	GFN_TX2_A,
-	GFN_SCK2,
-	GFN_RTS1x_TANS,
-	GFN_CTS1x,
-	GFN_TX1_A,
-	GFN_RX1_A,
-	GFN_RTS0x_TANS,
-	GFN_CTS0x,
-	GFN_TX0,
-	GFN_RX0,
-	GFN_SCK0,
-
-	/* GPSR6 */
-	GFN_USB3_OVC,
-	GFN_USB3_PWEN,
-	GFN_USB30_OVC,
-	GFN_USB30_PWEN,
-	GFN_USB1_OVC,
-	GFN_USB1_PWEN,
-	GFN_USB0_OVC,
-	GFN_USB0_PWEN,
-	GFN_AUDIO_CLKB_B,
-	GFN_AUDIO_CLKA_A,
-	GFN_SSI_SDATA9_A,
-	GFN_SSI_SDATA8,
-	GFN_SSI_SDATA7,
-	GFN_SSI_WS78,
-	GFN_SSI_SCK78,
-	GFN_SSI_SDATA6,
-	GFN_SSI_WS6,
-	GFN_SSI_SCK6,
-	FN_SSI_SDATA5,
-	FN_SSI_WS5,
-	FN_SSI_SCK5,
-	GFN_SSI_SDATA4,
-	GFN_SSI_WS4,
-	GFN_SSI_SCK4,
-	GFN_SSI_SDATA3,
-	GFN_SSI_WS34,
-	GFN_SSI_SCK34,
-	GFN_SSI_SDATA2_A,
-	GFN_SSI_SDATA1_A,
-	GFN_SSI_SDATA0,
-	GFN_SSI_WS01239,
-	GFN_SSI_SCK01239,
-
-	/* GPSR7 */
-	FN_HDMI1_CEC,
-	FN_HDMI0_CEC,
-	FN_AVS2,
-	FN_AVS1,
-
-	/* IPSR0 */
-	IFN_AVB_MDC,
-	FN_MSIOF2_SS2_C,
-	IFN_AVB_MAGIC,
-	FN_MSIOF2_SS1_C,
-	FN_SCK4_A,
-	IFN_AVB_PHY_INT,
-	FN_MSIOF2_SYNC_C,
-	FN_RX4_A,
-	IFN_AVB_LINK,
-	FN_MSIOF2_SCK_C,
-	FN_TX4_A,
-	IFN_AVB_AVTP_MATCH_A,
-	FN_MSIOF2_RXD_C,
-	FN_CTS4x_A,
-	FN_FSCLKST2x_A,
-	IFN_AVB_AVTP_CAPTURE_A,
-	FN_MSIOF2_TXD_C,
-	FN_RTS4x_TANS_A,
-	IFN_IRQ0,
-	FN_QPOLB,
-	FN_DU_CDE,
-	FN_VI4_DATA0_B,
-	FN_CAN0_TX_B,
-	FN_CANFD0_TX_B,
-	FN_MSIOF3_SS2_E,
-	IFN_IRQ1,
-	FN_QPOLA,
-	FN_DU_DISP,
-	FN_VI4_DATA1_B,
-	FN_CAN0_RX_B,
-	FN_CANFD0_RX_B,
-	FN_MSIOF3_SS1_E,
-
-	/* IPSR1 */
-	IFN_IRQ2,
-	FN_QCPV_QDE,
-	FN_DU_EXODDF_DU_ODDF_DISP_CDE,
-	FN_VI4_DATA2_B,
-	FN_MSIOF3_SYNC_E,
-	FN_PWM3_B,
-	IFN_IRQ3,
-	FN_QSTVB_QVE,
-	FN_DU_DOTCLKOUT1,
-	FN_VI4_DATA3_B,
-	FN_MSIOF3_SCK_E,
-	FN_PWM4_B,
-	IFN_IRQ4,
-	FN_QSTH_QHS,
-	FN_DU_EXHSYNC_DU_HSYNC,
-	FN_VI4_DATA4_B,
-	FN_MSIOF3_RXD_E,
-	FN_PWM5_B,
-	IFN_IRQ5,
-	FN_QSTB_QHE,
-	FN_DU_EXVSYNC_DU_VSYNC,
-	FN_VI4_DATA5_B,
-	FN_FSCLKST2x_B,
-	FN_MSIOF3_TXD_E,
-	FN_PWM6_B,
-	IFN_PWM0,
-	FN_AVB_AVTP_PPS,
-	FN_VI4_DATA6_B,
-	FN_IECLK_B,
-	IFN_PWM1_A,
-	FN_HRX3_D,
-	FN_VI4_DATA7_B,
-	FN_IERX_B,
-	IFN_PWM2_A,
-	FN_HTX3_D,
-	FN_IETX_B,
-	IFN_A0,
-	FN_LCDOUT16,
-	FN_MSIOF3_SYNC_B,
-	FN_VI4_DATA8,
-	FN_DU_DB0,
-	FN_PWM3_A,
-
-	/* IPSR2 */
-	IFN_A1,
-	FN_LCDOUT17,
-	FN_MSIOF3_TXD_B,
-	FN_VI4_DATA9,
-	FN_DU_DB1,
-	FN_PWM4_A,
-	IFN_A2,
-	FN_LCDOUT18,
-	FN_MSIOF3_SCK_B,
-	FN_VI4_DATA10,
-	FN_DU_DB2,
-	FN_PWM5_A,
-	IFN_A3,
-	FN_LCDOUT19,
-	FN_MSIOF3_RXD_B,
-	FN_VI4_DATA11,
-	FN_DU_DB3,
-	FN_PWM6_A,
-	IFN_A4,
-	FN_LCDOUT20,
-	FN_MSIOF3_SS1_B,
-	FN_VI4_DATA12,
-	FN_VI5_DATA12,
-	FN_DU_DB4,
-	IFN_A5,
-	FN_LCDOUT21,
-	FN_MSIOF3_SS2_B,
-	FN_SCK4_B,
-	FN_VI4_DATA13,
-	FN_VI5_DATA13,
-	FN_DU_DB5,
-	IFN_A6,
-	FN_LCDOUT22,
-	FN_MSIOF2_SS1_A,
-	FN_RX4_B,
-	FN_VI4_DATA14,
-	FN_VI5_DATA14,
-	FN_DU_DB6,
-	IFN_A7,
-	FN_LCDOUT23,
-	FN_MSIOF2_SS2_A,
-	FN_TX4_B,
-	FN_VI4_DATA15,
-	FN_V15_DATA15,
-	FN_DU_DB7,
-	IFN_A8,
-	FN_RX3_B,
-	FN_MSIOF2_SYNC_A,
-	FN_HRX4_B,
-	FN_SDA6_A,
-	FN_AVB_AVTP_MATCH_B,
-	FN_PWM1_B,
-
-	/* IPSR3 */
-	IFN_A9,
-	FN_MSIOF2_SCK_A,
-	FN_CTS4x_B,
-	FN_VI5_VSYNCx,
-	IFN_A10,
-	FN_MSIOF2_RXD_A,
-	FN_RTS4n_TANS_B,
-	FN_VI5_HSYNCx,
-	IFN_A11,
-	FN_TX3_B,
-	FN_MSIOF2_TXD_A,
-	FN_HTX4_B,
-	FN_HSCK4,
-	FN_VI5_FIELD,
-	FN_SCL6_A,
-	FN_AVB_AVTP_CAPTURE_B,
-	FN_PWM2_B,
-	IFN_A12,
-	FN_LCDOUT12,
-	FN_MSIOF3_SCK_C,
-	FN_HRX4_A,
-	FN_VI5_DATA8,
-	FN_DU_DG4,
-	IFN_A13,
-	FN_LCDOUT13,
-	FN_MSIOF3_SYNC_C,
-	FN_HTX4_A,
-	FN_VI5_DATA9,
-	FN_DU_DG5,
-	IFN_A14,
-	FN_LCDOUT14,
-	FN_MSIOF3_RXD_C,
-	FN_HCTS4x,
-	FN_VI5_DATA10,
-	FN_DU_DG6,
-	IFN_A15,
-	FN_LCDOUT15,
-	FN_MSIOF3_TXD_C,
-	FN_HRTS4x,
-	FN_VI5_DATA11,
-	FN_DU_DG7,
-	IFN_A16,
-	FN_LCDOUT8,
-	FN_VI4_FIELD,
-	FN_DU_DG0,
-
-	/* IPSR4 */
-	IFN_A17,
-	FN_LCDOUT9,
-	FN_VI4_VSYNCx,
-	FN_DU_DG1,
-	IFN_A18,
-	FN_LCDOUT10,
-	FN_VI4_HSYNCx,
-	FN_DU_DG2,
-	IFN_A19,
-	FN_LCDOUT11,
-	FN_VI4_CLKENB,
-	FN_DU_DG3,
-	IFN_CS0x,
-	FN_VI5_CLKENB,
-	IFN_CS1x_A26,
-	FN_VI5_CLK,
-	FN_EX_WAIT0_B,
-	IFN_BSx,
-	FN_QSTVA_QVS,
-	FN_MSIOF3_SCK_D,
-	FN_SCK3,
-	FN_HSCK3,
-	FN_CAN1_TX,
-	FN_CANFD1_TX,
-	FN_IETX_A,
-	IFN_RDx,
-	FN_MSIOF3_SYNC_D,
-	FN_RX3_A,
-	FN_HRX3_A,
-	FN_CAN0_TX_A,
-	FN_CANFD0_TX_A,
-	IFN_RD_WRx,
-	FN_MSIOF3_RXD_D,
-	FN_TX3_A,
-	FN_HTX3_A,
-	FN_CAN0_RX_A,
-	FN_CANFD0_RX_A,
-
-	/* IPSR5 */
-	IFN_WE0x,
-	FN_MSIIOF3_TXD_D,
-	FN_CTS3x,
-	FN_HCTS3x,
-	FN_SCL6_B,
-	FN_CAN_CLK,
-	FN_IECLK_A,
-	IFN_WE1x,
-	FN_MSIOF3_SS1_D,
-	FN_RTS3x_TANS,
-	FN_HRTS3x,
-	FN_SDA6_B,
-	FN_CAN1_RX,
-	FN_CANFD1_RX,
-	FN_IERX_A,
-	IFN_EX_WAIT0_A,
-	FN_QCLK,
-	FN_VI4_CLK,
-	FN_DU_DOTCLKOUT0,
-	IFN_D0,
-	FN_MSIOF2_SS1_B,
-	FN_MSIOF3_SCK_A,
-	FN_VI4_DATA16,
-	FN_VI5_DATA0,
-	IFN_D1,
-	FN_MSIOF2_SS2_B,
-	FN_MSIOF3_SYNC_A,
-	FN_VI4_DATA17,
-	FN_VI5_DATA1,
-	IFN_D2,
-	FN_MSIOF3_RXD_A,
-	FN_VI4_DATA18,
-	FN_VI5_DATA2,
-	IFN_D3,
-	FN_MSIOF3_TXD_A,
-	FN_VI4_DATA19,
-	FN_VI5_DATA3,
-	IFN_D4,
-	FN_MSIOF2_SCK_B,
-	FN_VI4_DATA20,
-	FN_VI5_DATA4,
-
-	/* IPSR6 */
-	IFN_D5,
-	FN_MSIOF2_SYNC_B,
-	FN_VI4_DATA21,
-	FN_VI5_DATA5,
-	IFN_D6,
-	FN_MSIOF2_RXD_B,
-	FN_VI4_DATA22,
-	FN_VI5_DATA6,
-	IFN_D7,
-	FN_MSIOF2_TXD_B,
-	FN_VI4_DATA23,
-	FN_VI5_DATA7,
-	IFN_D8,
-	FN_LCDOUT0,
-	FN_MSIOF2_SCK_D,
-	FN_SCK4_C,
-	FN_VI4_DATA0_A,
-	FN_DU_DR0,
-	IFN_D9,
-	FN_LCDOUT1,
-	FN_MSIOF2_SYNC_D,
-	FN_VI4_DATA1_A,
-	FN_DU_DR1,
-	IFN_D10,
-	FN_LCDOUT2,
-	FN_MSIOF2_RXD_D,
-	FN_HRX3_B,
-	FN_VI4_DATA2_A,
-	FN_CTS4x_C,
-	FN_DU_DR2,
-	IFN_D11,
-	FN_LCDOUT3,
-	FN_MSIOF2_TXD_D,
-	FN_HTX3_B,
-	FN_VI4_DATA3_A,
-	FN_RTS4x_TANS_C,
-	FN_DU_DR3,
-	IFN_D12,
-	FN_LCDOUT4,
-	FN_MSIOF2_SS1_D,
-	FN_RX4_C,
-	FN_VI4_DATA4_A,
-	FN_DU_DR4,
-
-	/* IPSR7 */
-	IFN_D13,
-	FN_LCDOUT5,
-	FN_MSIOF2_SS2_D,
-	FN_TX4_C,
-	FN_VI4_DATA5_A,
-	FN_DU_DR5,
-	IFN_D14,
-	FN_LCDOUT6,
-	FN_MSIOF3_SS1_A,
-	FN_HRX3_C,
-	FN_VI4_DATA6_A,
-	FN_DU_DR6,
-	FN_SCL6_C,
-	IFN_D15,
-	FN_LCDOUT7,
-	FN_MSIOF3_SS2_A,
-	FN_HTX3_C,
-	FN_VI4_DATA7_A,
-	FN_DU_DR7,
-	FN_SDA6_C,
-	FN_FSCLKST,
-	IFN_SD0_CLK,
-	FN_MSIOF1_SCK_E,
-	FN_STP_OPWM_0_B,
-	IFN_SD0_CMD,
-	FN_MSIOF1_SYNC_E,
-	FN_STP_IVCXO27_0_B,
-	IFN_SD0_DAT0,
-	FN_MSIOF1_RXD_E,
-	FN_TS_SCK0_B,
-	FN_STP_ISCLK_0_B,
-	IFN_SD0_DAT1,
-	FN_MSIOF1_TXD_E,
-	FN_TS_SPSYNC0_B,
-	FN_STP_ISSYNC_0_B,
-
-	/* IPSR8 */
-	IFN_SD0_DAT2,
-	FN_MSIOF1_SS1_E,
-	FN_TS_SDAT0_B,
-	FN_STP_ISD_0_B,
-	IFN_SD0_DAT3,
-	FN_MSIOF1_SS2_E,
-	FN_TS_SDEN0_B,
-	FN_STP_ISEN_0_B,
-	IFN_SD1_CLK,
-	FN_MSIOF1_SCK_G,
-	FN_SIM0_CLK_A,
-	IFN_SD1_CMD,
-	FN_MSIOF1_SYNC_G,
-	FN_NFCEx_B,
-	FN_SIM0_D_A,
-	FN_STP_IVCXO27_1_B,
-	IFN_SD1_DAT0,
-	FN_SD2_DAT4,
-	FN_MSIOF1_RXD_G,
-	FN_NFWPx_B,
-	FN_TS_SCK1_B,
-	FN_STP_ISCLK_1_B,
-	IFN_SD1_DAT1,
-	FN_SD2_DAT5,
-	FN_MSIOF1_TXD_G,
-	FN_NFDATA14_B,
-	FN_TS_SPSYNC1_B,
-	FN_STP_ISSYNC_1_B,
-	IFN_SD1_DAT2,
-	FN_SD2_DAT6,
-	FN_MSIOF1_SS1_G,
-	FN_NFDATA15_B,
-	FN_TS_SDAT1_B,
-	FN_STP_IOD_1_B,
-	IFN_SD1_DAT3,
-	FN_SD2_DAT7,
-	FN_MSIOF1_SS2_G,
-	FN_NFRBx_B,
-	FN_TS_SDEN1_B,
-	FN_STP_ISEN_1_B,
-
-	/* IPSR9 */
-	IFN_SD2_CLK,
-	FN_NFDATA8,
-	IFN_SD2_CMD,
-	FN_NFDATA9,
-	IFN_SD2_DAT0,
-	FN_NFDATA10,
-	IFN_SD2_DAT1,
-	FN_NFDATA11,
-	IFN_SD2_DAT2,
-	FN_NFDATA12,
-	IFN_SD2_DAT3,
-	FN_NFDATA13,
-	IFN_SD2_DS,
-	FN_NFALE,
-	FN_SATA_DEVSLP_B,
-	IFN_SD3_CLK,
-	FN_NFWEx,
-
-	/* IPSR10 */
-	IFN_SD3_CMD,
-	FN_NFREx,
-	IFN_SD3_DAT0,
-	FN_NFDATA0,
-	IFN_SD3_DAT1,
-	FN_NFDATA1,
-	IFN_SD3_DAT2,
-	FN_NFDATA2,
-	IFN_SD3_DAT3,
-	FN_NFDATA3,
-	IFN_SD3_DAT4,
-	FN_SD2_CD_A,
-	FN_NFDATA4,
-	IFN_SD3_DAT5,
-	FN_SD2_WP_A,
-	FN_NFDATA5,
-	IFN_SD3_DAT6,
-	FN_SD3_CD,
-	FN_NFDATA6,
-
-	/* IPSR11 */
-	IFN_SD3_DAT7,
-	FN_SD3_WP,
-	FN_NFDATA7,
-	IFN_SD3_DS,
-	FN_NFCLE,
-	IFN_SD0_CD,
-	FN_NFDATA14_A,
-	FN_SCL2_B,
-	FN_SIM0_RST_A,
-	IFN_SD0_WP,
-	FN_NFDATA15_A,
-	FN_SDA2_B,
-	IFN_SD1_CD,
-	FN_NFRBx_A,
-	FN_SIM0_CLK_B,
-	IFN_SD1_WP,
-	FN_NFCEx_A,
-	FN_SIM0_D_B,
-	IFN_SCK0,
-	FN_HSCK1_B,
-	FN_MSIOF1_SS2_B,
-	FN_AUDIO_CLKC_B,
-	FN_SDA2_A,
-	FN_SIM0_RST_B,
-	FN_STP_OPWM_0_C,
-	FN_RIF0_CLK_B,
-	FN_ADICHS2,
-	FN_SCK5_B,
-	IFN_RX0,
-	FN_HRX1_B,
-	FN_TS_SCK0_C,
-	FN_STP_ISCLK_0_C,
-	FN_RIF0_D0_B,
-
-	/* IPSR12 */
-	IFN_TX0,
-	FN_HTX1_B,
-	FN_TS_SPSYNC0_C,
-	FN_STP_ISSYNC_0_C,
-	FN_RIF0_D1_B,
-	IFN_CTS0x,
-	FN_HCTS1x_B,
-	FN_MSIOF1_SYNC_B,
-	FN_TS_SPSYNC1_C,
-	FN_STP_ISSYNC_1_C,
-	FN_RIF1_SYNC_B,
-	FN_AUDIO_CLKOUT_C,
-	FN_ADICS_SAMP,
-	IFN_RTS0x_TANS,
-	FN_HRTS1x_B,
-	FN_MSIOF1_SS1_B,
-	FN_AUDIO_CLKA_B,
-	FN_SCL2_A,
-	FN_STP_IVCXO27_1_C,
-	FN_RIF0_SYNC_B,
-	FN_ADICHS1,
-	IFN_RX1_A,
-	FN_HRX1_A,
-	FN_TS_SDAT0_C,
-	FN_STP_ISD_0_C,
-	FN_RIF1_CLK_C,
-	IFN_TX1_A,
-	FN_HTX1_A,
-	FN_TS_SDEN0_C,
-	FN_STP_ISEN_0_C,
-	FN_RIF1_D0_C,
-	IFN_CTS1x,
-	FN_HCTS1x_A,
-	FN_MSIOF1_RXD_B,
-	FN_TS_SDEN1_C,
-	FN_STP_ISEN_1_C,
-	FN_RIF1_D0_B,
-	FN_ADIDATA,
-	IFN_RTS1x_TANS,
-	FN_HRTS1x_A,
-	FN_MSIOF1_TXD_B,
-	FN_TS_SDAT1_C,
-	FN_STP_ISD_1_C,
-	FN_RIF1_D1_B,
-	FN_ADICHS0,
-	IFN_SCK2,
-	FN_SCIF_CLK_B,
-	FN_MSIOF1_SCK_B,
-	FN_TS_SCK1_C,
-	FN_STP_ISCLK_1_C,
-	FN_RIF1_CLK_B,
-	FN_ADICLK,
-
-	/* IPSR13 */
-	IFN_TX2_A,
-	FN_SD2_CD_B,
-	FN_SCL1_A,
-	FN_FMCLK_A,
-	FN_RIF1_D1_C,
-	FN_FSO_CFE_0x,
-	IFN_RX2_A,
-	FN_SD2_WP_B,
-	FN_SDA1_A,
-	FN_FMIN_A,
-	FN_RIF1_SYNC_C,
-	FN_FSO_CFE_1x,
-	IFN_HSCK0,
-	FN_MSIOF1_SCK_D,
-	FN_AUDIO_CLKB_A,
-	FN_SSI_SDATA1_B,
-	FN_TS_SCK0_D,
-	FN_STP_ISCLK_0_D,
-	FN_RIF0_CLK_C,
-	FN_RX5_B,
-	IFN_HRX0,
-	FN_MSIOF1_RXD_D,
-	FN_SSI_SDATA2_B,
-	FN_TS_SDEN0_D,
-	FN_STP_ISEN_0_D,
-	FN_RIF0_D0_C,
-	IFN_HTX0,
-	FN_MSIOF1_TXD_D,
-	FN_SSI_SDATA9_B,
-	FN_TS_SDAT0_D,
-	FN_STP_ISD_0_D,
-	FN_RIF0_D1_C,
-	IFN_HCTS0x,
-	FN_RX2_B,
-	FN_MSIOF1_SYNC_D,
-	FN_SSI_SCK9_A,
-	FN_TS_SPSYNC0_D,
-	FN_STP_ISSYNC_0_D,
-	FN_RIF0_SYNC_C,
-	FN_AUDIO_CLKOUT1_A,
-	IFN_HRTS0x,
-	FN_TX2_B,
-	FN_MSIOF1_SS1_D,
-	FN_SSI_WS9_A,
-	FN_STP_IVCXO27_0_D,
-	FN_BPFCLK_A,
-	FN_AUDIO_CLKOUT2_A,
-	IFN_MSIOF0_SYNC,
-	FN_AUDIO_CLKOUT_A,
-	FN_TX5_B,
-	FN_BPFCLK_D,
-
-	/* IPSR14 */
-	IFN_MSIOF0_SS1,
-	FN_RX5_A,
-	FN_NFWPx_A,
-	FN_AUDIO_CLKA_C,
-	FN_SSI_SCK2_A,
-	FN_STP_IVCXO27_0_C,
-	FN_AUDIO_CLKOUT3_A,
-	FN_TCLK1_B,
-	IFN_MSIOF0_SS2,
-	FN_TX5_A,
-	FN_MSIOF1_SS2_D,
-	FN_AUDIO_CLKC_A,
-	FN_SSI_WS2_A,
-	FN_STP_OPWM_0_D,
-	FN_AUDIO_CLKOUT_D,
-	FN_SPEEDIN_B,
-	IFN_MLB_CLK,
-	FN_MSIOF1_SCK_F,
-	FN_SCL1_B,
-	IFN_MLB_SIG,
-	FN_RX1_B,
-	FN_MSIOF1_SYNC_F,
-	FN_SDA1_B,
-	IFN_MLB_DAT,
-	FN_TX1_B,
-	FN_MSIOF1_RXD_F,
-	IFN_SSI_SCK01239,
-	FN_MSIOF1_TXD_F,
-	FN_MOUT0,
-	IFN_SSI_WS01239,
-	FN_MSIOF1_SS1_F,
-	FN_MOUT1,
-	IFN_SSI_SDATA0,
-	FN_MSIOF1_SS2_F,
-	FN_MOUT2,
-
-	/* IPSR15 */
-	IFN_SSI_SDATA1_A,
-	FN_MOUT5,
-	IFN_SSI_SDATA2_A,
-	FN_SSI_SCK1_B,
-	FN_MOUT6,
-	IFN_SSI_SCK34,
-	FN_MSIOF1_SS1_A,
-	FN_STP_OPWM_0_A,
-	IFN_SSI_WS34,
-	FN_HCTS2x_A,
-	FN_MSIOF1_SS2_A,
-	FN_STP_IVCXO27_0_A,
-	IFN_SSI_SDATA3,
-	FN_HRTS2x_A,
-	FN_MSIOF1_TXD_A,
-	FN_TS_SCK0_A,
-	FN_STP_ISCLK_0_A,
-	FN_RIF0_D1_A,
-	FN_RIF2_D0_A,
-	IFN_SSI_SCK4,
-	FN_HRX2_A,
-	FN_MSIOF1_SCK_A,
-	FN_TS_SDAT0_A,
-	FN_STP_ISD_0_A,
-	FN_RIF0_CLK_A,
-	FN_RIF2_CLK_A,
-	IFN_SSI_WS4,
-	FN_HTX2_A,
-	FN_MSIOF1_SYNC_A,
-	FN_TS_SDEN0_A,
-	FN_STP_ISEN_0_A,
-	FN_RIF0_SYNC_A,
-	FN_RIF2_SYNC_A,
-	IFN_SSI_SDATA4,
-	FN_HSCK2_A,
-	FN_MSIOF1_RXD_A,
-	FN_TS_SPSYNC0_A,
-	FN_STP_ISSYNC_0_A,
-	FN_RIF0_D0_A,
-	FN_RIF2_D1_A,
-
-	/* IPSR16 */
-	IFN_SSI_SCK6,
-	FN_SIM0_RST_D,
-	IFN_SSI_WS6,
-	FN_SIM0_D_D,
-	IFN_SSI_SDATA6,
-	FN_SIM0_CLK_D,
-	FN_SATA_DEVSLP_A,
-	IFN_SSI_SCK78,
-	FN_HRX2_B,
-	FN_MSIOF1_SCK_C,
-	FN_TS_SCK1_A,
-	FN_STP_ISCLK_1_A,
-	FN_RIF1_CLK_A,
-	FN_RIF3_CLK_A,
-	IFN_SSI_WS78,
-	FN_HTX2_B,
-	FN_MSIOF1_SYNC_C,
-	FN_TS_SDAT1_A,
-	FN_STP_ISD_1_A,
-	FN_RIF1_SYNC_A,
-	FN_RIF3_SYNC_A,
-	IFN_SSI_SDATA7,
-	FN_HCTS2x_B,
-	FN_MSIOF1_RXD_C,
-	FN_TS_SDEN1_A,
-	FN_STP_ISEN_1_A,
-	FN_RIF1_D0_A,
-	FN_RIF3_D0_A,
-	FN_TCLK2_A,
-	IFN_SSI_SDATA8,
-	FN_HRTS2x_B,
-	FN_MSIOF1_TXD_C,
-	FN_TS_SPSYNC1_A,
-	FN_STP_ISSYNC_1_A,
-	FN_RIF1_D1_A,
-	FN_RIF3_D1_A,
-	IFN_SSI_SDATA9_A,
-	FN_HSCK2_B,
-	FN_MSIOF1_SS1_C,
-	FN_HSCK1_A,
-	FN_SSI_WS1_B,
-	FN_SCK1,
-	FN_STP_IVCXO27_1_A,
-	FN_SCK5_A,
-
-	/* IPSR17 */
-	IFN_AUDIO_CLKA_A,
-	FN_CC5_OSCOUT,
-	IFN_AUDIO_CLKB_B,
-	FN_SCIF_CLK_A,
-	FN_STP_IVCXO27_1_D,
-	FN_REMOCON_A,
-	FN_TCLK1_A,
-	IFN_USB0_PWEN,
-	FN_SIM0_RST_C,
-	FN_TS_SCK1_D,
-	FN_STP_ISCLK_1_D,
-	FN_BPFCLK_B,
-	FN_RIF3_CLK_B,
-	FN_HSCK2_C,
-	IFN_USB0_OVC,
-	FN_SIM0_D_C,
-	FN_TS_SDAT1_D,
-	FN_STP_ISD_1_D,
-	FN_RIF3_SYNC_B,
-	FN_HRX2_C,
-	IFN_USB1_PWEN,
-	FN_SIM0_CLK_C,
-	FN_SSI_SCK1_A,
-	FN_TS_SCK0_E,
-	FN_STP_ISCLK_0_E,
-	FN_FMCLK_B,
-	FN_RIF2_CLK_B,
-	FN_SPEEDIN_A,
-	FN_HTX2_C,
-	IFN_USB1_OVC,
-	FN_MSIOF1_SS2_C,
-	FN_SSI_WS1_A,
-	FN_TS_SDAT0_E,
-	FN_STP_ISD_0_E,
-	FN_FMIN_B,
-	FN_RIF2_SYNC_B,
-	FN_REMOCON_B,
-	FN_HCTS2x_C,
-	IFN_USB30_PWEN,
-	FN_AUDIO_CLKOUT_B,
-	FN_SSI_SCK2_B,
-	FN_TS_SDEN1_D,
-	FN_STP_ISEN_1_D,
-	FN_STP_OPWM_0_E,
-	FN_RIF3_D0_B,
-	FN_TCLK2_B,
-	FN_TPU0TO0,
-	FN_BPFCLK_C,
-	FN_HRTS2x_C,
-	IFN_USB30_OVC,
-	FN_AUDIO_CLKOUT1_B,
-	FN_SSI_WS2_B,
-	FN_TS_SPSYNC1_D,
-	FN_STP_ISSYNC_1_D,
-	FN_STP_IVCXO27_0_E,
-	FN_RIF3_D1_B,
-	FN_FSO_TOEx,
-	FN_TPU0TO1,
-
-	/* IPSR18 */
-	IFN_USB3_PWEN,
-	FN_AUDIO_CLKOUT2_B,
-	FN_SSI_SCK9_B,
-	FN_TS_SDEN0_E,
-	FN_STP_ISEN_0_E,
-	FN_RIF2_D0_B,
-	FN_TPU0TO2,
-	FN_FMCLK_C,
-	FN_FMCLK_D,
-	IFN_USB3_OVC,
-	FN_AUDIO_CLKOUT3_B,
-	FN_SSI_WS9_B,
-	FN_TS_SPSYNC0_E,
-	FN_STP_ISSYNC_0_E,
-	FN_RIF2_D1_B,
-	FN_TPU0TO3,
-	FN_FMIN_C,
-	FN_FMIN_D,
-
-	/* MOD_SEL0 */
-	/* sel_msiof3[3](0,1,2,3,4) */
-	FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
-	FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
-	FN_SEL_MSIOF3_4,
-	/* sel_msiof2[2](0,1,2,3) */
-	FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
-	FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
-	/* sel_msiof1[3](0,1,2,3,4,5,6) */
-	FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
-	FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
-	FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
-	FN_SEL_MSIOF1_6,
-	/* sel_lbsc[1](0,1) */
-	FN_SEL_LBSC_0, FN_SEL_LBSC_1,
-	/* sel_iebus[1](0,1) */
-	FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
-	/* sel_i2c2[1](0,1) */
-	FN_SEL_I2C2_0, FN_SEL_I2C2_1,
-	/* sel_i2c1[1](0,1) */
-	FN_SEL_I2C1_0, FN_SEL_I2C1_1,
-	/* sel_hscif4[1](0,1) */
-	FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
-	/* sel_hscif3[2](0,1,2,3) */
-	FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
-	FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
-	/* sel_hscif1[1](0,1) */
-	FN_SEL_HSCIF1_0, FN_SEL_HSCIF1_1,
-	/* reserved[1] */
-	/* sel_hscif2[2](0,1,2) */
-	FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
-	FN_SEL_HSCIF2_2,
-	/* sel_etheravb[1](0,1) */
-	FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
-	/* sel_drif3[1](0,1) */
-	FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
-	/* sel_drif2[1](0,1) */
-	FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
-	/* sel_drif1[2](0,1,2) */
-	FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
-	FN_SEL_DRIF1_2,
-	/* sel_drif0[2](0,1,2) */
-	FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
-	FN_SEL_DRIF0_2,
-	/* sel_canfd0[1](0,1) */
-	FN_SEL_CANFD_0, FN_SEL_CANFD_1,
-	/* sel_adg_a[2](0,1,2) */
-	FN_SEL_ADG_A_0, FN_SEL_ADG_A_1,
-	FN_SEL_ADG_A_2,
-	/* reserved[3]*/
-
-	/* MOD_SEL1 */
-	/* sel_tsif1[2](0,1,2,3) */
-	FN_SEL_TSIF1_0, FN_SEL_TSIF1_1,
-	FN_SEL_TSIF1_2, FN_SEL_TSIF1_3,
-	/* sel_tsif0[3](0,1,2,3,4) */
-	FN_SEL_TSIF0_0, FN_SEL_TSIF0_1,
-	FN_SEL_TSIF0_2, FN_SEL_TSIF0_3,
-	FN_SEL_TSIF0_4,
-	/* sel_timer_tmu1[1](0,1) */
-	FN_SEL_TIMER_TMU1_0, FN_SEL_TIMER_TMU1_1,
-	/* sel_ssp1_1[2](0,1,2,3) */
-	FN_SEL_SSP1_1_0, FN_SEL_SSP1_1_1,
-	FN_SEL_SSP1_1_2, FN_SEL_SSP1_1_3,
-	/* sel_ssp1_0[3](0,1,2,3,4) */
-	FN_SEL_SSP1_0_0, FN_SEL_SSP1_0_1,
-	FN_SEL_SSP1_0_2, FN_SEL_SSP1_0_3,
-	FN_SEL_SSP1_0_4,
-	/* sel_ssi1[1](0,1) */
-	FN_SEL_SSI_0, FN_SEL_SSI_1,
-	/* sel_speed_pulse_if[1](0,1) */
-	FN_SEL_SPEED_PULSE_IF_0, FN_SEL_SPEED_PULSE_IF_1,
-	/* sel_simcard[2](0,1,2,3) */
-	FN_SEL_SIMCARD_0, FN_SEL_SIMCARD_1,
-	FN_SEL_SIMCARD_2, FN_SEL_SIMCARD_3,
-	/* sel_sdhi2[1](0,1) */
-	FN_SEL_SDHI2_0, FN_SEL_SDHI2_1,
-	/* sel_scif4[2](0,1,2) */
-	FN_SEL_SCIF4_0, FN_SEL_SCIF4_1,
-	FN_SEL_SCIF4_2,
-	/* sel_scif3[1](0,1) */
-	FN_SEL_SCIF3_0, FN_SEL_SCIF3_1,
-	/* sel_scif2[1](0,1) */
-	FN_SEL_SCIF2_0, FN_SEL_SCIF2_1,
-	/* sel_scif1[1](0,1) */
-	FN_SEL_SCIF1_0, FN_SEL_SCIF1_1,
-	/* sel_scif[1](0,1) */
-	FN_SEL_SCIF_0, FN_SEL_SCIF_1,
-	/* sel_remocon[1](0,1) */
-	FN_SEL_REMOCON_0, FN_SEL_REMOCON_1,
-	/* reserved[8..7] */
-	/* sel_rcan0[1](0,1) */
-	FN_SEL_RCAN_0, FN_SEL_RCAN_1,
-	/* sel_pwm6[1](0,1) */
-	FN_SEL_PWM6_0, FN_SEL_PWM6_1,
-	/* sel_pwm5[1](0,1) */
-	FN_SEL_PWM5_0, FN_SEL_PWM5_1,
-	/* sel_pwm4[1](0,1) */
-	FN_SEL_PWM4_0, FN_SEL_PWM4_1,
-	/* sel_pwm3[1](0,1) */
-	FN_SEL_PWM3_0, FN_SEL_PWM3_1,
-	/* sel_pwm2[1](0,1) */
-	FN_SEL_PWM2_0, FN_SEL_PWM2_1,
-	/* sel_pwm1[1](0,1) */
-	FN_SEL_PWM1_0, FN_SEL_PWM1_1,
-
-	/* MOD_SEL2 */
-	/* i2c_sel_5[1](0,1) */
-	FN_I2C_SEL_5_0, FN_I2C_SEL_5_1,
-	/* i2c_sel_3[1](0,1) */
-	FN_I2C_SEL_3_0, FN_I2C_SEL_3_1,
-	/* i2c_sel_0[1](0,1) */
-	FN_I2C_SEL_0_0, FN_I2C_SEL_0_1,
-	/* sel_fm[2](0,1,2,3) */
-	FN_SEL_FM_0, FN_SEL_FM_1,
-	FN_SEL_FM_2, FN_SEL_FM_3,
-	/* sel_scif5[1](0,1) */
-	FN_SEL_SCIF5_0, FN_SEL_SCIF5_1,
-	/* sel_i2c6[3](0,1,2) */
-	FN_SEL_I2C6_0, FN_SEL_I2C6_1,
-	FN_SEL_I2C6_2,
-	/* sel_ndfc[1](0,1) */
-	FN_SEL_NDFC_0, FN_SEL_NDFC_1,
-	/* sel_ssi2[1](0,1) */
-	FN_SEL_SSI2_0, FN_SEL_SSI2_1,
-	/* sel_ssi9[1](0,1) */
-	FN_SEL_SSI9_0, FN_SEL_SSI9_1,
-	/* sel_timer_tmu2[1](0,1) */
-	FN_SEL_TIMER_TMU2_0, FN_SEL_TIMER_TMU2_1,
-	/* sel_adg_b[1](0,1) */
-	FN_SEL_ADG_B_0, FN_SEL_ADG_B_1,
-	/* sel_adg_c[1](0,1) */
-	FN_SEL_ADG_C_0, FN_SEL_ADG_C_1,
-	/* reserved[16..16] */
-	/* reserved[15..8] */
-	/* reserved[7..1] */
-	/* sel_vin4[1](0,1) */
-	FN_SEL_VIN4_0, FN_SEL_VIN4_1,
-
-	PINMUX_FUNCTION_END,
-
-	PINMUX_MARK_BEGIN,
-
-	/* GPSR0 */
-	D15_GMARK,
-	D14_GMARK,
-	D13_GMARK,
-	D12_GMARK,
-	D11_GMARK,
-	D10_GMARK,
-	D9_GMARK,
-	D8_GMARK,
-	D7_GMARK,
-	D6_GMARK,
-	D5_GMARK,
-	D4_GMARK,
-	D3_GMARK,
-	D2_GMARK,
-	D1_GMARK,
-	D0_GMARK,
-
-	/* GPSR1 */
-	CLKOUT_GMARK,
-	EX_WAIT0_A_GMARK,
-	WE1x_GMARK,
-	WE0x_GMARK,
-	RD_WRx_GMARK,
-	RDx_GMARK,
-	BSx_GMARK,
-	CS1x_A26_GMARK,
-	CS0x_GMARK,
-	A19_GMARK,
-	A18_GMARK,
-	A17_GMARK,
-	A16_GMARK,
-	A15_GMARK,
-	A14_GMARK,
-	A13_GMARK,
-	A12_GMARK,
-	A11_GMARK,
-	A10_GMARK,
-	A9_GMARK,
-	A8_GMARK,
-	A7_GMARK,
-	A6_GMARK,
-	A5_GMARK,
-	A4_GMARK,
-	A3_GMARK,
-	A2_GMARK,
-	A1_GMARK,
-	A0_GMARK,
-
-	/* GPSR2 */
-	AVB_AVTP_CAPTURE_A_GMARK,
-	AVB_AVTP_MATCH_A_GMARK,
-	AVB_LINK_GMARK,
-	AVB_PHY_INT_GMARK,
-	AVB_MAGIC_GMARK,
-	AVB_MDC_GMARK,
-	PWM2_A_GMARK,
-	PWM1_A_GMARK,
-	PWM0_GMARK,
-	IRQ5_GMARK,
-	IRQ4_GMARK,
-	IRQ3_GMARK,
-	IRQ2_GMARK,
-	IRQ1_GMARK,
-	IRQ0_GMARK,
-
-	/* GPSR3 */
-	SD1_WP_GMARK,
-	SD1_CD_GMARK,
-	SD0_WP_GMARK,
-	SD0_CD_GMARK,
-	SD1_DAT3_GMARK,
-	SD1_DAT2_GMARK,
-	SD1_DAT1_GMARK,
-	SD1_DAT0_GMARK,
-	SD1_CMD_GMARK,
-	SD1_CLK_GMARK,
-	SD0_DAT3_GMARK,
-	SD0_DAT2_GMARK,
-	SD0_DAT1_GMARK,
-	SD0_DAT0_GMARK,
-	SD0_CMD_GMARK,
-	SD0_CLK_GMARK,
-
-	/* GPSR4 */
-	SD3_DS_GMARK,
-	SD3_DAT7_GMARK,
-	SD3_DAT6_GMARK,
-	SD3_DAT5_GMARK,
-	SD3_DAT4_GMARK,
-	SD3_DAT3_GMARK,
-	SD3_DAT2_GMARK,
-	SD3_DAT1_GMARK,
-	SD3_DAT0_GMARK,
-	SD3_CMD_GMARK,
-	SD3_CLK_GMARK,
-	SD2_DS_GMARK,
-	SD2_DAT3_GMARK,
-	SD2_DAT2_GMARK,
-	SD2_DAT1_GMARK,
-	SD2_DAT0_GMARK,
-	SD2_CMD_GMARK,
-	SD2_CLK_GMARK,
-
-	/* GPSR5 */
-	MLB_DAT_GMARK,
-	MLB_SIG_GMARK,
-	MLB_CLK_GMARK,
-	MSIOF0_RXD_MARK,
-	MSIOF0_SS2_GMARK,
-	MSIOF0_TXD_MARK,
-	MSIOF0_SS1_GMARK,
-	MSIOF0_SYNC_GMARK,
-	MSIOF0_SCK_MARK,
-	HRTS0x_GMARK,
-	HCTS0x_GMARK,
-	HTX0_GMARK,
-	HRX0_GMARK,
-	HSCK0_GMARK,
-	RX2_A_GMARK,
-	TX2_A_GMARK,
-	SCK2_GMARK,
-	RTS1x_TANS_GMARK,
-	CTS1x_GMARK,
-	TX1_A_GMARK,
-	RX1_A_GMARK,
-	RTS0x_TANS_GMARK,
-	CTS0x_GMARK,
-	TX0_GMARK,
-	RX0_GMARK,
-	SCK0_GMARK,
-
-	/* GPSR6 */
-	USB3_OVC_GMARK,
-	USB3_PWEN_GMARK,
-	USB30_OVC_GMARK,
-	USB30_PWEN_GMARK,
-	USB1_OVC_GMARK,
-	USB1_PWEN_GMARK,
-	USB0_OVC_GMARK,
-	USB0_PWEN_GMARK,
-	AUDIO_CLKB_B_GMARK,
-	AUDIO_CLKA_A_GMARK,
-	SSI_SDATA9_A_GMARK,
-	SSI_SDATA8_GMARK,
-	SSI_SDATA7_GMARK,
-	SSI_WS78_GMARK,
-	SSI_SCK78_GMARK,
-	SSI_SDATA6_GMARK,
-	SSI_WS6_GMARK,
-	SSI_SCK6_GMARK,
-	SSI_SDATA5_MARK,
-	SSI_WS5_MARK,
-	SSI_SCK5_MARK,
-	SSI_SDATA4_GMARK,
-	SSI_WS4_GMARK,
-	SSI_SCK4_GMARK,
-	SSI_SDATA3_GMARK,
-	SSI_WS34_GMARK,
-	SSI_SCK34_GMARK,
-	SSI_SDATA2_A_GMARK,
-	SSI_SDATA1_A_GMARK,
-	SSI_SDATA0_GMARK,
-	SSI_WS01239_GMARK,
-	SSI_SCK01239_GMARK,
-
-	/* GPSR7 */
-	HDMI1_CEC_MARK,
-	HDMI0_CEC_MARK,
-	AVS2_MARK,
-	AVS1_MARK,
-
-	/* IPSR0 */
-	AVB_MDC_IMARK,
-	MSIOF2_SS2_C_MARK,
-	AVB_MAGIC_IMARK,
-	MSIOF2_SS1_C_MARK,
-	SCK4_A_MARK,
-	AVB_PHY_INT_IMARK,
-	MSIOF2_SYNC_C_MARK,
-	RX4_A_MARK,
-	AVB_LINK_IMARK,
-	MSIOF2_SCK_C_MARK,
-	TX4_A_MARK,
-	AVB_AVTP_MATCH_A_IMARK,
-	MSIOF2_RXD_C_MARK,
-	CTS4x_A_MARK,
-	FSCLKST2x_A_MARK,
-	AVB_AVTP_CAPTURE_A_IMARK,
-	MSIOF2_TXD_C_MARK,
-	RTS4x_TANS_A_MARK,
-	IRQ0_IMARK,
-	QPOLB_MARK,
-	DU_CDE_MARK,
-	VI4_DATA0_B_MARK,
-	CAN0_TX_B_MARK,
-	CANFD0_TX_B_MARK,
-	MSIOF3_SS2_E_MARK,
-	IRQ1_IMARK,
-	QPOLA_MARK,
-	DU_DISP_MARK,
-	VI4_DATA1_B_MARK,
-	CAN0_RX_B_MARK,
-	CANFD0_RX_B_MARK,
-	MSIOF3_SS1_E_MARK,
-
-	/* IPSR1 */
-	IRQ2_IMARK,
-	QCPV_QDE_MARK,
-	DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
-	VI4_DATA2_B_MARK,
-	MSIOF3_SYNC_E_MARK,
-	PWM3_B_MARK,
-	IRQ3_IMARK,
-	QSTVB_QVE_MARK,
-	DU_DOTCLKOUT1_MARK,
-	VI4_DATA3_B_MARK,
-	MSIOF3_SCK_E_MARK,
-	PWM4_B_MARK,
-	IRQ4_IMARK,
-	QSTH_QHS_MARK,
-	DU_EXHSYNC_DU_HSYNC_MARK,
-	VI4_DATA4_B_MARK,
-	MSIOF3_RXD_E_MARK,
-	PWM5_B_MARK,
-	IRQ5_IMARK,
-	QSTB_QHE_MARK,
-	DU_EXVSYNC_DU_VSYNC_MARK,
-	VI4_DATA5_B_MARK,
-	FSCLKST2x_B_MARK,
-	MSIOF3_TXD_E_MARK,
-	PWM6_B_MARK,
-	PWM0_IMARK,
-	AVB_AVTP_PPS_MARK,
-	VI4_DATA6_B_MARK,
-	IECLK_B_MARK,
-	PWM1_A_IMARK,
-	HRX3_D_MARK,
-	VI4_DATA7_B_MARK,
-	IERX_B_MARK,
-	PWM2_A_IMARK,
-	PWMFSW0_MARK,
-	HTX3_D_MARK,
-	IETX_B_MARK,
-	A0_IMARK,
-	LCDOUT16_MARK,
-	MSIOF3_SYNC_B_MARK,
-	VI4_DATA8_MARK,
-	DU_DB0_MARK,
-	PWM3_A_MARK,
-
-	/* IPSR2 */
-	A1_IMARK,
-	LCDOUT17_MARK,
-	MSIOF3_TXD_B_MARK,
-	VI4_DATA9_MARK,
-	DU_DB1_MARK,
-	PWM4_A_MARK,
-	A2_IMARK,
-	LCDOUT18_MARK,
-	MSIOF3_SCK_B_MARK,
-	VI4_DATA10_MARK,
-	DU_DB2_MARK,
-	PWM5_A_MARK,
-	A3_IMARK,
-	LCDOUT19_MARK,
-	MSIOF3_RXD_B_MARK,
-	VI4_DATA11_MARK,
-	DU_DB3_MARK,
-	PWM6_A_MARK,
-	A4_IMARK,
-	LCDOUT20_MARK,
-	MSIOF3_SS1_B_MARK,
-	VI4_DATA12_MARK,
-	VI5_DATA12_MARK,
-	DU_DB4_MARK,
-	A5_IMARK,
-	LCDOUT21_MARK,
-	MSIOF3_SS2_B_MARK,
-	SCK4_B_MARK,
-	VI4_DATA13_MARK,
-	VI5_DATA13_MARK,
-	DU_DB5_MARK,
-	A6_IMARK,
-	LCDOUT22_MARK,
-	MSIOF2_SS1_A_MARK,
-	RX4_B_MARK,
-	VI4_DATA14_MARK,
-	VI5_DATA14_MARK,
-	DU_DB6_MARK,
-	A7_IMARK,
-	LCDOUT23_MARK,
-	MSIOF2_SS2_A_MARK,
-	TX4_B_MARK,
-	VI4_DATA15_MARK,
-	V15_DATA15_MARK,
-	DU_DB7_MARK,
-	A8_IMARK,
-	RX3_B_MARK,
-	MSIOF2_SYNC_A_MARK,
-	HRX4_B_MARK,
-	SDA6_A_MARK,
-	AVB_AVTP_MATCH_B_MARK,
-	PWM1_B_MARK,
-
-	/* IPSR3 */
-	A9_IMARK,
-	MSIOF2_SCK_A_MARK,
-	CTS4x_B_MARK,
-	VI5_VSYNCx_MARK,
-	A10_IMARK,
-	MSIOF2_RXD_A_MARK,
-	RTS4n_TANS_B_MARK,
-	VI5_HSYNCx_MARK,
-	A11_IMARK,
-	TX3_B_MARK,
-	MSIOF2_TXD_A_MARK,
-	HTX4_B_MARK,
-	HSCK4_MARK,
-	VI5_FIELD_MARK,
-	SCL6_A_MARK,
-	AVB_AVTP_CAPTURE_B_MARK,
-	PWM2_B_MARK,
-	A12_IMARK,
-	LCDOUT12_MARK,
-	MSIOF3_SCK_C_MARK,
-	HRX4_A_MARK,
-	VI5_DATA8_MARK,
-	DU_DG4_MARK,
-	A13_IMARK,
-	LCDOUT13_MARK,
-	MSIOF3_SYNC_C_MARK,
-	HTX4_A_MARK,
-	VI5_DATA9_MARK,
-	DU_DG5_MARK,
-	A14_IMARK,
-	LCDOUT14_MARK,
-	MSIOF3_RXD_C_MARK,
-	HCTS4x_MARK,
-	VI5_DATA10_MARK,
-	DU_DG6_MARK,
-	A15_IMARK,
-	LCDOUT15_MARK,
-	MSIOF3_TXD_C_MARK,
-	HRTS4x_MARK,
-	VI5_DATA11_MARK,
-	DU_DG7_MARK,
-	A16_IMARK,
-	LCDOUT8_MARK,
-	VI4_FIELD_MARK,
-	DU_DG0_MARK,
-
-	/* IPSR4 */
-	A17_IMARK,
-	LCDOUT9_MARK,
-	VI4_VSYNCx_MARK,
-	DU_DG1_MARK,
-	A18_IMARK,
-	LCDOUT10_MARK,
-	VI4_HSYNCx_MARK,
-	DU_DG2_MARK,
-	A19_IMARK,
-	LCDOUT11_MARK,
-	VI4_CLKENB_MARK,
-	DU_DG3_MARK,
-	CS0x_IMARK,
-	VI5_CLKENB_MARK,
-	CS1x_A26_IMARK,
-	VI5_CLK_MARK,
-	EX_WAIT0_B_MARK,
-	BSx_IMARK,
-	QSTVA_QVS_MARK,
-	MSIOF3_SCK_D_MARK,
-	SCK3_MARK,
-	HSCK3_MARK,
-	CAN1_TX_MARK,
-	CANFD1_TX_MARK,
-	IETX_A_MARK,
-	RDx_IMARK,
-	MSIOF3_SYNC_D_MARK,
-	RX3_A_MARK,
-	HRX3_A_MARK,
-	CAN0_TX_A_MARK,
-	CANFD0_TX_A_MARK,
-	RD_WRx_IMARK,
-	MSIOF3_RXD_D_MARK,
-	TX3_A_MARK,
-	HTX3_A_MARK,
-	CAN0_RX_A_MARK,
-	CANFD0_RX_A_MARK,
-
-	/* IPSR5 */
-	WE0x_IMARK,
-	MSIIOF3_TXD_D_MARK,
-	CTS3x_MARK,
-	HCTS3x_MARK,
-	SCL6_B_MARK,
-	CAN_CLK_MARK,
-	IECLK_A_MARK,
-	WE1x_IMARK,
-	MSIOF3_SS1_D_MARK,
-	RTS3x_TANS_MARK,
-	HRTS3x_MARK,
-	SDA6_B_MARK,
-	CAN1_RX_MARK,
-	CANFD1_RX_MARK,
-	IERX_A_MARK,
-	EX_WAIT0_A_IMARK,
-	QCLK_MARK,
-	VI4_CLK_MARK,
-	DU_DOTCLKOUT0_MARK,
-	D0_IMARK,
-	MSIOF2_SS1_B_MARK,
-	MSIOF3_SCK_A_MARK,
-	VI4_DATA16_MARK,
-	VI5_DATA0_MARK,
-	D1_IMARK,
-	MSIOF2_SS2_B_MARK,
-	MSIOF3_SYNC_A_MARK,
-	VI4_DATA17_MARK,
-	VI5_DATA1_MARK,
-	D2_IMARK,
-	MSIOF3_RXD_A_MARK,
-	VI4_DATA18_MARK,
-	VI5_DATA2_MARK,
-	D3_IMARK,
-	MSIOF3_TXD_A_MARK,
-	VI4_DATA19_MARK,
-	VI5_DATA3_MARK,
-	D4_IMARK,
-	MSIOF2_SCK_B_MARK,
-	VI4_DATA20_MARK,
-	VI5_DATA4_MARK,
-
-	/* IPSR6 */
-	D5_IMARK,
-	MSIOF2_SYNC_B_MARK,
-	VI4_DATA21_MARK,
-	VI5_DATA5_MARK,
-	D6_IMARK,
-	MSIOF2_RXD_B_MARK,
-	VI4_DATA22_MARK,
-	VI5_DATA6_MARK,
-	D7_IMARK,
-	MSIOF2_TXD_B_MARK,
-	VI4_DATA23_MARK,
-	VI5_DATA7_MARK,
-	D8_IMARK,
-	LCDOUT0_MARK,
-	MSIOF2_SCK_D_MARK,
-	SCK4_C_MARK,
-	VI4_DATA0_A_MARK,
-	DU_DR0_MARK,
-	D9_IMARK,
-	LCDOUT1_MARK,
-	MSIOF2_SYNC_D_MARK,
-	VI4_DATA1_A_MARK,
-	DU_DR1_MARK,
-	D10_IMARK,
-	LCDOUT2_MARK,
-	MSIOF2_RXD_D_MARK,
-	HRX3_B_MARK,
-	VI4_DATA2_A_MARK,
-	CTS4x_C_MARK,
-	DU_DR2_MARK,
-	D11_IMARK,
-	LCDOUT3_MARK,
-	MSIOF2_TXD_D_MARK,
-	HTX3_B_MARK,
-	VI4_DATA3_A_MARK,
-	RTS4x_TANS_C_MARK,
-	DU_DR3_MARK,
-	D12_IMARK,
-	LCDOUT4_MARK,
-	MSIOF2_SS1_D_MARK,
-	RX4_C_MARK,
-	VI4_DATA4_A_MARK,
-	DU_DR4_MARK,
-
-	/* IPSR7 */
-	D13_IMARK,
-	LCDOUT5_MARK,
-	MSIOF2_SS2_D_MARK,
-	TX4_C_MARK,
-	VI4_DATA5_A_MARK,
-	DU_DR5_MARK,
-	D14_IMARK,
-	LCDOUT6_MARK,
-	MSIOF3_SS1_A_MARK,
-	HRX3_C_MARK,
-	VI4_DATA6_A_MARK,
-	DU_DR6_MARK,
-	SCL6_C_MARK,
-	D15_IMARK,
-	LCDOUT7_MARK,
-	MSIOF3_SS2_A_MARK,
-	HTX3_C_MARK,
-	VI4_DATA7_A_MARK,
-	DU_DR7_MARK,
-	SDA6_C_MARK,
-	FSCLKST_MARK,
-	SD0_CLK_IMARK,
-	MSIOF1_SCK_E_MARK,
-	STP_OPWM_0_B_MARK,
-	SD0_CMD_IMARK,
-	MSIOF1_SYNC_E_MARK,
-	STP_IVCXO27_0_B_MARK,
-	SD0_DAT0_IMARK,
-	MSIOF1_RXD_E_MARK,
-	TS_SCK0_B_MARK,
-	STP_ISCLK_0_B_MARK,
-	SD0_DAT1_IMARK,
-	MSIOF1_TXD_E_MARK,
-	TS_SPSYNC0_B_MARK,
-	STP_ISSYNC_0_B_MARK,
-
-	/* IPSR8 */
-	SD0_DAT2_IMARK,
-	MSIOF1_SS1_E_MARK,
-	TS_SDAT0_B_MARK,
-	STP_ISD_0_B_MARK,
-	SD0_DAT3_IMARK,
-	MSIOF1_SS2_E_MARK,
-	TS_SDEN0_B_MARK,
-	STP_ISEN_0_B_MARK,
-	SD1_CLK_IMARK,
-	MSIOF1_SCK_G_MARK,
-	SIM0_CLK_A_MARK,
-	SD1_CMD_IMARK,
-	MSIOF1_SYNC_G_MARK,
-	NFCEx_B_MARK,
-	SIM0_D_A_MARK,
-	STP_IVCXO27_1_B_MARK,
-	SD1_DAT0_IMARK,
-	SD2_DAT4_MARK,
-	MSIOF1_RXD_G_MARK,
-	NFWPx_B_MARK,
-	TS_SCK1_B_MARK,
-	STP_ISCLK_1_B_MARK,
-	SD1_DAT1_IMARK,
-	SD2_DAT5_MARK,
-	MSIOF1_TXD_G_MARK,
-	NFDATA14_B_MARK,
-	TS_SPSYNC1_B_MARK,
-	STP_ISSYNC_1_B_MARK,
-	SD1_DAT2_IMARK,
-	SD2_DAT6_MARK,
-	MSIOF1_SS1_G_MARK,
-	NFDATA15_B_MARK,
-	TS_SDAT1_B_MARK,
-	STP_IOD_1_B_MARK,
-	SD1_DAT3_IMARK,
-	SD2_DAT7_MARK,
-	MSIOF1_SS2_G_MARK,
-	NFRBx_B_MARK,
-	TS_SDEN1_B_MARK,
-	STP_ISEN_1_B_MARK,
-
-	/* IPSR9 */
-	SD2_CLK_IMARK,
-	NFDATA8_MARK,
-	SD2_CMD_IMARK,
-	NFDATA9_MARK,
-	SD2_DAT0_IMARK,
-	NFDATA10_MARK,
-	SD2_DAT1_IMARK,
-	NFDATA11_MARK,
-	SD2_DAT2_IMARK,
-	NFDATA12_MARK,
-	SD2_DAT3_IMARK,
-	NFDATA13_MARK,
-	SD2_DS_IMARK,
-	NFALE_MARK,
-	SATA_DEVSLP_B_MARK,
-	SD3_CLK_IMARK,
-	NFWEx_MARK,
-
-	/* IPSR10 */
-	SD3_CMD_IMARK,
-	NFREx_MARK,
-	SD3_DAT0_IMARK,
-	NFDATA0_MARK,
-	SD3_DAT1_IMARK,
-	NFDATA1_MARK,
-	SD3_DAT2_IMARK,
-	NFDATA2_MARK,
-	SD3_DAT3_IMARK,
-	NFDATA3_MARK,
-	SD3_DAT4_IMARK,
-	SD2_CD_A_MARK,
-	NFDATA4_MARK,
-	SD3_DAT5_IMARK,
-	SD2_WP_A_MARK,
-	NFDATA5_MARK,
-	SD3_DAT6_IMARK,
-	SD3_CD_MARK,
-	NFDATA6_MARK,
-
-	/* IPSR11 */
-	SD3_DAT7_IMARK,
-	SD3_WP_MARK,
-	NFDATA7_MARK,
-	SD3_DS_IMARK,
-	NFCLE_MARK,
-	SD0_CD_IMARK,
-	NFDATA14_A_MARK,
-	SCL2_B_MARK,
-	SIM0_RST_A_MARK,
-	SD0_WP_IMARK,
-	NFDATA15_A_MARK,
-	SDA2_B_MARK,
-	SD1_CD_IMARK,
-	NFRBx_A_MARK,
-	SIM0_CLK_B_MARK,
-	SD1_WP_IMARK,
-	NFCEx_A_MARK,
-	SIM0_D_B_MARK,
-	SCK0_IMARK,
-	HSCK1_B_MARK,
-	MSIOF1_SS2_B_MARK,
-	AUDIO_CLKC_B_MARK,
-	SDA2_A_MARK,
-	SIM0_RST_B_MARK,
-	STP_OPWM_0_C_MARK,
-	RIF0_CLK_B_MARK,
-	ADICHS2_MARK,
-	SCK5_B_MARK,
-	RX0_IMARK,
-	HRX1_B_MARK,
-	TS_SCK0_C_MARK,
-	STP_ISCLK_0_C_MARK,
-	RIF0_D0_B_MARK,
-
-	/* IPSR12 */
-	TX0_IMARK,
-	HTX1_B_MARK,
-	TS_SPSYNC0_C_MARK,
-	STP_ISSYNC_0_C_MARK,
-	RIF0_D1_B_MARK,
-	CTS0x_IMARK,
-	HCTS1x_B_MARK,
-	MSIOF1_SYNC_B_MARK,
-	TS_SPSYNC1_C_MARK,
-	STP_ISSYNC_1_C_MARK,
-	RIF1_SYNC_B_MARK,
-	AUDIO_CLKOUT_C_MARK,
-	ADICS_SAMP_MARK,
-	RTS0x_TANS_IMARK,
-	HRTS1x_B_MARK,
-	MSIOF1_SS1_B_MARK,
-	AUDIO_CLKA_B_MARK,
-	SCL2_A_MARK,
-	STP_IVCXO27_1_C_MARK,
-	RIF0_SYNC_B_MARK,
-	ADICHS1_MARK,
-	RX1_A_IMARK,
-	HRX1_A_MARK,
-	TS_SDAT0_C_MARK,
-	STP_ISD_0_C_MARK,
-	RIF1_CLK_C_MARK,
-	TX1_A_IMARK,
-	HTX1_A_MARK,
-	TS_SDEN0_C_MARK,
-	STP_ISEN_0_C_MARK,
-	RIF1_D0_C_MARK,
-	CTS1x_IMARK,
-	HCTS1x_A_MARK,
-	MSIOF1_RXD_B_MARK,
-	TS_SDEN1_C_MARK,
-	STP_ISEN_1_C_MARK,
-	RIF1_D0_B_MARK,
-	ADIDATA_MARK,
-	RTS1x_TANS_IMARK,
-	HRTS1x_A_MARK,
-	MSIOF1_TXD_B_MARK,
-	TS_SDAT1_C_MARK,
-	STP_ISD_1_C_MARK,
-	RIF1_D1_B_MARK,
-	ADICHS0_MARK,
-	SCK2_IMARK,
-	SCIF_CLK_B_MARK,
-	MSIOF1_SCK_B_MARK,
-	TS_SCK1_C_MARK,
-	STP_ISCLK_1_C_MARK,
-	RIF1_CLK_B_MARK,
-	ADICLK_MARK,
-
-	/* IPSR13 */
-	TX2_A_IMARK,
-	SD2_CD_B_MARK,
-	SCL1_A_MARK,
-	FMCLK_A_MARK,
-	RIF1_D1_C_MARK,
-	FSO_CFE_0x_MARK,
-	RX2_A_IMARK,
-	SD2_WP_B_MARK,
-	SDA1_A_MARK,
-	FMIN_A_MARK,
-	RIF1_SYNC_C_MARK,
-	FSO_CFE_1x_MARK,
-	HSCK0_IMARK,
-	MSIOF1_SCK_D_MARK,
-	AUDIO_CLKB_A_MARK,
-	SSI_SDATA1_B_MARK,
-	TS_SCK0_D_MARK,
-	STP_ISCLK_0_D_MARK,
-	RIF0_CLK_C_MARK,
-	RX5_B_MARK,
-	HRX0_IMARK,
-	MSIOF1_RXD_D_MARK,
-	SSI_SDATA2_B_MARK,
-	TS_SDEN0_D_MARK,
-	STP_ISEN_0_D_MARK,
-	RIF0_D0_C_MARK,
-	HTX0_IMARK,
-	MSIOF1_TXD_D_MARK,
-	SSI_SDATA9_B_MARK,
-	TS_SDAT0_D_MARK,
-	STP_ISD_0_D_MARK,
-	RIF0_D1_C_MARK,
-	HCTS0x_IMARK,
-	RX2_B_MARK,
-	MSIOF1_SYNC_D_MARK,
-	SSI_SCK9_A_MARK,
-	TS_SPSYNC0_D_MARK,
-	STP_ISSYNC_0_D_MARK,
-	RIF0_SYNC_C_MARK,
-	AUDIO_CLKOUT1_A_MARK,
-	HRTS0x_IMARK,
-	TX2_B_MARK,
-	MSIOF1_SS1_D_MARK,
-	SSI_WS9_A_MARK,
-	STP_IVCXO27_0_D_MARK,
-	BPFCLK_A_MARK,
-	AUDIO_CLKOUT2_A_MARK,
-	MSIOF0_SYNC_IMARK,
-	AUDIO_CLKOUT_A_MARK,
-	TX5_B_MARK,
-	BPFCLK_D_MARK,
-
-	/* IPSR14 */
-	MSIOF0_SS1_IMARK,
-	RX5_A_MARK,
-	NFWPx_A_MARK,
-	AUDIO_CLKA_C_MARK,
-	SSI_SCK2_A_MARK,
-	STP_IVCXO27_0_C_MARK,
-	AUDIO_CLKOUT3_A_MARK,
-	TCLK1_B_MARK,
-	MSIOF0_SS2_IMARK,
-	TX5_A_MARK,
-	MSIOF1_SS2_D_MARK,
-	AUDIO_CLKC_A_MARK,
-	SSI_WS2_A_MARK,
-	STP_OPWM_0_D_MARK,
-	AUDIO_CLKOUT_D_MARK,
-	SPEEDIN_B_MARK,
-	MLB_CLK_IMARK,
-	MSIOF1_SCK_F_MARK,
-	SCL1_B_MARK,
-	MLB_SIG_IMARK,
-	RX1_B_MARK,
-	MSIOF1_SYNC_F_MARK,
-	SDA1_B_MARK,
-	MLB_DAT_IMARK,
-	TX1_B_MARK,
-	MSIOF1_RXD_F_MARK,
-	SSI_SCK01239_IMARK,
-	MSIOF1_TXD_F_MARK,
-	MOUT0_MARK,
-	SSI_WS01239_IMARK,
-	MSIOF1_SS1_F_MARK,
-	MOUT1_MARK,
-	SSI_SDATA0_IMARK,
-	MSIOF1_SS2_F_MARK,
-	MOUT2_MARK,
-
-	/* IPSR15 */
-	SSI_SDATA1_A_IMARK,
-	MOUT5_MARK,
-	SSI_SDATA2_A_IMARK,
-	SSI_SCK1_B_MARK,
-	MOUT6_MARK,
-	SSI_SCK34_IMARK,
-	MSIOF1_SS1_A_MARK,
-	STP_OPWM_0_A_MARK,
-	SSI_WS34_IMARK,
-	HCTS2x_A_MARK,
-	MSIOF1_SS2_A_MARK,
-	STP_IVCXO27_0_A_MARK,
-	SSI_SDATA3_IMARK,
-	HRTS2x_A_MARK,
-	MSIOF1_TXD_A_MARK,
-	TS_SCK0_A_MARK,
-	STP_ISCLK_0_A_MARK,
-	RIF0_D1_A_MARK,
-	RIF2_D0_A_MARK,
-	SSI_SCK4_IMARK,
-	HRX2_A_MARK,
-	MSIOF1_SCK_A_MARK,
-	TS_SDAT0_A_MARK,
-	STP_ISD_0_A_MARK,
-	RIF0_CLK_A_MARK,
-	RIF2_CLK_A_MARK,
-	SSI_WS4_IMARK,
-	HTX2_A_MARK,
-	MSIOF1_SYNC_A_MARK,
-	TS_SDEN0_A_MARK,
-	STP_ISEN_0_A_MARK,
-	RIF0_SYNC_A_MARK,
-	RIF2_SYNC_A_MARK,
-	SSI_SDATA4_IMARK,
-	HSCK2_A_MARK,
-	MSIOF1_RXD_A_MARK,
-	TS_SPSYNC0_A_MARK,
-	STP_ISSYNC_0_A_MARK,
-	RIF0_D0_A_MARK,
-	RIF2_D1_A_MARK,
-
-	/* IPSR16 */
-	SSI_SCK6_IMARK,
-	SIM0_RST_D_MARK,
-	SSI_WS6_IMARK,
-	SIM0_D_D_MARK,
-	SSI_SDATA6_IMARK,
-	SIM0_CLK_D_MARK,
-	SATA_DEVSLP_A_MARK,
-	SSI_SCK78_IMARK,
-	HRX2_B_MARK,
-	MSIOF1_SCK_C_MARK,
-	TS_SCK1_A_MARK,
-	STP_ISCLK_1_A_MARK,
-	RIF1_CLK_A_MARK,
-	RIF3_CLK_A_MARK,
-	SSI_WS78_IMARK,
-	HTX2_B_MARK,
-	MSIOF1_SYNC_C_MARK,
-	TS_SDAT1_A_MARK,
-	STP_ISD_1_A_MARK,
-	RIF1_SYNC_A_MARK,
-	RIF3_SYNC_A_MARK,
-	SSI_SDATA7_IMARK,
-	HCTS2x_B_MARK,
-	MSIOF1_RXD_C_MARK,
-	TS_SDEN1_A_MARK,
-	STP_ISEN_1_A_MARK,
-	RIF1_D0_A_MARK,
-	RIF3_D0_A_MARK,
-	TCLK2_A_MARK,
-	SSI_SDATA8_IMARK,
-	HRTS2x_B_MARK,
-	MSIOF1_TXD_C_MARK,
-	TS_SPSYNC1_A_MARK,
-	STP_ISSYNC_1_A_MARK,
-	RIF1_D1_A_MARK,
-	RIF3_D1_A_MARK,
-	SSI_SDATA9_A_IMARK,
-	HSCK2_B_MARK,
-	MSIOF1_SS1_C_MARK,
-	HSCK1_A_MARK,
-	SSI_WS1_B_MARK,
-	SCK1_MARK,
-	STP_IVCXO27_1_A_MARK,
-	SCK5_A_MARK,
-
-	/* IPSR17 */
-	AUDIO_CLKA_A_IMARK,
-	CC5_OSCOUT_MARK,
-	AUDIO_CLKB_B_IMARK,
-	SCIF_CLK_A_MARK,
-	STP_IVCXO27_1_D_MARK,
-	REMOCON_A_MARK,
-	TCLK1_A_MARK,
-	USB0_PWEN_IMARK,
-	SIM0_RST_C_MARK,
-	TS_SCK1_D_MARK,
-	STP_ISCLK_1_D_MARK,
-	BPFCLK_B_MARK,
-	RIF3_CLK_B_MARK,
-	HSCK2_C_MARK,
-	USB0_OVC_IMARK,
-	SIM0_D_C_MARK,
-	TS_SDAT1_D_MARK,
-	STP_ISD_1_D_MARK,
-	RIF3_SYNC_B_MARK,
-	HRX2_C_MARK,
-	USB1_PWEN_IMARK,
-	SIM0_CLK_C_MARK,
-	SSI_SCK1_A_MARK,
-	TS_SCK0_E_MARK,
-	STP_ISCLK_0_E_MARK,
-	FMCLK_B_MARK,
-	RIF2_CLK_B_MARK,
-	SPEEDIN_A_MARK,
-	HTX2_C_MARK,
-	USB1_OVC_IMARK,
-	MSIOF1_SS2_C_MARK,
-	SSI_WS1_A_MARK,
-	TS_SDAT0_E_MARK,
-	STP_ISD_0_E_MARK,
-	FMIN_B_MARK,
-	RIF2_SYNC_B_MARK,
-	REMOCON_B_MARK,
-	HCTS2x_C_MARK,
-	USB30_PWEN_IMARK,
-	AUDIO_CLKOUT_B_MARK,
-	SSI_SCK2_B_MARK,
-	TS_SDEN1_D_MARK,
-	STP_ISEN_1_D_MARK,
-	STP_OPWM_0_E_MARK,
-	RIF3_D0_B_MARK,
-	TCLK2_B_MARK,
-	TPU0TO0_MARK,
-	BPFCLK_C_MARK,
-	HRTS2x_C_MARK,
-	USB30_OVC_IMARK,
-	AUDIO_CLKOUT1_B_MARK,
-	SSI_WS2_B_MARK,
-	TS_SPSYNC1_D_MARK,
-	STP_ISSYNC_1_D_MARK,
-	STP_IVCXO27_0_E_MARK,
-	RIF3_D1_B_MARK,
-	FSO_TOEx_MARK,
-	TPU0TO1_MARK,
-
-	/* IPSR18 */
-	USB3_PWEN_IMARK,
-	AUDIO_CLKOUT2_B_MARK,
-	SSI_SCK9_B_MARK,
-	TS_SDEN0_E_MARK,
-	STP_ISEN_0_E_MARK,
-	RIF2_D0_B_MARK,
-	TPU0TO2_MARK,
-	FMCLK_C_MARK,
-	FMCLK_D_MARK,
-
-	USB3_OVC_IMARK,
-	AUDIO_CLKOUT3_B_MARK,
-	SSI_WS9_B_MARK,
-	TS_SPSYNC0_E_MARK,
-	STP_ISSYNC_0_E_MARK,
-	RIF2_D1_B_MARK,
-	TPU0TO3_MARK,
-	FMIN_C_MARK,
-	FMIN_D_MARK,
-
-	PINMUX_MARK_END,
-};
-
-static pinmux_enum_t pinmux_data[] = {
-	PINMUX_DATA_GP_ALL(), /* PINMUX_DATA(GP_M_N_DATA, GP_M_N_FN...), */
-
-	/* GPSR0 */
-	PINMUX_DATA(D15_GMARK, GFN_D15),
-	PINMUX_DATA(D14_GMARK, GFN_D14),
-	PINMUX_DATA(D13_GMARK, GFN_D13),
-	PINMUX_DATA(D12_GMARK, GFN_D12),
-	PINMUX_DATA(D11_GMARK, GFN_D11),
-	PINMUX_DATA(D10_GMARK, GFN_D10),
-	PINMUX_DATA(D9_GMARK, GFN_D9),
-	PINMUX_DATA(D8_GMARK, GFN_D8),
-	PINMUX_DATA(D7_GMARK, GFN_D7),
-	PINMUX_DATA(D6_GMARK, GFN_D6),
-	PINMUX_DATA(D5_GMARK, GFN_D5),
-	PINMUX_DATA(D4_GMARK, GFN_D4),
-	PINMUX_DATA(D3_GMARK, GFN_D3),
-	PINMUX_DATA(D2_GMARK, GFN_D2),
-	PINMUX_DATA(D1_GMARK, GFN_D1),
-	PINMUX_DATA(D0_GMARK, GFN_D0),
-
-	/* GPSR1 */
-	PINMUX_DATA(CLKOUT_GMARK, GFN_CLKOUT),
-	PINMUX_DATA(EX_WAIT0_A_GMARK, GFN_EX_WAIT0_A),
-	PINMUX_DATA(WE1x_GMARK, GFN_WE1x),
-	PINMUX_DATA(WE0x_GMARK, GFN_WE0x),
-	PINMUX_DATA(RD_WRx_GMARK, GFN_RD_WRx),
-	PINMUX_DATA(RDx_GMARK, GFN_RDx),
-	PINMUX_DATA(BSx_GMARK, GFN_BSx),
-	PINMUX_DATA(CS1x_A26_GMARK, GFN_CS1x_A26),
-	PINMUX_DATA(CS0x_GMARK, GFN_CS0x),
-	PINMUX_DATA(A19_GMARK, GFN_A19),
-	PINMUX_DATA(A18_GMARK, GFN_A18),
-	PINMUX_DATA(A17_GMARK, GFN_A17),
-	PINMUX_DATA(A16_GMARK, GFN_A16),
-	PINMUX_DATA(A15_GMARK, GFN_A15),
-	PINMUX_DATA(A14_GMARK, GFN_A14),
-	PINMUX_DATA(A13_GMARK, GFN_A13),
-	PINMUX_DATA(A12_GMARK, GFN_A12),
-	PINMUX_DATA(A11_GMARK, GFN_A11),
-	PINMUX_DATA(A10_GMARK, GFN_A10),
-	PINMUX_DATA(A9_GMARK, GFN_A9),
-	PINMUX_DATA(A8_GMARK, GFN_A8),
-	PINMUX_DATA(A7_GMARK, GFN_A7),
-	PINMUX_DATA(A6_GMARK, GFN_A6),
-	PINMUX_DATA(A5_GMARK, GFN_A5),
-	PINMUX_DATA(A4_GMARK, GFN_A4),
-	PINMUX_DATA(A3_GMARK, GFN_A3),
-	PINMUX_DATA(A2_GMARK, GFN_A2),
-	PINMUX_DATA(A1_GMARK, GFN_A1),
-	PINMUX_DATA(A0_GMARK, GFN_A0),
-
-	/* GPSR2 */
-	PINMUX_DATA(AVB_AVTP_CAPTURE_A_GMARK, GFN_AVB_AVTP_CAPTURE_A),
-	PINMUX_DATA(AVB_AVTP_MATCH_A_GMARK, GFN_AVB_AVTP_MATCH_A),
-	PINMUX_DATA(AVB_LINK_GMARK, GFN_AVB_LINK),
-	PINMUX_DATA(AVB_PHY_INT_GMARK, GFN_AVB_PHY_INT),
-	PINMUX_DATA(AVB_MAGIC_GMARK, GFN_AVB_MAGIC),
-	PINMUX_DATA(AVB_MDC_GMARK, GFN_AVB_MDC),
-	PINMUX_DATA(PWM2_A_GMARK, GFN_PWM2_A),
-	PINMUX_DATA(PWM1_A_GMARK, GFN_PWM1_A),
-	PINMUX_DATA(PWM0_GMARK, GFN_PWM0),
-	PINMUX_DATA(IRQ5_GMARK, GFN_IRQ5),
-	PINMUX_DATA(IRQ4_GMARK, GFN_IRQ4),
-	PINMUX_DATA(IRQ3_GMARK, GFN_IRQ3),
-	PINMUX_DATA(IRQ2_GMARK, GFN_IRQ2),
-	PINMUX_DATA(IRQ1_GMARK, GFN_IRQ1),
-	PINMUX_DATA(IRQ0_GMARK, GFN_IRQ0),
-
-	/* GPSR3 */
-	PINMUX_DATA(SD1_WP_GMARK, GFN_SD1_WP),
-	PINMUX_DATA(SD1_CD_GMARK, GFN_SD1_CD),
-	PINMUX_DATA(SD0_WP_GMARK, GFN_SD0_WP),
-	PINMUX_DATA(SD0_CD_GMARK, GFN_SD0_CD),
-	PINMUX_DATA(SD1_DAT3_GMARK, GFN_SD1_DAT3),
-	PINMUX_DATA(SD1_DAT2_GMARK, GFN_SD1_DAT2),
-	PINMUX_DATA(SD1_DAT1_GMARK, GFN_SD1_DAT1),
-	PINMUX_DATA(SD1_DAT0_GMARK, GFN_SD1_DAT0),
-	PINMUX_DATA(SD1_CMD_GMARK, GFN_SD1_CMD),
-	PINMUX_DATA(SD1_CLK_GMARK, GFN_SD1_CLK),
-	PINMUX_DATA(SD0_DAT3_GMARK, GFN_SD0_DAT3),
-	PINMUX_DATA(SD0_DAT2_GMARK, GFN_SD0_DAT2),
-	PINMUX_DATA(SD0_DAT1_GMARK, GFN_SD0_DAT1),
-	PINMUX_DATA(SD0_DAT0_GMARK, GFN_SD0_DAT0),
-	PINMUX_DATA(SD0_CMD_GMARK, GFN_SD0_CMD),
-	PINMUX_DATA(SD0_CLK_GMARK, GFN_SD0_CLK),
-
-	/* GPSR4 */
-	PINMUX_DATA(SD3_DS_GMARK, GFN_SD3_DS),
-	PINMUX_DATA(SD3_DAT7_GMARK, GFN_SD3_DAT7),
-	PINMUX_DATA(SD3_DAT6_GMARK, GFN_SD3_DAT6),
-	PINMUX_DATA(SD3_DAT5_GMARK, GFN_SD3_DAT5),
-	PINMUX_DATA(SD3_DAT4_GMARK, GFN_SD3_DAT4),
-	PINMUX_DATA(SD3_DAT3_GMARK, GFN_SD3_DAT3),
-	PINMUX_DATA(SD3_DAT2_GMARK, GFN_SD3_DAT2),
-	PINMUX_DATA(SD3_DAT1_GMARK, GFN_SD3_DAT1),
-	PINMUX_DATA(SD3_DAT0_GMARK, GFN_SD3_DAT0),
-	PINMUX_DATA(SD3_CMD_GMARK, GFN_SD3_CMD),
-	PINMUX_DATA(SD3_CLK_GMARK, GFN_SD3_CLK),
-	PINMUX_DATA(SD2_DS_GMARK, GFN_SD2_DS),
-	PINMUX_DATA(SD2_DAT3_GMARK, GFN_SD2_DAT3),
-	PINMUX_DATA(SD2_DAT2_GMARK, GFN_SD2_DAT2),
-	PINMUX_DATA(SD2_DAT1_GMARK, GFN_SD2_DAT1),
-	PINMUX_DATA(SD2_DAT0_GMARK, GFN_SD2_DAT0),
-	PINMUX_DATA(SD2_CMD_GMARK, GFN_SD2_CMD),
-	PINMUX_DATA(SD2_CLK_GMARK, GFN_SD2_CLK),
-
-	/* GPSR5 */
-	PINMUX_DATA(MLB_DAT_GMARK, GFN_MLB_DAT),
-	PINMUX_DATA(MLB_SIG_GMARK, GFN_MLB_SIG),
-	PINMUX_DATA(MLB_CLK_GMARK, GFN_MLB_CLK),
-	PINMUX_DATA(MSIOF0_RXD_MARK, FN_MSIOF0_RXD),
-	PINMUX_DATA(MSIOF0_SS2_GMARK, GFN_MSIOF0_SS2),
-	PINMUX_DATA(MSIOF0_TXD_MARK, FN_MSIOF0_TXD),
-	PINMUX_DATA(MSIOF0_SS1_GMARK, GFN_MSIOF0_SS1),
-	PINMUX_DATA(MSIOF0_SYNC_GMARK, GFN_MSIOF0_SYNC),
-	PINMUX_DATA(MSIOF0_SCK_MARK, FN_MSIOF0_SCK),
-	PINMUX_DATA(HRTS0x_GMARK, GFN_HRTS0x),
-	PINMUX_DATA(HCTS0x_GMARK, GFN_HCTS0x),
-	PINMUX_DATA(HTX0_GMARK, GFN_HTX0),
-	PINMUX_DATA(HRX0_GMARK, GFN_HRX0),
-	PINMUX_DATA(HSCK0_GMARK, GFN_HSCK0),
-	PINMUX_DATA(RX2_A_GMARK, GFN_RX2_A),
-	PINMUX_DATA(TX2_A_GMARK, GFN_TX2_A),
-	PINMUX_DATA(SCK2_GMARK, GFN_SCK2),
-	PINMUX_DATA(RTS1x_TANS_GMARK, GFN_RTS1x_TANS),
-	PINMUX_DATA(CTS1x_GMARK, GFN_CTS1x),
-	PINMUX_DATA(TX1_A_GMARK, GFN_TX1_A),
-	PINMUX_DATA(RX1_A_GMARK, GFN_RX1_A),
-	PINMUX_DATA(RTS0x_TANS_GMARK, GFN_RTS0x_TANS),
-	PINMUX_DATA(CTS0x_GMARK, GFN_CTS0x),
-	PINMUX_DATA(TX0_GMARK, GFN_TX0),
-	PINMUX_DATA(RX0_GMARK, GFN_RX0),
-	PINMUX_DATA(SCK0_GMARK, GFN_SCK0),
-
-	/* GPSR6 */
-	PINMUX_DATA(USB3_OVC_GMARK, GFN_USB3_OVC),
-	PINMUX_DATA(USB3_PWEN_GMARK, GFN_USB3_PWEN),
-	PINMUX_DATA(USB30_OVC_GMARK, GFN_USB30_OVC),
-	PINMUX_DATA(USB30_PWEN_GMARK, GFN_USB30_PWEN),
-	PINMUX_DATA(USB1_OVC_GMARK, GFN_USB1_OVC),
-	PINMUX_DATA(USB1_PWEN_GMARK, GFN_USB1_PWEN),
-	PINMUX_DATA(USB0_OVC_GMARK, GFN_USB0_OVC),
-	PINMUX_DATA(USB0_PWEN_GMARK, GFN_USB0_PWEN),
-	PINMUX_DATA(AUDIO_CLKB_B_GMARK, GFN_AUDIO_CLKB_B),
-	PINMUX_DATA(AUDIO_CLKA_A_GMARK, GFN_AUDIO_CLKA_A),
-	PINMUX_DATA(SSI_SDATA9_A_GMARK, GFN_SSI_SDATA9_A),
-	PINMUX_DATA(SSI_SDATA8_GMARK, GFN_SSI_SDATA8),
-	PINMUX_DATA(SSI_SDATA7_GMARK, GFN_SSI_SDATA7),
-	PINMUX_DATA(SSI_WS78_GMARK, GFN_SSI_WS78),
-	PINMUX_DATA(SSI_SCK78_GMARK, GFN_SSI_SCK78),
-	PINMUX_DATA(SSI_SDATA6_GMARK, GFN_SSI_SDATA6),
-	PINMUX_DATA(SSI_WS6_GMARK, GFN_SSI_WS6),
-	PINMUX_DATA(SSI_SCK6_GMARK, GFN_SSI_SCK6),
-	PINMUX_DATA(SSI_SDATA5_MARK, FN_SSI_SDATA5),
-	PINMUX_DATA(SSI_WS5_MARK, FN_SSI_WS5),
-	PINMUX_DATA(SSI_SCK5_MARK, FN_SSI_SCK5),
-	PINMUX_DATA(SSI_SDATA4_GMARK, GFN_SSI_SDATA4),
-	PINMUX_DATA(SSI_WS4_GMARK, GFN_SSI_WS4),
-	PINMUX_DATA(SSI_SCK4_GMARK, GFN_SSI_SCK4),
-	PINMUX_DATA(SSI_SDATA3_GMARK, GFN_SSI_SDATA3),
-	PINMUX_DATA(SSI_WS34_GMARK, GFN_SSI_WS34),
-	PINMUX_DATA(SSI_SCK34_GMARK, GFN_SSI_SCK34),
-	PINMUX_DATA(SSI_SDATA2_A_GMARK, GFN_SSI_SDATA2_A),
-	PINMUX_DATA(SSI_SDATA1_A_GMARK, GFN_SSI_SDATA1_A),
-	PINMUX_DATA(SSI_SDATA0_GMARK, GFN_SSI_SDATA0),
-	PINMUX_DATA(SSI_WS01239_GMARK, GFN_SSI_WS01239),
-	PINMUX_DATA(SSI_SCK01239_GMARK, GFN_SSI_SCK01239),
-
-	/* GPSR7 */
-	PINMUX_DATA(HDMI1_CEC_MARK, FN_HDMI1_CEC),
-	PINMUX_DATA(HDMI0_CEC_MARK, FN_HDMI0_CEC),
-	PINMUX_DATA(AVS2_MARK, FN_AVS2),
-	PINMUX_DATA(AVS1_MARK, FN_AVS1),
-};
-
-static struct pinmux_gpio pinmux_gpios[] = {
-	PINMUX_GPIO_GP_ALL(),
-	/* GPSR0 */
-	GPIO_GFN(D15),
-	GPIO_GFN(D14),
-	GPIO_GFN(D13),
-	GPIO_GFN(D12),
-	GPIO_GFN(D11),
-	GPIO_GFN(D10),
-	GPIO_GFN(D9),
-	GPIO_GFN(D8),
-	GPIO_GFN(D7),
-	GPIO_GFN(D6),
-	GPIO_GFN(D5),
-	GPIO_GFN(D4),
-	GPIO_GFN(D3),
-	GPIO_GFN(D2),
-	GPIO_GFN(D1),
-	GPIO_GFN(D0),
-	/* GPSR1 */
-	GPIO_GFN(CLKOUT),
-	GPIO_GFN(EX_WAIT0_A),
-	GPIO_GFN(WE1x),
-	GPIO_GFN(WE0x),
-	GPIO_GFN(RD_WRx),
-	GPIO_GFN(RDx),
-	GPIO_GFN(BSx),
-	GPIO_GFN(CS1x_A26),
-	GPIO_GFN(CS0x),
-	GPIO_GFN(A19),
-	GPIO_GFN(A18),
-	GPIO_GFN(A17),
-	GPIO_GFN(A16),
-	GPIO_GFN(A15),
-	GPIO_GFN(A14),
-	GPIO_GFN(A13),
-	GPIO_GFN(A12),
-	GPIO_GFN(A11),
-	GPIO_GFN(A10),
-	GPIO_GFN(A9),
-	GPIO_GFN(A8),
-	GPIO_GFN(A7),
-	GPIO_GFN(A6),
-	GPIO_GFN(A5),
-	GPIO_GFN(A4),
-	GPIO_GFN(A3),
-	GPIO_GFN(A2),
-	GPIO_GFN(A1),
-	GPIO_GFN(A0),
-
-	/* GPSR2 */
-	GPIO_GFN(AVB_AVTP_CAPTURE_A),
-	GPIO_GFN(AVB_AVTP_MATCH_A),
-	GPIO_GFN(AVB_LINK),
-	GPIO_GFN(AVB_PHY_INT),
-	GPIO_GFN(AVB_MAGIC),
-	GPIO_GFN(AVB_MDC),
-	GPIO_GFN(PWM2_A),
-	GPIO_GFN(PWM1_A),
-	GPIO_GFN(PWM0),
-	GPIO_GFN(IRQ5),
-	GPIO_GFN(IRQ4),
-	GPIO_GFN(IRQ3),
-	GPIO_GFN(IRQ2),
-	GPIO_GFN(IRQ1),
-	GPIO_GFN(IRQ0),
-
-	/* GPSR3 */
-	GPIO_GFN(SD1_WP),
-	GPIO_GFN(SD1_CD),
-	GPIO_GFN(SD0_WP),
-	GPIO_GFN(SD0_CD),
-	GPIO_GFN(SD1_DAT3),
-	GPIO_GFN(SD1_DAT2),
-	GPIO_GFN(SD1_DAT1),
-	GPIO_GFN(SD1_DAT0),
-	GPIO_GFN(SD1_CMD),
-	GPIO_GFN(SD1_CLK),
-	GPIO_GFN(SD0_DAT3),
-	GPIO_GFN(SD0_DAT2),
-	GPIO_GFN(SD0_DAT1),
-	GPIO_GFN(SD0_DAT0),
-	GPIO_GFN(SD0_CMD),
-	GPIO_GFN(SD0_CLK),
-
-	/* GPSR4 */
-	GPIO_GFN(SD3_DS),
-	GPIO_GFN(SD3_DAT7),
-	GPIO_GFN(SD3_DAT6),
-	GPIO_GFN(SD3_DAT5),
-	GPIO_GFN(SD3_DAT4),
-	GPIO_GFN(SD3_DAT3),
-	GPIO_GFN(SD3_DAT2),
-	GPIO_GFN(SD3_DAT1),
-	GPIO_GFN(SD3_DAT0),
-	GPIO_GFN(SD3_CMD),
-	GPIO_GFN(SD3_CLK),
-	GPIO_GFN(SD2_DS),
-	GPIO_GFN(SD2_DAT3),
-	GPIO_GFN(SD2_DAT2),
-	GPIO_GFN(SD2_DAT1),
-	GPIO_GFN(SD2_DAT0),
-	GPIO_GFN(SD2_CMD),
-	GPIO_GFN(SD2_CLK),
-
-	/* GPSR5 */
-	GPIO_GFN(MLB_DAT),
-	GPIO_GFN(MLB_SIG),
-	GPIO_GFN(MLB_CLK),
-	GPIO_FN(MSIOF0_RXD),
-	GPIO_GFN(MSIOF0_SS2),
-	GPIO_FN(MSIOF0_TXD),
-	GPIO_GFN(MSIOF0_SS1),
-	GPIO_GFN(MSIOF0_SYNC),
-	GPIO_FN(MSIOF0_SCK),
-	GPIO_GFN(HRTS0x),
-	GPIO_GFN(HCTS0x),
-	GPIO_GFN(HTX0),
-	GPIO_GFN(HRX0),
-	GPIO_GFN(HSCK0),
-	GPIO_GFN(RX2_A),
-	GPIO_GFN(TX2_A),
-	GPIO_GFN(SCK2),
-	GPIO_GFN(RTS1x_TANS),
-	GPIO_GFN(CTS1x),
-	GPIO_GFN(TX1_A),
-	GPIO_GFN(RX1_A),
-	GPIO_GFN(RTS0x_TANS),
-	GPIO_GFN(CTS0x),
-	GPIO_GFN(TX0),
-	GPIO_GFN(RX0),
-	GPIO_GFN(SCK0),
-
-	/* GPSR6 */
-	GPIO_GFN(USB3_OVC),
-	GPIO_GFN(USB3_PWEN),
-	GPIO_GFN(USB30_OVC),
-	GPIO_GFN(USB30_PWEN),
-	GPIO_GFN(USB1_OVC),
-	GPIO_GFN(USB1_PWEN),
-	GPIO_GFN(USB0_OVC),
-	GPIO_GFN(USB0_PWEN),
-	GPIO_GFN(AUDIO_CLKB_B),
-	GPIO_GFN(AUDIO_CLKA_A),
-	GPIO_GFN(SSI_SDATA9_A),
-	GPIO_GFN(SSI_SDATA8),
-	GPIO_GFN(SSI_SDATA7),
-	GPIO_GFN(SSI_WS78),
-	GPIO_GFN(SSI_SCK78),
-	GPIO_GFN(SSI_SDATA6),
-	GPIO_GFN(SSI_WS6),
-	GPIO_GFN(SSI_SCK6),
-	GPIO_FN(SSI_SDATA5),
-	GPIO_FN(SSI_WS5),
-	GPIO_FN(SSI_SCK5),
-	GPIO_GFN(SSI_SDATA4),
-	GPIO_GFN(SSI_WS4),
-	GPIO_GFN(SSI_SCK4),
-	GPIO_GFN(SSI_SDATA3),
-	GPIO_GFN(SSI_WS34),
-	GPIO_GFN(SSI_SCK34),
-	GPIO_GFN(SSI_SDATA2_A),
-	GPIO_GFN(SSI_SDATA1_A),
-	GPIO_GFN(SSI_SDATA0),
-	GPIO_GFN(SSI_WS01239),
-	GPIO_GFN(SSI_SCK01239),
-
-	/* GPSR7 */
-	GPIO_FN(HDMI1_CEC),
-	GPIO_FN(HDMI0_CEC),
-	GPIO_FN(AVS2),
-	GPIO_FN(AVS1),
-
-	/* IPSR0 */
-	GPIO_IFN(AVB_MDC),
-	GPIO_FN(MSIOF2_SS2_C),
-	GPIO_IFN(AVB_MAGIC),
-	GPIO_FN(MSIOF2_SS1_C),
-	GPIO_FN(SCK4_A),
-	GPIO_IFN(AVB_PHY_INT),
-	GPIO_FN(MSIOF2_SYNC_C),
-	GPIO_FN(RX4_A),
-	GPIO_IFN(AVB_LINK),
-	GPIO_FN(MSIOF2_SCK_C),
-	GPIO_FN(TX4_A),
-	GPIO_IFN(AVB_AVTP_MATCH_A),
-	GPIO_FN(MSIOF2_RXD_C),
-	GPIO_FN(CTS4x_A),
-	GPIO_FN(FSCLKST2x_A),
-	GPIO_IFN(AVB_AVTP_CAPTURE_A),
-	GPIO_FN(MSIOF2_TXD_C),
-	GPIO_FN(RTS4x_TANS_A),
-	GPIO_IFN(IRQ0),
-	GPIO_FN(QPOLB),
-	GPIO_FN(DU_CDE),
-	GPIO_FN(VI4_DATA0_B),
-	GPIO_FN(CAN0_TX_B),
-	GPIO_FN(CANFD0_TX_B),
-	GPIO_FN(MSIOF3_SS2_E),
-	GPIO_IFN(IRQ1),
-	GPIO_FN(QPOLA),
-	GPIO_FN(DU_DISP),
-	GPIO_FN(VI4_DATA1_B),
-	GPIO_FN(CAN0_RX_B),
-	GPIO_FN(CANFD0_RX_B),
-	GPIO_FN(MSIOF3_SS1_E),
-
-	/* IPSR1 */
-	GPIO_IFN(IRQ2),
-	GPIO_FN(QCPV_QDE),
-	GPIO_FN(DU_EXODDF_DU_ODDF_DISP_CDE),
-	GPIO_FN(VI4_DATA2_B),
-	GPIO_FN(MSIOF3_SYNC_E),
-	GPIO_FN(PWM3_B),
-	GPIO_IFN(IRQ3),
-	GPIO_FN(QSTVB_QVE),
-	GPIO_FN(DU_DOTCLKOUT1),
-	GPIO_FN(VI4_DATA3_B),
-	GPIO_FN(MSIOF3_SCK_E),
-	GPIO_FN(PWM4_B),
-	GPIO_IFN(IRQ4),
-	GPIO_FN(QSTH_QHS),
-	GPIO_FN(DU_EXHSYNC_DU_HSYNC),
-	GPIO_FN(VI4_DATA4_B),
-	GPIO_FN(MSIOF3_RXD_E),
-	GPIO_FN(PWM5_B),
-	GPIO_IFN(IRQ5),
-	GPIO_FN(QSTB_QHE),
-	GPIO_FN(DU_EXVSYNC_DU_VSYNC),
-	GPIO_FN(VI4_DATA5_B),
-	GPIO_FN(FSCLKST2x_B),
-	GPIO_FN(MSIOF3_TXD_E),
-	GPIO_FN(PWM6_B),
-	GPIO_IFN(PWM0),
-	GPIO_FN(AVB_AVTP_PPS),
-	GPIO_FN(VI4_DATA6_B),
-	GPIO_FN(IECLK_B),
-	GPIO_IFN(PWM1_A),
-	GPIO_FN(HRX3_D),
-	GPIO_FN(VI4_DATA7_B),
-	GPIO_FN(IERX_B),
-	GPIO_IFN(PWM2_A),
-	GPIO_FN(HTX3_D),
-	GPIO_FN(IETX_B),
-	GPIO_IFN(A0),
-	GPIO_FN(LCDOUT16),
-	GPIO_FN(MSIOF3_SYNC_B),
-	GPIO_FN(VI4_DATA8),
-	GPIO_FN(DU_DB0),
-	GPIO_FN(PWM3_A),
-
-	/* IPSR2 */
-	GPIO_IFN(A1),
-	GPIO_FN(LCDOUT17),
-	GPIO_FN(MSIOF3_TXD_B),
-	GPIO_FN(VI4_DATA9),
-	GPIO_FN(DU_DB1),
-	GPIO_FN(PWM4_A),
-	GPIO_IFN(A2),
-	GPIO_FN(LCDOUT18),
-	GPIO_FN(MSIOF3_SCK_B),
-	GPIO_FN(VI4_DATA10),
-	GPIO_FN(DU_DB2),
-	GPIO_FN(PWM5_A),
-	GPIO_IFN(A3),
-	GPIO_FN(LCDOUT19),
-	GPIO_FN(MSIOF3_RXD_B),
-	GPIO_FN(VI4_DATA11),
-	GPIO_FN(DU_DB3),
-	GPIO_FN(PWM6_A),
-	GPIO_IFN(A4),
-	GPIO_FN(LCDOUT20),
-	GPIO_FN(MSIOF3_SS1_B),
-	GPIO_FN(VI4_DATA12),
-	GPIO_FN(VI5_DATA12),
-	GPIO_FN(DU_DB4),
-	GPIO_IFN(A5),
-	GPIO_FN(LCDOUT21),
-	GPIO_FN(MSIOF3_SS2_B),
-	GPIO_FN(SCK4_B),
-	GPIO_FN(VI4_DATA13),
-	GPIO_FN(VI5_DATA13),
-	GPIO_FN(DU_DB5),
-	GPIO_IFN(A6),
-	GPIO_FN(LCDOUT22),
-	GPIO_FN(MSIOF2_SS1_A),
-	GPIO_FN(RX4_B),
-	GPIO_FN(VI4_DATA14),
-	GPIO_FN(VI5_DATA14),
-	GPIO_FN(DU_DB6),
-	GPIO_IFN(A7),
-	GPIO_FN(LCDOUT23),
-	GPIO_FN(MSIOF2_SS2_A),
-	GPIO_FN(TX4_B),
-	GPIO_FN(VI4_DATA15),
-	GPIO_FN(V15_DATA15),
-	GPIO_FN(DU_DB7),
-	GPIO_IFN(A8),
-	GPIO_FN(RX3_B),
-	GPIO_FN(MSIOF2_SYNC_A),
-	GPIO_FN(HRX4_B),
-	GPIO_FN(SDA6_A),
-	GPIO_FN(AVB_AVTP_MATCH_B),
-	GPIO_FN(PWM1_B),
-
-	/* IPSR3 */
-	GPIO_IFN(A9),
-	GPIO_FN(MSIOF2_SCK_A),
-	GPIO_FN(CTS4x_B),
-	GPIO_FN(VI5_VSYNCx),
-	GPIO_IFN(A10),
-	GPIO_FN(MSIOF2_RXD_A),
-	GPIO_FN(RTS4n_TANS_B),
-	GPIO_FN(VI5_HSYNCx),
-	GPIO_IFN(A11),
-	GPIO_FN(TX3_B),
-	GPIO_FN(MSIOF2_TXD_A),
-	GPIO_FN(HTX4_B),
-	GPIO_FN(HSCK4),
-	GPIO_FN(VI5_FIELD),
-	GPIO_FN(SCL6_A),
-	GPIO_FN(AVB_AVTP_CAPTURE_B),
-	GPIO_FN(PWM2_B),
-	GPIO_IFN(A12),
-	GPIO_FN(LCDOUT12),
-	GPIO_FN(MSIOF3_SCK_C),
-	GPIO_FN(HRX4_A),
-	GPIO_FN(VI5_DATA8),
-	GPIO_FN(DU_DG4),
-	GPIO_IFN(A13),
-	GPIO_FN(LCDOUT13),
-	GPIO_FN(MSIOF3_SYNC_C),
-	GPIO_FN(HTX4_A),
-	GPIO_FN(VI5_DATA9),
-	GPIO_FN(DU_DG5),
-	GPIO_IFN(A14),
-	GPIO_FN(LCDOUT14),
-	GPIO_FN(MSIOF3_RXD_C),
-	GPIO_FN(HCTS4x),
-	GPIO_FN(VI5_DATA10),
-	GPIO_FN(DU_DG6),
-	GPIO_IFN(A15),
-	GPIO_FN(LCDOUT15),
-	GPIO_FN(MSIOF3_TXD_C),
-	GPIO_FN(HRTS4x),
-	GPIO_FN(VI5_DATA11),
-	GPIO_FN(DU_DG7),
-	GPIO_IFN(A16),
-	GPIO_FN(LCDOUT8),
-	GPIO_FN(VI4_FIELD),
-	GPIO_FN(DU_DG0),
-
-	/* IPSR4 */
-	GPIO_IFN(A17),
-	GPIO_FN(LCDOUT9),
-	GPIO_FN(VI4_VSYNCx),
-	GPIO_FN(DU_DG1),
-	GPIO_IFN(A18),
-	GPIO_FN(LCDOUT10),
-	GPIO_FN(VI4_HSYNCx),
-	GPIO_FN(DU_DG2),
-	GPIO_IFN(A19),
-	GPIO_FN(LCDOUT11),
-	GPIO_FN(VI4_CLKENB),
-	GPIO_FN(DU_DG3),
-	GPIO_IFN(CS0x),
-	GPIO_FN(VI5_CLKENB),
-	GPIO_IFN(CS1x_A26),
-	GPIO_FN(VI5_CLK),
-	GPIO_FN(EX_WAIT0_B),
-	GPIO_IFN(BSx),
-	GPIO_FN(QSTVA_QVS),
-	GPIO_FN(MSIOF3_SCK_D),
-	GPIO_FN(SCK3),
-	GPIO_FN(HSCK3),
-	GPIO_FN(CAN1_TX),
-	GPIO_FN(CANFD1_TX),
-	GPIO_FN(IETX_A),
-	GPIO_IFN(RDx),
-	GPIO_FN(MSIOF3_SYNC_D),
-	GPIO_FN(RX3_A),
-	GPIO_FN(HRX3_A),
-	GPIO_FN(CAN0_TX_A),
-	GPIO_FN(CANFD0_TX_A),
-	GPIO_IFN(RD_WRx),
-	GPIO_FN(MSIOF3_RXD_D),
-	GPIO_FN(TX3_A),
-	GPIO_FN(HTX3_A),
-	GPIO_FN(CAN0_RX_A),
-	GPIO_FN(CANFD0_RX_A),
-
-	/* IPSR5 */
-	GPIO_IFN(WE0x),
-	GPIO_FN(MSIIOF3_TXD_D),
-	GPIO_FN(CTS3x),
-	GPIO_FN(HCTS3x),
-	GPIO_FN(SCL6_B),
-	GPIO_FN(CAN_CLK),
-	GPIO_FN(IECLK_A),
-	GPIO_IFN(WE1x),
-	GPIO_FN(MSIOF3_SS1_D),
-	GPIO_FN(RTS3x_TANS),
-	GPIO_FN(HRTS3x),
-	GPIO_FN(SDA6_B),
-	GPIO_FN(CAN1_RX),
-	GPIO_FN(CANFD1_RX),
-	GPIO_FN(IERX_A),
-	GPIO_IFN(EX_WAIT0_A),
-	GPIO_FN(QCLK),
-	GPIO_FN(VI4_CLK),
-	GPIO_FN(DU_DOTCLKOUT0),
-	GPIO_IFN(D0),
-	GPIO_FN(MSIOF2_SS1_B),
-	GPIO_FN(MSIOF3_SCK_A),
-	GPIO_FN(VI4_DATA16),
-	GPIO_FN(VI5_DATA0),
-	GPIO_IFN(D1),
-	GPIO_FN(MSIOF2_SS2_B),
-	GPIO_FN(MSIOF3_SYNC_A),
-	GPIO_FN(VI4_DATA17),
-	GPIO_FN(VI5_DATA1),
-	GPIO_IFN(D2),
-	GPIO_FN(MSIOF3_RXD_A),
-	GPIO_FN(VI4_DATA18),
-	GPIO_FN(VI5_DATA2),
-	GPIO_IFN(D3),
-	GPIO_FN(MSIOF3_TXD_A),
-	GPIO_FN(VI4_DATA19),
-	GPIO_FN(VI5_DATA3),
-	GPIO_IFN(D4),
-	GPIO_FN(MSIOF2_SCK_B),
-	GPIO_FN(VI4_DATA20),
-	GPIO_FN(VI5_DATA4),
-
-	/* IPSR6 */
-	GPIO_IFN(D5),
-	GPIO_FN(MSIOF2_SYNC_B),
-	GPIO_FN(VI4_DATA21),
-	GPIO_FN(VI5_DATA5),
-	GPIO_IFN(D6),
-	GPIO_FN(MSIOF2_RXD_B),
-	GPIO_FN(VI4_DATA22),
-	GPIO_FN(VI5_DATA6),
-	GPIO_IFN(D7),
-	GPIO_FN(MSIOF2_TXD_B),
-	GPIO_FN(VI4_DATA23),
-	GPIO_FN(VI5_DATA7),
-	GPIO_IFN(D8),
-	GPIO_FN(LCDOUT0),
-	GPIO_FN(MSIOF2_SCK_D),
-	GPIO_FN(SCK4_C),
-	GPIO_FN(VI4_DATA0_A),
-	GPIO_FN(DU_DR0),
-	GPIO_IFN(D9),
-	GPIO_FN(LCDOUT1),
-	GPIO_FN(MSIOF2_SYNC_D),
-	GPIO_FN(VI4_DATA1_A),
-	GPIO_FN(DU_DR1),
-	GPIO_IFN(D10),
-	GPIO_FN(LCDOUT2),
-	GPIO_FN(MSIOF2_RXD_D),
-	GPIO_FN(HRX3_B),
-	GPIO_FN(VI4_DATA2_A),
-	GPIO_FN(CTS4x_C),
-	GPIO_FN(DU_DR2),
-	GPIO_IFN(D11),
-	GPIO_FN(LCDOUT3),
-	GPIO_FN(MSIOF2_TXD_D),
-	GPIO_FN(HTX3_B),
-	GPIO_FN(VI4_DATA3_A),
-	GPIO_FN(RTS4x_TANS_C),
-	GPIO_FN(DU_DR3),
-	GPIO_IFN(D12),
-	GPIO_FN(LCDOUT4),
-	GPIO_FN(MSIOF2_SS1_D),
-	GPIO_FN(RX4_C),
-	GPIO_FN(VI4_DATA4_A),
-	GPIO_FN(DU_DR4),
-
-	/* IPSR7 */
-	GPIO_IFN(D13),
-	GPIO_FN(LCDOUT5),
-	GPIO_FN(MSIOF2_SS2_D),
-	GPIO_FN(TX4_C),
-	GPIO_FN(VI4_DATA5_A),
-	GPIO_FN(DU_DR5),
-	GPIO_IFN(D14),
-	GPIO_FN(LCDOUT6),
-	GPIO_FN(MSIOF3_SS1_A),
-	GPIO_FN(HRX3_C),
-	GPIO_FN(VI4_DATA6_A),
-	GPIO_FN(DU_DR6),
-	GPIO_FN(SCL6_C),
-	GPIO_IFN(D15),
-	GPIO_FN(LCDOUT7),
-	GPIO_FN(MSIOF3_SS2_A),
-	GPIO_FN(HTX3_C),
-	GPIO_FN(VI4_DATA7_A),
-	GPIO_FN(DU_DR7),
-	GPIO_FN(SDA6_C),
-	GPIO_FN(FSCLKST),
-	GPIO_IFN(SD0_CLK),
-	GPIO_FN(MSIOF1_SCK_E),
-	GPIO_FN(STP_OPWM_0_B),
-	GPIO_IFN(SD0_CMD),
-	GPIO_FN(MSIOF1_SYNC_E),
-	GPIO_FN(STP_IVCXO27_0_B),
-	GPIO_IFN(SD0_DAT0),
-	GPIO_FN(MSIOF1_RXD_E),
-	GPIO_FN(TS_SCK0_B),
-	GPIO_FN(STP_ISCLK_0_B),
-	GPIO_IFN(SD0_DAT1),
-	GPIO_FN(MSIOF1_TXD_E),
-	GPIO_FN(TS_SPSYNC0_B),
-	GPIO_FN(STP_ISSYNC_0_B),
-
-	/* IPSR8 */
-	GPIO_IFN(SD0_DAT2),
-	GPIO_FN(MSIOF1_SS1_E),
-	GPIO_FN(TS_SDAT0_B),
-	GPIO_FN(STP_ISD_0_B),
-	GPIO_IFN(SD0_DAT3),
-	GPIO_FN(MSIOF1_SS2_E),
-	GPIO_FN(TS_SDEN0_B),
-	GPIO_FN(STP_ISEN_0_B),
-	GPIO_IFN(SD1_CLK),
-	GPIO_FN(MSIOF1_SCK_G),
-	GPIO_FN(SIM0_CLK_A),
-	GPIO_IFN(SD1_CMD),
-	GPIO_FN(MSIOF1_SYNC_G),
-	GPIO_FN(NFCEx_B),
-	GPIO_FN(SIM0_D_A),
-	GPIO_FN(STP_IVCXO27_1_B),
-	GPIO_IFN(SD1_DAT0),
-	GPIO_FN(SD2_DAT4),
-	GPIO_FN(MSIOF1_RXD_G),
-	GPIO_FN(NFWPx_B),
-	GPIO_FN(TS_SCK1_B),
-	GPIO_FN(STP_ISCLK_1_B),
-	GPIO_IFN(SD1_DAT1),
-	GPIO_FN(SD2_DAT5),
-	GPIO_FN(MSIOF1_TXD_G),
-	GPIO_FN(NFDATA14_B),
-	GPIO_FN(TS_SPSYNC1_B),
-	GPIO_FN(STP_ISSYNC_1_B),
-	GPIO_IFN(SD1_DAT2),
-	GPIO_FN(SD2_DAT6),
-	GPIO_FN(MSIOF1_SS1_G),
-	GPIO_FN(NFDATA15_B),
-	GPIO_FN(TS_SDAT1_B),
-	GPIO_FN(STP_IOD_1_B),
-	GPIO_IFN(SD1_DAT3),
-	GPIO_FN(SD2_DAT7),
-	GPIO_FN(MSIOF1_SS2_G),
-	GPIO_FN(NFRBx_B),
-	GPIO_FN(TS_SDEN1_B),
-	GPIO_FN(STP_ISEN_1_B),
-
-	/* IPSR9 */
-	GPIO_IFN(SD2_CLK),
-	GPIO_FN(NFDATA8),
-	GPIO_IFN(SD2_CMD),
-	GPIO_FN(NFDATA9),
-	GPIO_IFN(SD2_DAT0),
-	GPIO_FN(NFDATA10),
-	GPIO_IFN(SD2_DAT1),
-	GPIO_FN(NFDATA11),
-	GPIO_IFN(SD2_DAT2),
-	GPIO_FN(NFDATA12),
-	GPIO_IFN(SD2_DAT3),
-	GPIO_FN(NFDATA13),
-	GPIO_IFN(SD2_DS),
-	GPIO_FN(NFALE),
-	GPIO_FN(SATA_DEVSLP_B),
-	GPIO_IFN(SD3_CLK),
-	GPIO_FN(NFWEx),
-
-	/* IPSR10 */
-	GPIO_IFN(SD3_CMD),
-	GPIO_FN(NFREx),
-	GPIO_IFN(SD3_DAT0),
-	GPIO_FN(NFDATA0),
-	GPIO_IFN(SD3_DAT1),
-	GPIO_FN(NFDATA1),
-	GPIO_IFN(SD3_DAT2),
-	GPIO_FN(NFDATA2),
-	GPIO_IFN(SD3_DAT3),
-	GPIO_FN(NFDATA3),
-	GPIO_IFN(SD3_DAT4),
-	GPIO_FN(SD2_CD_A),
-	GPIO_FN(NFDATA4),
-	GPIO_IFN(SD3_DAT5),
-	GPIO_FN(SD2_WP_A),
-	GPIO_FN(NFDATA5),
-	GPIO_IFN(SD3_DAT6),
-	GPIO_FN(SD3_CD),
-	GPIO_FN(NFDATA6),
-
-	/* IPSR11 */
-	GPIO_IFN(SD3_DAT7),
-	GPIO_FN(SD3_WP),
-	GPIO_FN(NFDATA7),
-	GPIO_IFN(SD3_DS),
-	GPIO_FN(NFCLE),
-	GPIO_IFN(SD0_CD),
-	GPIO_FN(NFDATA14_A),
-	GPIO_FN(SCL2_B),
-	GPIO_FN(SIM0_RST_A),
-	GPIO_IFN(SD0_WP),
-	GPIO_FN(NFDATA15_A),
-	GPIO_FN(SDA2_B),
-	GPIO_IFN(SD1_CD),
-	GPIO_FN(NFRBx_A),
-	GPIO_FN(SIM0_CLK_B),
-	GPIO_IFN(SD1_WP),
-	GPIO_FN(NFCEx_A),
-	GPIO_FN(SIM0_D_B),
-	GPIO_IFN(SCK0),
-	GPIO_FN(HSCK1_B),
-	GPIO_FN(MSIOF1_SS2_B),
-	GPIO_FN(AUDIO_CLKC_B),
-	GPIO_FN(SDA2_A),
-	GPIO_FN(SIM0_RST_B),
-	GPIO_FN(STP_OPWM_0_C),
-	GPIO_FN(RIF0_CLK_B),
-	GPIO_FN(ADICHS2),
-	GPIO_FN(SCK5_B),
-	GPIO_IFN(RX0),
-	GPIO_FN(HRX1_B),
-	GPIO_FN(TS_SCK0_C),
-	GPIO_FN(STP_ISCLK_0_C),
-	GPIO_FN(RIF0_D0_B),
-
-	/* IPSR12 */
-	GPIO_IFN(TX0),
-	GPIO_FN(HTX1_B),
-	GPIO_FN(TS_SPSYNC0_C),
-	GPIO_FN(STP_ISSYNC_0_C),
-	GPIO_FN(RIF0_D1_B),
-	GPIO_IFN(CTS0x),
-	GPIO_FN(HCTS1x_B),
-	GPIO_FN(MSIOF1_SYNC_B),
-	GPIO_FN(TS_SPSYNC1_C),
-	GPIO_FN(STP_ISSYNC_1_C),
-	GPIO_FN(RIF1_SYNC_B),
-	GPIO_FN(AUDIO_CLKOUT_C),
-	GPIO_FN(ADICS_SAMP),
-	GPIO_IFN(RTS0x_TANS),
-	GPIO_FN(HRTS1x_B),
-	GPIO_FN(MSIOF1_SS1_B),
-	GPIO_FN(AUDIO_CLKA_B),
-	GPIO_FN(SCL2_A),
-	GPIO_FN(STP_IVCXO27_1_C),
-	GPIO_FN(RIF0_SYNC_B),
-	GPIO_FN(ADICHS1),
-	GPIO_IFN(RX1_A),
-	GPIO_FN(HRX1_A),
-	GPIO_FN(TS_SDAT0_C),
-	GPIO_FN(STP_ISD_0_C),
-	GPIO_FN(RIF1_CLK_C),
-	GPIO_IFN(TX1_A),
-	GPIO_FN(HTX1_A),
-	GPIO_FN(TS_SDEN0_C),
-	GPIO_FN(STP_ISEN_0_C),
-	GPIO_FN(RIF1_D0_C),
-	GPIO_IFN(CTS1x),
-	GPIO_FN(HCTS1x_A),
-	GPIO_FN(MSIOF1_RXD_B),
-	GPIO_FN(TS_SDEN1_C),
-	GPIO_FN(STP_ISEN_1_C),
-	GPIO_FN(RIF1_D0_B),
-	GPIO_FN(ADIDATA),
-	GPIO_IFN(RTS1x_TANS),
-	GPIO_FN(HRTS1x_A),
-	GPIO_FN(MSIOF1_TXD_B),
-	GPIO_FN(TS_SDAT1_C),
-	GPIO_FN(STP_ISD_1_C),
-	GPIO_FN(RIF1_D1_B),
-	GPIO_FN(ADICHS0),
-	GPIO_IFN(SCK2),
-	GPIO_FN(SCIF_CLK_B),
-	GPIO_FN(MSIOF1_SCK_B),
-	GPIO_FN(TS_SCK1_C),
-	GPIO_FN(STP_ISCLK_1_C),
-	GPIO_FN(RIF1_CLK_B),
-	GPIO_FN(ADICLK),
-
-	/* IPSR13 */
-	GPIO_IFN(TX2_A),
-	GPIO_FN(SD2_CD_B),
-	GPIO_FN(SCL1_A),
-	GPIO_FN(FMCLK_A),
-	GPIO_FN(RIF1_D1_C),
-	GPIO_FN(FSO_CFE_0x),
-	GPIO_IFN(RX2_A),
-	GPIO_FN(SD2_WP_B),
-	GPIO_FN(SDA1_A),
-	GPIO_FN(FMIN_A),
-	GPIO_FN(RIF1_SYNC_C),
-	GPIO_FN(FSO_CFE_1x),
-	GPIO_IFN(HSCK0),
-	GPIO_FN(MSIOF1_SCK_D),
-	GPIO_FN(AUDIO_CLKB_A),
-	GPIO_FN(SSI_SDATA1_B),
-	GPIO_FN(TS_SCK0_D),
-	GPIO_FN(STP_ISCLK_0_D),
-	GPIO_FN(RIF0_CLK_C),
-	GPIO_FN(RX5_B),
-	GPIO_IFN(HRX0),
-	GPIO_FN(MSIOF1_RXD_D),
-	GPIO_FN(SSI_SDATA2_B),
-	GPIO_FN(TS_SDEN0_D),
-	GPIO_FN(STP_ISEN_0_D),
-	GPIO_FN(RIF0_D0_C),
-	GPIO_IFN(HTX0),
-	GPIO_FN(MSIOF1_TXD_D),
-	GPIO_FN(SSI_SDATA9_B),
-	GPIO_FN(TS_SDAT0_D),
-	GPIO_FN(STP_ISD_0_D),
-	GPIO_FN(RIF0_D1_C),
-	GPIO_IFN(HCTS0x),
-	GPIO_FN(RX2_B),
-	GPIO_FN(MSIOF1_SYNC_D),
-	GPIO_FN(SSI_SCK9_A),
-	GPIO_FN(TS_SPSYNC0_D),
-	GPIO_FN(STP_ISSYNC_0_D),
-	GPIO_FN(RIF0_SYNC_C),
-	GPIO_FN(AUDIO_CLKOUT1_A),
-	GPIO_IFN(HRTS0x),
-	GPIO_FN(TX2_B),
-	GPIO_FN(MSIOF1_SS1_D),
-	GPIO_FN(SSI_WS9_A),
-	GPIO_FN(STP_IVCXO27_0_D),
-	GPIO_FN(BPFCLK_A),
-	GPIO_FN(AUDIO_CLKOUT2_A),
-	GPIO_IFN(MSIOF0_SYNC),
-	GPIO_FN(AUDIO_CLKOUT_A),
-	GPIO_FN(TX5_B),
-	GPIO_FN(BPFCLK_D),
-
-	/* IPSR14 */
-	GPIO_IFN(MSIOF0_SS1),
-	GPIO_FN(RX5_A),
-	GPIO_FN(NFWPx_A),
-	GPIO_FN(AUDIO_CLKA_C),
-	GPIO_FN(SSI_SCK2_A),
-	GPIO_FN(STP_IVCXO27_0_C),
-	GPIO_FN(AUDIO_CLKOUT3_A),
-	GPIO_FN(TCLK1_B),
-	GPIO_IFN(MSIOF0_SS2),
-	GPIO_FN(TX5_A),
-	GPIO_FN(MSIOF1_SS2_D),
-	GPIO_FN(AUDIO_CLKC_A),
-	GPIO_FN(SSI_WS2_A),
-	GPIO_FN(STP_OPWM_0_D),
-	GPIO_FN(AUDIO_CLKOUT_D),
-	GPIO_FN(SPEEDIN_B),
-	GPIO_IFN(MLB_CLK),
-	GPIO_FN(MSIOF1_SCK_F),
-	GPIO_FN(SCL1_B),
-	GPIO_IFN(MLB_SIG),
-	GPIO_FN(RX1_B),
-	GPIO_FN(MSIOF1_SYNC_F),
-	GPIO_FN(SDA1_B),
-	GPIO_IFN(MLB_DAT),
-	GPIO_FN(TX1_B),
-	GPIO_FN(MSIOF1_RXD_F),
-	GPIO_IFN(SSI_SCK01239),
-	GPIO_FN(MSIOF1_TXD_F),
-	GPIO_FN(MOUT0),
-	GPIO_IFN(SSI_WS01239),
-	GPIO_FN(MSIOF1_SS1_F),
-	GPIO_FN(MOUT1),
-	GPIO_IFN(SSI_SDATA0),
-	GPIO_FN(MSIOF1_SS2_F),
-	GPIO_FN(MOUT2),
-
-	/* IPSR15 */
-	GPIO_IFN(SSI_SDATA1_A),
-	GPIO_FN(MOUT5),
-	GPIO_IFN(SSI_SDATA2_A),
-	GPIO_FN(SSI_SCK1_B),
-	GPIO_FN(MOUT6),
-	GPIO_IFN(SSI_SCK34),
-	GPIO_FN(MSIOF1_SS1_A),
-	GPIO_FN(STP_OPWM_0_A),
-	GPIO_IFN(SSI_WS34),
-	GPIO_FN(HCTS2x_A),
-	GPIO_FN(MSIOF1_SS2_A),
-	GPIO_FN(STP_IVCXO27_0_A),
-	GPIO_IFN(SSI_SDATA3),
-	GPIO_FN(HRTS2x_A),
-	GPIO_FN(MSIOF1_TXD_A),
-	GPIO_FN(TS_SCK0_A),
-	GPIO_FN(STP_ISCLK_0_A),
-	GPIO_FN(RIF0_D1_A),
-	GPIO_FN(RIF2_D0_A),
-	GPIO_IFN(SSI_SCK4),
-	GPIO_FN(HRX2_A),
-	GPIO_FN(MSIOF1_SCK_A),
-	GPIO_FN(TS_SDAT0_A),
-	GPIO_FN(STP_ISD_0_A),
-	GPIO_FN(RIF0_CLK_A),
-	GPIO_FN(RIF2_CLK_A),
-	GPIO_IFN(SSI_WS4),
-	GPIO_FN(HTX2_A),
-	GPIO_FN(MSIOF1_SYNC_A),
-	GPIO_FN(TS_SDEN0_A),
-	GPIO_FN(STP_ISEN_0_A),
-	GPIO_FN(RIF0_SYNC_A),
-	GPIO_FN(RIF2_SYNC_A),
-	GPIO_IFN(SSI_SDATA4),
-	GPIO_FN(HSCK2_A),
-	GPIO_FN(MSIOF1_RXD_A),
-	GPIO_FN(TS_SPSYNC0_A),
-	GPIO_FN(STP_ISSYNC_0_A),
-	GPIO_FN(RIF0_D0_A),
-	GPIO_FN(RIF2_D1_A),
-
-	/* IPSR16 */
-	GPIO_IFN(SSI_SCK6),
-	GPIO_FN(SIM0_RST_D),
-	GPIO_IFN(SSI_WS6),
-	GPIO_FN(SIM0_D_D),
-	GPIO_IFN(SSI_SDATA6),
-	GPIO_FN(SIM0_CLK_D),
-	GPIO_FN(SATA_DEVSLP_A),
-	GPIO_IFN(SSI_SCK78),
-	GPIO_FN(HRX2_B),
-	GPIO_FN(MSIOF1_SCK_C),
-	GPIO_FN(TS_SCK1_A),
-	GPIO_FN(STP_ISCLK_1_A),
-	GPIO_FN(RIF1_CLK_A),
-	GPIO_FN(RIF3_CLK_A),
-	GPIO_IFN(SSI_WS78),
-	GPIO_FN(HTX2_B),
-	GPIO_FN(MSIOF1_SYNC_C),
-	GPIO_FN(TS_SDAT1_A),
-	GPIO_FN(STP_ISD_1_A),
-	GPIO_FN(RIF1_SYNC_A),
-	GPIO_FN(RIF3_SYNC_A),
-	GPIO_IFN(SSI_SDATA7),
-	GPIO_FN(HCTS2x_B),
-	GPIO_FN(MSIOF1_RXD_C),
-	GPIO_FN(TS_SDEN1_A),
-	GPIO_FN(STP_ISEN_1_A),
-	GPIO_FN(RIF1_D0_A),
-	GPIO_FN(RIF3_D0_A),
-	GPIO_FN(TCLK2_A),
-	GPIO_IFN(SSI_SDATA8),
-	GPIO_FN(HRTS2x_B),
-	GPIO_FN(MSIOF1_TXD_C),
-	GPIO_FN(TS_SPSYNC1_A),
-	GPIO_FN(STP_ISSYNC_1_A),
-	GPIO_FN(RIF1_D1_A),
-	GPIO_FN(RIF3_D1_A),
-	GPIO_IFN(SSI_SDATA9_A),
-	GPIO_FN(HSCK2_B),
-	GPIO_FN(MSIOF1_SS1_C),
-	GPIO_FN(HSCK1_A),
-	GPIO_FN(SSI_WS1_B),
-	GPIO_FN(SCK1),
-	GPIO_FN(STP_IVCXO27_1_A),
-	GPIO_FN(SCK5_A),
-
-	/* IPSR17 */
-	GPIO_IFN(AUDIO_CLKA_A),
-	GPIO_FN(CC5_OSCOUT),
-	GPIO_IFN(AUDIO_CLKB_B),
-	GPIO_FN(SCIF_CLK_A),
-	GPIO_FN(STP_IVCXO27_1_D),
-	GPIO_FN(REMOCON_A),
-	GPIO_FN(TCLK1_A),
-	GPIO_IFN(USB0_PWEN),
-	GPIO_FN(SIM0_RST_C),
-	GPIO_FN(TS_SCK1_D),
-	GPIO_FN(STP_ISCLK_1_D),
-	GPIO_FN(BPFCLK_B),
-	GPIO_FN(RIF3_CLK_B),
-	GPIO_FN(HSCK2_C),
-	GPIO_IFN(USB0_OVC),
-	GPIO_FN(SIM0_D_C),
-	GPIO_FN(TS_SDAT1_D),
-	GPIO_FN(STP_ISD_1_D),
-	GPIO_FN(RIF3_SYNC_B),
-	GPIO_FN(HRX2_C),
-	GPIO_IFN(USB1_PWEN),
-	GPIO_FN(SIM0_CLK_C),
-	GPIO_FN(SSI_SCK1_A),
-	GPIO_FN(TS_SCK0_E),
-	GPIO_FN(STP_ISCLK_0_E),
-	GPIO_FN(FMCLK_B),
-	GPIO_FN(RIF2_CLK_B),
-	GPIO_FN(SPEEDIN_A),
-	GPIO_FN(HTX2_C),
-	GPIO_IFN(USB1_OVC),
-	GPIO_FN(MSIOF1_SS2_C),
-	GPIO_FN(SSI_WS1_A),
-	GPIO_FN(TS_SDAT0_E),
-	GPIO_FN(STP_ISD_0_E),
-	GPIO_FN(FMIN_B),
-	GPIO_FN(RIF2_SYNC_B),
-	GPIO_FN(REMOCON_B),
-	GPIO_FN(HCTS2x_C),
-	GPIO_IFN(USB30_PWEN),
-	GPIO_FN(AUDIO_CLKOUT_B),
-	GPIO_FN(SSI_SCK2_B),
-	GPIO_FN(TS_SDEN1_D),
-	GPIO_FN(STP_ISEN_1_D),
-	GPIO_FN(STP_OPWM_0_E),
-	GPIO_FN(RIF3_D0_B),
-	GPIO_FN(TCLK2_B),
-	GPIO_FN(TPU0TO0),
-	GPIO_FN(BPFCLK_C),
-	GPIO_FN(HRTS2x_C),
-	GPIO_IFN(USB30_OVC),
-	GPIO_FN(AUDIO_CLKOUT1_B),
-	GPIO_FN(SSI_WS2_B),
-	GPIO_FN(TS_SPSYNC1_D),
-	GPIO_FN(STP_ISSYNC_1_D),
-	GPIO_FN(STP_IVCXO27_0_E),
-	GPIO_FN(RIF3_D1_B),
-	GPIO_FN(FSO_TOEx),
-	GPIO_FN(TPU0TO1),
-
-	/* IPSR18 */
-	GPIO_IFN(USB3_PWEN),
-	GPIO_FN(AUDIO_CLKOUT2_B),
-	GPIO_FN(SSI_SCK9_B),
-	GPIO_FN(TS_SDEN0_E),
-	GPIO_FN(STP_ISEN_0_E),
-	GPIO_FN(RIF2_D0_B),
-	GPIO_FN(TPU0TO2),
-	GPIO_FN(FMCLK_C),
-	GPIO_FN(FMCLK_D),
-
-	GPIO_IFN(USB3_OVC),
-	GPIO_FN(AUDIO_CLKOUT3_B),
-	GPIO_FN(SSI_WS9_B),
-	GPIO_FN(TS_SPSYNC0_E),
-	GPIO_FN(STP_ISSYNC_0_E),
-	GPIO_FN(RIF2_D1_B),
-	GPIO_FN(TPU0TO3),
-	GPIO_FN(FMIN_C),
-	GPIO_FN(FMIN_D),
-};
-
-static struct pinmux_cfg_reg pinmux_config_regs[] = {
-	/* GPSR0(0xE6060100) md[3:1] controls initial value */
-	/*   md[3:1] .. 0     : 0x0000FFFF                  */
-	/*           .. other : 0x00000000                  */
-	{ PINMUX_CFG_REG("GPSR0", 0xE6060100, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_0_15_FN, GFN_D15,
-		GP_0_14_FN, GFN_D14,
-		GP_0_13_FN, GFN_D13,
-		GP_0_12_FN, GFN_D12,
-		GP_0_11_FN, GFN_D11,
-		GP_0_10_FN, GFN_D10,
-		GP_0_9_FN, GFN_D9,
-		GP_0_8_FN, GFN_D8,
-		GP_0_7_FN, GFN_D7,
-		GP_0_6_FN, GFN_D6,
-		GP_0_5_FN, GFN_D5,
-		GP_0_4_FN, GFN_D4,
-		GP_0_3_FN, GFN_D3,
-		GP_0_2_FN, GFN_D2,
-		GP_0_1_FN, GFN_D1,
-		GP_0_0_FN, GFN_D0 }
-	},
-	/* GPSR1(0xE6060104) is md[3:1] controls initial value */
-	/*   md[3:1] .. 0     : 0x0EFFFFFF                     */
-	/*           .. other : 0x00000000                     */
-	{ PINMUX_CFG_REG("GPSR1", 0xE6060104, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_1_28_FN, GFN_CLKOUT,
-		GP_1_27_FN, GFN_EX_WAIT0_A,
-		GP_1_26_FN, GFN_WE1x,
-		GP_1_25_FN, GFN_WE0x,
-		GP_1_24_FN, GFN_RD_WRx,
-		GP_1_23_FN, GFN_RDx,
-		GP_1_22_FN, GFN_BSx,
-		GP_1_21_FN, GFN_CS1x_A26,
-		GP_1_20_FN, GFN_CS0x,
-		GP_1_19_FN, GFN_A19,
-		GP_1_18_FN, GFN_A18,
-		GP_1_17_FN, GFN_A17,
-		GP_1_16_FN, GFN_A16,
-		GP_1_15_FN, GFN_A15,
-		GP_1_14_FN, GFN_A14,
-		GP_1_13_FN, GFN_A13,
-		GP_1_12_FN, GFN_A12,
-		GP_1_11_FN, GFN_A11,
-		GP_1_10_FN, GFN_A10,
-		GP_1_9_FN, GFN_A9,
-		GP_1_8_FN, GFN_A8,
-		GP_1_7_FN, GFN_A7,
-		GP_1_6_FN, GFN_A6,
-		GP_1_5_FN, GFN_A5,
-		GP_1_4_FN, GFN_A4,
-		GP_1_3_FN, GFN_A3,
-		GP_1_2_FN, GFN_A2,
-		GP_1_1_FN, GFN_A1,
-		GP_1_0_FN, GFN_A0 }
-	},
-	/* GPSR2(0xE6060108) is md[3:1] controls               */
-	/*   md[3:1] .. 0     : 0x000003C0                     */
-	/*           .. other : 0x00000200                     */
-	{ PINMUX_CFG_REG("GPSR2", 0xE6060108, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		GP_2_14_FN, GFN_AVB_AVTP_CAPTURE_A,
-		GP_2_13_FN, GFN_AVB_AVTP_MATCH_A,
-		GP_2_12_FN, GFN_AVB_LINK,
-		GP_2_11_FN, GFN_AVB_PHY_INT,
-		GP_2_10_FN, GFN_AVB_MAGIC,
-		GP_2_9_FN, GFN_AVB_MDC,
-		GP_2_8_FN, GFN_PWM2_A,
-		GP_2_7_FN, GFN_PWM1_A,
-		GP_2_6_FN, GFN_PWM0,
-		GP_2_5_FN, GFN_IRQ5,
-		GP_2_4_FN, GFN_IRQ4,
-		GP_2_3_FN, GFN_IRQ3,
-		GP_2_2_FN, GFN_IRQ2,
-		GP_2_1_FN, GFN_IRQ1,
-		GP_2_0_FN, GFN_IRQ0 }
-	},
-
-	/* GPSR3 */
-	{ PINMUX_CFG_REG("GPSR3", 0xE606010C, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_3_15_FN, GFN_SD1_WP,
-		GP_3_14_FN, GFN_SD1_CD,
-		GP_3_13_FN, GFN_SD0_WP,
-		GP_3_12_FN, GFN_SD0_CD,
-		GP_3_11_FN, GFN_SD1_DAT3,
-		GP_3_10_FN, GFN_SD1_DAT2,
-		GP_3_9_FN, GFN_SD1_DAT1,
-		GP_3_8_FN, GFN_SD1_DAT0,
-		GP_3_7_FN, GFN_SD1_CMD,
-		GP_3_6_FN, GFN_SD1_CLK,
-		GP_3_5_FN, GFN_SD0_DAT3,
-		GP_3_4_FN, GFN_SD0_DAT2,
-		GP_3_3_FN, GFN_SD0_DAT1,
-		GP_3_2_FN, GFN_SD0_DAT0,
-		GP_3_1_FN, GFN_SD0_CMD,
-		GP_3_0_FN, GFN_SD0_CLK }
-	},
-	/* GPSR4 */
-	{ PINMUX_CFG_REG("GPSR4", 0xE6060110, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_4_17_FN, GFN_SD3_DS,
-		GP_4_16_FN, GFN_SD3_DAT7,
-
-		GP_4_15_FN, GFN_SD3_DAT6,
-		GP_4_14_FN, GFN_SD3_DAT5,
-		GP_4_13_FN, GFN_SD3_DAT4,
-		GP_4_12_FN, GFN_SD3_DAT3,
-		GP_4_11_FN, GFN_SD3_DAT2,
-		GP_4_10_FN, GFN_SD3_DAT1,
-		GP_4_9_FN, GFN_SD3_DAT0,
-		GP_4_8_FN, GFN_SD3_CMD,
-		GP_4_7_FN, GFN_SD3_CLK,
-		GP_4_6_FN, GFN_SD2_DS,
-		GP_4_5_FN, GFN_SD2_DAT3,
-		GP_4_4_FN, GFN_SD2_DAT2,
-		GP_4_3_FN, GFN_SD2_DAT1,
-		GP_4_2_FN, GFN_SD2_DAT0,
-		GP_4_1_FN, GFN_SD2_CMD,
-		GP_4_0_FN, GFN_SD2_CLK }
-	},
-	/* GPSR5 */
-	{ PINMUX_CFG_REG("GPSR5", 0xE6060114, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_5_25_FN, GFN_MLB_DAT,
-		GP_5_24_FN, GFN_MLB_SIG,
-		GP_5_23_FN, GFN_MLB_CLK,
-		GP_5_22_FN, FN_MSIOF0_RXD,
-		GP_5_21_FN, GFN_MSIOF0_SS2,
-		GP_5_20_FN, FN_MSIOF0_TXD,
-		GP_5_19_FN, GFN_MSIOF0_SS1,
-		GP_5_18_FN, GFN_MSIOF0_SYNC,
-		GP_5_17_FN, FN_MSIOF0_SCK,
-		GP_5_16_FN, GFN_HRTS0x,
-		GP_5_15_FN, GFN_HCTS0x,
-		GP_5_14_FN, GFN_HTX0,
-		GP_5_13_FN, GFN_HRX0,
-		GP_5_12_FN, GFN_HSCK0,
-		GP_5_11_FN, GFN_RX2_A,
-		GP_5_10_FN, GFN_TX2_A,
-		GP_5_9_FN, GFN_SCK2,
-		GP_5_8_FN, GFN_RTS1x_TANS,
-		GP_5_7_FN, GFN_CTS1x,
-		GP_5_6_FN, GFN_TX1_A,
-		GP_5_5_FN, GFN_RX1_A,
-		GP_5_4_FN, GFN_RTS0x_TANS,
-		GP_5_3_FN, GFN_CTS0x,
-		GP_5_2_FN, GFN_TX0,
-		GP_5_1_FN, GFN_RX0,
-		GP_5_0_FN, GFN_SCK0 }
-	},
-	/* GPSR6 */
-	{ PINMUX_CFG_REG("GPSR6", 0xE6060118, 32, 1) {
-		GP_6_31_FN, GFN_USB3_OVC,
-		GP_6_30_FN, GFN_USB3_PWEN,
-		GP_6_29_FN, GFN_USB30_OVC,
-		GP_6_28_FN, GFN_USB30_PWEN,
-		GP_6_27_FN, GFN_USB1_OVC,
-		GP_6_26_FN, GFN_USB1_PWEN,
-		GP_6_25_FN, GFN_USB0_OVC,
-		GP_6_24_FN, GFN_USB0_PWEN,
-		GP_6_23_FN, GFN_AUDIO_CLKB_B,
-		GP_6_22_FN, GFN_AUDIO_CLKA_A,
-		GP_6_21_FN, GFN_SSI_SDATA9_A,
-		GP_6_20_FN, GFN_SSI_SDATA8,
-		GP_6_19_FN, GFN_SSI_SDATA7,
-		GP_6_18_FN, GFN_SSI_WS78,
-		GP_6_17_FN, GFN_SSI_SCK78,
-		GP_6_16_FN, GFN_SSI_SDATA6,
-		GP_6_15_FN, GFN_SSI_WS6,
-		GP_6_14_FN, GFN_SSI_SCK6,
-		GP_6_13_FN, FN_SSI_SDATA5,
-		GP_6_12_FN, FN_SSI_WS5,
-		GP_6_11_FN, FN_SSI_SCK5,
-		GP_6_10_FN, GFN_SSI_SDATA4,
-		GP_6_9_FN, GFN_SSI_WS4,
-		GP_6_8_FN, GFN_SSI_SCK4,
-		GP_6_7_FN, GFN_SSI_SDATA3,
-		GP_6_6_FN, GFN_SSI_WS34,
-		GP_6_5_FN, GFN_SSI_SCK34,
-		GP_6_4_FN, GFN_SSI_SDATA2_A,
-		GP_6_3_FN, GFN_SSI_SDATA1_A,
-		GP_6_2_FN, GFN_SSI_SDATA0,
-		GP_6_1_FN, GFN_SSI_WS01239,
-		GP_6_0_FN, GFN_SSI_SCK01239 }
-	},
-	/* GPSR7 */
-	{ PINMUX_CFG_REG("GPSR7", 0xE606011C, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_7_3_FN, FN_HDMI1_CEC,
-		GP_7_2_FN, FN_HDMI0_CEC,
-		GP_7_1_FN, FN_AVS2,
-		GP_7_0_FN, FN_AVS1 }
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR0", 0xE6060200, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR0_31_28 [4] */
-		IFN_IRQ1, FN_QPOLA, 0, FN_DU_DISP,
-		FN_VI4_DATA1_B, FN_CAN0_RX_B, FN_CANFD0_RX_B, FN_MSIOF3_SS1_E,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_27_24 [4] */
-		IFN_IRQ0, FN_QPOLB, 0, FN_DU_CDE,
-		FN_VI4_DATA0_B, FN_CAN0_TX_B, FN_CANFD0_TX_B, FN_MSIOF3_SS2_E,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_23_20 [4] */
-		IFN_AVB_AVTP_CAPTURE_A, 0, FN_MSIOF2_TXD_C, FN_RTS4x_TANS_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_19_16 [4] */
-		IFN_AVB_AVTP_MATCH_A, 0, FN_MSIOF2_RXD_C, FN_CTS4x_A,
-		0, FN_FSCLKST2x_A, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_15_12 [4] */
-		IFN_AVB_LINK, 0, FN_MSIOF2_SCK_C, FN_TX4_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_11_8 [4] */
-		IFN_AVB_PHY_INT, 0, FN_MSIOF2_SYNC_C, FN_RX4_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_7_4 [4] */
-		IFN_AVB_MAGIC, 0, FN_MSIOF2_SS1_C, FN_SCK4_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_3_0 [4] */
-		IFN_AVB_MDC, 0, FN_MSIOF2_SS2_C, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR1", 0xE6060204, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR1_31_28 [4] */
-		IFN_A0, FN_LCDOUT16, FN_MSIOF3_SYNC_B, 0,
-		FN_VI4_DATA8, 0, FN_DU_DB0, 0,
-		0, FN_PWM3_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_27_24 [4] */
-		IFN_PWM2_A, 0, 0, FN_HTX3_D,
-		0, 0, 0, 0,
-		0, FN_IETX_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_23_20 [4] */
-		IFN_PWM1_A, 0, 0, FN_HRX3_D,
-		FN_VI4_DATA7_B, 0, 0, 0,
-		0, FN_IERX_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_19_16 [4] */
-		IFN_PWM0, FN_AVB_AVTP_PPS, 0, 0,
-		FN_VI4_DATA6_B, 0, 0, 0,
-		0, FN_IECLK_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_15_12 [4] */
-		IFN_IRQ5, FN_QSTB_QHE, 0, FN_DU_EXVSYNC_DU_VSYNC,
-		FN_VI4_DATA5_B, FN_FSCLKST2x_B, 0, FN_MSIOF3_TXD_E,
-		0, FN_PWM6_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_11_8 [4] */
-		IFN_IRQ4, FN_QSTH_QHS, 0, FN_DU_EXHSYNC_DU_HSYNC,
-		FN_VI4_DATA4_B, 0, 0, FN_MSIOF3_RXD_E,
-		0, FN_PWM5_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_7_4 [4] */
-		IFN_IRQ3, FN_QSTVB_QVE, 0, FN_DU_DOTCLKOUT1,
-		FN_VI4_DATA3_B, 0, 0, FN_MSIOF3_SCK_E,
-		0, FN_PWM4_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_3_0 [4] */
-		IFN_IRQ2, FN_QCPV_QDE, 0, FN_DU_EXODDF_DU_ODDF_DISP_CDE,
-		FN_VI4_DATA2_B, 0, 0, FN_MSIOF3_SYNC_E,
-		0, FN_PWM3_B, 0, 0,
-		0, 0, 0, 0
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR2", 0xE6060208, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR2_31_28 [4] */
-		IFN_A8, FN_RX3_B, FN_MSIOF2_SYNC_A, FN_HRX4_B,
-		0, 0, 0, FN_SDA6_A,
-		FN_AVB_AVTP_MATCH_B, FN_PWM1_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_27_24 [4] */
-		IFN_A7, FN_LCDOUT23, FN_MSIOF2_SS2_A, FN_TX4_B,
-		FN_VI4_DATA15, FN_V15_DATA15, FN_DU_DB7, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_23_20 [4] */
-		IFN_A6, FN_LCDOUT22, FN_MSIOF2_SS1_A, FN_RX4_B,
-		FN_VI4_DATA14, FN_VI5_DATA14, FN_DU_DB6, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_19_16 [4] */
-		IFN_A5, FN_LCDOUT21, FN_MSIOF3_SS2_B, FN_SCK4_B,
-		FN_VI4_DATA13, FN_VI5_DATA13, FN_DU_DB5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_15_12 [4] */
-		IFN_A4, FN_LCDOUT20, FN_MSIOF3_SS1_B, 0,
-		FN_VI4_DATA12, FN_VI5_DATA12, FN_DU_DB4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_11_8 [4] */
-		IFN_A3, FN_LCDOUT19, FN_MSIOF3_RXD_B, 0,
-		FN_VI4_DATA11, 0, FN_DU_DB3, 0,
-		0, FN_PWM6_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_7_4 [4] */
-		IFN_A2, FN_LCDOUT18, FN_MSIOF3_SCK_B, 0,
-		FN_VI4_DATA10, 0, FN_DU_DB2, 0,
-		0, FN_PWM5_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_3_0 [4] */
-		IFN_A1, FN_LCDOUT17, FN_MSIOF3_TXD_B, 0,
-		FN_VI4_DATA9, 0, FN_DU_DB1, 0,
-		0, FN_PWM4_A, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR3", 0xE606020C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR3_31_28 [4] */
-		IFN_A16, FN_LCDOUT8, 0, 0,
-		FN_VI4_FIELD, 0, FN_DU_DG0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_27_24 [4] */
-		IFN_A15, FN_LCDOUT15, FN_MSIOF3_TXD_C, 0,
-		FN_HRTS4x, FN_VI5_DATA11, FN_DU_DG7, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_23_20 [4] */
-		IFN_A14, FN_LCDOUT14, FN_MSIOF3_RXD_C, 0,
-		FN_HCTS4x, FN_VI5_DATA10, FN_DU_DG6, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_19_16 [4] */
-		IFN_A13, FN_LCDOUT13, FN_MSIOF3_SYNC_C, 0,
-		FN_HTX4_A, FN_VI5_DATA9, FN_DU_DG5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_15_12 [4] */
-		IFN_A12, FN_LCDOUT12, FN_MSIOF3_SCK_C, 0,
-		FN_HRX4_A, FN_VI5_DATA8, FN_DU_DG4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_11_8 [4] */
-		IFN_A11, FN_TX3_B, FN_MSIOF2_TXD_A, FN_HTX4_B,
-		FN_HSCK4, FN_VI5_FIELD, 0, FN_SCL6_A,
-		FN_AVB_AVTP_CAPTURE_B, FN_PWM2_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_7_4 [4] */
-		IFN_A10, 0, FN_MSIOF2_RXD_A, FN_RTS4n_TANS_B,
-		0, FN_VI5_HSYNCx, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_3_0 [4] */
-		IFN_A9, 0, FN_MSIOF2_SCK_A, FN_CTS4x_B,
-		0, FN_VI5_VSYNCx, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR4", 0xE6060210, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR4_31_28 [4] */
-		IFN_RD_WRx, 0, FN_MSIOF3_RXD_D, FN_TX3_A,
-		FN_HTX3_A, 0, 0, 0,
-		FN_CAN0_RX_A, FN_CANFD0_RX_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_27_24 [4] */
-		IFN_RDx, 0, FN_MSIOF3_SYNC_D, FN_RX3_A,
-		FN_HRX3_A, 0, 0, 0,
-		FN_CAN0_TX_A, FN_CANFD0_TX_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_23_20 [4] */
-		IFN_BSx, FN_QSTVA_QVS, FN_MSIOF3_SCK_D, FN_SCK3,
-		FN_HSCK3, 0, 0, 0,
-		FN_CAN1_TX, FN_CANFD1_TX, FN_IETX_A, 0,
-		0, 0, 0, 0,
-		/* IPSR4_19_16 [4] */
-		IFN_CS1x_A26, 0, 0, 0,
-		0, FN_VI5_CLK, 0, FN_EX_WAIT0_B,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_15_12 [4] */
-		IFN_CS0x, 0, 0, 0,
-		0, FN_VI5_CLKENB, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_11_8 [4] */
-		IFN_A19, FN_LCDOUT11, 0, 0,
-		FN_VI4_CLKENB, 0, FN_DU_DG3, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_7_4 [4] */
-		IFN_A18, FN_LCDOUT10, 0, 0,
-		FN_VI4_HSYNCx, 0, FN_DU_DG2, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_3_0 [4] */
-		IFN_A17, FN_LCDOUT9, 0, 0,
-		FN_VI4_VSYNCx, 0, FN_DU_DG1, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR5", 0xE6060214, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR5_31_28 [4] */
-		IFN_D4, FN_MSIOF2_SCK_B, 0, 0,
-		FN_VI4_DATA20, FN_VI5_DATA4, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_27_24 [4] */
-		IFN_D3, 0, FN_MSIOF3_TXD_A, 0,
-		FN_VI4_DATA19, FN_VI5_DATA3, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_23_20 [4] */
-		IFN_D2, 0, FN_MSIOF3_RXD_A, 0,
-		FN_VI4_DATA18, FN_VI5_DATA2, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_19_16 [4] */
-		IFN_D1, FN_MSIOF2_SS2_B, FN_MSIOF3_SYNC_A, 0,
-		FN_VI4_DATA17, FN_VI5_DATA1, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_15_12 [4] */
-		IFN_D0, FN_MSIOF2_SS1_B, FN_MSIOF3_SCK_A, 0,
-		FN_VI4_DATA16, FN_VI5_DATA0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_11_8 [4] */
-		IFN_EX_WAIT0_A, FN_QCLK, 0, 0,
-		FN_VI4_CLK, 0, FN_DU_DOTCLKOUT0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_7_4 [4] */
-		IFN_WE1x, 0, FN_MSIOF3_SS1_D, FN_RTS3x_TANS,
-		FN_HRTS3x, 0, 0, FN_SDA6_B,
-		FN_CAN1_RX, FN_CANFD1_RX, FN_IERX_A, 0,
-		0, 0, 0, 0,
-		/* IPSR5_3_0 [4] */
-		IFN_WE0x, 0, FN_MSIIOF3_TXD_D, FN_CTS3x,
-		FN_HCTS3x, 0, 0, FN_SCL6_B,
-		FN_CAN_CLK, 0, FN_IECLK_A, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR6", 0xE6060218, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR6_31_28 [4] */
-		IFN_D12, FN_LCDOUT4, FN_MSIOF2_SS1_D, FN_RX4_C,
-		FN_VI4_DATA4_A, 0, FN_DU_DR4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_27_24 [4] */
-		IFN_D11, FN_LCDOUT3, FN_MSIOF2_TXD_D, FN_HTX3_B,
-		FN_VI4_DATA3_A, FN_RTS4x_TANS_C, FN_DU_DR3, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_23_20 [4] */
-		IFN_D10, FN_LCDOUT2, FN_MSIOF2_RXD_D, FN_HRX3_B,
-		FN_VI4_DATA2_A, FN_CTS4x_C, FN_DU_DR2, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_19_16 [4] */
-		IFN_D9, FN_LCDOUT1, FN_MSIOF2_SYNC_D, 0,
-		FN_VI4_DATA1_A, 0, FN_DU_DR1, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_15_12 [4] */
-		IFN_D8, FN_LCDOUT0, FN_MSIOF2_SCK_D, FN_SCK4_C,
-		FN_VI4_DATA0_A, 0, FN_DU_DR0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_11_8 [4] */
-		IFN_D7, FN_MSIOF2_TXD_B, 0, 0,
-		FN_VI4_DATA23, FN_VI5_DATA7, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_7_4 [4] */
-		IFN_D6, FN_MSIOF2_RXD_B, 0, 0,
-		FN_VI4_DATA22, FN_VI5_DATA6, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_3_0 [4] */
-		IFN_D5, FN_MSIOF2_SYNC_B, 0, 0,
-		FN_VI4_DATA21, FN_VI5_DATA5, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR7", 0xE606021C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR7_31_28 [4] */
-		IFN_SD0_DAT1, 0, FN_MSIOF1_TXD_E, 0,
-		0, FN_TS_SPSYNC0_B, FN_STP_ISSYNC_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_27_24 [4] */
-		IFN_SD0_DAT0, 0, FN_MSIOF1_RXD_E, 0,
-		0, FN_TS_SCK0_B, FN_STP_ISCLK_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_23_20 [4] */
-		IFN_SD0_CMD, 0, FN_MSIOF1_SYNC_E, 0,
-		0, 0, FN_STP_IVCXO27_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_19_16 [4] */
-		IFN_SD0_CLK, 0, FN_MSIOF1_SCK_E, 0,
-		0, 0, FN_STP_OPWM_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_15_12 [4] */
-		FN_FSCLKST, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_11_8 [4] */
-		IFN_D15, FN_LCDOUT7, FN_MSIOF3_SS2_A, FN_HTX3_C,
-		FN_VI4_DATA7_A, 0, FN_DU_DR7, FN_SDA6_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_7_4 [4] */
-		IFN_D14, FN_LCDOUT6, FN_MSIOF3_SS1_A, FN_HRX3_C,
-		FN_VI4_DATA6_A, 0, FN_DU_DR6, FN_SCL6_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_3_0 [4] */
-		IFN_D13, FN_LCDOUT5, FN_MSIOF2_SS2_D, FN_TX4_C,
-		FN_VI4_DATA5_A, 0, FN_DU_DR5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR8", 0xE6060220, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR8_31_28 [4] */
-		IFN_SD1_DAT3, FN_SD2_DAT7, FN_MSIOF1_SS2_G, FN_NFRBx_B,
-		0, FN_TS_SDEN1_B, FN_STP_ISEN_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_27_24 [4] */
-		IFN_SD1_DAT2, FN_SD2_DAT6, FN_MSIOF1_SS1_G, FN_NFDATA15_B,
-		0, FN_TS_SDAT1_B, FN_STP_IOD_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_23_20 [4] */
-		IFN_SD1_DAT1, FN_SD2_DAT5, FN_MSIOF1_TXD_G, FN_NFDATA14_B,
-		0, FN_TS_SPSYNC1_B, FN_STP_ISSYNC_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_19_16 [4] */
-		IFN_SD1_DAT0, FN_SD2_DAT4, FN_MSIOF1_RXD_G, FN_NFWPx_B,
-		0, FN_TS_SCK1_B, FN_STP_ISCLK_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_15_12 [4] */
-		IFN_SD1_CMD, 0, FN_MSIOF1_SYNC_G, FN_NFCEx_B,
-		0, FN_SIM0_D_A, FN_STP_IVCXO27_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_11_8 [4] */
-		IFN_SD1_CLK, 0, FN_MSIOF1_SCK_G, 0,
-		0, FN_SIM0_CLK_A, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_7_4 [4] */
-		IFN_SD0_DAT3, 0, FN_MSIOF1_SS2_E, 0,
-		0, FN_TS_SDEN0_B, FN_STP_ISEN_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_3_0 [4] */
-		IFN_SD0_DAT2, 0, FN_MSIOF1_SS1_E, 0,
-		0, FN_TS_SDAT0_B, FN_STP_ISD_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR9", 0xE6060224, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR9_31_28 [4] */
-		IFN_SD3_CLK, 0, FN_NFWEx, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_27_24 [4] */
-		IFN_SD2_DS, 0, FN_NFALE, 0,
-		0, 0, 0, 0,
-		FN_SATA_DEVSLP_B, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_23_20 [4] */
-		IFN_SD2_DAT3, 0, FN_NFDATA13, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_19_16 [4] */
-		IFN_SD2_DAT2, 0, FN_NFDATA12, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_15_12 [4] */
-		IFN_SD2_DAT1, 0, FN_NFDATA11, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_11_8 [4] */
-		IFN_SD2_DAT0, 0, FN_NFDATA10, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_7_4 [4] */
-		IFN_SD2_CMD, 0, FN_NFDATA9, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_3_0 [4] */
-		IFN_SD2_CLK, 0, FN_NFDATA8, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR10", 0xE6060228, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR10_31_28 [4] */
-		IFN_SD3_DAT6, FN_SD3_CD, FN_NFDATA6, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_27_24 [4] */
-		IFN_SD3_DAT5, FN_SD2_WP_A, FN_NFDATA5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_23_20 [4] */
-		IFN_SD3_DAT4, FN_SD2_CD_A, FN_NFDATA4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_19_16 [4] */
-		IFN_SD3_DAT3, 0, FN_NFDATA3, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_15_12 [4] */
-		IFN_SD3_DAT2, 0, FN_NFDATA2, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_11_8 [4] */
-		IFN_SD3_DAT1, 0, FN_NFDATA1, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_7_4 [4] */
-		IFN_SD3_DAT0, 0, FN_NFDATA0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_3_0 [4] */
-		IFN_SD3_CMD, 0, FN_NFREx, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR11", 0xE606022C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR11_31_28 [4] */
-		IFN_RX0, FN_HRX1_B, 0, 0,
-		0, FN_TS_SCK0_C, FN_STP_ISCLK_0_C, FN_RIF0_D0_B,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_27_24 [4] */
-		IFN_SCK0, FN_HSCK1_B, FN_MSIOF1_SS2_B, FN_AUDIO_CLKC_B,
-		FN_SDA2_A, FN_SIM0_RST_B, FN_STP_OPWM_0_C, FN_RIF0_CLK_B,
-		FN_ADICHS2, FN_SCK5_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_23_20 [4] */
-		IFN_SD1_WP, 0, FN_NFCEx_A, 0,
-		0, FN_SIM0_D_B, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_19_16 [4] */
-		IFN_SD1_CD, 0, FN_NFRBx_A, 0,
-		0, FN_SIM0_CLK_B, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_15_12 [4] */
-		IFN_SD0_WP, 0, FN_NFDATA15_A, 0,
-		FN_SDA2_B, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_11_8 [4] */
-		IFN_SD0_CD, 0, FN_NFDATA14_A, 0,
-		FN_SCL2_B, FN_SIM0_RST_A, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_7_4 [4] */
-		IFN_SD3_DS, 0, FN_NFCLE, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_3_0 [4] */
-		IFN_SD3_DAT7, FN_SD3_WP, FN_NFDATA7, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR12", 0xE6060230, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR12_31_28 [4] */
-		IFN_SCK2, FN_SCIF_CLK_B, FN_MSIOF1_SCK_B, 0,
-		0, FN_TS_SCK1_C, FN_STP_ISCLK_1_C, FN_RIF1_CLK_B,
-		0, FN_ADICLK, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_27_24 [4] */
-		IFN_RTS1x_TANS, FN_HRTS1x_A, FN_MSIOF1_TXD_B, 0,
-		0, FN_TS_SDAT1_C, FN_STP_ISD_1_C, FN_RIF1_D1_B,
-		0, FN_ADICHS0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_23_20 [4] */
-		IFN_CTS1x, FN_HCTS1x_A, FN_MSIOF1_RXD_B, 0,
-		0, FN_TS_SDEN1_C, FN_STP_ISEN_1_C, FN_RIF1_D0_B,
-		0, FN_ADIDATA, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_19_16 [4] */
-		IFN_TX1_A, FN_HTX1_A, 0, 0,
-		0, FN_TS_SDEN0_C, FN_STP_ISEN_0_C, FN_RIF1_D0_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_15_12 [4] */
-		IFN_RX1_A, FN_HRX1_A, 0, 0,
-		0, FN_TS_SDAT0_C, FN_STP_ISD_0_C, FN_RIF1_CLK_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_11_8 [4] */
-		IFN_RTS0x_TANS, FN_HRTS1x_B, FN_MSIOF1_SS1_B, FN_AUDIO_CLKA_B,
-		FN_SCL2_A, 0, FN_STP_IVCXO27_1_C, FN_RIF0_SYNC_B,
-		0, FN_ADICHS1, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_7_4 [4] */
-		IFN_CTS0x, FN_HCTS1x_B, FN_MSIOF1_SYNC_B, 0,
-		0, FN_TS_SPSYNC1_C, FN_STP_ISSYNC_1_C, FN_RIF1_SYNC_B,
-		FN_AUDIO_CLKOUT_C, FN_ADICS_SAMP, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_3_0 [4] */
-		IFN_TX0, FN_HTX1_B, 0, 0,
-		0, FN_TS_SPSYNC0_C, FN_STP_ISSYNC_0_C, FN_RIF0_D1_B,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR13", 0xE6060234, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR13_31_28 [4] */
-		IFN_MSIOF0_SYNC, 0, 0, 0,
-		0, 0, 0, 0,
-		FN_AUDIO_CLKOUT_A, 0, FN_TX5_B, 0,
-		0, FN_BPFCLK_D, 0, 0,
-		/* IPSR13_27_24 [4] */
-		IFN_HRTS0x, FN_TX2_B, FN_MSIOF1_SS1_D, 0,
-		FN_SSI_WS9_A, 0, FN_STP_IVCXO27_0_D, FN_BPFCLK_A,
-		FN_AUDIO_CLKOUT2_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_23_20 [4] */
-		IFN_HCTS0x, FN_RX2_B, FN_MSIOF1_SYNC_D, 0,
-		FN_SSI_SCK9_A, FN_TS_SPSYNC0_D,
-		FN_STP_ISSYNC_0_D, FN_RIF0_SYNC_C,
-		FN_AUDIO_CLKOUT1_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_19_16 [4] */
-		IFN_HTX0, 0, FN_MSIOF1_TXD_D, 0,
-		FN_SSI_SDATA9_B, FN_TS_SDAT0_D, FN_STP_ISD_0_D, FN_RIF0_D1_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_15_12 [4] */
-		IFN_HRX0, 0, FN_MSIOF1_RXD_D, 0,
-		FN_SSI_SDATA2_B, FN_TS_SDEN0_D, FN_STP_ISEN_0_D, FN_RIF0_D0_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_11_8 [4] */
-		IFN_HSCK0, 0, FN_MSIOF1_SCK_D, FN_AUDIO_CLKB_A,
-		FN_SSI_SDATA1_B, FN_TS_SCK0_D, FN_STP_ISCLK_0_D, FN_RIF0_CLK_C,
-		0, 0, FN_RX5_B, 0,
-		0, 0, 0, 0,
-		/* IPSR13_7_4 [4] */
-		IFN_RX2_A, 0, 0, FN_SD2_WP_B,
-		FN_SDA1_A, 0, FN_FMIN_A, FN_RIF1_SYNC_C,
-		0, FN_FSO_CFE_1x, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_3_0 [4] */
-		IFN_TX2_A, 0, 0, FN_SD2_CD_B,
-		FN_SCL1_A, 0, FN_FMCLK_A, FN_RIF1_D1_C,
-		0, FN_FSO_CFE_0x, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR14", 0xE6060238, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR14_31_28 [4] */
-		IFN_SSI_SDATA0, 0, FN_MSIOF1_SS2_F, 0,
-		0, 0, 0, FN_MOUT2,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_27_24 [4] */
-		IFN_SSI_WS01239, 0, FN_MSIOF1_SS1_F, 0,
-		0, 0, 0, 0, FN_MOUT1,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_23_20 [4] */
-		IFN_SSI_SCK01239, 0, FN_MSIOF1_TXD_F, 0,
-		0, 0, 0, FN_MOUT0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_19_16 [4] */
-		IFN_MLB_DAT, FN_TX1_B, FN_MSIOF1_RXD_F, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_15_12 [4] */
-		IFN_MLB_SIG, FN_RX1_B, FN_MSIOF1_SYNC_F, 0,
-		FN_SDA1_B, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_11_8 [4] */
-		IFN_MLB_CLK, 0, FN_MSIOF1_SCK_F, 0,
-		FN_SCL1_B, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_7_4 [4] */
-		IFN_MSIOF0_SS2, FN_TX5_A, FN_MSIOF1_SS2_D, FN_AUDIO_CLKC_A,
-		FN_SSI_WS2_A, 0, FN_STP_OPWM_0_D, 0,
-		FN_AUDIO_CLKOUT_D, 0, FN_SPEEDIN_B, 0,
-		0, 0, 0, 0,
-		/* IPSR14_3_0 [4] */
-		IFN_MSIOF0_SS1, FN_RX5_A, FN_NFWPx_A, FN_AUDIO_CLKA_C,
-		FN_SSI_SCK2_A, 0, FN_STP_IVCXO27_0_C, 0,
-		FN_AUDIO_CLKOUT3_A, 0, FN_TCLK1_B, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR15", 0xE606023C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR15_31_28 [4] */
-		IFN_SSI_SDATA4, FN_HSCK2_A, FN_MSIOF1_RXD_A, 0,
-		0, FN_TS_SPSYNC0_A, FN_STP_ISSYNC_0_A, FN_RIF0_D0_A,
-		FN_RIF2_D1_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_27_24 [4] */
-		IFN_SSI_WS4, FN_HTX2_A, FN_MSIOF1_SYNC_A, 0,
-		0, FN_TS_SDEN0_A, FN_STP_ISEN_0_A, FN_RIF0_SYNC_A,
-		FN_RIF2_SYNC_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_23_20 [4] */
-		IFN_SSI_SCK4, FN_HRX2_A, FN_MSIOF1_SCK_A, 0,
-		0, FN_TS_SDAT0_A, FN_STP_ISD_0_A, FN_RIF0_CLK_A,
-		FN_RIF2_CLK_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_19_16 [4] */
-		IFN_SSI_SDATA3, FN_HRTS2x_A, FN_MSIOF1_TXD_A, 0,
-		0, FN_TS_SCK0_A, FN_STP_ISCLK_0_A, FN_RIF0_D1_A,
-		FN_RIF2_D0_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_15_12 [4] */
-		IFN_SSI_WS34, FN_HCTS2x_A, FN_MSIOF1_SS2_A, 0,
-		0, 0, FN_STP_IVCXO27_0_A, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_11_8 [4] */
-		IFN_SSI_SCK34, 0, FN_MSIOF1_SS1_A, 0,
-		0, 0, FN_STP_OPWM_0_A, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_7_4 [4] */
-		IFN_SSI_SDATA2_A, 0, 0, 0,
-		FN_SSI_SCK1_B, 0, 0, FN_MOUT6,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_3_0 [4] */
-		IFN_SSI_SDATA1_A, 0, 0, 0,
-		0, 0, 0, FN_MOUT5,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR16", 0xE6060240, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR16_31_28 [4] */
-		IFN_SSI_SDATA9_A, FN_HSCK2_B, FN_MSIOF1_SS1_C, FN_HSCK1_A,
-		FN_SSI_WS1_B, FN_SCK1, FN_STP_IVCXO27_1_A, FN_SCK5_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_27_24 [4] */
-		IFN_SSI_SDATA8, FN_HRTS2x_B, FN_MSIOF1_TXD_C, 0,
-		0, FN_TS_SPSYNC1_A, FN_STP_ISSYNC_1_A, FN_RIF1_D1_A,
-		FN_RIF3_D1_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_23_20 [4] */
-		IFN_SSI_SDATA7, FN_HCTS2x_B, FN_MSIOF1_RXD_C, 0,
-		0, FN_TS_SDEN1_A, FN_STP_ISEN_1_A, FN_RIF1_D0_A,
-		FN_RIF3_D0_A, 0, FN_TCLK2_A, 0,
-		0, 0, 0, 0,
-		/* IPSR16_19_16 [4] */
-		IFN_SSI_WS78, FN_HTX2_B, FN_MSIOF1_SYNC_C, 0,
-		0, FN_TS_SDAT1_A, FN_STP_ISD_1_A, FN_RIF1_SYNC_A,
-		FN_RIF3_SYNC_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_15_12 [4] */
-		IFN_SSI_SCK78, FN_HRX2_B, FN_MSIOF1_SCK_C, 0,
-		0, FN_TS_SCK1_A, FN_STP_ISCLK_1_A, FN_RIF1_CLK_A,
-		FN_RIF3_CLK_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_11_8 [4] */
-		IFN_SSI_SDATA6, 0, 0, FN_SIM0_CLK_D,
-		0, 0, 0, 0,
-		FN_SATA_DEVSLP_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_7_4 [4] */
-		IFN_SSI_WS6, 0, 0, FN_SIM0_D_D,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_3_0 [4] */
-		IFN_SSI_SCK6, 0, 0, FN_SIM0_RST_D,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR17", 0xE6060244, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR17_31_28 [4] */
-		IFN_USB30_OVC, 0, 0, FN_AUDIO_CLKOUT1_B,
-		FN_SSI_WS2_B, FN_TS_SPSYNC1_D, FN_STP_ISSYNC_1_D, FN_STP_IVCXO27_0_E,
-		FN_RIF3_D1_B, 0, FN_FSO_TOEx, FN_TPU0TO1,
-		0, 0, 0, 0,
-		/* IPSR17_27_24 [4] */
-		IFN_USB30_PWEN, 0, 0, FN_AUDIO_CLKOUT_B,
-		FN_SSI_SCK2_B, FN_TS_SDEN1_D, FN_STP_ISEN_1_D, FN_STP_OPWM_0_E,
-		FN_RIF3_D0_B, 0, FN_TCLK2_B, FN_TPU0TO0,
-		FN_BPFCLK_C, FN_HRTS2x_C, 0, 0,
-		/* IPSR17_23_20 [4] */
-		IFN_USB1_OVC, 0, FN_MSIOF1_SS2_C, 0,
-		FN_SSI_WS1_A, FN_TS_SDAT0_E, FN_STP_ISD_0_E, FN_FMIN_B,
-		FN_RIF2_SYNC_B, 0, FN_REMOCON_B, 0,
-		0, FN_HCTS2x_C, 0, 0,
-		/* IPSR17_19_16 [4] */
-		IFN_USB1_PWEN, 0, 0, FN_SIM0_CLK_C,
-		FN_SSI_SCK1_A, FN_TS_SCK0_E, FN_STP_ISCLK_0_E, FN_FMCLK_B,
-		FN_RIF2_CLK_B, 0, FN_SPEEDIN_A, 0,
-		0, FN_HTX2_C, 0, 0,
-		/* IPSR17_15_12 [4] */
-		IFN_USB0_OVC, 0, 0, FN_SIM0_D_C,
-		0, FN_TS_SDAT1_D, FN_STP_ISD_1_D, 0,
-		FN_RIF3_SYNC_B, 0, 0, 0,
-		0, FN_HRX2_C, 0, 0,
-		/* IPSR17_11_8 [4] */
-		IFN_USB0_PWEN, 0, 0, FN_SIM0_RST_C,
-		0, FN_TS_SCK1_D, FN_STP_ISCLK_1_D, FN_BPFCLK_B,
-		FN_RIF3_CLK_B, 0, 0, 0,
-		0, FN_HSCK2_C, 0, 0,
-		/* IPSR17_7_4 [4] */
-		IFN_AUDIO_CLKB_B, FN_SCIF_CLK_A, 0, 0,
-		0, 0, FN_STP_IVCXO27_1_D, FN_REMOCON_A,
-		0, 0, FN_TCLK1_A, 0,
-		0, 0, 0, 0,
-		/* IPSR17_3_0 [4] */
-		IFN_AUDIO_CLKA_A, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, FN_CC5_OSCOUT,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR18", 0xE6060248, 32,
-				1, 1, 1, 1, 1, 1, 1, 1,
-				1, 1, 1, 1, 1, 1, 1, 1,
-				1, 1, 1, 1, 1, 1, 1, 1,
-				4, 4) {
-		/* reserved [31..24] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* reserved [23..16] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* reserved [15..8] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* IPSR18_7_4 [4] */
-		IFN_USB3_OVC, 0, 0, FN_AUDIO_CLKOUT3_B,
-		FN_SSI_WS9_B, FN_TS_SPSYNC0_E, FN_STP_ISSYNC_0_E, 0,
-		FN_RIF2_D1_B, 0, 0, FN_TPU0TO3,
-		FN_FMIN_C, FN_FMIN_D, 0, 0,
-		/* IPSR18_3_0 [4] */
-		IFN_USB3_PWEN, 0, 0, FN_AUDIO_CLKOUT2_B,
-		FN_SSI_SCK9_B, FN_TS_SDEN0_E, FN_STP_ISEN_0_E, 0,
-		FN_RIF2_D0_B, 0, 0, FN_TPU0TO2,
-		FN_FMCLK_C, FN_FMCLK_D, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("MOD_SEL0", 0xE6060500, 32,
-				3, 2, 3, 1, 1, 1, 1, 1, 2, 1,
-				1, 2, 1, 1, 1, 2, 2, 1, 2, 1, 1, 1) {
-		/* MOD_SEL0 */
-		/* sel_msiof3[3](0,1,2,3,4) */
-		FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
-		FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
-		FN_SEL_MSIOF3_4, 0,
-		0, 0,
-		/* sel_msiof2[2](0,1,2,3) */
-		FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
-		FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
-		/* sel_msiof1[3](0,1,2,3,4,5,6) */
-		FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
-		FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
-		FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
-		FN_SEL_MSIOF1_6, 0,
-		/* sel_lbsc[1](0,1) */
-		FN_SEL_LBSC_0, FN_SEL_LBSC_1,
-		/* sel_iebus[1](0,1) */
-		FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
-		/* sel_i2c2[1](0,1) */
-		FN_SEL_I2C2_0, FN_SEL_I2C2_1,
-		/* sel_i2c1[1](0,1) */
-		FN_SEL_I2C1_0, FN_SEL_I2C1_1,
-		/* sel_hscif4[1](0,1) */
-		FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
-		/* sel_hscif3[2](0,1,2,3) */
-		FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
-		FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
-		/* sel_hscif1[1](0,1) */
-		FN_SEL_HSCIF1_0, FN_SEL_HSCIF1_1,
-		/* reserved[1] */
-		0, 0,
-		/* sel_hscif2[2](0,1,2) */
-		FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
-		FN_SEL_HSCIF2_2, 0,
-		/* sel_etheravb[1](0,1) */
-		FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
-		/* sel_drif3[1](0,1) */
-		FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
-		/* sel_drif2[1](0,1) */
-		FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
-		/* sel_drif1[2](0,1,2) */
-		FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
-		FN_SEL_DRIF1_2, 0,
-		/* sel_drif0[2](0,1,2) */
-		FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
-		FN_SEL_DRIF0_2, 0,
-		/* sel_canfd0[1](0,1) */
-		FN_SEL_CANFD_0, FN_SEL_CANFD_1,
-		/* sel_adg_a[2](0,1,2) */
-		FN_SEL_ADG_A_0, FN_SEL_ADG_A_1,
-		FN_SEL_ADG_A_2, 0,
-		/* reserved[3]*/
-		0, 0,
-		0, 0,
-		0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("MOD_SEL1", 0xE6060504, 32,
-				2, 3, 1, 2,
-				3, 1, 1, 2, 1,
-				2, 1, 1, 1, 1, 1, 1,
-				1, 1, 1, 1, 1, 1, 1, 1) {
-		/* sel_tsif1[2](0,1,2,3) */
-		FN_SEL_TSIF1_0, FN_SEL_TSIF1_1,
-		FN_SEL_TSIF1_2, FN_SEL_TSIF1_3,
-		/* sel_tsif0[3](0,1,2,3,4) */
-		FN_SEL_TSIF0_0, FN_SEL_TSIF0_1,
-		FN_SEL_TSIF0_2, FN_SEL_TSIF0_3,
-		FN_SEL_TSIF0_4, 0,
-		0, 0,
-		/* sel_timer_tmu1[1](0,1) */
-		FN_SEL_TIMER_TMU1_0, FN_SEL_TIMER_TMU1_1,
-		/* sel_ssp1_1[2](0,1,2,3) */
-		FN_SEL_SSP1_1_0, FN_SEL_SSP1_1_1,
-		FN_SEL_SSP1_1_2, FN_SEL_SSP1_1_3,
-		/* sel_ssp1_0[3](0,1,2,3,4) */
-		FN_SEL_SSP1_0_0, FN_SEL_SSP1_0_1,
-		FN_SEL_SSP1_0_2, FN_SEL_SSP1_0_3,
-		FN_SEL_SSP1_0_4, 0,
-		0, 0,
-		/* sel_ssi1[1](0,1) */
-		FN_SEL_SSI_0, FN_SEL_SSI_1,
-		/* sel_speed_pulse_if[1](0,1) */
-		FN_SEL_SPEED_PULSE_IF_0, FN_SEL_SPEED_PULSE_IF_1,
-		/* sel_simcard[2](0,1,2,3) */
-		FN_SEL_SIMCARD_0, FN_SEL_SIMCARD_1,
-		FN_SEL_SIMCARD_2, FN_SEL_SIMCARD_3,
-		/* sel_sdhi2[1](0,1) */
-		FN_SEL_SDHI2_0, FN_SEL_SDHI2_1,
-		/* sel_scif4[2](0,1,2) */
-		FN_SEL_SCIF4_0, FN_SEL_SCIF4_1,
-		FN_SEL_SCIF4_2, 0,
-		/* sel_scif3[1](0,1) */
-		FN_SEL_SCIF3_0, FN_SEL_SCIF3_1,
-		/* sel_scif2[1](0,1) */
-		FN_SEL_SCIF2_0, FN_SEL_SCIF2_1,
-		/* sel_scif1[1](0,1) */
-		FN_SEL_SCIF1_0, FN_SEL_SCIF1_1,
-		/* sel_scif[1](0,1) */
-		FN_SEL_SCIF_0, FN_SEL_SCIF_1,
-		/* sel_remocon[1](0,1) */
-		FN_SEL_REMOCON_0, FN_SEL_REMOCON_1,
-		/* reserved[8..7] */
-		0, 0,
-		0, 0,
-		/* sel_rcan0[1](0,1) */
-		FN_SEL_RCAN_0, FN_SEL_RCAN_1,
-		/* sel_pwm6[1](0,1) */
-		FN_SEL_PWM6_0, FN_SEL_PWM6_1,
-		/* sel_pwm5[1](0,1) */
-		FN_SEL_PWM5_0, FN_SEL_PWM5_1,
-		/* sel_pwm4[1](0,1) */
-		FN_SEL_PWM4_0, FN_SEL_PWM4_1,
-		/* sel_pwm3[1](0,1) */
-		FN_SEL_PWM3_0, FN_SEL_PWM3_1,
-		/* sel_pwm2[1](0,1) */
-		FN_SEL_PWM2_0, FN_SEL_PWM2_1,
-		/* sel_pwm1[1](0,1) */
-		FN_SEL_PWM1_0, FN_SEL_PWM1_1,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("MOD_SEL2", 0xE6060508, 32,
-			1, 1, 1, 2, 1, 3, 1, 1, 1, 1, 1, 1, 1,
-			1, 1, 1, 1, 1, 1, 1, 1,
-			1, 1, 1, 1, 1, 1, 1, 1) {
-		/* i2c_sel_5[1](0,1) */
-		FN_I2C_SEL_5_0, FN_I2C_SEL_5_1,
-		/* i2c_sel_3[1](0,1) */
-		FN_I2C_SEL_3_0, FN_I2C_SEL_3_1,
-		/* i2c_sel_0[1](0,1) */
-		FN_I2C_SEL_0_0, FN_I2C_SEL_0_1,
-		/* sel_fm[2](0,1,2,3) */
-		FN_SEL_FM_0, FN_SEL_FM_1,
-		FN_SEL_FM_2, FN_SEL_FM_3,
-		/* sel_scif5[1](0,1) */
-		FN_SEL_SCIF5_0, FN_SEL_SCIF5_1,
-		/* sel_i2c6[3](0,1,2) */
-		FN_SEL_I2C6_0, FN_SEL_I2C6_1,
-		FN_SEL_I2C6_2, 0,
-		/* sel_ndfc[1](0,1) */
-		FN_SEL_NDFC_0, FN_SEL_NDFC_1,
-		/* sel_ssi2[1](0,1) */
-		FN_SEL_SSI2_0, FN_SEL_SSI2_1,
-		/* sel_ssi9[1](0,1) */
-		FN_SEL_SSI9_0, FN_SEL_SSI9_1,
-		/* sel_timer_tmu2[1](0,1) */
-		FN_SEL_TIMER_TMU2_0, FN_SEL_TIMER_TMU2_1,
-		/* sel_adg_b[1](0,1) */
-		FN_SEL_ADG_B_0, FN_SEL_ADG_B_1,
-		/* sel_adg_c[1](0,1) */
-		FN_SEL_ADG_C_0, FN_SEL_ADG_C_1,
-		/* reserved[16..16] */
-		0, 0,
-		/* reserved[15..8] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* reserved[7..1] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* sel_vin4[1](0,1) */
-		FN_SEL_VIN4_0, FN_SEL_VIN4_1,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL0", 0xE6050004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_0_15_IN, GP_0_15_OUT,
-		GP_0_14_IN, GP_0_14_OUT,
-		GP_0_13_IN, GP_0_13_OUT,
-		GP_0_12_IN, GP_0_12_OUT,
-		GP_0_11_IN, GP_0_11_OUT,
-		GP_0_10_IN, GP_0_10_OUT,
-		GP_0_9_IN, GP_0_9_OUT,
-		GP_0_8_IN, GP_0_8_OUT,
-		GP_0_7_IN, GP_0_7_OUT,
-		GP_0_6_IN, GP_0_6_OUT,
-		GP_0_5_IN, GP_0_5_OUT,
-		GP_0_4_IN, GP_0_4_OUT,
-		GP_0_3_IN, GP_0_3_OUT,
-		GP_0_2_IN, GP_0_2_OUT,
-		GP_0_1_IN, GP_0_1_OUT,
-		GP_0_0_IN, GP_0_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL1", 0xE6051004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_1_28_IN, GP_1_28_OUT,
-		GP_1_27_IN, GP_1_27_OUT,
-		GP_1_26_IN, GP_1_26_OUT,
-		GP_1_25_IN, GP_1_25_OUT,
-		GP_1_24_IN, GP_1_24_OUT,
-		GP_1_23_IN, GP_1_23_OUT,
-		GP_1_22_IN, GP_1_22_OUT,
-		GP_1_21_IN, GP_1_21_OUT,
-		GP_1_20_IN, GP_1_20_OUT,
-		GP_1_19_IN, GP_1_19_OUT,
-		GP_1_18_IN, GP_1_18_OUT,
-		GP_1_17_IN, GP_1_17_OUT,
-		GP_1_16_IN, GP_1_16_OUT,
-		GP_1_15_IN, GP_1_15_OUT,
-		GP_1_14_IN, GP_1_14_OUT,
-		GP_1_13_IN, GP_1_13_OUT,
-		GP_1_12_IN, GP_1_12_OUT,
-		GP_1_11_IN, GP_1_11_OUT,
-		GP_1_10_IN, GP_1_10_OUT,
-		GP_1_9_IN, GP_1_9_OUT,
-		GP_1_8_IN, GP_1_8_OUT,
-		GP_1_7_IN, GP_1_7_OUT,
-		GP_1_6_IN, GP_1_6_OUT,
-		GP_1_5_IN, GP_1_5_OUT,
-		GP_1_4_IN, GP_1_4_OUT,
-		GP_1_3_IN, GP_1_3_OUT,
-		GP_1_2_IN, GP_1_2_OUT,
-		GP_1_1_IN, GP_1_1_OUT,
-		GP_1_0_IN, GP_1_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL2", 0xE6052004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		GP_2_14_IN, GP_2_14_OUT,
-		GP_2_13_IN, GP_2_13_OUT,
-		GP_2_12_IN, GP_2_12_OUT,
-		GP_2_11_IN, GP_2_11_OUT,
-		GP_2_10_IN, GP_2_10_OUT,
-		GP_2_9_IN, GP_2_9_OUT,
-		GP_2_8_IN, GP_2_8_OUT,
-		GP_2_7_IN, GP_2_7_OUT,
-		GP_2_6_IN, GP_2_6_OUT,
-		GP_2_5_IN, GP_2_5_OUT,
-		GP_2_4_IN, GP_2_4_OUT,
-		GP_2_3_IN, GP_2_3_OUT,
-		GP_2_2_IN, GP_2_2_OUT,
-		GP_2_1_IN, GP_2_1_OUT,
-		GP_2_0_IN, GP_2_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL3", 0xE6053004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_3_15_IN, GP_3_15_OUT,
-		GP_3_14_IN, GP_3_14_OUT,
-		GP_3_13_IN, GP_3_13_OUT,
-		GP_3_12_IN, GP_3_12_OUT,
-		GP_3_11_IN, GP_3_11_OUT,
-		GP_3_10_IN, GP_3_10_OUT,
-		GP_3_9_IN, GP_3_9_OUT,
-		GP_3_8_IN, GP_3_8_OUT,
-		GP_3_7_IN, GP_3_7_OUT,
-		GP_3_6_IN, GP_3_6_OUT,
-		GP_3_5_IN, GP_3_5_OUT,
-		GP_3_4_IN, GP_3_4_OUT,
-		GP_3_3_IN, GP_3_3_OUT,
-		GP_3_2_IN, GP_3_2_OUT,
-		GP_3_1_IN, GP_3_1_OUT,
-		GP_3_0_IN, GP_3_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL4", 0xE6054004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_4_17_IN, GP_4_17_OUT,
-		GP_4_16_IN, GP_4_16_OUT,
-
-		GP_4_15_IN, GP_4_15_OUT,
-		GP_4_14_IN, GP_4_14_OUT,
-		GP_4_13_IN, GP_4_13_OUT,
-		GP_4_12_IN, GP_4_12_OUT,
-		GP_4_11_IN, GP_4_11_OUT,
-		GP_4_10_IN, GP_4_10_OUT,
-		GP_4_9_IN, GP_4_9_OUT,
-		GP_4_8_IN, GP_4_8_OUT,
-		GP_4_7_IN, GP_4_7_OUT,
-		GP_4_6_IN, GP_4_6_OUT,
-		GP_4_5_IN, GP_4_5_OUT,
-		GP_4_4_IN, GP_4_4_OUT,
-		GP_4_3_IN, GP_4_3_OUT,
-		GP_4_2_IN, GP_4_2_OUT,
-		GP_4_1_IN, GP_4_1_OUT,
-		GP_4_0_IN, GP_4_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL5", 0xE6055004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_5_25_IN, GP_5_25_OUT,
-		GP_5_24_IN, GP_5_24_OUT,
-
-		GP_5_23_IN, GP_5_23_OUT,
-		GP_5_22_IN, GP_5_22_OUT,
-		GP_5_21_IN, GP_5_21_OUT,
-		GP_5_20_IN, GP_5_20_OUT,
-		GP_5_19_IN, GP_5_19_OUT,
-		GP_5_18_IN, GP_5_18_OUT,
-		GP_5_17_IN, GP_5_17_OUT,
-		GP_5_16_IN, GP_5_16_OUT,
-
-		GP_5_15_IN, GP_5_15_OUT,
-		GP_5_14_IN, GP_5_14_OUT,
-		GP_5_13_IN, GP_5_13_OUT,
-		GP_5_12_IN, GP_5_12_OUT,
-		GP_5_11_IN, GP_5_11_OUT,
-		GP_5_10_IN, GP_5_10_OUT,
-		GP_5_9_IN, GP_5_9_OUT,
-		GP_5_8_IN, GP_5_8_OUT,
-		GP_5_7_IN, GP_5_7_OUT,
-		GP_5_6_IN, GP_5_6_OUT,
-		GP_5_5_IN, GP_5_5_OUT,
-		GP_5_4_IN, GP_5_4_OUT,
-		GP_5_3_IN, GP_5_3_OUT,
-		GP_5_2_IN, GP_5_2_OUT,
-		GP_5_1_IN, GP_5_1_OUT,
-		GP_5_0_IN, GP_5_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL6", 0xE6055404, 32, 1) {
-		GP_INOUTSEL(6)
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL7", 0xE6055804, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_6_3_IN, GP_6_3_OUT,
-		GP_6_2_IN, GP_6_2_OUT,
-		GP_6_1_IN, GP_6_1_OUT,
-		GP_6_0_IN, GP_6_0_OUT,
-		}
-	},
-	{ },
-};
-
-static struct pinmux_data_reg pinmux_data_regs[] = {
-	/* use OUTDT registers? */
-	{ PINMUX_DATA_REG("INDT0", 0xE6050008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		GP_0_15_DATA, GP_0_14_DATA, GP_0_13_DATA, GP_0_12_DATA,
-		GP_0_11_DATA, GP_0_10_DATA, GP_0_9_DATA, GP_0_8_DATA,
-		GP_0_7_DATA, GP_0_6_DATA, GP_0_5_DATA, GP_0_4_DATA,
-		GP_0_3_DATA, GP_0_2_DATA, GP_0_1_DATA, GP_0_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT1", 0xE6051008, 32) {
-		0, 0, 0, GP_1_28_DATA,
-		GP_1_27_DATA, GP_1_26_DATA, GP_1_25_DATA, GP_1_24_DATA,
-		GP_1_23_DATA, GP_1_22_DATA, GP_1_21_DATA, GP_1_20_DATA,
-		GP_1_19_DATA, GP_1_18_DATA, GP_1_17_DATA, GP_1_16_DATA,
-		GP_1_15_DATA, GP_1_14_DATA, GP_1_13_DATA, GP_1_12_DATA,
-		GP_1_11_DATA, GP_1_10_DATA, GP_1_9_DATA, GP_1_8_DATA,
-		GP_1_7_DATA, GP_1_6_DATA, GP_1_5_DATA, GP_1_4_DATA,
-		GP_1_3_DATA, GP_1_2_DATA, GP_1_1_DATA, GP_1_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT2", 0xE6052008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, GP_2_14_DATA, GP_2_13_DATA, GP_2_12_DATA,
-		GP_2_11_DATA, GP_2_10_DATA, GP_2_9_DATA, GP_2_8_DATA,
-		GP_2_7_DATA, GP_2_6_DATA, GP_2_5_DATA, GP_2_4_DATA,
-		GP_2_3_DATA, GP_2_2_DATA, GP_2_1_DATA, GP_2_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT3", 0xE6053008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		GP_3_15_DATA, GP_3_14_DATA, GP_3_13_DATA, GP_3_12_DATA,
-		GP_3_11_DATA, GP_3_10_DATA, GP_3_9_DATA, GP_3_8_DATA,
-		GP_3_7_DATA, GP_3_6_DATA, GP_3_5_DATA, GP_3_4_DATA,
-		GP_3_3_DATA, GP_3_2_DATA, GP_3_1_DATA, GP_3_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT4", 0xE6054008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, GP_4_17_DATA, GP_4_16_DATA,
-		GP_4_15_DATA, GP_4_14_DATA, GP_4_13_DATA, GP_4_12_DATA,
-		GP_4_11_DATA, GP_4_10_DATA, GP_4_9_DATA, GP_4_8_DATA,
-		GP_4_7_DATA, GP_4_6_DATA, GP_4_5_DATA, GP_4_4_DATA,
-		GP_4_3_DATA, GP_4_2_DATA, GP_4_1_DATA, GP_4_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT5", 0xE6055008, 32) {
-		0, 0, 0, 0,
-		0, 0, GP_5_25_DATA, GP_5_24_DATA,
-		GP_5_23_DATA, GP_5_22_DATA, GP_5_21_DATA, GP_5_20_DATA,
-		GP_5_19_DATA, GP_5_18_DATA, GP_5_17_DATA, GP_5_16_DATA,
-		GP_5_15_DATA, GP_5_14_DATA, GP_5_13_DATA, GP_5_12_DATA,
-		GP_5_11_DATA, GP_5_10_DATA, GP_5_9_DATA, GP_5_8_DATA,
-		GP_5_7_DATA, GP_5_6_DATA, GP_5_5_DATA, GP_5_4_DATA,
-		GP_5_3_DATA, GP_5_2_DATA, GP_5_1_DATA, GP_5_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT6", 0xE6055408, 32) {
-		GP_INDT(6) }
-	},
-	{ PINMUX_DATA_REG("INDT7", 0xE6055808, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0,
-		GP_7_3_DATA, GP_7_2_DATA, GP_7_1_DATA, GP_7_0_DATA }
-	},
-	{ },
-};
-
-
-static struct pinmux_info r8a7795_pinmux_info = {
-	.name = "r8a7795_pfc",
-
-	.unlock_reg = 0xe6060000, /* PMMR */
-
-	.reserved_id = PINMUX_RESERVED,
-	.data = { PINMUX_DATA_BEGIN, PINMUX_DATA_END },
-	.input = { PINMUX_INPUT_BEGIN, PINMUX_INPUT_END },
-	.output = { PINMUX_OUTPUT_BEGIN, PINMUX_OUTPUT_END },
-	.mark = { PINMUX_MARK_BEGIN, PINMUX_MARK_END },
-	.function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
-
-	.first_gpio = GPIO_GP_0_0,
-	.last_gpio = GPIO_FN_FMIN_D,
-
-	.gpios = pinmux_gpios,
-	.cfg_regs = pinmux_config_regs,
-	.data_regs = pinmux_data_regs,
-
-	.gpio_data = pinmux_data,
-	.gpio_data_size = ARRAY_SIZE(pinmux_data),
-};
-
-void r8a7795_pinmux_init(void)
-{
-	register_pinmux(&r8a7795_pinmux_info);
-}
diff --git a/arch/arm/mach-rmobile/pfc-r8a7796.c b/arch/arm/mach-rmobile/pfc-r8a7796.c
deleted file mode 100644
index f734f96dd0..0000000000
--- a/arch/arm/mach-rmobile/pfc-r8a7796.c
+++ /dev/null
@@ -1,5253 +0,0 @@
-/*
- * arch/arm/cpu/armv8/rcar_gen3/pfc-r8a7796.c
- *     This file is r8a7796 processor support - PFC hardware block.
- *
- * Copyright (C) 2016 Renesas Electronics Corporation
- *
- * SPDX-License-Identifier:	GPL-2.0+
- */
-
-#include <common.h>
-#include <sh_pfc.h>
-#include <asm/gpio.h>
-
-#define CPU_32_PORT(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),	\
-	PORT_10(fn, pfx##2, sfx), PORT_1(fn, pfx##30, sfx),	\
-	PORT_1(fn, pfx##31, sfx)
-
-#define CPU_32_PORT1(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),	\
-	PORT_10(fn, pfx##2, sfx)
-
-#define CPU_32_PORT2(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),	\
-	PORT_10(fn, pfx##2, sfx)
-
-#define CPU_32_PORT_29(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_10(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##20, sfx),				\
-	PORT_1(fn, pfx##21, sfx),				\
-	PORT_1(fn, pfx##22, sfx),				\
-	PORT_1(fn, pfx##23, sfx),				\
-	PORT_1(fn, pfx##24, sfx),				\
-	PORT_1(fn, pfx##25, sfx),				\
-	PORT_1(fn, pfx##26, sfx),				\
-	PORT_1(fn, pfx##27, sfx),				\
-	PORT_1(fn, pfx##28, sfx)
-
-#define CPU_32_PORT_26(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_10(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##20, sfx),				\
-	PORT_1(fn, pfx##21, sfx),				\
-	PORT_1(fn, pfx##22, sfx),				\
-	PORT_1(fn, pfx##23, sfx),				\
-	PORT_1(fn, pfx##24, sfx),				\
-	PORT_1(fn, pfx##25, sfx)
-
-#define CPU_32_PORT_18(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_1(fn, pfx##10, sfx),				\
-	PORT_1(fn, pfx##11, sfx),				\
-	PORT_1(fn, pfx##12, sfx),				\
-	PORT_1(fn, pfx##13, sfx),				\
-	PORT_1(fn, pfx##14, sfx),				\
-	PORT_1(fn, pfx##15, sfx),				\
-	PORT_1(fn, pfx##16, sfx),				\
-	PORT_1(fn, pfx##17, sfx)
-
-#define CPU_32_PORT_16(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_1(fn, pfx##10, sfx),				\
-	PORT_1(fn, pfx##11, sfx),				\
-	PORT_1(fn, pfx##12, sfx),				\
-	PORT_1(fn, pfx##13, sfx),				\
-	PORT_1(fn, pfx##14, sfx),				\
-	PORT_1(fn, pfx##15, sfx)
-
-#define CPU_32_PORT_15(fn, pfx, sfx)				\
-	PORT_10(fn, pfx, sfx),					\
-	PORT_1(fn, pfx##10, sfx),				\
-	PORT_1(fn, pfx##11, sfx),				\
-	PORT_1(fn, pfx##12, sfx),				\
-	PORT_1(fn, pfx##13, sfx),				\
-	PORT_1(fn, pfx##14, sfx)
-
-#define CPU_32_PORT_4(fn, pfx, sfx)				\
-	PORT_1(fn, pfx##0, sfx),				\
-	PORT_1(fn, pfx##1, sfx),				\
-	PORT_1(fn, pfx##2, sfx),				\
-	PORT_1(fn, pfx##3, sfx)
-
-
-/* --gen3-- */
-/* GP_0_0_DATA -> GP_7_4_DATA */
-/* except for GP0[16] - [31],
-		GP1[28] - [31],
-		GP2[15] - [31],
-		GP3[16] - [31],
-		GP4[18] - [31],
-		GP5[26] - [31],
-		GP7[4] - [31] */
-
-#define CPU_ALL_PORT(fn, pfx, sfx)		\
-	CPU_32_PORT_16(fn, pfx##_0_, sfx),	\
-	CPU_32_PORT_29(fn, pfx##_1_, sfx),	\
-	CPU_32_PORT_15(fn, pfx##_2_, sfx),	\
-	CPU_32_PORT_16(fn, pfx##_3_, sfx),	\
-	CPU_32_PORT_18(fn, pfx##_4_, sfx),	\
-	CPU_32_PORT_26(fn, pfx##_5_, sfx),	\
-	CPU_32_PORT(fn, pfx##_6_, sfx),		\
-	CPU_32_PORT_4(fn, pfx##_7_, sfx)
-
-#define _GP_GPIO(pfx, sfx) PINMUX_GPIO(GPIO_GP##pfx, GP##pfx##_DATA)
-#define _GP_DATA(pfx, sfx) PINMUX_DATA(GP##pfx##_DATA, GP##pfx##_FN,	\
-				       GP##pfx##_IN, GP##pfx##_OUT)
-
-#define _GP_INOUTSEL(pfx, sfx) GP##pfx##_IN, GP##pfx##_OUT
-#define _GP_INDT(pfx, sfx) GP##pfx##_DATA
-
-#define GP_ALL(str)	CPU_ALL_PORT(_PORT_ALL, GP, str)
-#define PINMUX_GPIO_GP_ALL()	CPU_ALL_PORT(_GP_GPIO, , unused)
-#define PINMUX_DATA_GP_ALL()	CPU_ALL_PORT(_GP_DATA, , unused)
-
-
-#define PORT_10_REV(fn, pfx, sfx)				\
-	PORT_1(fn, pfx##9, sfx), PORT_1(fn, pfx##8, sfx),	\
-	PORT_1(fn, pfx##7, sfx), PORT_1(fn, pfx##6, sfx),	\
-	PORT_1(fn, pfx##5, sfx), PORT_1(fn, pfx##4, sfx),	\
-	PORT_1(fn, pfx##3, sfx), PORT_1(fn, pfx##2, sfx),	\
-	PORT_1(fn, pfx##1, sfx), PORT_1(fn, pfx##0, sfx)
-
-#define CPU_32_PORT_REV(fn, pfx, sfx)					\
-	PORT_1(fn, pfx##31, sfx), PORT_1(fn, pfx##30, sfx),		\
-	PORT_10_REV(fn, pfx##2, sfx), PORT_10_REV(fn, pfx##1, sfx),	\
-	PORT_10_REV(fn, pfx, sfx)
-
-#define GP_INOUTSEL(bank) CPU_32_PORT_REV(_GP_INOUTSEL, _##bank##_, unused)
-#define GP_INDT(bank) CPU_32_PORT_REV(_GP_INDT, _##bank##_, unused)
-
-#define PINMUX_IPSR_DATA(ipsr, fn) PINMUX_DATA(fn##_MARK, FN_##ipsr, FN_##fn)
-#define PINMUX_IPSR_MODSEL_DATA(ipsr, fn, ms) PINMUX_DATA(fn##_MARK, FN_##ms, \
-							  FN_##ipsr, FN_##fn)
-
-enum {
-	PINMUX_RESERVED = 0,
-
-	PINMUX_DATA_BEGIN,
-	GP_ALL(DATA),
-	PINMUX_DATA_END,
-
-	PINMUX_INPUT_BEGIN,
-	GP_ALL(IN),
-	PINMUX_INPUT_END,
-
-	PINMUX_OUTPUT_BEGIN,
-	GP_ALL(OUT),
-	PINMUX_OUTPUT_END,
-
-	PINMUX_FUNCTION_BEGIN,
-	GP_ALL(FN),
-
-	/* GPSR0 */
-	GFN_D15,
-	GFN_D14,
-	GFN_D13,
-	GFN_D12,
-	GFN_D11,
-	GFN_D10,
-	GFN_D9,
-	GFN_D8,
-	GFN_D7,
-	GFN_D6,
-	GFN_D5,
-	GFN_D4,
-	GFN_D3,
-	GFN_D2,
-	GFN_D1,
-	GFN_D0,
-
-	/* GPSR1 */
-	GFN_CLKOUT,
-	GFN_EX_WAIT0_A,
-	GFN_WE1x,
-	GFN_WE0x,
-	GFN_RD_WRx,
-	GFN_RDx,
-	GFN_BSx,
-	GFN_CS1x_A26,
-	GFN_CS0x,
-	GFN_A19,
-	GFN_A18,
-	GFN_A17,
-	GFN_A16,
-	GFN_A15,
-	GFN_A14,
-	GFN_A13,
-	GFN_A12,
-	GFN_A11,
-	GFN_A10,
-	GFN_A9,
-	GFN_A8,
-	GFN_A7,
-	GFN_A6,
-	GFN_A5,
-	GFN_A4,
-	GFN_A3,
-	GFN_A2,
-	GFN_A1,
-	GFN_A0,
-
-	/* GPSR2 */
-	GFN_AVB_AVTP_CAPTURE_A,
-	GFN_AVB_AVTP_MATCH_A,
-	GFN_AVB_LINK,
-	GFN_AVB_PHY_INT,
-	GFN_AVB_MAGIC,
-	GFN_AVB_MDC,
-	GFN_PWM2_A,
-	GFN_PWM1_A,
-	GFN_PWM0,
-	GFN_IRQ5,
-	GFN_IRQ4,
-	GFN_IRQ3,
-	GFN_IRQ2,
-	GFN_IRQ1,
-	GFN_IRQ0,
-
-	/* GPSR3 */
-	GFN_SD1_WP,
-	GFN_SD1_CD,
-	GFN_SD0_WP,
-	GFN_SD0_CD,
-	GFN_SD1_DAT3,
-	GFN_SD1_DAT2,
-	GFN_SD1_DAT1,
-	GFN_SD1_DAT0,
-	GFN_SD1_CMD,
-	GFN_SD1_CLK,
-	GFN_SD0_DAT3,
-	GFN_SD0_DAT2,
-	GFN_SD0_DAT1,
-	GFN_SD0_DAT0,
-	GFN_SD0_CMD,
-	GFN_SD0_CLK,
-
-	/* GPSR4 */
-	GFN_SD3_DS,
-	GFN_SD3_DAT7,
-	GFN_SD3_DAT6,
-	GFN_SD3_DAT5,
-	GFN_SD3_DAT4,
-	FN_SD3_DAT3,
-	FN_SD3_DAT2,
-	FN_SD3_DAT1,
-	FN_SD3_DAT0,
-	FN_SD3_CMD,
-	FN_SD3_CLK,
-	GFN_SD2_DS,
-	GFN_SD2_DAT3,
-	GFN_SD2_DAT2,
-	GFN_SD2_DAT1,
-	GFN_SD2_DAT0,
-	FN_SD2_CMD,
-	GFN_SD2_CLK,
-
-	/* GPSR5 */
-	GFN_MLB_DAT,
-	GFN_MLB_SIG,
-	GFN_MLB_CLK,
-	FN_MSIOF0_RXD,
-	GFN_MSIOF0_SS2,
-	FN_MSIOF0_TXD,
-	GFN_MSIOF0_SS1,
-	GFN_MSIOF0_SYNC,
-	FN_MSIOF0_SCK,
-	GFN_HRTS0x,
-	GFN_HCTS0x,
-	GFN_HTX0,
-	GFN_HRX0,
-	GFN_HSCK0,
-	GFN_RX2_A,
-	GFN_TX2_A,
-	GFN_SCK2,
-	GFN_RTS1x_TANS,
-	GFN_CTS1x,
-	GFN_TX1_A,
-	GFN_RX1_A,
-	GFN_RTS0x_TANS,
-	GFN_CTS0x,
-	GFN_TX0,
-	GFN_RX0,
-	GFN_SCK0,
-
-	/* GPSR6 */
-	GFN_GP6_30,
-	GFN_GP6_31,
-	GFN_USB30_OVC,
-	GFN_USB30_PWEN,
-	GFN_USB1_OVC,
-	GFN_USB1_PWEN,
-	GFN_USB0_OVC,
-	GFN_USB0_PWEN,
-	GFN_AUDIO_CLKB_B,
-	GFN_AUDIO_CLKA_A,
-	GFN_SSI_SDATA9_A,
-	GFN_SSI_SDATA8,
-	GFN_SSI_SDATA7,
-	GFN_SSI_WS78,
-	GFN_SSI_SCK78,
-	GFN_SSI_SDATA6,
-	GFN_SSI_WS6,
-	GFN_SSI_SCK6,
-	FN_SSI_SDATA5,
-	FN_SSI_WS5,
-	FN_SSI_SCK5,
-	GFN_SSI_SDATA4,
-	GFN_SSI_WS4,
-	GFN_SSI_SCK4,
-	GFN_SSI_SDATA3,
-	GFN_SSI_WS34,
-	GFN_SSI_SCK34,
-	GFN_SSI_SDATA2_A,
-	GFN_SSI_SDATA1_A,
-	GFN_SSI_SDATA0,
-	GFN_SSI_WS01239,
-	GFN_SSI_SCK01239,
-
-	/* GPSR7 */
-	FN_HDMI1_CEC,
-	FN_HDMI0_CEC,
-	FN_AVS2,
-	FN_AVS1,
-
-	/* IPSR0 */
-	IFN_AVB_MDC,
-	FN_MSIOF2_SS2_C,
-	IFN_AVB_MAGIC,
-	FN_MSIOF2_SS1_C,
-	FN_SCK4_A,
-	IFN_AVB_PHY_INT,
-	FN_MSIOF2_SYNC_C,
-	FN_RX4_A,
-	IFN_AVB_LINK,
-	FN_MSIOF2_SCK_C,
-	FN_TX4_A,
-	IFN_AVB_AVTP_MATCH_A,
-	FN_MSIOF2_RXD_C,
-	FN_CTS4x_A,
-	IFN_AVB_AVTP_CAPTURE_A,
-	FN_MSIOF2_TXD_C,
-	FN_RTS4x_TANS_A,
-	IFN_IRQ0,
-	FN_QPOLB,
-	FN_DU_CDE,
-	FN_VI4_DATA0_B,
-	FN_CAN0_TX_B,
-	FN_CANFD0_TX_B,
-	FN_MSIOF3_SS2_E,
-	IFN_IRQ1,
-	FN_QPOLA,
-	FN_DU_DISP,
-	FN_VI4_DATA1_B,
-	FN_CAN0_RX_B,
-	FN_CANFD0_RX_B,
-	FN_MSIOF3_SS1_E,
-
-	/* IPSR1 */
-	IFN_IRQ2,
-	FN_QCPV_QDE,
-	FN_DU_EXODDF_DU_ODDF_DISP_CDE,
-	FN_VI4_DATA2_B,
-	FN_MSIOF3_SYNC_E,
-	FN_PWM3_B,
-	IFN_IRQ3,
-	FN_QSTVB_QVE,
-	FN_DU_DOTCLKOUT1,
-	FN_VI4_DATA3_B,
-	FN_MSIOF3_SCK_E,
-	FN_PWM4_B,
-	IFN_IRQ4,
-	FN_QSTH_QHS,
-	FN_DU_EXHSYNC_DU_HSYNC,
-	FN_VI4_DATA4_B,
-	FN_MSIOF3_RXD_E,
-	FN_PWM5_B,
-	IFN_IRQ5,
-	FN_QSTB_QHE,
-	FN_DU_EXVSYNC_DU_VSYNC,
-	FN_VI4_DATA5_B,
-	FN_MSIOF3_TXD_E,
-	FN_PWM6_B,
-	IFN_PWM0,
-	FN_AVB_AVTP_PPS,
-	FN_VI4_DATA6_B,
-	FN_IECLK_B,
-	IFN_PWM1_A,
-	FN_HRX3_D,
-	FN_VI4_DATA7_B,
-	FN_IERX_B,
-	IFN_PWM2_A,
-	FN_PWMFSW0,
-	FN_HTX3_D,
-	FN_IETX_B,
-	IFN_A0,
-	FN_LCDOUT16,
-	FN_MSIOF3_SYNC_B,
-	FN_VI4_DATA8,
-	FN_DU_DB0,
-	FN_PWM3_A,
-
-	/* IPSR2 */
-	IFN_A1,
-	FN_LCDOUT17,
-	FN_MSIOF3_TXD_B,
-	FN_VI4_DATA9,
-	FN_DU_DB1,
-	FN_PWM4_A,
-	IFN_A2,
-	FN_LCDOUT18,
-	FN_MSIOF3_SCK_B,
-	FN_VI4_DATA10,
-	FN_DU_DB2,
-	FN_PWM5_A,
-	IFN_A3,
-	FN_LCDOUT19,
-	FN_MSIOF3_RXD_B,
-	FN_VI4_DATA11,
-	FN_DU_DB3,
-	FN_PWM6_A,
-	IFN_A4,
-	FN_LCDOUT20,
-	FN_MSIOF3_SS1_B,
-	FN_VI4_DATA12,
-	FN_VI5_DATA12,
-	FN_DU_DB4,
-	IFN_A5,
-	FN_LCDOUT21,
-	FN_MSIOF3_SS2_B,
-	FN_SCK4_B,
-	FN_VI4_DATA13,
-	FN_VI5_DATA13,
-	FN_DU_DB5,
-	IFN_A6,
-	FN_LCDOUT22,
-	FN_MSIOF2_SS1_A,
-	FN_RX4_B,
-	FN_VI4_DATA14,
-	FN_VI5_DATA14,
-	FN_DU_DB6,
-	IFN_A7,
-	FN_LCDOUT23,
-	FN_MSIOF2_SS2_A,
-	FN_TX4_B,
-	FN_VI4_DATA15,
-	FN_V15_DATA15,
-	FN_DU_DB7,
-	IFN_A8,
-	FN_RX3_B,
-	FN_MSIOF2_SYNC_A,
-	FN_HRX4_B,
-	FN_SDA6_A,
-	FN_AVB_AVTP_MATCH_B,
-	FN_PWM1_B,
-
-	/* IPSR3 */
-	IFN_A9,
-	FN_MSIOF2_SCK_A,
-	FN_CTS4x_B,
-	FN_VI5_VSYNCx,
-	IFN_A10,
-	FN_MSIOF2_RXD_A,
-	FN_RTS4n_TANS_B,
-	FN_VI5_HSYNCx,
-	IFN_A11,
-	FN_TX3_B,
-	FN_MSIOF2_TXD_A,
-	FN_HTX4_B,
-	FN_HSCK4,
-	FN_VI5_FIELD,
-	FN_SCL6_A,
-	FN_AVB_AVTP_CAPTURE_B,
-	FN_PWM2_B,
-	FN_SPV_EVEN,
-	IFN_A12,
-	FN_LCDOUT12,
-	FN_MSIOF3_SCK_C,
-	FN_HRX4_A,
-	FN_VI5_DATA8,
-	FN_DU_DG4,
-	IFN_A13,
-	FN_LCDOUT13,
-	FN_MSIOF3_SYNC_C,
-	FN_HTX4_A,
-	FN_VI5_DATA9,
-	FN_DU_DG5,
-	IFN_A14,
-	FN_LCDOUT14,
-	FN_MSIOF3_RXD_C,
-	FN_HCTS4x,
-	FN_VI5_DATA10,
-	FN_DU_DG6,
-	IFN_A15,
-	FN_LCDOUT15,
-	FN_MSIOF3_TXD_C,
-	FN_HRTS4x,
-	FN_VI5_DATA11,
-	FN_DU_DG7,
-	IFN_A16,
-	FN_LCDOUT8,
-	FN_VI4_FIELD,
-	FN_DU_DG0,
-
-	/* IPSR4 */
-	IFN_A17,
-	FN_LCDOUT9,
-	FN_VI4_VSYNCx,
-	FN_DU_DG1,
-	IFN_A18,
-	FN_LCDOUT10,
-	FN_VI4_HSYNCx,
-	FN_DU_DG2,
-	IFN_A19,
-	FN_LCDOUT11,
-	FN_VI4_CLKENB,
-	FN_DU_DG3,
-	IFN_CS0x,
-	FN_VI5_CLKENB,
-	IFN_CS1x_A26,
-	FN_VI5_CLK,
-	FN_EX_WAIT0_B,
-	IFN_BSx,
-	FN_QSTVA_QVS,
-	FN_MSIOF3_SCK_D,
-	FN_SCK3,
-	FN_HSCK3,
-	FN_CAN1_TX,
-	FN_CANFD1_TX,
-	FN_IETX_A,
-	IFN_RDx,
-	FN_MSIOF3_SYNC_D,
-	FN_RX3_A,
-	FN_HRX3_A,
-	FN_CAN0_TX_A,
-	FN_CANFD0_TX_A,
-	IFN_RD_WRx,
-	FN_MSIOF3_RXD_D,
-	FN_TX3_A,
-	FN_HTX3_A,
-	FN_CAN0_RX_A,
-	FN_CANFD0_RX_A,
-
-	/* IPSR5 */
-	IFN_WE0x,
-	FN_MSIIOF3_TXD_D,
-	FN_CTS3x,
-	FN_HCTS3x,
-	FN_SCL6_B,
-	FN_CAN_CLK,
-	FN_IECLK_A,
-	IFN_WE1x,
-	FN_MSIOF3_SS1_D,
-	FN_RTS3x_TANS,
-	FN_HRTS3x,
-	FN_SDA6_B,
-	FN_CAN1_RX,
-	FN_CANFD1_RX,
-	FN_IERX_A,
-	IFN_EX_WAIT0_A,
-	FN_QCLK,
-	FN_VI4_CLK,
-	FN_DU_DOTCLKOUT0,
-	IFN_D0,
-	FN_MSIOF2_SS1_B,
-	FN_MSIOF3_SCK_A,
-	FN_VI4_DATA16,
-	FN_VI5_DATA0,
-	IFN_D1,
-	FN_MSIOF2_SS2_B,
-	FN_MSIOF3_SYNC_A,
-	FN_VI4_DATA17,
-	FN_VI5_DATA1,
-	IFN_D2,
-	FN_MSIOF3_RXD_A,
-	FN_VI4_DATA18,
-	FN_VI5_DATA2,
-	IFN_D3,
-	FN_MSIOF3_TXD_A,
-	FN_VI4_DATA19,
-	FN_VI5_DATA3,
-	IFN_D4,
-	FN_MSIOF2_SCK_B,
-	FN_VI4_DATA20,
-	FN_VI5_DATA4,
-
-	/* IPSR6 */
-	IFN_D5,
-	FN_MSIOF2_SYNC_B,
-	FN_VI4_DATA21,
-	FN_VI5_DATA5,
-	IFN_D6,
-	FN_MSIOF2_RXD_B,
-	FN_VI4_DATA22,
-	FN_VI5_DATA6,
-	IFN_D7,
-	FN_MSIOF2_TXD_B,
-	FN_VI4_DATA23,
-	FN_VI5_DATA7,
-	IFN_D8,
-	FN_LCDOUT0,
-	FN_MSIOF2_SCK_D,
-	FN_SCK4_C,
-	FN_VI4_DATA0_A,
-	FN_DU_DR0,
-	IFN_D9,
-	FN_LCDOUT1,
-	FN_MSIOF2_SYNC_D,
-	FN_VI4_DATA1_A,
-	FN_DU_DR1,
-	IFN_D10,
-	FN_LCDOUT2,
-	FN_MSIOF2_RXD_D,
-	FN_HRX3_B,
-	FN_VI4_DATA2_A,
-	FN_CTS4x_C,
-	FN_DU_DR2,
-	IFN_D11,
-	FN_LCDOUT3,
-	FN_MSIOF2_TXD_D,
-	FN_HTX3_B,
-	FN_VI4_DATA3_A,
-	FN_RTS4x_TANS_C,
-	FN_DU_DR3,
-	IFN_D12,
-	FN_LCDOUT4,
-	FN_MSIOF2_SS1_D,
-	FN_RX4_C,
-	FN_VI4_DATA4_A,
-	FN_DU_DR4,
-
-	/* IPSR7 */
-	IFN_D13,
-	FN_LCDOUT5,
-	FN_MSIOF2_SS2_D,
-	FN_TX4_C,
-	FN_VI4_DATA5_A,
-	FN_DU_DR5,
-	IFN_D14,
-	FN_LCDOUT6,
-	FN_MSIOF3_SS1_A,
-	FN_HRX3_C,
-	FN_VI4_DATA6_A,
-	FN_DU_DR6,
-	FN_SCL6_C,
-	IFN_D15,
-	FN_LCDOUT7,
-	FN_MSIOF3_SS2_A,
-	FN_HTX3_C,
-	FN_VI4_DATA7_A,
-	FN_DU_DR7,
-	FN_SDA6_C,
-	FN_FSCLKST,
-	IFN_SD0_CLK,
-	FN_MSIOF1_SCK_E,
-	FN_STP_OPWM_0_B,
-	IFN_SD0_CMD,
-	FN_MSIOF1_SYNC_E,
-	FN_STP_IVCXO27_0_B,
-	IFN_SD0_DAT0,
-	FN_MSIOF1_RXD_E,
-	FN_TS_SCK0_B,
-	FN_STP_ISCLK_0_B,
-	IFN_SD0_DAT1,
-	FN_MSIOF1_TXD_E,
-	FN_TS_SPSYNC0_B,
-	FN_STP_ISSYNC_0_B,
-
-	/* IPSR8 */
-	IFN_SD0_DAT2,
-	FN_MSIOF1_SS1_E,
-	FN_TS_SDAT0_B,
-	FN_STP_ISD_0_B,
-
-	IFN_SD0_DAT3,
-	FN_MSIOF1_SS2_E,
-	FN_TS_SDEN0_B,
-	FN_STP_ISEN_0_B,
-
-	IFN_SD1_CLK,
-	FN_MSIOF1_SCK_G,
-	FN_SIM0_CLK_A,
-
-	IFN_SD1_CMD,
-	FN_MSIOF1_SYNC_G,
-	FN_NFCEx_B,
-	FN_SIM0_D_A,
-	FN_STP_IVCXO27_1_B,
-
-	IFN_SD1_DAT0,
-	FN_SD2_DAT4,
-	FN_MSIOF1_RXD_G,
-	FN_NFWPx_B,
-	FN_TS_SCK1_B,
-	FN_STP_ISCLK_1_B,
-
-	IFN_SD1_DAT1,
-	FN_SD2_DAT5,
-	FN_MSIOF1_TXD_G,
-	FN_NFDATA14_B,
-	FN_TS_SPSYNC1_B,
-	FN_STP_ISSYNC_1_B,
-
-	IFN_SD1_DAT2,
-	FN_SD2_DAT6,
-	FN_MSIOF1_SS1_G,
-	FN_NFDATA15_B,
-	FN_TS_SDAT1_B,
-	FN_STP_IOD_1_B,
-
-	IFN_SD1_DAT3,
-	FN_SD2_DAT7,
-	FN_MSIOF1_SS2_G,
-	FN_NFRBx_B,
-	FN_TS_SDEN1_B,
-	FN_STP_ISEN_1_B,
-
-	/* IPSR9 */
-	IFN_SD2_CLK,
-	FN_NFDATA8,
-
-	IFN_SD2_CMD,
-	FN_NFDATA9,
-
-	IFN_SD2_DAT0,
-	FN_NFDATA10,
-
-	IFN_SD2_DAT1,
-	FN_NFDATA11,
-
-	IFN_SD2_DAT2,
-	FN_NFDATA12,
-
-	IFN_SD2_DAT3,
-	FN_NFDATA13,
-
-	IFN_SD2_DS,
-	FN_NFALE,
-
-	IFN_SD3_CLK,
-	FN_NFWEx,
-
-	/* IPSR10 */
-	IFN_SD3_CMD,
-	FN_NFREx,
-
-	IFN_SD3_DAT0,
-	FN_NFDATA0,
-
-	IFN_SD3_DAT1,
-	FN_NFDATA1,
-
-	IFN_SD3_DAT2,
-	FN_NFDATA2,
-
-	IFN_SD3_DAT3,
-	FN_NFDATA3,
-
-	IFN_SD3_DAT4,
-	FN_SD2_CD_A,
-	FN_NFDATA4,
-
-	IFN_SD3_DAT5,
-	FN_SD2_WP_A,
-	FN_NFDATA5,
-
-	IFN_SD3_DAT6,
-	FN_SD3_CD,
-	FN_NFDATA6,
-
-	/* IPSR11 */
-	IFN_SD3_DAT7,
-	FN_SD3_WP,
-	FN_NFDATA7,
-
-	IFN_SD3_DS,
-	FN_NFCLE,
-
-	IFN_SD0_CD,
-	FN_NFDATA14_A,
-	FN_SCL2_B,
-	FN_SIM0_RST_A,
-
-	IFN_SD0_WP,
-	FN_NFDATA15_A,
-	FN_SDA2_B,
-
-	IFN_SD1_CD,
-	FN_NFRBx_A,
-	FN_SIM0_CLK_B,
-
-	IFN_SD1_WP,
-	FN_NFCEx_A,
-	FN_SIM0_D_B,
-
-	IFN_SCK0,
-	FN_HSCK1_B,
-	FN_MSIOF1_SS2_B,
-	FN_AUDIO_CLKC_B,
-	FN_SDA2_A,
-	FN_SIM0_RST_B,
-	FN_STP_OPWM_0_C,
-	FN_RIF0_CLK_B,
-	FN_ADICHS2,
-	FN_SCK5_B,
-
-	IFN_RX0,
-	FN_HRX1_B,
-	FN_TS_SCK0_C,
-	FN_STP_ISCLK_0_C,
-	FN_RIF0_D0_B,
-
-	/* IPSR12 */
-	IFN_TX0,
-	FN_HTX1_B,
-	FN_TS_SPSYNC0_C,
-	FN_STP_ISSYNC_0_C,
-	FN_RIF0_D1_B,
-
-	IFN_CTS0x,
-	FN_HCTS1x_B,
-	FN_MSIOF1_SYNC_B,
-	FN_TS_SPSYNC1_C,
-	FN_STP_ISSYNC_1_C,
-	FN_RIF1_SYNC_B,
-	FN_AUDIO_CLKOUT_C,
-	FN_ADICS_SAMP,
-
-	IFN_RTS0x_TANS,
-	FN_HRTS1x_B,
-	FN_MSIOF1_SS1_B,
-	FN_AUDIO_CLKA_B,
-	FN_SCL2_A,
-	FN_STP_IVCXO27_1_C,
-	FN_RIF0_SYNC_B,
-	FN_ADICHS1,
-
-	IFN_RX1_A,
-	FN_HRX1_A,
-	FN_TS_SDAT0_C,
-	FN_STP_ISD_0_C,
-	FN_RIF1_CLK_C,
-
-	IFN_TX1_A,
-	FN_HTX1_A,
-	FN_TS_SDEN0_C,
-	FN_STP_ISEN_0_C,
-	FN_RIF1_D0_C,
-
-	IFN_CTS1x,
-	FN_HCTS1x_A,
-	FN_MSIOF1_RXD_B,
-	FN_TS_SDEN1_C,
-	FN_STP_ISEN_1_C,
-	FN_RIF1_D0_B,
-	FN_ADIDATA,
-
-	IFN_RTS1x_TANS,
-	FN_HRTS1x_A,
-	FN_MSIOF1_TXD_B,
-	FN_TS_SDAT1_C,
-	FN_STP_ISD_1_C,
-	FN_RIF1_D1_B,
-	FN_ADICHS0,
-
-	IFN_SCK2,
-	FN_SCIF_CLK_B,
-	FN_MSIOF1_SCK_B,
-	FN_TS_SCK1_C,
-	FN_STP_ISCLK_1_C,
-	FN_RIF1_CLK_B,
-	FN_ADICLK,
-
-	/* IPSR13 */
-	IFN_TX2_A,
-	FN_SD2_CD_B,
-	FN_SCL1_A,
-	FN_FMCLK_A,
-	FN_RIF1_D1_C,
-	FN_FSO_CFE_0_B,
-
-	IFN_RX2_A,
-	FN_SD2_WP_B,
-	FN_SDA1_A,
-	FN_FMIN_A,
-	FN_RIF1_SYNC_C,
-	FN_FSO_CEF_1_B,
-
-	IFN_HSCK0,
-	FN_MSIOF1_SCK_D,
-	FN_AUDIO_CLKB_A,
-	FN_SSI_SDATA1_B,
-	FN_TS_SCK0_D,
-	FN_STP_ISCLK_0_D,
-	FN_RIF0_CLK_C,
-	FN_RX5_B,
-
-	IFN_HRX0,
-	FN_MSIOF1_RXD_D,
-	FN_SS1_SDATA2_B,
-	FN_TS_SDEN0_D,
-	FN_STP_ISEN_0_D,
-	FN_RIF0_D0_C,
-
-	IFN_HTX0,
-	FN_MSIOF1_TXD_D,
-	FN_SSI_SDATA9_B,
-	FN_TS_SDAT0_D,
-	FN_STP_ISD_0_D,
-	FN_RIF0_D1_C,
-
-	IFN_HCTS0x,
-	FN_RX2_B,
-	FN_MSIOF1_SYNC_D,
-	FN_SSI_SCK9_A,
-	FN_TS_SPSYNC0_D,
-	FN_STP_ISSYNC_0_D,
-	FN_RIF0_SYNC_C,
-	FN_AUDIO_CLKOUT1_A,
-
-	IFN_HRTS0x,
-	FN_TX2_B,
-	FN_MSIOF1_SS1_D,
-	FN_SSI_WS9_A,
-	FN_STP_IVCXO27_0_D,
-	FN_BPFCLK_A,
-	FN_AUDIO_CLKOUT2_A,
-
-	IFN_MSIOF0_SYNC,
-	FN_AUDIO_CLKOUT_A,
-	FN_TX5_B,
-	FN_BPFCLK_D,
-
-	/* IPSR14 */
-	IFN_MSIOF0_SS1,
-	FN_RX5_A,
-	FN_NFWPx_A,
-	FN_AUDIO_CLKA_C,
-	FN_SSI_SCK2_A,
-	FN_STP_IVCXO27_0_C,
-	FN_AUDIO_CLKOUT3_A,
-	FN_TCLK1_B,
-
-	IFN_MSIOF0_SS2,
-	FN_TX5_A,
-	FN_MSIOF1_SS2_D,
-	FN_AUDIO_CLKC_A,
-	FN_SSI_WS2_A,
-	FN_STP_OPWM_0_D,
-	FN_AUDIO_CLKOUT_D,
-	FN_SPEEDIN_B,
-
-	IFN_MLB_CLK,
-	FN_MSIOF1_SCK_F,
-	FN_SCL1_B,
-
-	IFN_MLB_SIG,
-	FN_RX1_B,
-	FN_MSIOF1_SYNC_F,
-	FN_SDA1_B,
-
-	IFN_MLB_DAT,
-	FN_TX1_B,
-	FN_MSIOF1_RXD_F,
-
-	IFN_SSI_SCK0129,
-	FN_MSIOF1_TXD_F,
-	FN_MOUT0,
-
-	IFN_SSI_WS0129,
-	FN_MSIOF1_SS1_F,
-	FN_MOUT1,
-
-	IFN_SSI_SDATA0,
-	FN_MSIOF1_SS2_F,
-	FN_MOUT2,
-
-	/* IPSR15 */
-	IFN_SSI_SDATA1_A,
-	FN_MOUT5,
-
-	IFN_SSI_SDATA2_A,
-	FN_SSI_SCK1_B,
-	FN_MOUT6,
-
-	IFN_SSI_SCK34,
-	FN_MSIOF1_SS1_A,
-	FN_STP_OPWM_0_A,
-
-	IFN_SSI_WS34,
-	FN_HCTS2x_A,
-	FN_MSIOF1_SS2_A,
-	FN_STP_IVCXO27_0_A,
-
-	IFN_SSI_SDATA3,
-	FN_HRTS2x_A,
-	FN_MSIOF1_TXD_A,
-	FN_TS_SCK0_A,
-	FN_STP_ISCLK_0_A,
-	FN_RIF0_D1_A,
-	FN_RIF2_D0_A,
-
-	IFN_SSI_SCK4,
-	FN_HRX2_A,
-	FN_MSIOF1_SCK_A,
-	FN_TS_SDAT0_A,
-	FN_STP_ISD_0_A,
-	FN_RIF0_CLK_A,
-	FN_RIF2_CLK_A,
-
-	IFN_SSI_WS4,
-	FN_HTX2_A,
-	FN_MSIOF1_SYNC_A,
-	FN_TS_SDEN0_A,
-	FN_STP_ISEN_0_A,
-	FN_RIF0_SYNC_A,
-	FN_RIF2_SYNC_A,
-
-	IFN_SSI_SDATA4,
-	FN_HSCK2_A,
-	FN_MSIOF1_RXD_A,
-	FN_TS_SPSYNC0_A,
-	FN_STP_ISSYNC_0_A,
-	FN_RIF0_D0_A,
-	FN_RIF2_D1_A,
-
-	/* IPSR16 */
-	IFN_SSI_SCK6,
-	FN_SIM0_RST_D,
-	FN_FSO_TOE_A,
-
-	IFN_SSI_WS6,
-	FN_SIM0_D_D,
-
-	IFN_SSI_SDATA6,
-	FN_SIM0_CLK_D,
-
-	IFN_SSI_SCK78,
-	FN_HRX2_B,
-	FN_MSIOF1_SCK_C,
-	FN_TS_SCK1_A,
-	FN_STP_ISCLK_1_A,
-	FN_RIF1_CLK_A,
-	FN_RIF3_CLK_A,
-
-	IFN_SSI_WS78,
-	FN_HTX2_B,
-	FN_MSIOF1_SYNC_C,
-	FN_TS_SDAT1_A,
-	FN_STP_ISD_1_A,
-	FN_RIF1_SYNC_A,
-	FN_RIF3_SYNC_A,
-
-	IFN_SSI_SDATA7,
-	FN_HCTS2x_B,
-	FN_MSIOF1_RXD_C,
-	FN_TS_SDEN1_A,
-	FN_STP_IEN_1_A,
-	FN_RIF1_D0_A,
-	FN_RIF3_D0_A,
-	FN_TCLK2_A,
-
-	IFN_SSI_SDATA8,
-	FN_HRTS2x_B,
-	FN_MSIOF1_TXD_C,
-	FN_TS_SPSYNC1_A,
-	FN_STP_ISSYNC_1_A,
-	FN_RIF1_D1_A,
-	FN_EIF3_D1_A,
-
-	IFN_SSI_SDATA9_A,
-	FN_HSCK2_B,
-	FN_MSIOF1_SS1_C,
-	FN_HSCK1_A,
-	FN_SSI_WS1_B,
-	FN_SCK1,
-	FN_STP_IVCXO27_1_A,
-	FN_SCK5,
-
-	/* IPSR17 */
-	IFN_AUDIO_CLKA_A,
-	FN_CC5_OSCOUT,
-
-	IFN_AUDIO_CLKB_B,
-	FN_SCIF_CLK_A,
-	FN_STP_IVCXO27_1_D,
-	FN_REMOCON_A,
-	FN_TCLK1_A,
-
-	IFN_USB0_PWEN,
-	FN_SIM0_RST_C,
-	FN_TS_SCK1_D,
-	FN_STP_ISCLK_1_D,
-	FN_BPFCLK_B,
-	FN_RIF3_CLK_B,
-	FN_FSO_CFE_1_A,
-	FN_HSCK2_C,
-
-	IFN_USB0_OVC,
-	FN_SIM0_D_C,
-	FN_TS_SDAT1_D,
-	FN_STP_ISD_1_D,
-	FN_RIF3_SYNC_B,
-	FN_HRX2_C,
-
-	IFN_USB1_PWEN,
-	FN_SIM0_CLK_C,
-	FN_SSI_SCK1_A,
-	FN_TS_SCK0_E,
-	FN_STP_ISCLK_0_E,
-	FN_FMCLK_B,
-	FN_RIF2_CLK_B,
-	FN_SPEEDIN_A,
-	FN_HTX2_C,
-
-	IFN_USB1_OVC,
-	FN_MSIOF1_SS2_C,
-	FN_SSI_WS1_A,
-	FN_TS_SDAT0_E,
-	FN_STP_ISD_0_E,
-	FN_FMIN_B,
-	FN_RIF2_SYNC_B,
-	FN_REMOCON_B,
-	FN_HCTS2x_C,
-
-	IFN_USB30_PWEN,
-	FN_AUDIO_CLKOUT_B,
-	FN_SSI_SCK2_B,
-	FN_TS_SDEN1_D,
-	FN_STP_ISEN_1_D,
-	FN_STP_OPWM_0_E,
-	FN_RIF3_D0_B,
-	FN_TCLK2_B,
-	FN_TPU0TO0,
-	FN_BPFCLK_C,
-	FN_HRTS2x_C,
-
-	IFN_USB30_OVC,
-	FN_AUDIO_CLKOUT1_B,
-	FN_SSI_WS2_B,
-	FN_TS_SPSYNC1_D,
-	FN_STP_ISSYNC_1_D,
-	FN_STP_IVCXO27_0_E,
-	FN_RIF3_D1_B,
-	FN_FSO_TOE_B,
-	FN_TPU0TO1,
-
-	/* IPSR18 */
-	IFN_GP6_30,
-	FN_AUDIO_CLKOUT2_B,
-	FN_SSI_SCK9_B,
-	FN_TS_SDEN0_E,
-	FN_STP_ISEN_0_E,
-	FN_RIF2_D0_B,
-	FN_FSO_CFE_0_A,
-	FN_TPU0TO2,
-	FN_FMCLK_C,
-	FN_FMCLK_D,
-
-	IFN_GP6_31,
-	FN_AUDIO_CLKOUT3_B,
-	FN_SSI_WS9_B,
-	FN_TS_SPSYNC0_E,
-	FN_STP_ISSYNC_0_E,
-	FN_RIF2_D1_B,
-	FN_TPU0TO3,
-	FN_FMIN_C,
-	FN_FMIN_D,
-
-	/* MOD_SEL0 */
-	FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
-	FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
-	FN_SEL_MSIOF3_4, FN_SEL_MSIOF3_5,
-	FN_SEL_MSIOF3_6,
-	FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
-	FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
-	FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
-	FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
-	FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
-	FN_SEL_MSIOF1_6,
-	FN_SEL_LBSC_0, FN_SEL_LBSC_1,
-	FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
-	FN_SEL_I2C2_0, FN_SEL_I2C2_1,
-	FN_SEL_I2C1_0, FN_SEL_I2C1_1,
-	FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
-	FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
-	FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
-	FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
-	FN_SEL_HSCIF2_2,
-	FN_SEL_HSCIF1_0, FN_SEL_HSCIF1_1,
-	FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
-	FN_SEL_FSO_0, FN_SEL_FSO_1,
-	FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
-	FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
-	FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
-	FN_SEL_DRIF1_2,
-	FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
-	FN_SEL_DRIF0_2,
-	FN_SEL_CANFD_0, FN_SEL_CANFD_1,
-	FN_SEL_ADG_0, FN_SEL_ADG_1,
-	FN_SEL_ADG_2, FN_SEL_ADG_3,
-
-	/* MOD_SEL1 */
-	FN_SEL_TSIF1_0,
-	FN_SEL_TSIF1_1,
-	FN_SEL_TSIF1_2,
-	FN_SEL_TSIF1_3,
-	FN_SEL_TSIF0_0,
-	FN_SEL_TSIF0_1,
-	FN_SEL_TSIF0_2,
-	FN_SEL_TSIF0_3,
-	FN_SEL_TSIF0_4,
-	FN_SEL_TIMER_TMU_0,
-	FN_SEL_TIMER_TMU_1,
-	FN_SEL_SSP1_1_0,
-	FN_SEL_SSP1_1_1,
-	FN_SEL_SSP1_1_2,
-	FN_SEL_SSP1_1_3,
-	FN_SEL_SSP1_0_0,
-	FN_SEL_SSP1_0_1,
-	FN_SEL_SSP1_0_2,
-	FN_SEL_SSP1_0_3,
-	FN_SEL_SSP1_0_4,
-	FN_SEL_SSI_0,
-	FN_SEL_SSI_1,
-	FN_SEL_SPEED_PULSE_IF_0,
-	FN_SEL_SPEED_PULSE_IF_1,
-	FN_SEL_SIMCARD_0,
-	FN_SEL_SIMCARD_1,
-	FN_SEL_SIMCARD_2,
-	FN_SEL_SIMCARD_3,
-	FN_SEL_SDHI2_0,
-	FN_SEL_SDHI2_1,
-	FN_SEL_SCIF4_0,
-	FN_SEL_SCIF4_1,
-	FN_SEL_SCIF4_2,
-	FN_SEL_SCIF3_0,
-	FN_SEL_SCIF3_1,
-	FN_SEL_SCIF2_0,
-	FN_SEL_SCIF2_1,
-	FN_SEL_SCIF1_0,
-	FN_SEL_SCIF1_1,
-	FN_SEL_SCIF_0,
-	FN_SEL_SCIF_1,
-	FN_SEL_REMOCON_0,
-	FN_SEL_REMOCON_1,
-	FN_SEL_RCAN_0,
-	FN_SEL_RCAN_1,
-	FN_SEL_PWM6_0,
-	FN_SEL_PWM6_1,
-	FN_SEL_PWM5_0,
-	FN_SEL_PWM5_1,
-	FN_SEL_PWM4_0,
-	FN_SEL_PWM4_1,
-	FN_SEL_PWM3_0,
-	FN_SEL_PWM3_1,
-	FN_SEL_PWM2_0,
-	FN_SEL_PWM2_1,
-	FN_SEL_PWM1_0,
-	FN_SEL_PWM1_1,
-
-	/* MOD_SEL2 */
-	FN_I2C_SEL_5_0,
-	FN_I2C_SEL_5_1,
-	FN_I2C_SEL_3_0,
-	FN_I2C_SEL_3_1,
-	FN_I2C_SEL_0_0,
-	FN_I2C_SEL_0_1,
-	FN_SEL_FM_0,
-	FN_SEL_FM_1,
-	FN_SEL_FM_2,
-	FN_SEL_FM_3,
-	FN_SEL_SCIF5_0,
-	FN_SEL_SCIF5_1,
-	FN_SEL_I2C6_0,
-	FN_SEL_I2C6_1,
-	FN_SEL_I2C6_2,
-	FN_SEL_NDF_0,
-	FN_SEL_NDF_1,
-	FN_SEL_SSI2_0,
-	FN_SEL_SSI2_1,
-	FN_SEL_SSI9_0,
-	FN_SEL_SSI9_1,
-	FN_SEL_TIMER_TMU2_0,
-	FN_SEL_TIMER_TMU2_1,
-	FN_SEL_ADG_B_0,
-	FN_SEL_ADG_B_1,
-	FN_SEL_ADG_C_0,
-	FN_SEL_ADG_C_1,
-	FN_SEL_VIN4_0,
-	FN_SEL_VIN4_1,
-
-	PINMUX_FUNCTION_END,
-
-	PINMUX_MARK_BEGIN,
-
-	/* GPSR0 */
-	D15_GMARK,
-	D14_GMARK,
-	D13_GMARK,
-	D12_GMARK,
-	D11_GMARK,
-	D10_GMARK,
-	D9_GMARK,
-	D8_GMARK,
-	D7_GMARK,
-	D6_GMARK,
-	D5_GMARK,
-	D4_GMARK,
-	D3_GMARK,
-	D2_GMARK,
-	D1_GMARK,
-	D0_GMARK,
-
-	/* GPSR1 */
-	CLKOUT_GMARK,
-	EX_WAIT0_A_GMARK,
-	WE1x_GMARK,
-	WE0x_GMARK,
-	RD_WRx_GMARK,
-	RDx_GMARK,
-	BSx_GMARK,
-	CS1x_A26_GMARK,
-	CS0x_GMARK,
-	A19_GMARK,
-	A18_GMARK,
-	A17_GMARK,
-	A16_GMARK,
-	A15_GMARK,
-	A14_GMARK,
-	A13_GMARK,
-	A12_GMARK,
-	A11_GMARK,
-	A10_GMARK,
-	A9_GMARK,
-	A8_GMARK,
-	A7_GMARK,
-	A6_GMARK,
-	A5_GMARK,
-	A4_GMARK,
-	A3_GMARK,
-	A2_GMARK,
-	A1_GMARK,
-	A0_GMARK,
-
-	/* GPSR2 */
-	AVB_AVTP_CAPTURE_A_GMARK,
-	AVB_AVTP_MATCH_A_GMARK,
-	AVB_LINK_GMARK,
-	AVB_PHY_INT_GMARK,
-	AVB_MAGIC_GMARK,
-	AVB_MDC_GMARK,
-	PWM2_A_GMARK,
-	PWM1_A_GMARK,
-	PWM0_GMARK,
-	IRQ5_GMARK,
-	IRQ4_GMARK,
-	IRQ3_GMARK,
-	IRQ2_GMARK,
-	IRQ1_GMARK,
-	IRQ0_GMARK,
-
-	/* GPSR3 */
-	SD1_WP_GMARK,
-	SD1_CD_GMARK,
-	SD0_WP_GMARK,
-	SD0_CD_GMARK,
-	SD1_DAT3_GMARK,
-	SD1_DAT2_GMARK,
-	SD1_DAT1_GMARK,
-	SD1_DAT0_GMARK,
-	SD1_CMD_GMARK,
-	SD1_CLK_GMARK,
-	SD0_DAT3_GMARK,
-	SD0_DAT2_GMARK,
-	SD0_DAT1_GMARK,
-	SD0_DAT0_GMARK,
-	SD0_CMD_GMARK,
-	SD0_CLK_GMARK,
-
-	/* GPSR4 */
-	SD3_DS_GMARK,
-	SD3_DAT7_GMARK,
-	SD3_DAT6_GMARK,
-	SD3_DAT5_GMARK,
-	SD3_DAT4_GMARK,
-	SD3_DAT3_MARK,
-	SD3_DAT2_MARK,
-	SD3_DAT1_MARK,
-	SD3_DAT0_MARK,
-	SD3_CMD_MARK,
-	SD3_CLK_MARK,
-	SD2_DS_GMARK,
-	SD2_DAT3_GMARK,
-	SD2_DAT2_GMARK,
-	SD2_DAT1_GMARK,
-	SD2_DAT0_GMARK,
-	SD2_CMD_MARK,
-	SD2_CLK_GMARK,
-
-	/* GPSR5 */
-	MLB_DAT_GMARK,
-	MLB_SIG_GMARK,
-	MLB_CLK_GMARK,
-	MSIOF0_RXD_MARK,
-	MSIOF0_SS2_GMARK,
-	MSIOF0_TXD_MARK,
-	MSIOF0_SS1_GMARK,
-	MSIOF0_SYNC_GMARK,
-	MSIOF0_SCK_MARK,
-	HRTS0x_GMARK,
-	HCTS0x_GMARK,
-	HTX0_GMARK,
-	HRX0_GMARK,
-	HSCK0_GMARK,
-	RX2_A_GMARK,
-	TX2_A_GMARK,
-	SCK2_GMARK,
-	RTS1x_TANS_GMARK,
-	CTS1x_GMARK,
-	TX1_A_GMARK,
-	RX1_A_GMARK,
-	RTS0x_TANS_GMARK,
-	CTS0x_GMARK,
-	TX0_GMARK,
-	RX0_GMARK,
-	SCK0_GMARK,
-
-	/* GPSR6 */
-	GP6_30_GMARK,
-	GP6_31_GMARK,
-	USB30_OVC_GMARK,
-	USB30_PWEN_GMARK,
-	USB1_OVC_GMARK,
-	USB1_PWEN_GMARK,
-	USB0_OVC_GMARK,
-	USB0_PWEN_GMARK,
-	AUDIO_CLKB_B_GMARK,
-	AUDIO_CLKA_A_GMARK,
-	SSI_SDATA9_A_GMARK,
-	SSI_SDATA8_GMARK,
-	SSI_SDATA7_GMARK,
-	SSI_WS78_GMARK,
-	SSI_SCK78_GMARK,
-	SSI_SDATA6_GMARK,
-	SSI_WS6_GMARK,
-	SSI_SCK6_GMARK,
-	SSI_SDATA5_MARK,
-	SSI_WS5_MARK,
-	SSI_SCK5_MARK,
-	SSI_SDATA4_GMARK,
-	SSI_WS4_GMARK,
-	SSI_SCK4_GMARK,
-	SSI_SDATA3_GMARK,
-	SSI_WS34_GMARK,
-	SSI_SCK34_GMARK,
-	SSI_SDATA2_A_GMARK,
-	SSI_SDATA1_A_GMARK,
-	SSI_SDATA0_GMARK,
-	SSI_WS01239_GMARK,
-	SSI_SCK01239_GMARK,
-
-	/* GPSR7 */
-	HDMI1_CEC_MARK,
-	HDMI0_CEC_MARK,
-	AVS2_MARK,
-	AVS1_MARK,
-
-	/* IPSR0 */
-	AVB_MDC_IMARK,
-	MSIOF2_SS2_C_MARK,
-	AVB_MAGIC_IMARK,
-	MSIOF2_SS1_C_MARK,
-	SCK4_A_MARK,
-	AVB_PHY_INT_IMARK,
-	MSIOF2_SYNC_C_MARK,
-	RX4_A_MARK,
-	AVB_LINK_IMARK,
-	MSIOF2_SCK_C_MARK,
-	TX4_A_MARK,
-	AVB_AVTP_MATCH_A_IMARK,
-	MSIOF2_RXD_C_MARK,
-	CTS4x_A_MARK,
-	AVB_AVTP_CAPTURE_A_IMARK,
-	MSIOF2_TXD_C_MARK,
-	RTS4x_TANS_A_MARK,
-	IRQ0_IMARK,
-	QPOLB_MARK,
-	DU_CDE_MARK,
-	VI4_DATA0_B_MARK,
-	CAN0_TX_B_MARK,
-	CANFD0_TX_B_MARK,
-	MSIOF3_SS2_E_MARK,
-	IRQ1_IMARK,
-	QPOLA_MARK,
-	DU_DISP_MARK,
-	VI4_DATA1_B_MARK,
-	CAN0_RX_B_MARK,
-	CANFD0_RX_B_MARK,
-	MSIOF3_SS1_E_MARK,
-
-	/* IPSR1 */
-	IRQ2_IMARK,
-	QCPV_QDE_MARK,
-	DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
-	VI4_DATA2_B_MARK,
-	MSIOF3_SYNC_E_MARK,
-	PWM3_B_MARK,
-	IRQ3_IMARK,
-	QSTVB_QVE_MARK,
-	DU_DOTCLKOUT1_MARK,
-	VI4_DATA3_B_MARK,
-	MSIOF3_SCK_E_MARK,
-	PWM4_B_MARK,
-	IRQ4_IMARK,
-	QSTH_QHS_MARK,
-	DU_EXHSYNC_DU_HSYNC_MARK,
-	VI4_DATA4_B_MARK,
-	MSIOF3_RXD_E_MARK,
-	PWM5_B_MARK,
-	IRQ5_IMARK,
-	QSTB_QHE_MARK,
-	DU_EXVSYNC_DU_VSYNC_MARK,
-	VI4_DATA5_B_MARK,
-	MSIOF3_TXD_E_MARK,
-	PWM6_B_MARK,
-	PWM0_IMARK,
-	AVB_AVTP_PPS_MARK,
-	VI4_DATA6_B_MARK,
-	IECLK_B_MARK,
-	PWM1_A_IMARK,
-	HRX3_D_MARK,
-	VI4_DATA7_B_MARK,
-	IERX_B_MARK,
-	PWM2_A_IMARK,
-	PWMFSW0_MARK,
-	HTX3_D_MARK,
-	IETX_B_MARK,
-	A0_IMARK,
-	LCDOUT16_MARK,
-	MSIOF3_SYNC_B_MARK,
-	VI4_DATA8_MARK,
-	DU_DB0_MARK,
-	PWM3_A_MARK,
-
-	/* IPSR2 */
-	A1_IMARK,
-	LCDOUT17_MARK,
-	MSIOF3_TXD_B_MARK,
-	VI4_DATA9_MARK,
-	DU_DB1_MARK,
-	PWM4_A_MARK,
-	A2_IMARK,
-	LCDOUT18_MARK,
-	MSIOF3_SCK_B_MARK,
-	VI4_DATA10_MARK,
-	DU_DB2_MARK,
-	PWM5_A_MARK,
-	A3_IMARK,
-	LCDOUT19_MARK,
-	MSIOF3_RXD_B_MARK,
-	VI4_DATA11_MARK,
-	DU_DB3_MARK,
-	PWM6_A_MARK,
-	A4_IMARK,
-	LCDOUT20_MARK,
-	MSIOF3_SS1_B_MARK,
-	VI4_DATA12_MARK,
-	VI5_DATA12_MARK,
-	DU_DB4_MARK,
-	A5_IMARK,
-	LCDOUT21_MARK,
-	MSIOF3_SS2_B_MARK,
-	SCK4_B_MARK,
-	VI4_DATA13_MARK,
-	VI5_DATA13_MARK,
-	DU_DB5_MARK,
-	A6_IMARK,
-	LCDOUT22_MARK,
-	MSIOF2_SS1_A_MARK,
-	RX4_B_MARK,
-	VI4_DATA14_MARK,
-	VI5_DATA14_MARK,
-	DU_DB6_MARK,
-	A7_IMARK,
-	LCDOUT23_MARK,
-	MSIOF2_SS2_A_MARK,
-	TX4_B_MARK,
-	VI4_DATA15_MARK,
-	V15_DATA15_MARK,
-	DU_DB7_MARK,
-	A8_IMARK,
-	RX3_B_MARK,
-	MSIOF2_SYNC_A_MARK,
-	HRX4_B_MARK,
-	SDA6_A_MARK,
-	AVB_AVTP_MATCH_B_MARK,
-	PWM1_B_MARK,
-
-	/* IPSR3 */
-	A9_IMARK,
-	MSIOF2_SCK_A_MARK,
-	CTS4x_B_MARK,
-	VI5_VSYNCx_MARK,
-	A10_IMARK,
-	MSIOF2_RXD_A_MARK,
-	RTS4n_TANS_B_MARK,
-	VI5_HSYNCx_MARK,
-	A11_IMARK,
-	TX3_B_MARK,
-	MSIOF2_TXD_A_MARK,
-	HTX4_B_MARK,
-	HSCK4_MARK,
-	VI5_FIELD_MARK,
-	SCL6_A_MARK,
-	AVB_AVTP_CAPTURE_B_MARK,
-	PWM2_B_MARK,
-	SPV_EVEN_MARK,
-	A12_IMARK,
-	LCDOUT12_MARK,
-	MSIOF3_SCK_C_MARK,
-	HRX4_A_MARK,
-	VI5_DATA8_MARK,
-	DU_DG4_MARK,
-	A13_IMARK,
-	LCDOUT13_MARK,
-	MSIOF3_SYNC_C_MARK,
-	HTX4_A_MARK,
-	VI5_DATA9_MARK,
-	DU_DG5_MARK,
-	A14_IMARK,
-	LCDOUT14_MARK,
-	MSIOF3_RXD_C_MARK,
-	HCTS4x_MARK,
-	VI5_DATA10_MARK,
-	DU_DG6_MARK,
-	A15_IMARK,
-	LCDOUT15_MARK,
-	MSIOF3_TXD_C_MARK,
-	HRTS4x_MARK,
-	VI5_DATA11_MARK,
-	DU_DG7_MARK,
-	A16_IMARK,
-	LCDOUT8_MARK,
-	VI4_FIELD_MARK,
-	DU_DG0_MARK,
-
-	/* IPSR4 */
-	A17_IMARK,
-	LCDOUT9_MARK,
-	VI4_VSYNCx_MARK,
-	DU_DG1_MARK,
-	A18_IMARK,
-	LCDOUT10_MARK,
-	VI4_HSYNCx_MARK,
-	DU_DG2_MARK,
-	A19_IMARK,
-	LCDOUT11_MARK,
-	VI4_CLKENB_MARK,
-	DU_DG3_MARK,
-	CS0x_IMARK,
-	VI5_CLKENB_MARK,
-	CS1x_A26_IMARK,
-	VI5_CLK_MARK,
-	EX_WAIT0_B_MARK,
-	BSx_IMARK,
-	QSTVA_QVS_MARK,
-	MSIOF3_SCK_D_MARK,
-	SCK3_MARK,
-	HSCK3_MARK,
-	CAN1_TX_MARK,
-	CANFD1_TX_MARK,
-	IETX_A_MARK,
-	RDx_IMARK,
-	MSIOF3_SYNC_D_MARK,
-	RX3_A_MARK,
-	HRX3_A_MARK,
-	CAN0_TX_A_MARK,
-	CANFD0_TX_A_MARK,
-	RD_WRx_IMARK,
-	MSIOF3_RXD_D_MARK,
-	TX3_A_MARK,
-	HTX3_A_MARK,
-	CAN0_RX_A_MARK,
-	CANFD0_RX_A_MARK,
-
-	/* IPSR5 */
-	WE0x_IMARK,
-	MSIIOF3_TXD_D_MARK,
-	CTS3x_MARK,
-	HCTS3x_MARK,
-	SCL6_B_MARK,
-	CAN_CLK_MARK,
-	IECLK_A_MARK,
-	WE1x_IMARK,
-	MSIOF3_SS1_D_MARK,
-	RTS3x_TANS_MARK,
-	HRTS3x_MARK,
-	SDA6_B_MARK,
-	CAN1_RX_MARK,
-	CANFD1_RX_MARK,
-	IERX_A_MARK,
-	EX_WAIT0_A_IMARK,
-	QCLK_MARK,
-	VI4_CLK_MARK,
-	DU_DOTCLKOUT0_MARK,
-	D0_IMARK,
-	MSIOF2_SS1_B_MARK,
-	MSIOF3_SCK_A_MARK,
-	VI4_DATA16_MARK,
-	VI5_DATA0_MARK,
-	D1_IMARK,
-	MSIOF2_SS2_B_MARK,
-	MSIOF3_SYNC_A_MARK,
-	VI4_DATA17_MARK,
-	VI5_DATA1_MARK,
-	D2_IMARK,
-	MSIOF3_RXD_A_MARK,
-	VI4_DATA18_MARK,
-	VI5_DATA2_MARK,
-	D3_IMARK,
-	MSIOF3_TXD_A_MARK,
-	VI4_DATA19_MARK,
-	VI5_DATA3_MARK,
-	D4_IMARK,
-	MSIOF2_SCK_B_MARK,
-	VI4_DATA20_MARK,
-	VI5_DATA4_MARK,
-
-	/* IPSR6 */
-	D5_IMARK,
-	MSIOF2_SYNC_B_MARK,
-	VI4_DATA21_MARK,
-	VI5_DATA5_MARK,
-	D6_IMARK,
-	MSIOF2_RXD_B_MARK,
-	VI4_DATA22_MARK,
-	VI5_DATA6_MARK,
-	D7_IMARK,
-	MSIOF2_TXD_B_MARK,
-	VI4_DATA23_MARK,
-	VI5_DATA7_MARK,
-	D8_IMARK,
-	LCDOUT0_MARK,
-	MSIOF2_SCK_D_MARK,
-	SCK4_C_MARK,
-	VI4_DATA0_A_MARK,
-	DU_DR0_MARK,
-	D9_IMARK,
-	LCDOUT1_MARK,
-	MSIOF2_SYNC_D_MARK,
-	VI4_DATA1_A_MARK,
-	DU_DR1_MARK,
-	D10_IMARK,
-	LCDOUT2_MARK,
-	MSIOF2_RXD_D_MARK,
-	HRX3_B_MARK,
-	VI4_DATA2_A_MARK,
-	CTS4x_C_MARK,
-	DU_DR2_MARK,
-	D11_IMARK,
-	LCDOUT3_MARK,
-	MSIOF2_TXD_D_MARK,
-	HTX3_B_MARK,
-	VI4_DATA3_A_MARK,
-	RTS4x_TANS_C_MARK,
-	DU_DR3_MARK,
-	D12_IMARK,
-	LCDOUT4_MARK,
-	MSIOF2_SS1_D_MARK,
-	RX4_C_MARK,
-	VI4_DATA4_A_MARK,
-	DU_DR4_MARK,
-
-	/* IPSR7 */
-	D13_IMARK,
-	LCDOUT5_MARK,
-	MSIOF2_SS2_D_MARK,
-	TX4_C_MARK,
-	VI4_DATA5_A_MARK,
-	DU_DR5_MARK,
-	D14_IMARK,
-	LCDOUT6_MARK,
-	MSIOF3_SS1_A_MARK,
-	HRX3_C_MARK,
-	VI4_DATA6_A_MARK,
-	DU_DR6_MARK,
-	SCL6_C_MARK,
-	D15_IMARK,
-	LCDOUT7_MARK,
-	MSIOF3_SS2_A_MARK,
-	HTX3_C_MARK,
-	VI4_DATA7_A_MARK,
-	DU_DR7_MARK,
-	SDA6_C_MARK,
-	FSCLKST_MARK,
-	SD0_CLK_IMARK,
-	MSIOF1_SCK_E_MARK,
-	STP_OPWM_0_B_MARK,
-	SD0_CMD_IMARK,
-	MSIOF1_SYNC_E_MARK,
-	STP_IVCXO27_0_B_MARK,
-	SD0_DAT0_IMARK,
-	MSIOF1_RXD_E_MARK,
-	TS_SCK0_B_MARK,
-	STP_ISCLK_0_B_MARK,
-	SD0_DAT1_IMARK,
-	MSIOF1_TXD_E_MARK,
-	TS_SPSYNC0_B_MARK,
-	STP_ISSYNC_0_B_MARK,
-
-	/* IPSR8 */
-	SD0_DAT2_IMARK,
-	MSIOF1_SS1_E_MARK,
-	TS_SDAT0_B_MARK,
-	STP_ISD_0_B_MARK,
-
-	SD0_DAT3_IMARK,
-	MSIOF1_SS2_E_MARK,
-	TS_SDEN0_B_MARK,
-	STP_ISEN_0_B_MARK,
-
-	SD1_CLK_IMARK,
-	MSIOF1_SCK_G_MARK,
-	SIM0_CLK_A_MARK,
-
-	SD1_CMD_IMARK,
-	MSIOF1_SYNC_G_MARK,
-	NFCEx_B_MARK,
-	SIM0_D_A_MARK,
-	STP_IVCXO27_1_B_MARK,
-
-	SD1_DAT0_IMARK,
-	SD2_DAT4_MARK,
-	MSIOF1_RXD_G_MARK,
-	NFWPx_B_MARK,
-	TS_SCK1_B_MARK,
-	STP_ISCLK_1_B_MARK,
-
-	SD1_DAT1_IMARK,
-	SD2_DAT5_MARK,
-	MSIOF1_TXD_G_MARK,
-	NFDATA14_B_MARK,
-	TS_SPSYNC1_B_MARK,
-	STP_ISSYNC_1_B_MARK,
-
-	SD1_DAT2_IMARK,
-	SD2_DAT6_MARK,
-	MSIOF1_SS1_G_MARK,
-	NFDATA15_B_MARK,
-	TS_SDAT1_B_MARK,
-	STP_IOD_1_B_MARK,
-
-	SD1_DAT3_IMARK,
-	SD2_DAT7_MARK,
-	MSIOF1_SS2_G_MARK,
-	NFRBx_B_MARK,
-	TS_SDEN1_B_MARK,
-	STP_ISEN_1_B_MARK,
-
-	/* IPSR9 */
-	SD2_CLK_IMARK,
-	NFDATA8_MARK,
-
-	SD2_CMD_IMARK,
-	NFDATA9_MARK,
-
-	SD2_DAT0_IMARK,
-	NFDATA10_MARK,
-
-	SD2_DAT1_IMARK,
-	NFDATA11_MARK,
-
-	SD2_DAT2_IMARK,
-	NFDATA12_MARK,
-
-	SD2_DAT3_IMARK,
-	NFDATA13_MARK,
-
-	SD2_DS_IMARK,
-	NFALE_MARK,
-
-	SD3_CLK_IMARK,
-	NFWEx_MARK,
-
-	/* IPSR10 */
-	SD3_CMD_IMARK,
-	NFREx_MARK,
-
-	SD3_DAT0_IMARK,
-	NFDATA0_MARK,
-
-	SD3_DAT1_IMARK,
-	NFDATA1_MARK,
-
-	SD3_DAT2_IMARK,
-	NFDATA2_MARK,
-
-	SD3_DAT3_IMARK,
-	NFDATA3_MARK,
-
-	SD3_DAT4_IMARK,
-	SD2_CD_A_MARK,
-	NFDATA4_MARK,
-
-	SD3_DAT5_IMARK,
-	SD2_WP_A_MARK,
-	NFDATA5_MARK,
-
-	SD3_DAT6_IMARK,
-	SD3_CD_MARK,
-	NFDATA6_MARK,
-
-	/* IPSR11 */
-	SD3_DAT7_IMARK,
-	SD3_WP_MARK,
-	NFDATA7_MARK,
-
-	SD3_DS_IMARK,
-	NFCLE_MARK,
-
-	SD0_CD_IMARK,
-	NFDATA14_A_MARK,
-	SCL2_B_MARK,
-	SIM0_RST_A_MARK,
-
-	SD0_WP_IMARK,
-	NFDATA15_A_MARK,
-	SDA2_B_MARK,
-
-	SD1_CD_IMARK,
-	NFRBx_A_MARK,
-	SIM0_CLK_B_MARK,
-
-	SD1_WP_IMARK,
-	NFCEx_A_MARK,
-	SIM0_D_B_MARK,
-
-	SCK0_IMARK,
-	HSCK1_B_MARK,
-	MSIOF1_SS2_B_MARK,
-	AUDIO_CLKC_B_MARK,
-	SDA2_A_MARK,
-	SIM0_RST_B_MARK,
-	STP_OPWM_0_C_MARK,
-	RIF0_CLK_B_MARK,
-	ADICHS2_MARK,
-	SCK5_B_MARK,
-
-	RX0_IMARK,
-	HRX1_B_MARK,
-	TS_SCK0_C_MARK,
-	STP_ISCLK_0_C_MARK,
-	RIF0_D0_B_MARK,
-
-	/* IPSR12 */
-	TX0_IMARK,
-	HTX1_B_MARK,
-	TS_SPSYNC0_C_MARK,
-	STP_ISSYNC_0_C_MARK,
-	RIF0_D1_B_MARK,
-
-	CTS0x_IMARK,
-	HCTS1x_B_MARK,
-	MSIOF1_SYNC_B_MARK,
-	TS_SPSYNC1_C_MARK,
-	STP_ISSYNC_1_C_MARK,
-	RIF1_SYNC_B_MARK,
-	AUDIO_CLKOUT_C_MARK,
-	ADICS_SAMP_MARK,
-
-	RTS0x_TANS_IMARK,
-	HRTS1x_B_MARK,
-	MSIOF1_SS1_B_MARK,
-	AUDIO_CLKA_B_MARK,
-	SCL2_A_MARK,
-	STP_IVCXO27_1_C_MARK,
-	RIF0_SYNC_B_MARK,
-	ADICHS1_MARK,
-
-	RX1_A_IMARK,
-	HRX1_A_MARK,
-	TS_SDAT0_C_MARK,
-	STP_ISD_0_C_MARK,
-	RIF1_CLK_C_MARK,
-
-	TX1_A_IMARK,
-	HTX1_A_MARK,
-	TS_SDEN0_C_MARK,
-	STP_ISEN_0_C_MARK,
-	RIF1_D0_C_MARK,
-
-	CTS1x_IMARK,
-	HCTS1x_A_MARK,
-	MSIOF1_RXD_B_MARK,
-	TS_SDEN1_C_MARK,
-	STP_ISEN_1_C_MARK,
-	RIF1_D0_B_MARK,
-	ADIDATA_MARK,
-
-	RTS1x_TANS_IMARK,
-	HRTS1x_A_MARK,
-	MSIOF1_TXD_B_MARK,
-	TS_SDAT1_C_MARK,
-	STP_ISD_1_C_MARK,
-	RIF1_D1_B_MARK,
-	ADICHS0_MARK,
-
-	SCK2_IMARK,
-	SCIF_CLK_B_MARK,
-	MSIOF1_SCK_B_MARK,
-	TS_SCK1_C_MARK,
-	STP_ISCLK_1_C_MARK,
-	RIF1_CLK_B_MARK,
-	ADICLK_MARK,
-
-	/* IPSR13 */
-	TX2_A_IMARK,
-	SD2_CD_B_MARK,
-	SCL1_A_MARK,
-	FMCLK_A_MARK,
-	RIF1_D1_C_MARK,
-	FSO_CFE_0_B_MARK,
-
-	RX2_A_IMARK,
-	SD2_WP_B_MARK,
-	SDA1_A_MARK,
-	FMIN_A_MARK,
-	RIF1_SYNC_C_MARK,
-	FSO_CEF_1_B_MARK,
-
-	HSCK0_IMARK,
-	MSIOF1_SCK_D_MARK,
-	AUDIO_CLKB_A_MARK,
-	SSI_SDATA1_B_MARK,
-	TS_SCK0_D_MARK,
-	STP_ISCLK_0_D_MARK,
-	RIF0_CLK_C_MARK,
-	RX5_B_MARK,
-
-	HRX0_IMARK,
-	MSIOF1_RXD_D_MARK,
-	SS1_SDATA2_B_MARK,
-	TS_SDEN0_D_MARK,
-	STP_ISEN_0_D_MARK,
-	RIF0_D0_C_MARK,
-
-	HTX0_IMARK,
-	MSIOF1_TXD_D_MARK,
-	SSI_SDATA9_B_MARK,
-	TS_SDAT0_D_MARK,
-	STP_ISD_0_D_MARK,
-	RIF0_D1_C_MARK,
-
-	HCTS0x_IMARK,
-	RX2_B_MARK,
-	MSIOF1_SYNC_D_MARK,
-	SSI_SCK9_A_MARK,
-	TS_SPSYNC0_D_MARK,
-	STP_ISSYNC_0_D_MARK,
-	RIF0_SYNC_C_MARK,
-	AUDIO_CLKOUT1_A_MARK,
-
-	HRTS0x_IMARK,
-	TX2_B_MARK,
-	MSIOF1_SS1_D_MARK,
-	SSI_WS9_A_MARK,
-	STP_IVCXO27_0_D_MARK,
-	BPFCLK_A_MARK,
-	AUDIO_CLKOUT2_A_MARK,
-
-	MSIOF0_SYNC_IMARK,
-	AUDIO_CLKOUT_A_MARK,
-	TX5_B_MARK,
-	BPFCLK_D_MARK,
-
-	/* IPSR14 */
-	MSIOF0_SS1_IMARK,
-	RX5_A_MARK,
-	NFWPx_A_MARK,
-	AUDIO_CLKA_C_MARK,
-	SSI_SCK2_A_MARK,
-	STP_IVCXO27_0_C_MARK,
-	AUDIO_CLKOUT3_A_MARK,
-	TCLK1_B_MARK,
-
-	MSIOF0_SS2_IMARK,
-	TX5_A_MARK,
-	MSIOF1_SS2_D_MARK,
-	AUDIO_CLKC_A_MARK,
-	SSI_WS2_A_MARK,
-	STP_OPWM_0_D_MARK,
-	AUDIO_CLKOUT_D_MARK,
-	SPEEDIN_B_MARK,
-
-	MLB_CLK_IMARK,
-	MSIOF1_SCK_F_MARK,
-	SCL1_B_MARK,
-
-	MLB_SIG_IMARK,
-	RX1_B_MARK,
-	MSIOF1_SYNC_F_MARK,
-	SDA1_B_MARK,
-
-	MLB_DAT_IMARK,
-	TX1_B_MARK,
-	MSIOF1_RXD_F_MARK,
-
-	SSI_SCK0129_IMARK,
-	MSIOF1_TXD_F_MARK,
-	MOUT0_MARK,
-
-	SSI_WS0129_IMARK,
-	MSIOF1_SS1_F_MARK,
-	MOUT1_MARK,
-
-	SSI_SDATA0_IMARK,
-	MSIOF1_SS2_F_MARK,
-	MOUT2_MARK,
-
-	/* IPSR15 */
-	SSI_SDATA1_A_IMARK,
-	MOUT5_MARK,
-
-	SSI_SDATA2_A_IMARK,
-	SSI_SCK1_B_MARK,
-	MOUT6_MARK,
-
-	SSI_SCK34_IMARK,
-	MSIOF1_SS1_A_MARK,
-	STP_OPWM_0_A_MARK,
-
-	SSI_WS34_IMARK,
-	HCTS2x_A_MARK,
-	MSIOF1_SS2_A_MARK,
-	STP_IVCXO27_0_A_MARK,
-
-	SSI_SDATA3_IMARK,
-	HRTS2x_A_MARK,
-	MSIOF1_TXD_A_MARK,
-	TS_SCK0_A_MARK,
-	STP_ISCLK_0_A_MARK,
-	RIF0_D1_A_MARK,
-	RIF2_D0_A_MARK,
-
-	SSI_SCK4_IMARK,
-	HRX2_A_MARK,
-	MSIOF1_SCK_A_MARK,
-	TS_SDAT0_A_MARK,
-	STP_ISD_0_A_MARK,
-	RIF0_CLK_A_MARK,
-	RIF2_CLK_A_MARK,
-
-	SSI_WS4_IMARK,
-	HTX2_A_MARK,
-	MSIOF1_SYNC_A_MARK,
-	TS_SDEN0_A_MARK,
-	STP_ISEN_0_A_MARK,
-	RIF0_SYNC_A_MARK,
-	RIF2_SYNC_A_MARK,
-
-	SSI_SDATA4_IMARK,
-	HSCK2_A_MARK,
-	MSIOF1_RXD_A_MARK,
-	TS_SPSYNC0_A_MARK,
-	STP_ISSYNC_0_A_MARK,
-	RIF0_D0_A_MARK,
-	RIF2_D1_A_MARK,
-
-	/* IPSR16 */
-	SSI_SCK6_IMARK,
-	SIM0_RST_D_MARK,
-	FSO_TOE_A_MARK,
-
-	SSI_WS6_IMARK,
-	SIM0_D_D_MARK,
-
-	SSI_SDATA6_IMARK,
-	SIM0_CLK_D_MARK,
-
-	SSI_SCK78_IMARK,
-	HRX2_B_MARK,
-	MSIOF1_SCK_C_MARK,
-	TS_SCK1_A_MARK,
-	STP_ISCLK_1_A_MARK,
-	RIF1_CLK_A_MARK,
-	RIF3_CLK_A_MARK,
-
-	SSI_WS78_IMARK,
-	HTX2_B_MARK,
-	MSIOF1_SYNC_C_MARK,
-	TS_SDAT1_A_MARK,
-	STP_ISD_1_A_MARK,
-	RIF1_SYNC_A_MARK,
-	RIF3_SYNC_A_MARK,
-
-	SSI_SDATA7_IMARK,
-	HCTS2x_B_MARK,
-	MSIOF1_RXD_C_MARK,
-	TS_SDEN1_A_MARK,
-	STP_IEN_1_A_MARK,
-	RIF1_D0_A_MARK,
-	RIF3_D0_A_MARK,
-	TCLK2_A_MARK,
-
-	SSI_SDATA8_IMARK,
-	HRTS2x_B_MARK,
-	MSIOF1_TXD_C_MARK,
-	TS_SPSYNC1_A_MARK,
-	STP_ISSYNC_1_A_MARK,
-	RIF1_D1_A_MARK,
-	EIF3_D1_A_MARK,
-
-	SSI_SDATA9_A_IMARK,
-	HSCK2_B_MARK,
-	MSIOF1_SS1_C_MARK,
-	HSCK1_A_MARK,
-	SSI_WS1_B_MARK,
-	SCK1_MARK,
-	STP_IVCXO27_1_A_MARK,
-	SCK5_MARK,
-
-	/* IPSR17 */
-	AUDIO_CLKA_A_IMARK,
-	CC5_OSCOUT_MARK,
-
-	AUDIO_CLKB_B_IMARK,
-	SCIF_CLK_A_MARK,
-	STP_IVCXO27_1_D_MARK,
-	REMOCON_A_MARK,
-	TCLK1_A_MARK,
-
-	USB0_PWEN_IMARK,
-	SIM0_RST_C_MARK,
-	TS_SCK1_D_MARK,
-	STP_ISCLK_1_D_MARK,
-	BPFCLK_B_MARK,
-	RIF3_CLK_B_MARK,
-	FSO_CFE_1_A_MARK,
-	HSCK2_C_MARK,
-
-	USB0_OVC_IMARK,
-	SIM0_D_C_MARK,
-	TS_SDAT1_D_MARK,
-	STP_ISD_1_D_MARK,
-	RIF3_SYNC_B_MARK,
-	HRX2_C_MARK,
-
-	USB1_PWEN_IMARK,
-	SIM0_CLK_C_MARK,
-	SSI_SCK1_A_MARK,
-	TS_SCK0_E_MARK,
-	STP_ISCLK_0_E_MARK,
-	FMCLK_B_MARK,
-	RIF2_CLK_B_MARK,
-	SPEEDIN_A_MARK,
-	HTX2_C_MARK,
-
-	USB1_OVC_IMARK,
-	MSIOF1_SS2_C_MARK,
-	SSI_WS1_A_MARK,
-	TS_SDAT0_E_MARK,
-	STP_ISD_0_E_MARK,
-	FMIN_B_MARK,
-	RIF2_SYNC_B_MARK,
-	REMOCON_B_MARK,
-	HCTS2x_C_MARK,
-
-	USB30_PWEN_IMARK,
-	AUDIO_CLKOUT_B_MARK,
-	SSI_SCK2_B_MARK,
-	TS_SDEN1_D_MARK,
-	STP_ISEN_1_D_MARK,
-	STP_OPWM_0_E_MARK,
-	RIF3_D0_B_MARK,
-	TCLK2_B_MARK,
-	TPU0TO0_MARK,
-	BPFCLK_C_MARK,
-	HRTS2x_C_MARK,
-
-	USB30_OVC_IMARK,
-	AUDIO_CLKOUT1_B_MARK,
-	SSI_WS2_B_MARK,
-	TS_SPSYNC1_D_MARK,
-	STP_ISSYNC_1_D_MARK,
-	STP_IVCXO27_0_E_MARK,
-	RIF3_D1_B_MARK,
-	FSO_TOE_B_MARK,
-	TPU0TO1_MARK,
-
-	/* IPSR18 */
-	GP6_30_IMARK,
-	AUDIO_CLKOUT2_B_MARK,
-	SSI_SCK9_B_MARK,
-	TS_SDEN0_E_MARK,
-	STP_ISEN_0_E_MARK,
-	RIF2_D0_B_MARK,
-	FSO_CFE_0_A_MARK,
-	TPU0TO2_MARK,
-	FMCLK_C_MARK,
-	FMCLK_D_MARK,
-
-	GP6_31_IMARK,
-	AUDIO_CLKOUT3_B_MARK,
-	SSI_WS9_B_MARK,
-	TS_SPSYNC0_E_MARK,
-	STP_ISSYNC_0_E_MARK,
-	RIF2_D1_B_MARK,
-	TPU0TO3_MARK,
-	FMIN_C_MARK,
-	FMIN_D_MARK,
-
-	PINMUX_MARK_END,
-};
-
-static pinmux_enum_t pinmux_data[] = {
-	PINMUX_DATA_GP_ALL(), /* PINMUX_DATA(GP_M_N_DATA, GP_M_N_FN...), */
-
-	/* GPSR0 */
-	PINMUX_DATA(D15_GMARK, GFN_D15),
-	PINMUX_DATA(D14_GMARK, GFN_D14),
-	PINMUX_DATA(D13_GMARK, GFN_D13),
-	PINMUX_DATA(D12_GMARK, GFN_D12),
-	PINMUX_DATA(D11_GMARK, GFN_D11),
-	PINMUX_DATA(D10_GMARK, GFN_D10),
-	PINMUX_DATA(D9_GMARK, GFN_D9),
-	PINMUX_DATA(D8_GMARK, GFN_D8),
-	PINMUX_DATA(D7_GMARK, GFN_D7),
-	PINMUX_DATA(D6_GMARK, GFN_D6),
-	PINMUX_DATA(D5_GMARK, GFN_D5),
-	PINMUX_DATA(D4_GMARK, GFN_D4),
-	PINMUX_DATA(D3_GMARK, GFN_D3),
-	PINMUX_DATA(D2_GMARK, GFN_D2),
-	PINMUX_DATA(D1_GMARK, GFN_D1),
-	PINMUX_DATA(D0_GMARK, GFN_D0),
-
-	/* GPSR1 */
-	PINMUX_DATA(CLKOUT_GMARK, GFN_CLKOUT),
-	PINMUX_DATA(EX_WAIT0_A_GMARK, GFN_EX_WAIT0_A),
-	PINMUX_DATA(WE1x_GMARK, GFN_WE1x),
-	PINMUX_DATA(WE0x_GMARK, GFN_WE0x),
-	PINMUX_DATA(RD_WRx_GMARK, GFN_RD_WRx),
-	PINMUX_DATA(RDx_GMARK, GFN_RDx),
-	PINMUX_DATA(BSx_GMARK, GFN_BSx),
-	PINMUX_DATA(CS1x_A26_GMARK, GFN_CS1x_A26),
-	PINMUX_DATA(CS0x_GMARK, GFN_CS0x),
-	PINMUX_DATA(A19_GMARK, GFN_A19),
-	PINMUX_DATA(A18_GMARK, GFN_A18),
-	PINMUX_DATA(A17_GMARK, GFN_A17),
-	PINMUX_DATA(A16_GMARK, GFN_A16),
-	PINMUX_DATA(A15_GMARK, GFN_A15),
-	PINMUX_DATA(A14_GMARK, GFN_A14),
-	PINMUX_DATA(A13_GMARK, GFN_A13),
-	PINMUX_DATA(A12_GMARK, GFN_A12),
-	PINMUX_DATA(A11_GMARK, GFN_A11),
-	PINMUX_DATA(A10_GMARK, GFN_A10),
-	PINMUX_DATA(A9_GMARK, GFN_A9),
-	PINMUX_DATA(A8_GMARK, GFN_A8),
-	PINMUX_DATA(A7_GMARK, GFN_A7),
-	PINMUX_DATA(A6_GMARK, GFN_A6),
-	PINMUX_DATA(A5_GMARK, GFN_A5),
-	PINMUX_DATA(A4_GMARK, GFN_A4),
-	PINMUX_DATA(A3_GMARK, GFN_A3),
-	PINMUX_DATA(A2_GMARK, GFN_A2),
-	PINMUX_DATA(A1_GMARK, GFN_A1),
-	PINMUX_DATA(A0_GMARK, GFN_A0),
-
-	/* GPSR2 */
-	PINMUX_DATA(AVB_AVTP_CAPTURE_A_GMARK, GFN_AVB_AVTP_CAPTURE_A),
-	PINMUX_DATA(AVB_AVTP_MATCH_A_GMARK, GFN_AVB_AVTP_MATCH_A),
-	PINMUX_DATA(AVB_LINK_GMARK, GFN_AVB_LINK),
-	PINMUX_DATA(AVB_PHY_INT_GMARK, GFN_AVB_PHY_INT),
-	PINMUX_DATA(AVB_MAGIC_GMARK, GFN_AVB_MAGIC),
-	PINMUX_DATA(AVB_MDC_GMARK, GFN_AVB_MDC),
-	PINMUX_DATA(PWM2_A_GMARK, GFN_PWM2_A),
-	PINMUX_DATA(PWM1_A_GMARK, GFN_PWM1_A),
-	PINMUX_DATA(PWM0_GMARK, GFN_PWM0),
-	PINMUX_DATA(IRQ5_GMARK, GFN_IRQ5),
-	PINMUX_DATA(IRQ4_GMARK, GFN_IRQ4),
-	PINMUX_DATA(IRQ3_GMARK, GFN_IRQ3),
-	PINMUX_DATA(IRQ2_GMARK, GFN_IRQ2),
-	PINMUX_DATA(IRQ1_GMARK, GFN_IRQ1),
-	PINMUX_DATA(IRQ0_GMARK, GFN_IRQ0),
-
-	/* GPSR3 */
-	PINMUX_DATA(SD1_WP_GMARK, GFN_SD1_WP),
-	PINMUX_DATA(SD1_CD_GMARK, GFN_SD1_CD),
-	PINMUX_DATA(SD0_WP_GMARK, GFN_SD0_WP),
-	PINMUX_DATA(SD0_CD_GMARK, GFN_SD0_CD),
-	PINMUX_DATA(SD1_DAT3_GMARK, GFN_SD1_DAT3),
-	PINMUX_DATA(SD1_DAT2_GMARK, GFN_SD1_DAT2),
-	PINMUX_DATA(SD1_DAT1_GMARK, GFN_SD1_DAT1),
-	PINMUX_DATA(SD1_DAT0_GMARK, GFN_SD1_DAT0),
-	PINMUX_DATA(SD1_CMD_GMARK, GFN_SD1_CMD),
-	PINMUX_DATA(SD1_CLK_GMARK, GFN_SD1_CLK),
-	PINMUX_DATA(SD0_DAT3_GMARK, GFN_SD0_DAT3),
-	PINMUX_DATA(SD0_DAT2_GMARK, GFN_SD0_DAT2),
-	PINMUX_DATA(SD0_DAT1_GMARK, GFN_SD0_DAT1),
-	PINMUX_DATA(SD0_DAT0_GMARK, GFN_SD0_DAT0),
-	PINMUX_DATA(SD0_CMD_GMARK, GFN_SD0_CMD),
-	PINMUX_DATA(SD0_CLK_GMARK, GFN_SD0_CLK),
-
-	/* GPSR4 */
-	PINMUX_DATA(SD3_DS_GMARK, GFN_SD3_DS),
-	PINMUX_DATA(SD3_DAT7_GMARK, GFN_SD3_DAT7),
-	PINMUX_DATA(SD3_DAT6_GMARK, GFN_SD3_DAT6),
-	PINMUX_DATA(SD3_DAT5_GMARK, GFN_SD3_DAT5),
-	PINMUX_DATA(SD3_DAT4_GMARK, GFN_SD3_DAT4),
-	PINMUX_DATA(SD3_DAT3_MARK, FN_SD3_DAT3),
-	PINMUX_DATA(SD3_DAT2_MARK, FN_SD3_DAT2),
-	PINMUX_DATA(SD3_DAT1_MARK, FN_SD3_DAT1),
-	PINMUX_DATA(SD3_DAT0_MARK, FN_SD3_DAT0),
-	PINMUX_DATA(SD3_CMD_MARK, FN_SD3_CMD),
-	PINMUX_DATA(SD3_CLK_MARK, FN_SD3_CLK),
-	PINMUX_DATA(SD2_DS_GMARK, GFN_SD2_DS),
-	PINMUX_DATA(SD2_DAT3_GMARK, GFN_SD2_DAT3),
-	PINMUX_DATA(SD2_DAT2_GMARK, GFN_SD2_DAT2),
-	PINMUX_DATA(SD2_DAT1_GMARK, GFN_SD2_DAT1),
-	PINMUX_DATA(SD2_DAT0_GMARK, GFN_SD2_DAT0),
-	PINMUX_DATA(SD2_CMD_MARK, FN_SD2_CMD),
-	PINMUX_DATA(SD2_CLK_GMARK, GFN_SD2_CLK),
-
-	/* GPSR5 */
-	PINMUX_DATA(MLB_DAT_GMARK, GFN_MLB_DAT),
-	PINMUX_DATA(MLB_SIG_GMARK, GFN_MLB_SIG),
-	PINMUX_DATA(MLB_CLK_GMARK, GFN_MLB_CLK),
-	PINMUX_DATA(MSIOF0_RXD_MARK, FN_MSIOF0_RXD),
-	PINMUX_DATA(MSIOF0_SS2_GMARK, GFN_MSIOF0_SS2),
-	PINMUX_DATA(MSIOF0_TXD_MARK, FN_MSIOF0_TXD),
-	PINMUX_DATA(MSIOF0_SS1_GMARK, GFN_MSIOF0_SS1),
-	PINMUX_DATA(MSIOF0_SYNC_GMARK, GFN_MSIOF0_SYNC),
-	PINMUX_DATA(MSIOF0_SCK_MARK, FN_MSIOF0_SCK),
-	PINMUX_DATA(HRTS0x_GMARK, GFN_HRTS0x),
-	PINMUX_DATA(HCTS0x_GMARK, GFN_HCTS0x),
-	PINMUX_DATA(HTX0_GMARK, GFN_HTX0),
-	PINMUX_DATA(HRX0_GMARK, GFN_HRX0),
-	PINMUX_DATA(HSCK0_GMARK, GFN_HSCK0),
-	PINMUX_DATA(RX2_A_GMARK, GFN_RX2_A),
-	PINMUX_DATA(TX2_A_GMARK, GFN_TX2_A),
-	PINMUX_DATA(SCK2_GMARK, GFN_SCK2),
-	PINMUX_DATA(RTS1x_TANS_GMARK, GFN_RTS1x_TANS),
-	PINMUX_DATA(CTS1x_GMARK, GFN_CTS1x),
-	PINMUX_DATA(TX1_A_GMARK, GFN_TX1_A),
-	PINMUX_DATA(RX1_A_GMARK, GFN_RX1_A),
-	PINMUX_DATA(RTS0x_TANS_GMARK, GFN_RTS0x_TANS),
-	PINMUX_DATA(CTS0x_GMARK, GFN_CTS0x),
-	PINMUX_DATA(TX0_GMARK, GFN_TX0),
-	PINMUX_DATA(RX0_GMARK, GFN_RX0),
-	PINMUX_DATA(SCK0_GMARK, GFN_SCK0),
-
-	/* GPSR6 */
-	PINMUX_DATA(GP6_30_GMARK, GFN_GP6_30),
-	PINMUX_DATA(GP6_31_GMARK, GFN_GP6_31),
-	PINMUX_DATA(USB30_OVC_GMARK, GFN_USB30_OVC),
-	PINMUX_DATA(USB30_PWEN_GMARK, GFN_USB30_PWEN),
-	PINMUX_DATA(USB1_OVC_GMARK, GFN_USB1_OVC),
-	PINMUX_DATA(USB1_PWEN_GMARK, GFN_USB1_PWEN),
-	PINMUX_DATA(USB0_OVC_GMARK, GFN_USB0_OVC),
-	PINMUX_DATA(USB0_PWEN_GMARK, GFN_USB0_PWEN),
-	PINMUX_DATA(AUDIO_CLKB_B_GMARK, GFN_AUDIO_CLKB_B),
-	PINMUX_DATA(AUDIO_CLKA_A_GMARK, GFN_AUDIO_CLKA_A),
-	PINMUX_DATA(SSI_SDATA9_A_GMARK, GFN_SSI_SDATA9_A),
-	PINMUX_DATA(SSI_SDATA8_GMARK, GFN_SSI_SDATA8),
-	PINMUX_DATA(SSI_SDATA7_GMARK, GFN_SSI_SDATA7),
-	PINMUX_DATA(SSI_WS78_GMARK, GFN_SSI_WS78),
-	PINMUX_DATA(SSI_SCK78_GMARK, GFN_SSI_SCK78),
-	PINMUX_DATA(SSI_SDATA6_GMARK, GFN_SSI_SDATA6),
-	PINMUX_DATA(SSI_WS6_GMARK, GFN_SSI_WS6),
-	PINMUX_DATA(SSI_SCK6_GMARK, GFN_SSI_SCK6),
-	PINMUX_DATA(SSI_SDATA5_MARK, FN_SSI_SDATA5),
-	PINMUX_DATA(SSI_WS5_MARK, FN_SSI_WS5),
-	PINMUX_DATA(SSI_SCK5_MARK, FN_SSI_SCK5),
-	PINMUX_DATA(SSI_SDATA4_GMARK, GFN_SSI_SDATA4),
-	PINMUX_DATA(SSI_WS4_GMARK, GFN_SSI_WS4),
-	PINMUX_DATA(SSI_SCK4_GMARK, GFN_SSI_SCK4),
-	PINMUX_DATA(SSI_SDATA3_GMARK, GFN_SSI_SDATA3),
-	PINMUX_DATA(SSI_WS34_GMARK, GFN_SSI_WS34),
-	PINMUX_DATA(SSI_SCK34_GMARK, GFN_SSI_SCK34),
-	PINMUX_DATA(SSI_SDATA2_A_GMARK, GFN_SSI_SDATA2_A),
-	PINMUX_DATA(SSI_SDATA1_A_GMARK, GFN_SSI_SDATA1_A),
-	PINMUX_DATA(SSI_SDATA0_GMARK, GFN_SSI_SDATA0),
-	PINMUX_DATA(SSI_WS01239_GMARK, GFN_SSI_WS01239),
-	PINMUX_DATA(SSI_SCK01239_GMARK, GFN_SSI_SCK01239),
-
-	/* GPSR7 */
-	PINMUX_DATA(HDMI1_CEC_MARK, FN_HDMI1_CEC),
-	PINMUX_DATA(HDMI0_CEC_MARK, FN_HDMI0_CEC),
-	PINMUX_DATA(AVS2_MARK, FN_AVS2),
-	PINMUX_DATA(AVS1_MARK, FN_AVS1),
-
-	/* ipsr setting .. underconstruction */
-};
-
-static struct pinmux_gpio pinmux_gpios[] = {
-	PINMUX_GPIO_GP_ALL(),
-	/* GPSR0 */
-	GPIO_GFN(D15),
-	GPIO_GFN(D14),
-	GPIO_GFN(D13),
-	GPIO_GFN(D12),
-	GPIO_GFN(D11),
-	GPIO_GFN(D10),
-	GPIO_GFN(D9),
-	GPIO_GFN(D8),
-	GPIO_GFN(D7),
-	GPIO_GFN(D6),
-	GPIO_GFN(D5),
-	GPIO_GFN(D4),
-	GPIO_GFN(D3),
-	GPIO_GFN(D2),
-	GPIO_GFN(D1),
-	GPIO_GFN(D0),
-	/* GPSR1 */
-	GPIO_GFN(CLKOUT),
-	GPIO_GFN(EX_WAIT0_A),
-	GPIO_GFN(WE1x),
-	GPIO_GFN(WE0x),
-	GPIO_GFN(RD_WRx),
-	GPIO_GFN(RDx),
-	GPIO_GFN(BSx),
-	GPIO_GFN(CS1x_A26),
-	GPIO_GFN(CS0x),
-	GPIO_GFN(A19),
-	GPIO_GFN(A18),
-	GPIO_GFN(A17),
-	GPIO_GFN(A16),
-	GPIO_GFN(A15),
-	GPIO_GFN(A14),
-	GPIO_GFN(A13),
-	GPIO_GFN(A12),
-	GPIO_GFN(A11),
-	GPIO_GFN(A10),
-	GPIO_GFN(A9),
-	GPIO_GFN(A8),
-	GPIO_GFN(A7),
-	GPIO_GFN(A6),
-	GPIO_GFN(A5),
-	GPIO_GFN(A4),
-	GPIO_GFN(A3),
-	GPIO_GFN(A2),
-	GPIO_GFN(A1),
-	GPIO_GFN(A0),
-
-	/* GPSR2 */
-	GPIO_GFN(AVB_AVTP_CAPTURE_A),
-	GPIO_GFN(AVB_AVTP_MATCH_A),
-	GPIO_GFN(AVB_LINK),
-	GPIO_GFN(AVB_PHY_INT),
-	GPIO_GFN(AVB_MAGIC),
-	GPIO_GFN(AVB_MDC),
-	GPIO_GFN(PWM2_A),
-	GPIO_GFN(PWM1_A),
-	GPIO_GFN(PWM0),
-	GPIO_GFN(IRQ5),
-	GPIO_GFN(IRQ4),
-	GPIO_GFN(IRQ3),
-	GPIO_GFN(IRQ2),
-	GPIO_GFN(IRQ1),
-	GPIO_GFN(IRQ0),
-
-	/* GPSR3 */
-	GPIO_GFN(SD1_WP),
-	GPIO_GFN(SD1_CD),
-	GPIO_GFN(SD0_WP),
-	GPIO_GFN(SD0_CD),
-	GPIO_GFN(SD1_DAT3),
-	GPIO_GFN(SD1_DAT2),
-	GPIO_GFN(SD1_DAT1),
-	GPIO_GFN(SD1_DAT0),
-	GPIO_GFN(SD1_CMD),
-	GPIO_GFN(SD1_CLK),
-	GPIO_GFN(SD0_DAT3),
-	GPIO_GFN(SD0_DAT2),
-	GPIO_GFN(SD0_DAT1),
-	GPIO_GFN(SD0_DAT0),
-	GPIO_GFN(SD0_CMD),
-	GPIO_GFN(SD0_CLK),
-
-	/* GPSR4 */
-	GPIO_GFN(SD3_DS),
-	GPIO_GFN(SD3_DAT7),
-	GPIO_GFN(SD3_DAT6),
-	GPIO_GFN(SD3_DAT5),
-	GPIO_GFN(SD3_DAT4),
-	GPIO_FN(SD3_DAT3),
-	GPIO_FN(SD3_DAT2),
-	GPIO_FN(SD3_DAT1),
-	GPIO_FN(SD3_DAT0),
-	GPIO_FN(SD3_CMD),
-	GPIO_FN(SD3_CLK),
-	GPIO_GFN(SD2_DS),
-	GPIO_GFN(SD2_DAT3),
-	GPIO_GFN(SD2_DAT2),
-	GPIO_GFN(SD2_DAT1),
-	GPIO_GFN(SD2_DAT0),
-	GPIO_FN(SD2_CMD),
-	GPIO_GFN(SD2_CLK),
-
-	/* GPSR5 */
-	GPIO_GFN(MLB_DAT),
-	GPIO_GFN(MLB_SIG),
-	GPIO_GFN(MLB_CLK),
-	GPIO_FN(MSIOF0_RXD),
-	GPIO_GFN(MSIOF0_SS2),
-	GPIO_FN(MSIOF0_TXD),
-	GPIO_GFN(MSIOF0_SS1),
-	GPIO_GFN(MSIOF0_SYNC),
-	GPIO_FN(MSIOF0_SCK),
-	GPIO_GFN(HRTS0x),
-	GPIO_GFN(HCTS0x),
-	GPIO_GFN(HTX0),
-	GPIO_GFN(HRX0),
-	GPIO_GFN(HSCK0),
-	GPIO_GFN(RX2_A),
-	GPIO_GFN(TX2_A),
-	GPIO_GFN(SCK2),
-	GPIO_GFN(RTS1x_TANS),
-	GPIO_GFN(CTS1x),
-	GPIO_GFN(TX1_A),
-	GPIO_GFN(RX1_A),
-	GPIO_GFN(RTS0x_TANS),
-	GPIO_GFN(CTS0x),
-	GPIO_GFN(TX0),
-	GPIO_GFN(RX0),
-	GPIO_GFN(SCK0),
-
-	/* GPSR6 */
-	GPIO_GFN(GP6_30),
-	GPIO_GFN(GP6_31),
-	GPIO_GFN(USB30_OVC),
-	GPIO_GFN(USB30_PWEN),
-	GPIO_GFN(USB1_OVC),
-	GPIO_GFN(USB1_PWEN),
-	GPIO_GFN(USB0_OVC),
-	GPIO_GFN(USB0_PWEN),
-	GPIO_GFN(AUDIO_CLKB_B),
-	GPIO_GFN(AUDIO_CLKA_A),
-	GPIO_GFN(SSI_SDATA9_A),
-	GPIO_GFN(SSI_SDATA8),
-	GPIO_GFN(SSI_SDATA7),
-	GPIO_GFN(SSI_WS78),
-	GPIO_GFN(SSI_SCK78),
-	GPIO_GFN(SSI_SDATA6),
-	GPIO_GFN(SSI_WS6),
-	GPIO_GFN(SSI_SCK6),
-	GPIO_FN(SSI_SDATA5),
-	GPIO_FN(SSI_WS5),
-	GPIO_FN(SSI_SCK5),
-	GPIO_GFN(SSI_SDATA4),
-	GPIO_GFN(SSI_WS4),
-	GPIO_GFN(SSI_SCK4),
-	GPIO_GFN(SSI_SDATA3),
-	GPIO_GFN(SSI_WS34),
-	GPIO_GFN(SSI_SCK34),
-	GPIO_GFN(SSI_SDATA2_A),
-	GPIO_GFN(SSI_SDATA1_A),
-	GPIO_GFN(SSI_SDATA0),
-	GPIO_GFN(SSI_WS01239),
-	GPIO_GFN(SSI_SCK01239),
-
-	/* GPSR7 */
-	GPIO_FN(HDMI1_CEC),
-	GPIO_FN(HDMI0_CEC),
-	GPIO_FN(AVS2),
-	GPIO_FN(AVS1),
-
-	/* IPSR0 */
-	GPIO_IFN(AVB_MDC),
-	GPIO_FN(MSIOF2_SS2_C),
-	GPIO_IFN(AVB_MAGIC),
-	GPIO_FN(MSIOF2_SS1_C),
-	GPIO_FN(SCK4_A),
-	GPIO_IFN(AVB_PHY_INT),
-	GPIO_FN(MSIOF2_SYNC_C),
-	GPIO_FN(RX4_A),
-	GPIO_IFN(AVB_LINK),
-	GPIO_FN(MSIOF2_SCK_C),
-	GPIO_FN(TX4_A),
-	GPIO_IFN(AVB_AVTP_MATCH_A),
-	GPIO_FN(MSIOF2_RXD_C),
-	GPIO_FN(CTS4x_A),
-	GPIO_IFN(AVB_AVTP_CAPTURE_A),
-	GPIO_FN(MSIOF2_TXD_C),
-	GPIO_FN(RTS4x_TANS_A),
-	GPIO_IFN(IRQ0),
-	GPIO_FN(QPOLB),
-	GPIO_FN(DU_CDE),
-	GPIO_FN(VI4_DATA0_B),
-	GPIO_FN(CAN0_TX_B),
-	GPIO_FN(CANFD0_TX_B),
-	GPIO_FN(MSIOF3_SS2_E),
-	GPIO_IFN(IRQ1),
-	GPIO_FN(QPOLA),
-	GPIO_FN(DU_DISP),
-	GPIO_FN(VI4_DATA1_B),
-	GPIO_FN(CAN0_RX_B),
-	GPIO_FN(CANFD0_RX_B),
-	GPIO_FN(MSIOF3_SS1_E),
-
-	/* IPSR1 */
-	GPIO_IFN(IRQ2),
-	GPIO_FN(QCPV_QDE),
-	GPIO_FN(DU_EXODDF_DU_ODDF_DISP_CDE),
-	GPIO_FN(VI4_DATA2_B),
-	GPIO_FN(MSIOF3_SYNC_E),
-	GPIO_FN(PWM3_B),
-	GPIO_IFN(IRQ3),
-	GPIO_FN(QSTVB_QVE),
-	GPIO_FN(DU_DOTCLKOUT1),
-	GPIO_FN(VI4_DATA3_B),
-	GPIO_FN(MSIOF3_SCK_E),
-	GPIO_FN(PWM4_B),
-	GPIO_IFN(IRQ4),
-	GPIO_FN(QSTH_QHS),
-	GPIO_FN(DU_EXHSYNC_DU_HSYNC),
-	GPIO_FN(VI4_DATA4_B),
-	GPIO_FN(MSIOF3_RXD_E),
-	GPIO_FN(PWM5_B),
-	GPIO_IFN(IRQ5),
-	GPIO_FN(QSTB_QHE),
-	GPIO_FN(DU_EXVSYNC_DU_VSYNC),
-	GPIO_FN(VI4_DATA5_B),
-	GPIO_FN(MSIOF3_TXD_E),
-	GPIO_FN(PWM6_B),
-	GPIO_IFN(PWM0),
-	GPIO_FN(AVB_AVTP_PPS),
-	GPIO_FN(VI4_DATA6_B),
-	GPIO_FN(IECLK_B),
-	GPIO_IFN(PWM1_A),
-	GPIO_FN(HRX3_D),
-	GPIO_FN(VI4_DATA7_B),
-	GPIO_FN(IERX_B),
-	GPIO_IFN(PWM2_A),
-	GPIO_FN(PWMFSW0),
-	GPIO_FN(HTX3_D),
-	GPIO_FN(IETX_B),
-	GPIO_IFN(A0),
-	GPIO_FN(LCDOUT16),
-	GPIO_FN(MSIOF3_SYNC_B),
-	GPIO_FN(VI4_DATA8),
-	GPIO_FN(DU_DB0),
-	GPIO_FN(PWM3_A),
-
-	/* IPSR2 */
-	GPIO_IFN(A1),
-	GPIO_FN(LCDOUT17),
-	GPIO_FN(MSIOF3_TXD_B),
-	GPIO_FN(VI4_DATA9),
-	GPIO_FN(DU_DB1),
-	GPIO_FN(PWM4_A),
-	GPIO_IFN(A2),
-	GPIO_FN(LCDOUT18),
-	GPIO_FN(MSIOF3_SCK_B),
-	GPIO_FN(VI4_DATA10),
-	GPIO_FN(DU_DB2),
-	GPIO_FN(PWM5_A),
-	GPIO_IFN(A3),
-	GPIO_FN(LCDOUT19),
-	GPIO_FN(MSIOF3_RXD_B),
-	GPIO_FN(VI4_DATA11),
-	GPIO_FN(DU_DB3),
-	GPIO_FN(PWM6_A),
-	GPIO_IFN(A4),
-	GPIO_FN(LCDOUT20),
-	GPIO_FN(MSIOF3_SS1_B),
-	GPIO_FN(VI4_DATA12),
-	GPIO_FN(VI5_DATA12),
-	GPIO_FN(DU_DB4),
-	GPIO_IFN(A5),
-	GPIO_FN(LCDOUT21),
-	GPIO_FN(MSIOF3_SS2_B),
-	GPIO_FN(SCK4_B),
-	GPIO_FN(VI4_DATA13),
-	GPIO_FN(VI5_DATA13),
-	GPIO_FN(DU_DB5),
-	GPIO_IFN(A6),
-	GPIO_FN(LCDOUT22),
-	GPIO_FN(MSIOF2_SS1_A),
-	GPIO_FN(RX4_B),
-	GPIO_FN(VI4_DATA14),
-	GPIO_FN(VI5_DATA14),
-	GPIO_FN(DU_DB6),
-	GPIO_IFN(A7),
-	GPIO_FN(LCDOUT23),
-	GPIO_FN(MSIOF2_SS2_A),
-	GPIO_FN(TX4_B),
-	GPIO_FN(VI4_DATA15),
-	GPIO_FN(V15_DATA15),
-	GPIO_FN(DU_DB7),
-	GPIO_IFN(A8),
-	GPIO_FN(RX3_B),
-	GPIO_FN(MSIOF2_SYNC_A),
-	GPIO_FN(HRX4_B),
-	GPIO_FN(SDA6_A),
-	GPIO_FN(AVB_AVTP_MATCH_B),
-	GPIO_FN(PWM1_B),
-
-	/* IPSR3 */
-	GPIO_IFN(A9),
-	GPIO_FN(MSIOF2_SCK_A),
-	GPIO_FN(CTS4x_B),
-	GPIO_FN(VI5_VSYNCx),
-	GPIO_IFN(A10),
-	GPIO_FN(MSIOF2_RXD_A),
-	GPIO_FN(RTS4n_TANS_B),
-	GPIO_FN(VI5_HSYNCx),
-	GPIO_IFN(A11),
-	GPIO_FN(TX3_B),
-	GPIO_FN(MSIOF2_TXD_A),
-	GPIO_FN(HTX4_B),
-	GPIO_FN(HSCK4),
-	GPIO_FN(VI5_FIELD),
-	GPIO_FN(SCL6_A),
-	GPIO_FN(AVB_AVTP_CAPTURE_B),
-	GPIO_FN(PWM2_B),
-	GPIO_FN(SPV_EVEN),
-	GPIO_IFN(A12),
-	GPIO_FN(LCDOUT12),
-	GPIO_FN(MSIOF3_SCK_C),
-	GPIO_FN(HRX4_A),
-	GPIO_FN(VI5_DATA8),
-	GPIO_FN(DU_DG4),
-	GPIO_IFN(A13),
-	GPIO_FN(LCDOUT13),
-	GPIO_FN(MSIOF3_SYNC_C),
-	GPIO_FN(HTX4_A),
-	GPIO_FN(VI5_DATA9),
-	GPIO_FN(DU_DG5),
-	GPIO_IFN(A14),
-	GPIO_FN(LCDOUT14),
-	GPIO_FN(MSIOF3_RXD_C),
-	GPIO_FN(HCTS4x),
-	GPIO_FN(VI5_DATA10),
-	GPIO_FN(DU_DG6),
-	GPIO_IFN(A15),
-	GPIO_FN(LCDOUT15),
-	GPIO_FN(MSIOF3_TXD_C),
-	GPIO_FN(HRTS4x),
-	GPIO_FN(VI5_DATA11),
-	GPIO_FN(DU_DG7),
-	GPIO_IFN(A16),
-	GPIO_FN(LCDOUT8),
-	GPIO_FN(VI4_FIELD),
-	GPIO_FN(DU_DG0),
-
-	/* IPSR4 */
-	GPIO_IFN(A17),
-	GPIO_FN(LCDOUT9),
-	GPIO_FN(VI4_VSYNCx),
-	GPIO_FN(DU_DG1),
-	GPIO_IFN(A18),
-	GPIO_FN(LCDOUT10),
-	GPIO_FN(VI4_HSYNCx),
-	GPIO_FN(DU_DG2),
-	GPIO_IFN(A19),
-	GPIO_FN(LCDOUT11),
-	GPIO_FN(VI4_CLKENB),
-	GPIO_FN(DU_DG3),
-	GPIO_IFN(CS0x),
-	GPIO_FN(VI5_CLKENB),
-	GPIO_IFN(CS1x_A26),
-	GPIO_FN(VI5_CLK),
-	GPIO_FN(EX_WAIT0_B),
-	GPIO_IFN(BSx),
-	GPIO_FN(QSTVA_QVS),
-	GPIO_FN(MSIOF3_SCK_D),
-	GPIO_FN(SCK3),
-	GPIO_FN(HSCK3),
-	GPIO_FN(CAN1_TX),
-	GPIO_FN(CANFD1_TX),
-	GPIO_FN(IETX_A),
-	GPIO_IFN(RDx),
-	GPIO_FN(MSIOF3_SYNC_D),
-	GPIO_FN(RX3_A),
-	GPIO_FN(HRX3_A),
-	GPIO_FN(CAN0_TX_A),
-	GPIO_FN(CANFD0_TX_A),
-	GPIO_IFN(RD_WRx),
-	GPIO_FN(MSIOF3_RXD_D),
-	GPIO_FN(TX3_A),
-	GPIO_FN(HTX3_A),
-	GPIO_FN(CAN0_RX_A),
-	GPIO_FN(CANFD0_RX_A),
-
-	/* IPSR5 */
-	GPIO_IFN(WE0x),
-	GPIO_FN(MSIIOF3_TXD_D),
-	GPIO_FN(CTS3x),
-	GPIO_FN(HCTS3x),
-	GPIO_FN(SCL6_B),
-	GPIO_FN(CAN_CLK),
-	GPIO_FN(IECLK_A),
-	GPIO_IFN(WE1x),
-	GPIO_FN(MSIOF3_SS1_D),
-	GPIO_FN(RTS3x_TANS),
-	GPIO_FN(HRTS3x),
-	GPIO_FN(SDA6_B),
-	GPIO_FN(CAN1_RX),
-	GPIO_FN(CANFD1_RX),
-	GPIO_FN(IERX_A),
-	GPIO_IFN(EX_WAIT0_A),
-	GPIO_FN(QCLK),
-	GPIO_FN(VI4_CLK),
-	GPIO_FN(DU_DOTCLKOUT0),
-	GPIO_IFN(D0),
-	GPIO_FN(MSIOF2_SS1_B),
-	GPIO_FN(MSIOF3_SCK_A),
-	GPIO_FN(VI4_DATA16),
-	GPIO_FN(VI5_DATA0),
-	GPIO_IFN(D1),
-	GPIO_FN(MSIOF2_SS2_B),
-	GPIO_FN(MSIOF3_SYNC_A),
-	GPIO_FN(VI4_DATA17),
-	GPIO_FN(VI5_DATA1),
-	GPIO_IFN(D2),
-	GPIO_FN(MSIOF3_RXD_A),
-	GPIO_FN(VI4_DATA18),
-	GPIO_FN(VI5_DATA2),
-	GPIO_IFN(D3),
-	GPIO_FN(MSIOF3_TXD_A),
-	GPIO_FN(VI4_DATA19),
-	GPIO_FN(VI5_DATA3),
-	GPIO_IFN(D4),
-	GPIO_FN(MSIOF2_SCK_B),
-	GPIO_FN(VI4_DATA20),
-	GPIO_FN(VI5_DATA4),
-
-	/* IPSR6 */
-	GPIO_IFN(D5),
-	GPIO_FN(MSIOF2_SYNC_B),
-	GPIO_FN(VI4_DATA21),
-	GPIO_FN(VI5_DATA5),
-	GPIO_IFN(D6),
-	GPIO_FN(MSIOF2_RXD_B),
-	GPIO_FN(VI4_DATA22),
-	GPIO_FN(VI5_DATA6),
-	GPIO_IFN(D7),
-	GPIO_FN(MSIOF2_TXD_B),
-	GPIO_FN(VI4_DATA23),
-	GPIO_FN(VI5_DATA7),
-	GPIO_IFN(D8),
-	GPIO_FN(LCDOUT0),
-	GPIO_FN(MSIOF2_SCK_D),
-	GPIO_FN(SCK4_C),
-	GPIO_FN(VI4_DATA0_A),
-	GPIO_FN(DU_DR0),
-	GPIO_IFN(D9),
-	GPIO_FN(LCDOUT1),
-	GPIO_FN(MSIOF2_SYNC_D),
-	GPIO_FN(VI4_DATA1_A),
-	GPIO_FN(DU_DR1),
-	GPIO_IFN(D10),
-	GPIO_FN(LCDOUT2),
-	GPIO_FN(MSIOF2_RXD_D),
-	GPIO_FN(HRX3_B),
-	GPIO_FN(VI4_DATA2_A),
-	GPIO_FN(CTS4x_C),
-	GPIO_FN(DU_DR2),
-	GPIO_IFN(D11),
-	GPIO_FN(LCDOUT3),
-	GPIO_FN(MSIOF2_TXD_D),
-	GPIO_FN(HTX3_B),
-	GPIO_FN(VI4_DATA3_A),
-	GPIO_FN(RTS4x_TANS_C),
-	GPIO_FN(DU_DR3),
-	GPIO_IFN(D12),
-	GPIO_FN(LCDOUT4),
-	GPIO_FN(MSIOF2_SS1_D),
-	GPIO_FN(RX4_C),
-	GPIO_FN(VI4_DATA4_A),
-	GPIO_FN(DU_DR4),
-
-	/* IPSR7 */
-	GPIO_IFN(D13),
-	GPIO_FN(LCDOUT5),
-	GPIO_FN(MSIOF2_SS2_D),
-	GPIO_FN(TX4_C),
-	GPIO_FN(VI4_DATA5_A),
-	GPIO_FN(DU_DR5),
-	GPIO_IFN(D14),
-	GPIO_FN(LCDOUT6),
-	GPIO_FN(MSIOF3_SS1_A),
-	GPIO_FN(HRX3_C),
-	GPIO_FN(VI4_DATA6_A),
-	GPIO_FN(DU_DR6),
-	GPIO_FN(SCL6_C),
-	GPIO_IFN(D15),
-	GPIO_FN(LCDOUT7),
-	GPIO_FN(MSIOF3_SS2_A),
-	GPIO_FN(HTX3_C),
-	GPIO_FN(VI4_DATA7_A),
-	GPIO_FN(DU_DR7),
-	GPIO_FN(SDA6_C),
-	GPIO_FN(FSCLKST),
-	GPIO_IFN(SD0_CLK),
-	GPIO_FN(MSIOF1_SCK_E),
-	GPIO_FN(STP_OPWM_0_B),
-	GPIO_IFN(SD0_CMD),
-	GPIO_FN(MSIOF1_SYNC_E),
-	GPIO_FN(STP_IVCXO27_0_B),
-	GPIO_IFN(SD0_DAT0),
-	GPIO_FN(MSIOF1_RXD_E),
-	GPIO_FN(TS_SCK0_B),
-	GPIO_FN(STP_ISCLK_0_B),
-	GPIO_IFN(SD0_DAT1),
-	GPIO_FN(MSIOF1_TXD_E),
-	GPIO_FN(TS_SPSYNC0_B),
-	GPIO_FN(STP_ISSYNC_0_B),
-
-	/* IPSR8 */
-	GPIO_IFN(SD0_DAT2),
-	GPIO_FN(MSIOF1_SS1_E),
-	GPIO_FN(TS_SDAT0_B),
-	GPIO_FN(STP_ISD_0_B),
-
-	GPIO_IFN(SD0_DAT3),
-	GPIO_FN(MSIOF1_SS2_E),
-	GPIO_FN(TS_SDEN0_B),
-	GPIO_FN(STP_ISEN_0_B),
-
-	GPIO_IFN(SD1_CLK),
-	GPIO_FN(MSIOF1_SCK_G),
-	GPIO_FN(SIM0_CLK_A),
-
-	GPIO_IFN(SD1_CMD),
-	GPIO_FN(MSIOF1_SYNC_G),
-	GPIO_FN(NFCEx_B),
-	GPIO_FN(SIM0_D_A),
-	GPIO_FN(STP_IVCXO27_1_B),
-
-	GPIO_IFN(SD1_DAT0),
-	GPIO_FN(SD2_DAT4),
-	GPIO_FN(MSIOF1_RXD_G),
-	GPIO_FN(NFWPx_B),
-	GPIO_FN(TS_SCK1_B),
-	GPIO_FN(STP_ISCLK_1_B),
-
-	GPIO_IFN(SD1_DAT1),
-	GPIO_FN(SD2_DAT5),
-	GPIO_FN(MSIOF1_TXD_G),
-	GPIO_FN(NFDATA14_B),
-	GPIO_FN(TS_SPSYNC1_B),
-	GPIO_FN(STP_ISSYNC_1_B),
-
-	GPIO_IFN(SD1_DAT2),
-	GPIO_FN(SD2_DAT6),
-	GPIO_FN(MSIOF1_SS1_G),
-	GPIO_FN(NFDATA15_B),
-	GPIO_FN(TS_SDAT1_B),
-	GPIO_FN(STP_IOD_1_B),
-
-	GPIO_IFN(SD1_DAT3),
-	GPIO_FN(SD2_DAT7),
-	GPIO_FN(MSIOF1_SS2_G),
-	GPIO_FN(NFRBx_B),
-	GPIO_FN(TS_SDEN1_B),
-	GPIO_FN(STP_ISEN_1_B),
-
-	/* IPSR9 */
-	GPIO_IFN(SD2_CLK),
-	GPIO_FN(NFDATA8),
-
-	GPIO_IFN(SD2_CMD),
-	GPIO_FN(NFDATA9),
-
-	GPIO_IFN(SD2_DAT0),
-	GPIO_FN(NFDATA10),
-
-	GPIO_IFN(SD2_DAT1),
-	GPIO_FN(NFDATA11),
-
-	GPIO_IFN(SD2_DAT2),
-	GPIO_FN(NFDATA12),
-
-	GPIO_IFN(SD2_DAT3),
-	GPIO_FN(NFDATA13),
-
-	GPIO_IFN(SD2_DS),
-	GPIO_FN(NFALE),
-
-	GPIO_IFN(SD3_CLK),
-	GPIO_FN(NFWEx),
-
-	/* IPSR10 */
-	GPIO_IFN(SD3_CMD),
-	GPIO_FN(NFREx),
-
-	GPIO_IFN(SD3_DAT0),
-	GPIO_FN(NFDATA0),
-
-	GPIO_IFN(SD3_DAT1),
-	GPIO_FN(NFDATA1),
-
-	GPIO_IFN(SD3_DAT2),
-	GPIO_FN(NFDATA2),
-
-	GPIO_IFN(SD3_DAT3),
-	GPIO_FN(NFDATA3),
-
-	GPIO_IFN(SD3_DAT4),
-	GPIO_FN(SD2_CD_A),
-	GPIO_FN(NFDATA4),
-
-	GPIO_IFN(SD3_DAT5),
-	GPIO_FN(SD2_WP_A),
-	GPIO_FN(NFDATA5),
-
-	GPIO_IFN(SD3_DAT6),
-	GPIO_FN(SD3_CD),
-	GPIO_FN(NFDATA6),
-
-	/* IPSR11 */
-	GPIO_IFN(SD3_DAT7),
-	GPIO_FN(SD3_WP),
-	GPIO_FN(NFDATA7),
-
-	GPIO_IFN(SD3_DS),
-	GPIO_FN(NFCLE),
-
-	GPIO_IFN(SD0_CD),
-	GPIO_FN(NFDATA14_A),
-	GPIO_FN(SCL2_B),
-	GPIO_FN(SIM0_RST_A),
-
-	GPIO_IFN(SD0_WP),
-	GPIO_FN(NFDATA15_A),
-	GPIO_FN(SDA2_B),
-
-	GPIO_IFN(SD1_CD),
-	GPIO_FN(NFRBx_A),
-	GPIO_FN(SIM0_CLK_B),
-
-	GPIO_IFN(SD1_WP),
-	GPIO_FN(NFCEx_A),
-	GPIO_FN(SIM0_D_B),
-
-	GPIO_IFN(SCK0),
-	GPIO_FN(HSCK1_B),
-	GPIO_FN(MSIOF1_SS2_B),
-	GPIO_FN(AUDIO_CLKC_B),
-	GPIO_FN(SDA2_A),
-	GPIO_FN(SIM0_RST_B),
-	GPIO_FN(STP_OPWM_0_C),
-	GPIO_FN(RIF0_CLK_B),
-	GPIO_FN(ADICHS2),
-	GPIO_FN(SCK5_B),
-
-	GPIO_IFN(RX0),
-	GPIO_FN(HRX1_B),
-	GPIO_FN(TS_SCK0_C),
-	GPIO_FN(STP_ISCLK_0_C),
-	GPIO_FN(RIF0_D0_B),
-
-	/* IPSR12 */
-	GPIO_IFN(TX0),
-	GPIO_FN(HTX1_B),
-	GPIO_FN(TS_SPSYNC0_C),
-	GPIO_FN(STP_ISSYNC_0_C),
-	GPIO_FN(RIF0_D1_B),
-
-	GPIO_IFN(CTS0x),
-	GPIO_FN(HCTS1x_B),
-	GPIO_FN(MSIOF1_SYNC_B),
-	GPIO_FN(TS_SPSYNC1_C),
-	GPIO_FN(STP_ISSYNC_1_C),
-	GPIO_FN(RIF1_SYNC_B),
-	GPIO_FN(AUDIO_CLKOUT_C),
-	GPIO_FN(ADICS_SAMP),
-
-	GPIO_IFN(RTS0x_TANS),
-	GPIO_FN(HRTS1x_B),
-	GPIO_FN(MSIOF1_SS1_B),
-	GPIO_FN(AUDIO_CLKA_B),
-	GPIO_FN(SCL2_A),
-	GPIO_FN(STP_IVCXO27_1_C),
-	GPIO_FN(RIF0_SYNC_B),
-	GPIO_FN(ADICHS1),
-
-	GPIO_IFN(RX1_A),
-	GPIO_FN(HRX1_A),
-	GPIO_FN(TS_SDAT0_C),
-	GPIO_FN(STP_ISD_0_C),
-	GPIO_FN(RIF1_CLK_C),
-
-	GPIO_IFN(TX1_A),
-	GPIO_FN(HTX1_A),
-	GPIO_FN(TS_SDEN0_C),
-	GPIO_FN(STP_ISEN_0_C),
-	GPIO_FN(RIF1_D0_C),
-
-	GPIO_IFN(CTS1x),
-	GPIO_FN(HCTS1x_A),
-	GPIO_FN(MSIOF1_RXD_B),
-	GPIO_FN(TS_SDEN1_C),
-	GPIO_FN(STP_ISEN_1_C),
-	GPIO_FN(RIF1_D0_B),
-	GPIO_FN(ADIDATA),
-
-	GPIO_IFN(RTS1x_TANS),
-	GPIO_FN(HRTS1x_A),
-	GPIO_FN(MSIOF1_TXD_B),
-	GPIO_FN(TS_SDAT1_C),
-	GPIO_FN(STP_ISD_1_C),
-	GPIO_FN(RIF1_D1_B),
-	GPIO_FN(ADICHS0),
-
-	GPIO_IFN(SCK2),
-	GPIO_FN(SCIF_CLK_B),
-	GPIO_FN(MSIOF1_SCK_B),
-	GPIO_FN(TS_SCK1_C),
-	GPIO_FN(STP_ISCLK_1_C),
-	GPIO_FN(RIF1_CLK_B),
-	GPIO_FN(ADICLK),
-
-	/* IPSR13 */
-	GPIO_IFN(TX2_A),
-	GPIO_FN(SD2_CD_B),
-	GPIO_FN(SCL1_A),
-	GPIO_FN(FMCLK_A),
-	GPIO_FN(RIF1_D1_C),
-	GPIO_FN(FSO_CFE_0_B),
-
-	GPIO_IFN(RX2_A),
-	GPIO_FN(SD2_WP_B),
-	GPIO_FN(SDA1_A),
-	GPIO_FN(FMIN_A),
-	GPIO_FN(RIF1_SYNC_C),
-	GPIO_FN(FSO_CEF_1_B),
-
-	GPIO_IFN(HSCK0),
-	GPIO_FN(MSIOF1_SCK_D),
-	GPIO_FN(AUDIO_CLKB_A),
-	GPIO_FN(SSI_SDATA1_B),
-	GPIO_FN(TS_SCK0_D),
-	GPIO_FN(STP_ISCLK_0_D),
-	GPIO_FN(RIF0_CLK_C),
-	GPIO_FN(RX5_B),
-
-	GPIO_IFN(HRX0),
-	GPIO_FN(MSIOF1_RXD_D),
-	GPIO_FN(SS1_SDATA2_B),
-	GPIO_FN(TS_SDEN0_D),
-	GPIO_FN(STP_ISEN_0_D),
-	GPIO_FN(RIF0_D0_C),
-
-	GPIO_IFN(HTX0),
-	GPIO_FN(MSIOF1_TXD_D),
-	GPIO_FN(SSI_SDATA9_B),
-	GPIO_FN(TS_SDAT0_D),
-	GPIO_FN(STP_ISD_0_D),
-	GPIO_FN(RIF0_D1_C),
-
-	GPIO_IFN(HCTS0x),
-	GPIO_FN(RX2_B),
-	GPIO_FN(MSIOF1_SYNC_D),
-	GPIO_FN(SSI_SCK9_A),
-	GPIO_FN(TS_SPSYNC0_D),
-	GPIO_FN(STP_ISSYNC_0_D),
-	GPIO_FN(RIF0_SYNC_C),
-	GPIO_FN(AUDIO_CLKOUT1_A),
-
-	GPIO_IFN(HRTS0x),
-	GPIO_FN(TX2_B),
-	GPIO_FN(MSIOF1_SS1_D),
-	GPIO_FN(SSI_WS9_A),
-	GPIO_FN(STP_IVCXO27_0_D),
-	GPIO_FN(BPFCLK_A),
-	GPIO_FN(AUDIO_CLKOUT2_A),
-
-	GPIO_IFN(MSIOF0_SYNC),
-	GPIO_FN(AUDIO_CLKOUT_A),
-	GPIO_FN(TX5_B),
-	GPIO_FN(BPFCLK_D),
-
-	/* IPSR14 */
-	GPIO_IFN(MSIOF0_SS1),
-	GPIO_FN(RX5_A),
-	GPIO_FN(NFWPx_A),
-	GPIO_FN(AUDIO_CLKA_C),
-	GPIO_FN(SSI_SCK2_A),
-	GPIO_FN(STP_IVCXO27_0_C),
-	GPIO_FN(AUDIO_CLKOUT3_A),
-	GPIO_FN(TCLK1_B),
-
-	GPIO_IFN(MSIOF0_SS2),
-	GPIO_FN(TX5_A),
-	GPIO_FN(MSIOF1_SS2_D),
-	GPIO_FN(AUDIO_CLKC_A),
-	GPIO_FN(SSI_WS2_A),
-	GPIO_FN(STP_OPWM_0_D),
-	GPIO_FN(AUDIO_CLKOUT_D),
-	GPIO_FN(SPEEDIN_B),
-
-	GPIO_IFN(MLB_CLK),
-	GPIO_FN(MSIOF1_SCK_F),
-	GPIO_FN(SCL1_B),
-
-	GPIO_IFN(MLB_SIG),
-	GPIO_FN(RX1_B),
-	GPIO_FN(MSIOF1_SYNC_F),
-	GPIO_FN(SDA1_B),
-
-	GPIO_IFN(MLB_DAT),
-	GPIO_FN(TX1_B),
-	GPIO_FN(MSIOF1_RXD_F),
-
-	GPIO_IFN(SSI_SCK0129),
-	GPIO_FN(MSIOF1_TXD_F),
-	GPIO_FN(MOUT0),
-
-	GPIO_IFN(SSI_WS0129),
-	GPIO_FN(MSIOF1_SS1_F),
-	GPIO_FN(MOUT1),
-
-	GPIO_IFN(SSI_SDATA0),
-	GPIO_FN(MSIOF1_SS2_F),
-	GPIO_FN(MOUT2),
-
-	/* IPSR15 */
-	GPIO_IFN(SSI_SDATA1_A),
-	GPIO_FN(MOUT5),
-
-	GPIO_IFN(SSI_SDATA2_A),
-	GPIO_FN(SSI_SCK1_B),
-	GPIO_FN(MOUT6),
-
-	GPIO_IFN(SSI_SCK34),
-	GPIO_FN(MSIOF1_SS1_A),
-	GPIO_FN(STP_OPWM_0_A),
-
-	GPIO_IFN(SSI_WS34),
-	GPIO_FN(HCTS2x_A),
-	GPIO_FN(MSIOF1_SS2_A),
-	GPIO_FN(STP_IVCXO27_0_A),
-
-	GPIO_IFN(SSI_SDATA3),
-	GPIO_FN(HRTS2x_A),
-	GPIO_FN(MSIOF1_TXD_A),
-	GPIO_FN(TS_SCK0_A),
-	GPIO_FN(STP_ISCLK_0_A),
-	GPIO_FN(RIF0_D1_A),
-	GPIO_FN(RIF2_D0_A),
-
-	GPIO_IFN(SSI_SCK4),
-	GPIO_FN(HRX2_A),
-	GPIO_FN(MSIOF1_SCK_A),
-	GPIO_FN(TS_SDAT0_A),
-	GPIO_FN(STP_ISD_0_A),
-	GPIO_FN(RIF0_CLK_A),
-	GPIO_FN(RIF2_CLK_A),
-
-	GPIO_IFN(SSI_WS4),
-	GPIO_FN(HTX2_A),
-	GPIO_FN(MSIOF1_SYNC_A),
-	GPIO_FN(TS_SDEN0_A),
-	GPIO_FN(STP_ISEN_0_A),
-	GPIO_FN(RIF0_SYNC_A),
-	GPIO_FN(RIF2_SYNC_A),
-
-	GPIO_IFN(SSI_SDATA4),
-	GPIO_FN(HSCK2_A),
-	GPIO_FN(MSIOF1_RXD_A),
-	GPIO_FN(TS_SPSYNC0_A),
-	GPIO_FN(STP_ISSYNC_0_A),
-	GPIO_FN(RIF0_D0_A),
-	GPIO_FN(RIF2_D1_A),
-
-	/* IPSR16 */
-	GPIO_IFN(SSI_SCK6),
-	GPIO_FN(SIM0_RST_D),
-	GPIO_FN(FSO_TOE_A),
-
-	GPIO_IFN(SSI_WS6),
-	GPIO_FN(SIM0_D_D),
-
-	GPIO_IFN(SSI_SDATA6),
-	GPIO_FN(SIM0_CLK_D),
-
-	GPIO_IFN(SSI_SCK78),
-	GPIO_FN(HRX2_B),
-	GPIO_FN(MSIOF1_SCK_C),
-	GPIO_FN(TS_SCK1_A),
-	GPIO_FN(STP_ISCLK_1_A),
-	GPIO_FN(RIF1_CLK_A),
-	GPIO_FN(RIF3_CLK_A),
-
-	GPIO_IFN(SSI_WS78),
-	GPIO_FN(HTX2_B),
-	GPIO_FN(MSIOF1_SYNC_C),
-	GPIO_FN(TS_SDAT1_A),
-	GPIO_FN(STP_ISD_1_A),
-	GPIO_FN(RIF1_SYNC_A),
-	GPIO_FN(RIF3_SYNC_A),
-
-	GPIO_IFN(SSI_SDATA7),
-	GPIO_FN(HCTS2x_B),
-	GPIO_FN(MSIOF1_RXD_C),
-	GPIO_FN(TS_SDEN1_A),
-	GPIO_FN(STP_IEN_1_A),
-	GPIO_FN(RIF1_D0_A),
-	GPIO_FN(RIF3_D0_A),
-	GPIO_FN(TCLK2_A),
-
-	GPIO_IFN(SSI_SDATA8),
-	GPIO_FN(HRTS2x_B),
-	GPIO_FN(MSIOF1_TXD_C),
-	GPIO_FN(TS_SPSYNC1_A),
-	GPIO_FN(STP_ISSYNC_1_A),
-	GPIO_FN(RIF1_D1_A),
-	GPIO_FN(EIF3_D1_A),
-
-	GPIO_IFN(SSI_SDATA9_A),
-	GPIO_FN(HSCK2_B),
-	GPIO_FN(MSIOF1_SS1_C),
-	GPIO_FN(HSCK1_A),
-	GPIO_FN(SSI_WS1_B),
-	GPIO_FN(SCK1),
-	GPIO_FN(STP_IVCXO27_1_A),
-	GPIO_FN(SCK5),
-
-	/* IPSR17 */
-	GPIO_IFN(AUDIO_CLKA_A),
-	GPIO_FN(CC5_OSCOUT),
-
-	GPIO_IFN(AUDIO_CLKB_B),
-	GPIO_FN(SCIF_CLK_A),
-	GPIO_FN(STP_IVCXO27_1_D),
-	GPIO_FN(REMOCON_A),
-	GPIO_FN(TCLK1_A),
-
-	GPIO_IFN(USB0_PWEN),
-	GPIO_FN(SIM0_RST_C),
-	GPIO_FN(TS_SCK1_D),
-	GPIO_FN(STP_ISCLK_1_D),
-	GPIO_FN(BPFCLK_B),
-	GPIO_FN(RIF3_CLK_B),
-	GPIO_FN(FSO_CFE_1_A),
-	GPIO_FN(HSCK2_C),
-
-	GPIO_IFN(USB0_OVC),
-	GPIO_FN(SIM0_D_C),
-	GPIO_FN(TS_SDAT1_D),
-	GPIO_FN(STP_ISD_1_D),
-	GPIO_FN(RIF3_SYNC_B),
-	GPIO_FN(HRX2_C),
-
-	GPIO_IFN(USB1_PWEN),
-	GPIO_FN(SIM0_CLK_C),
-	GPIO_FN(SSI_SCK1_A),
-	GPIO_FN(TS_SCK0_E),
-	GPIO_FN(STP_ISCLK_0_E),
-	GPIO_FN(FMCLK_B),
-	GPIO_FN(RIF2_CLK_B),
-	GPIO_FN(SPEEDIN_A),
-	GPIO_FN(HTX2_C),
-
-	GPIO_IFN(USB1_OVC),
-	GPIO_FN(MSIOF1_SS2_C),
-	GPIO_FN(SSI_WS1_A),
-	GPIO_FN(TS_SDAT0_E),
-	GPIO_FN(STP_ISD_0_E),
-	GPIO_FN(FMIN_B),
-	GPIO_FN(RIF2_SYNC_B),
-	GPIO_FN(REMOCON_B),
-	GPIO_FN(HCTS2x_C),
-
-	GPIO_IFN(USB30_PWEN),
-	GPIO_FN(AUDIO_CLKOUT_B),
-	GPIO_FN(SSI_SCK2_B),
-	GPIO_FN(TS_SDEN1_D),
-	GPIO_FN(STP_ISEN_1_D),
-	GPIO_FN(STP_OPWM_0_E),
-	GPIO_FN(RIF3_D0_B),
-	GPIO_FN(TCLK2_B),
-	GPIO_FN(TPU0TO0),
-	GPIO_FN(BPFCLK_C),
-	GPIO_FN(HRTS2x_C),
-
-	GPIO_IFN(USB30_OVC),
-	GPIO_FN(AUDIO_CLKOUT1_B),
-	GPIO_FN(SSI_WS2_B),
-	GPIO_FN(TS_SPSYNC1_D),
-	GPIO_FN(STP_ISSYNC_1_D),
-	GPIO_FN(STP_IVCXO27_0_E),
-	GPIO_FN(RIF3_D1_B),
-	GPIO_FN(FSO_TOE_B),
-	GPIO_FN(TPU0TO1),
-
-	/* IPSR18 */
-	GPIO_IFN(GP6_30),
-	GPIO_FN(AUDIO_CLKOUT2_B),
-	GPIO_FN(SSI_SCK9_B),
-	GPIO_FN(TS_SDEN0_E),
-	GPIO_FN(STP_ISEN_0_E),
-	GPIO_FN(RIF2_D0_B),
-	GPIO_FN(FSO_CFE_0_A),
-	GPIO_FN(TPU0TO2),
-	GPIO_FN(FMCLK_C),
-	GPIO_FN(FMCLK_D),
-
-	GPIO_IFN(GP6_31),
-	GPIO_FN(AUDIO_CLKOUT3_B),
-	GPIO_FN(SSI_WS9_B),
-	GPIO_FN(TS_SPSYNC0_E),
-	GPIO_FN(STP_ISSYNC_0_E),
-	GPIO_FN(RIF2_D1_B),
-	GPIO_FN(TPU0TO3),
-	GPIO_FN(FMIN_C),
-	GPIO_FN(FMIN_D),
-};
-
-static struct pinmux_cfg_reg pinmux_config_regs[] = {
-	/* GPSR0(0xE6060100) md[3:1] controls initial value */
-	/*   md[3:1] .. 0     : 0x0000FFFF                  */
-	/*           .. other : 0x00000000                  */
-	{ PINMUX_CFG_REG("GPSR0", 0xE6060100, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_0_15_FN, GFN_D15,
-		GP_0_14_FN, GFN_D14,
-		GP_0_13_FN, GFN_D13,
-		GP_0_12_FN, GFN_D12,
-		GP_0_11_FN, GFN_D11,
-		GP_0_10_FN, GFN_D10,
-		GP_0_9_FN, GFN_D9,
-		GP_0_8_FN, GFN_D8,
-		GP_0_7_FN, GFN_D7,
-		GP_0_6_FN, GFN_D6,
-		GP_0_5_FN, GFN_D5,
-		GP_0_4_FN, GFN_D4,
-		GP_0_3_FN, GFN_D3,
-		GP_0_2_FN, GFN_D2,
-		GP_0_1_FN, GFN_D1,
-		GP_0_0_FN, GFN_D0 }
-	},
-	/* GPSR1(0xE6060104) is md[3:1] controls initial value */
-	/*   md[3:1] .. 0     : 0x0EFFFFFF                     */
-	/*           .. other : 0x00000000                     */
-	{ PINMUX_CFG_REG("GPSR1", 0xE6060104, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_1_28_FN, GFN_CLKOUT,
-		GP_1_27_FN, GFN_EX_WAIT0_A,
-		GP_1_26_FN, GFN_WE1x,
-		GP_1_25_FN, GFN_WE0x,
-		GP_1_24_FN, GFN_RD_WRx,
-		GP_1_23_FN, GFN_RDx,
-		GP_1_22_FN, GFN_BSx,
-		GP_1_21_FN, GFN_CS1x_A26,
-		GP_1_20_FN, GFN_CS0x,
-		GP_1_19_FN, GFN_A19,
-		GP_1_18_FN, GFN_A18,
-		GP_1_17_FN, GFN_A17,
-		GP_1_16_FN, GFN_A16,
-		GP_1_15_FN, GFN_A15,
-		GP_1_14_FN, GFN_A14,
-		GP_1_13_FN, GFN_A13,
-		GP_1_12_FN, GFN_A12,
-		GP_1_11_FN, GFN_A11,
-		GP_1_10_FN, GFN_A10,
-		GP_1_9_FN, GFN_A9,
-		GP_1_8_FN, GFN_A8,
-		GP_1_7_FN, GFN_A7,
-		GP_1_6_FN, GFN_A6,
-		GP_1_5_FN, GFN_A5,
-		GP_1_4_FN, GFN_A4,
-		GP_1_3_FN, GFN_A3,
-		GP_1_2_FN, GFN_A2,
-		GP_1_1_FN, GFN_A1,
-		GP_1_0_FN, GFN_A0 }
-	},
-	/* GPSR2(0xE6060108) is md[3:1] controls               */
-	/*   md[3:1] .. 0     : 0x000003C0                     */
-	/*           .. other : 0x00000200                     */
-	{ PINMUX_CFG_REG("GPSR2", 0xE6060108, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		GP_2_14_FN, GFN_AVB_AVTP_CAPTURE_A,
-		GP_2_13_FN, GFN_AVB_AVTP_MATCH_A,
-		GP_2_12_FN, GFN_AVB_LINK,
-		GP_2_11_FN, GFN_AVB_PHY_INT,
-		GP_2_10_FN, GFN_AVB_MAGIC,
-		GP_2_9_FN, GFN_AVB_MDC,
-		GP_2_8_FN, GFN_PWM2_A,
-		GP_2_7_FN, GFN_PWM1_A,
-		GP_2_6_FN, GFN_PWM0,
-		GP_2_5_FN, GFN_IRQ5,
-		GP_2_4_FN, GFN_IRQ4,
-		GP_2_3_FN, GFN_IRQ3,
-		GP_2_2_FN, GFN_IRQ2,
-		GP_2_1_FN, GFN_IRQ1,
-		GP_2_0_FN, GFN_IRQ0 }
-	},
-
-	/* GPSR3 */
-	{ PINMUX_CFG_REG("GPSR3", 0xE606010C, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_3_15_FN, GFN_SD1_WP,
-		GP_3_14_FN, GFN_SD1_CD,
-		GP_3_13_FN, GFN_SD0_WP,
-		GP_3_12_FN, GFN_SD0_CD,
-		GP_3_11_FN, GFN_SD1_DAT3,
-		GP_3_10_FN, GFN_SD1_DAT2,
-		GP_3_9_FN, GFN_SD1_DAT1,
-		GP_3_8_FN, GFN_SD1_DAT0,
-		GP_3_7_FN, GFN_SD1_CMD,
-		GP_3_6_FN, GFN_SD1_CLK,
-		GP_3_5_FN, GFN_SD0_DAT3,
-		GP_3_4_FN, GFN_SD0_DAT2,
-		GP_3_3_FN, GFN_SD0_DAT1,
-		GP_3_2_FN, GFN_SD0_DAT0,
-		GP_3_1_FN, GFN_SD0_CMD,
-		GP_3_0_FN, GFN_SD0_CLK }
-	},
-	/* GPSR4 */
-	{ PINMUX_CFG_REG("GPSR4", 0xE6060110, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_4_17_FN, GFN_SD3_DS,
-		GP_4_16_FN, GFN_SD3_DAT7,
-
-		GP_4_15_FN, GFN_SD3_DAT6,
-		GP_4_14_FN, GFN_SD3_DAT5,
-		GP_4_13_FN, GFN_SD3_DAT4,
-		GP_4_12_FN, FN_SD3_DAT3,
-		GP_4_11_FN, FN_SD3_DAT2,
-		GP_4_10_FN, FN_SD3_DAT1,
-		GP_4_9_FN, FN_SD3_DAT0,
-		GP_4_8_FN, FN_SD3_CMD,
-		GP_4_7_FN, FN_SD3_CLK,
-		GP_4_6_FN, GFN_SD2_DS,
-		GP_4_5_FN, GFN_SD2_DAT3,
-		GP_4_4_FN, GFN_SD2_DAT2,
-		GP_4_3_FN, GFN_SD2_DAT1,
-		GP_4_2_FN, GFN_SD2_DAT0,
-		GP_4_1_FN, FN_SD2_CMD,
-		GP_4_0_FN, GFN_SD2_CLK }
-	},
-	/* GPSR5 */
-	{ PINMUX_CFG_REG("GPSR5", 0xE6060114, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_5_25_FN, GFN_MLB_DAT,
-		GP_5_24_FN, GFN_MLB_SIG,
-
-		GP_5_23_FN, GFN_MLB_CLK,
-		GP_5_22_FN, FN_MSIOF0_RXD,
-		GP_5_21_FN, GFN_MSIOF0_SS2,
-		GP_5_20_FN, FN_MSIOF0_TXD,
-		GP_5_19_FN, GFN_MSIOF0_SS1,
-		GP_5_18_FN, GFN_MSIOF0_SYNC,
-		GP_5_17_FN, FN_MSIOF0_SCK,
-		GP_5_16_FN, GFN_HRTS0x,
-		GP_5_15_FN, GFN_HCTS0x,
-		GP_5_14_FN, GFN_HTX0,
-		GP_5_13_FN, GFN_HRX0,
-		GP_5_12_FN, GFN_HSCK0,
-		GP_5_11_FN, GFN_RX2_A,
-		GP_5_10_FN, GFN_TX2_A,
-		GP_5_9_FN, GFN_SCK2,
-		GP_5_8_FN, GFN_RTS1x_TANS,
-		GP_5_7_FN, GFN_CTS1x,
-		GP_5_6_FN, GFN_TX1_A,
-		GP_5_5_FN, GFN_RX1_A,
-		GP_5_4_FN, GFN_RTS0x_TANS,
-		GP_5_3_FN, GFN_CTS0x,
-		GP_5_2_FN, GFN_TX0,
-		GP_5_1_FN, GFN_RX0,
-		GP_5_0_FN, GFN_SCK0 }
-	},
-	/* GPSR6 */
-	{ PINMUX_CFG_REG("GPSR6", 0xE6060118, 32, 1) {
-		GP_6_31_FN, GFN_GP6_31,
-		GP_6_30_FN, GFN_GP6_30,
-		GP_6_29_FN, GFN_USB30_OVC,
-		GP_6_28_FN, GFN_USB30_PWEN,
-		GP_6_27_FN, GFN_USB1_OVC,
-		GP_6_26_FN, GFN_USB1_PWEN,
-		GP_6_25_FN, GFN_USB0_OVC,
-		GP_6_24_FN, GFN_USB0_PWEN,
-		GP_6_23_FN, GFN_AUDIO_CLKB_B,
-		GP_6_22_FN, GFN_AUDIO_CLKA_A,
-		GP_6_21_FN, GFN_SSI_SDATA9_A,
-		GP_6_20_FN, GFN_SSI_SDATA8,
-		GP_6_19_FN, GFN_SSI_SDATA7,
-		GP_6_18_FN, GFN_SSI_WS78,
-		GP_6_17_FN, GFN_SSI_SCK78,
-		GP_6_16_FN, GFN_SSI_SDATA6,
-		GP_6_15_FN, GFN_SSI_WS6,
-		GP_6_14_FN, GFN_SSI_SCK6,
-		GP_6_13_FN, FN_SSI_SDATA5,
-		GP_6_12_FN, FN_SSI_WS5,
-		GP_6_11_FN, FN_SSI_SCK5,
-		GP_6_10_FN, GFN_SSI_SDATA4,
-		GP_6_9_FN, GFN_SSI_WS4,
-		GP_6_8_FN, GFN_SSI_SCK4,
-		GP_6_7_FN, GFN_SSI_SDATA3,
-		GP_6_6_FN, GFN_SSI_WS34,
-		GP_6_5_FN, GFN_SSI_SCK34,
-		GP_6_4_FN, GFN_SSI_SDATA2_A,
-		GP_6_3_FN, GFN_SSI_SDATA1_A,
-		GP_6_2_FN, GFN_SSI_SDATA0,
-		GP_6_1_FN, GFN_SSI_WS01239,
-		GP_6_0_FN, GFN_SSI_SCK01239 }
-	},
-	/* GPSR7 */
-	{ PINMUX_CFG_REG("GPSR7", 0xE606011C, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_7_3_FN, FN_HDMI1_CEC,
-		GP_7_2_FN, FN_HDMI0_CEC,
-		GP_7_1_FN, FN_AVS2,
-		GP_7_0_FN, FN_AVS1 }
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR0", 0xE6060200, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR0_31_28 [4] */
-		IFN_IRQ1, FN_QPOLA, 0, FN_DU_DISP,
-		FN_VI4_DATA1_B, FN_CAN0_RX_B, FN_CANFD0_RX_B,
-		FN_MSIOF3_SS1_E,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_27_24 [4] */
-		IFN_IRQ0, FN_QPOLB, 0, FN_DU_CDE,
-		FN_VI4_DATA0_B, FN_CAN0_TX_B, FN_CANFD0_TX_B,
-		FN_MSIOF3_SS2_E,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_23_20 [4] */
-		IFN_AVB_AVTP_CAPTURE_A, 0, FN_MSIOF2_TXD_C, FN_RTS4x_TANS_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_19_16 [4] */
-		IFN_AVB_AVTP_MATCH_A, 0, FN_MSIOF2_RXD_C, FN_CTS4x_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_15_12 [4] */
-		IFN_AVB_LINK, 0, FN_MSIOF2_SCK_C, FN_TX4_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_11_8 [4] */
-		IFN_AVB_PHY_INT, 0, FN_MSIOF2_SYNC_C, FN_RX4_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_7_4 [4] */
-		IFN_AVB_MAGIC, 0, FN_MSIOF2_SS1_C, FN_SCK4_A,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR0_3_0 [4] */
-		IFN_AVB_MDC, 0, FN_MSIOF2_SS2_C, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR1", 0xE6060204, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR1_31_28 [4] */
-		IFN_A0, FN_LCDOUT16, FN_MSIOF3_SYNC_B, 0,
-		FN_VI4_DATA8, 0, FN_DU_DB0, 0,
-		0, FN_PWM3_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_27_24 [4] */
-		IFN_PWM2_A, FN_PWMFSW0, 0, FN_HTX3_D,
-		0, 0, 0, 0,
-		0, FN_IETX_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_23_20 [4] */
-		IFN_PWM1_A, 0, 0, FN_HRX3_D,
-		FN_VI4_DATA7_B, 0, 0, 0,
-		0, FN_IERX_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_19_16 [4] */
-		IFN_PWM0, FN_AVB_AVTP_PPS, 0, 0,
-		FN_VI4_DATA6_B, 0, 0, 0,
-		0, FN_IECLK_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_15_12 [4] */
-		IFN_IRQ5, FN_QSTB_QHE, 0, FN_DU_EXVSYNC_DU_VSYNC,
-		FN_VI4_DATA5_B, 0, 0, FN_MSIOF3_TXD_E,
-		0, FN_PWM6_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_11_8 [4] */
-		IFN_IRQ4, FN_QSTH_QHS, 0, FN_DU_EXHSYNC_DU_HSYNC,
-		FN_VI4_DATA4_B, 0, 0, FN_MSIOF3_RXD_E,
-		0, FN_PWM5_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_7_4 [4] */
-		IFN_IRQ3, FN_QSTVB_QVE, 0, FN_DU_DOTCLKOUT1,
-		FN_VI4_DATA3_B, 0, 0, FN_MSIOF3_SCK_E,
-		0, FN_PWM4_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR1_3_0 [4] */
-		IFN_IRQ2, FN_QCPV_QDE, 0, FN_DU_EXODDF_DU_ODDF_DISP_CDE,
-		FN_VI4_DATA2_B, 0, 0, FN_MSIOF3_SYNC_E,
-		0, FN_PWM3_B, 0, 0,
-		0, 0, 0, 0
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR2", 0xE6060208, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR2_31_28 [4] */
-		IFN_A8, FN_RX3_B, FN_MSIOF2_SYNC_A, FN_HRX4_B,
-		0, 0, 0, FN_SDA6_A,
-		FN_AVB_AVTP_MATCH_B, FN_PWM1_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_27_24 [4] */
-		IFN_A7, FN_LCDOUT23, FN_MSIOF2_SS2_A, FN_TX4_B,
-		FN_VI4_DATA15, FN_V15_DATA15, FN_DU_DB7, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_23_20 [4] */
-		IFN_A6, FN_LCDOUT22, FN_MSIOF2_SS1_A, FN_RX4_B,
-		FN_VI4_DATA14, FN_VI5_DATA14, FN_DU_DB6, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_19_16 [4] */
-		IFN_A5, FN_LCDOUT21, FN_MSIOF3_SS2_B, FN_SCK4_B,
-		FN_VI4_DATA13, FN_VI5_DATA13, FN_DU_DB5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_15_12 [4] */
-		IFN_A4, FN_LCDOUT20, FN_MSIOF3_SS1_B, 0,
-		FN_VI4_DATA12, FN_VI5_DATA12, FN_DU_DB4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_11_8 [4] */
-		IFN_A3, FN_LCDOUT19, FN_MSIOF3_RXD_B, 0,
-		FN_VI4_DATA11, 0, FN_DU_DB3, 0,
-		0, FN_PWM6_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_7_4 [4] */
-		IFN_A2, FN_LCDOUT18, FN_MSIOF3_SCK_B, 0,
-		FN_VI4_DATA10, 0, FN_DU_DB2, 0,
-		0, FN_PWM5_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR2_3_0 [4] */
-		IFN_A1, FN_LCDOUT17, FN_MSIOF3_TXD_B, 0,
-		FN_VI4_DATA9, 0, FN_DU_DB1, 0,
-		0, FN_PWM4_A, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR3", 0xE606020C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR3_31_28 [4] */
-		IFN_A16, FN_LCDOUT8, 0, 0,
-		FN_VI4_FIELD, 0, FN_DU_DG0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_27_24 [4] */
-		IFN_A15, FN_LCDOUT15, FN_MSIOF3_TXD_C, 0,
-		FN_HRTS4x, FN_VI5_DATA11, FN_DU_DG7, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_23_20 [4] */
-		IFN_A14, FN_LCDOUT14, FN_MSIOF3_RXD_C, 0,
-		FN_HCTS4x, FN_VI5_DATA10, FN_DU_DG6, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_19_16 [4] */
-		IFN_A13, FN_LCDOUT13, FN_MSIOF3_SYNC_C, 0,
-		FN_HTX4_A, FN_VI5_DATA9, FN_DU_DG5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_15_12 [4] */
-		IFN_A12, FN_LCDOUT12, FN_MSIOF3_SCK_C, 0,
-		FN_HRX4_A, FN_VI5_DATA8, FN_DU_DG4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_11_8 [4] */
-		IFN_A11, FN_TX3_B, FN_MSIOF2_TXD_A, FN_HTX4_B,
-		FN_HSCK4, FN_VI5_FIELD, 0, FN_SCL6_A,
-		FN_AVB_AVTP_CAPTURE_B, FN_PWM2_B, FN_SPV_EVEN, 0,
-		0, 0, 0, 0,
-		/* IPSR3_7_4 [4] */
-		IFN_A10, 0, FN_MSIOF2_RXD_A, FN_RTS4n_TANS_B,
-		0, FN_VI5_HSYNCx, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR3_3_0 [4] */
-		IFN_A9, 0, FN_MSIOF2_SCK_A, FN_CTS4x_B,
-		0, FN_VI5_VSYNCx, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR4", 0xE6060210, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR4_31_28 [4] */
-		IFN_RD_WRx, 0, FN_MSIOF3_RXD_D, FN_TX3_A,
-		FN_HTX3_A, 0, 0, 0,
-		FN_CAN0_RX_A, FN_CANFD0_RX_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_27_24 [4] */
-		IFN_RDx, 0, FN_MSIOF3_SYNC_D, FN_RX3_A,
-		FN_HRX3_A, 0, 0, 0,
-		FN_CAN0_TX_A, FN_CANFD0_TX_A, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_23_20 [4] */
-		IFN_BSx, FN_QSTVA_QVS, FN_MSIOF3_SCK_D, FN_SCK3,
-		FN_HSCK3, 0, 0, 0,
-		FN_CAN1_TX, FN_CANFD1_TX, FN_IETX_A, 0,
-		0, 0, 0, 0,
-		/* IPSR4_19_16 [4] */
-		IFN_CS1x_A26, 0, 0, 0,
-		0, FN_VI5_CLK, 0, FN_EX_WAIT0_B,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_15_12 [4] */
-		IFN_CS0x, 0, 0, 0,
-		0, FN_VI5_CLKENB, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_11_8 [4] */
-		IFN_A19, FN_LCDOUT11, 0, 0,
-		FN_VI4_CLKENB, 0, FN_DU_DG3, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_7_4 [4] */
-		IFN_A18, FN_LCDOUT10, 0, 0,
-		FN_VI4_HSYNCx, 0, FN_DU_DG2, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR4_3_0 [4] */
-		IFN_A17, FN_LCDOUT9, 0, 0,
-		FN_VI4_VSYNCx, 0, FN_DU_DG1, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR5", 0xE6060214, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR5_31_28 [4] */
-		IFN_D4, FN_MSIOF2_SCK_B, 0, 0,
-		FN_VI4_DATA20, FN_VI5_DATA4, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_27_24 [4] */
-		IFN_D3, 0, FN_MSIOF3_TXD_A, 0,
-		FN_VI4_DATA19, FN_VI5_DATA3, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_23_20 [4] */
-		IFN_D2, 0, FN_MSIOF3_RXD_A, 0,
-		FN_VI4_DATA18, FN_VI5_DATA2, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_19_16 [4] */
-		IFN_D1, FN_MSIOF2_SS2_B, FN_MSIOF3_SYNC_A, 0,
-		FN_VI4_DATA17, FN_VI5_DATA1, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_15_12 [4] */
-		IFN_D0, FN_MSIOF2_SS1_B, FN_MSIOF3_SCK_A, 0,
-		FN_VI4_DATA16, FN_VI5_DATA0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_11_8 [4] */
-		IFN_EX_WAIT0_A, FN_QCLK, 0, 0,
-		FN_VI4_CLK, 0, FN_DU_DOTCLKOUT0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR5_7_4 [4] */
-		IFN_WE1x, 0, FN_MSIOF3_SS1_D, FN_RTS3x_TANS,
-		FN_HRTS3x, 0, 0, FN_SDA6_B,
-		FN_CAN1_RX, FN_CANFD1_RX, FN_IERX_A, 0,
-		0, 0, 0, 0,
-		/* IPSR5_3_0 [4] */
-		IFN_WE0x, 0, FN_MSIIOF3_TXD_D, FN_CTS3x,
-		FN_HCTS3x, 0, 0, FN_SCL6_B,
-		FN_CAN_CLK, 0, FN_IECLK_A, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR6", 0xE6060218, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR6_31_28 [4] */
-		IFN_D12, FN_LCDOUT4, FN_MSIOF2_SS1_D, FN_RX4_C,
-		FN_VI4_DATA4_A, 0, FN_DU_DR4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_27_24 [4] */
-		IFN_D11, FN_LCDOUT3, FN_MSIOF2_TXD_D, FN_HTX3_B,
-		FN_VI4_DATA3_A, FN_RTS4x_TANS_C, FN_DU_DR3, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_23_20 [4] */
-		IFN_D10, FN_LCDOUT2, FN_MSIOF2_RXD_D, FN_HRX3_B,
-		FN_VI4_DATA2_A, FN_CTS4x_C, FN_DU_DR2, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_19_16 [4] */
-		IFN_D9, FN_LCDOUT1, FN_MSIOF2_SYNC_D, 0,
-		FN_VI4_DATA1_A, 0, FN_DU_DR1, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_15_12 [4] */
-		IFN_D8, FN_LCDOUT0, FN_MSIOF2_SCK_D, FN_SCK4_C,
-		FN_VI4_DATA0_A, 0, FN_DU_DR0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_11_8 [4] */
-		IFN_D7, FN_MSIOF2_TXD_B, 0, 0,
-		FN_VI4_DATA23, FN_VI5_DATA7, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_7_4 [4] */
-		IFN_D6, FN_MSIOF2_RXD_B, 0, 0,
-		FN_VI4_DATA22, FN_VI5_DATA6, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR6_3_0 [4] */
-		IFN_D5, FN_MSIOF2_SYNC_B, 0, 0,
-		FN_VI4_DATA21, FN_VI5_DATA5, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR7", 0xE606021C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR7_31_28 [4] */
-		IFN_SD0_DAT1, 0, FN_MSIOF1_TXD_E, 0,
-		0, FN_TS_SPSYNC0_B, FN_STP_ISSYNC_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_27_24 [4] */
-		IFN_SD0_DAT0, 0, FN_MSIOF1_RXD_E, 0,
-		0, FN_TS_SCK0_B, FN_STP_ISCLK_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_23_20 [4] */
-		IFN_SD0_CMD, 0, FN_MSIOF1_SYNC_E, 0,
-		0, 0, FN_STP_IVCXO27_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_19_16 [4] */
-		IFN_SD0_CLK, 0, FN_MSIOF1_SCK_E, 0,
-		0, 0, FN_STP_OPWM_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_15_12 [4] */
-		FN_FSCLKST, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_11_8 [4] */
-		IFN_D15, FN_LCDOUT7, FN_MSIOF3_SS2_A, FN_HTX3_C,
-		FN_VI4_DATA7_A, 0, FN_DU_DR7, FN_SDA6_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_7_4 [4] */
-		IFN_D14, FN_LCDOUT6, FN_MSIOF3_SS1_A, FN_HRX3_C,
-		FN_VI4_DATA6_A, 0, FN_DU_DR6, FN_SCL6_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR7_3_0 [4] */
-		IFN_D13, FN_LCDOUT5, FN_MSIOF2_SS2_D, FN_TX4_C,
-		FN_VI4_DATA5_A, 0, FN_DU_DR5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR8", 0xE6060220, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR8_31_28 [4] */
-		IFN_SD1_DAT3, FN_SD2_DAT7, FN_MSIOF1_SS2_G,
-		FN_NFRBx_B,
-		0, FN_TS_SDEN1_B, FN_STP_ISEN_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_27_24 [4] */
-		IFN_SD1_DAT2, FN_SD2_DAT6, FN_MSIOF1_SS1_G,
-		FN_NFDATA15_B,
-		0, FN_TS_SDAT1_B, FN_STP_IOD_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_23_20 [4] */
-		IFN_SD1_DAT1, FN_SD2_DAT5, FN_MSIOF1_TXD_G,
-		FN_NFDATA14_B,
-		0, FN_TS_SPSYNC1_B, FN_STP_ISSYNC_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_19_16 [4] */
-		IFN_SD1_DAT0, FN_SD2_DAT4, FN_MSIOF1_RXD_G,
-		FN_NFWPx_B,
-		0, FN_TS_SCK1_B, FN_STP_ISCLK_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_15_12 [4] */
-		IFN_SD1_CMD, 0, FN_MSIOF1_SYNC_G,
-		FN_NFCEx_B,
-		0, FN_SIM0_D_A, FN_STP_IVCXO27_1_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_11_8 [4] */
-		IFN_SD1_CLK, 0, FN_MSIOF1_SCK_G, 0,
-		0, FN_SIM0_CLK_A, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_7_4 [4] */
-		IFN_SD0_DAT3, 0, FN_MSIOF1_SS2_E, 0,
-		0, FN_TS_SDEN0_B, FN_STP_ISEN_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR8_3_0 [4] */
-		IFN_SD0_DAT2, 0, FN_MSIOF1_SS1_E, 0,
-		0, FN_TS_SDAT0_B, FN_STP_ISD_0_B, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR9", 0xE6060224, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR9_31_28 [4] */
-		IFN_SD3_CLK, 0, FN_NFWEx, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_27_24 [4] */
-		IFN_SD2_DS, 0, FN_NFALE, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_23_20 [4] */
-		IFN_SD2_DAT3, 0, FN_NFDATA13, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_19_16 [4] */
-		IFN_SD2_DAT2, 0, FN_NFDATA12, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_15_12 [4] */
-		IFN_SD2_DAT1, 0, FN_NFDATA11, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_11_8 [4] */
-		IFN_SD2_DAT0, 0, FN_NFDATA10, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_7_4 [4] */
-		IFN_SD2_CMD, 0, FN_NFDATA9, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR9_3_0 [4] */
-		IFN_SD3_CLK, 0, FN_NFDATA8, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR10", 0xE6060228, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR10_31_28 [4] */
-		IFN_SD3_DAT6, FN_SD3_CD, FN_NFDATA6, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_27_24 [4] */
-		IFN_SD3_DAT5, FN_SD2_WP_A, FN_NFDATA5, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_23_20 [4] */
-		IFN_SD3_DAT4, FN_SD2_CD_A, FN_NFDATA4, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_19_16 [4] */
-		IFN_SD3_DAT3, 0, FN_NFDATA3, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_15_12 [4] */
-		IFN_SD3_DAT2, 0, FN_NFDATA2, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_11_8 [4] */
-		IFN_SD3_DAT1, 0, FN_NFDATA1, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_7_4 [4] */
-		IFN_SD3_DAT0, 0, FN_NFDATA0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR10_3_0 [4] */
-		IFN_SD3_CMD, 0, FN_NFREx, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR11", 0xE606022C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR11_31_28 [4] */
-		IFN_RX0, FN_HRX1_B, 0, 0,
-		0, FN_TS_SCK0_C, FN_STP_ISCLK_0_C, FN_RIF0_D0_B,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_27_24 [4] */
-		IFN_SCK0, FN_HSCK1_B, FN_MSIOF1_SS2_B, FN_AUDIO_CLKC_B,
-		FN_SDA2_A, FN_SIM0_RST_B, FN_STP_OPWM_0_C,
-		FN_RIF0_CLK_B,
-		0, FN_ADICHS2, 0, FN_RIF0_CLK_B,
-		0, 0, 0, 0,
-		/* IPSR11_23_20 [4] */
-		IFN_SD1_WP, 0, FN_NFCEx_A, 0,
-		0, FN_SIM0_D_B, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_19_16 [4] */
-		IFN_SD1_CD, 0, FN_NFRBx_A, 0,
-		0, FN_SIM0_CLK_B, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_15_12 [4] */
-		IFN_SD0_WP, 0, FN_NFDATA15_A, 0,
-		FN_SDA2_B, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_11_8 [4] */
-		IFN_SD0_CD, 0, FN_NFDATA14_A, 0,
-		FN_SCL2_B, FN_SIM0_RST_A, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_7_4 [4] */
-		IFN_SD3_DS, 0, FN_NFCLE, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR11_3_0 [4] */
-		IFN_SD3_DAT7, FN_SD3_WP, FN_NFDATA7, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR12", 0xE6060230, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR12_31_28 [4] */
-		IFN_SCK2, FN_SCIF_CLK_B, FN_MSIOF1_SCK_B, 0,
-		0, FN_TS_SCK1_C, FN_STP_ISCLK_1_C, FN_RIF1_CLK_B,
-		0, FN_ADICLK, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_27_24 [4] */
-		IFN_RTS1x_TANS, FN_HRTS1x_A, FN_MSIOF1_TXD_B, 0,
-		0, FN_TS_SDAT1_C, FN_STP_ISD_1_C, FN_RIF1_D1_B,
-		0, FN_ADICHS0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_23_20 [4] */
-		IFN_CTS1x, FN_HCTS1x_A, FN_MSIOF1_RXD_B, 0,
-		0, FN_TS_SDEN1_C, FN_STP_ISEN_1_C, FN_RIF1_D0_B,
-		0, FN_ADIDATA, 0, 0,
-		/* IPSR12_19_16 [4] */
-		IFN_TX1_A, FN_HTX1_A, 0, 0,
-		0, FN_TS_SDEN0_C, FN_STP_ISEN_0_C, FN_RIF1_D0_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_15_12 [4] */
-		IFN_RX1_A, FN_HRX1_A, 0, 0,
-		0, FN_TS_SDAT0_C, FN_STP_ISD_0_C, FN_RIF1_CLK_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_11_8 [4] */
-		IFN_RTS0x_TANS, FN_HRTS1x_B, FN_MSIOF1_SS1_B, FN_AUDIO_CLKA_B,
-		FN_SCL2_A, 0, FN_STP_IVCXO27_1_C, FN_RIF0_SYNC_B,
-		0, FN_ADICHS1, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_7_4 [4] */
-		IFN_CTS0x, FN_HCTS1x_B, FN_MSIOF1_SYNC_B, 0,
-		0, FN_TS_SPSYNC1_C, FN_STP_ISSYNC_1_C, FN_RIF1_SYNC_B,
-		FN_AUDIO_CLKOUT_C, FN_ADICS_SAMP, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR12_3_0 [4] */
-		IFN_TX0, FN_HTX1_B, 0, 0,
-		0, FN_TS_SPSYNC0_C, FN_STP_ISSYNC_0_C, FN_RIF0_D1_B,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR13", 0xE6060234, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR13_31_28 [4] */
-		IFN_MSIOF0_SYNC, 0, 0, 0,
-		0, 0, 0, 0,
-		FN_AUDIO_CLKOUT_A, 0, FN_TX5_B, 0,
-		0, FN_BPFCLK_D, 0, 0,
-		/* IPSR13_27_24 [4] */
-		IFN_HRTS0x, FN_TX2_B, FN_MSIOF1_SS1_D, 0,
-		FN_SSI_WS9_A, 0, FN_STP_IVCXO27_0_D, FN_BPFCLK_A,
-		FN_AUDIO_CLKOUT2_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_23_20 [4] */
-		IFN_HCTS0x, FN_RX2_B, FN_MSIOF1_SYNC_D, 0,
-		FN_SSI_SCK9_A, FN_TS_SPSYNC0_D, FN_STP_ISSYNC_0_D,
-		FN_RIF0_SYNC_C,
-		FN_AUDIO_CLKOUT1_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_19_16 [4] */
-		IFN_HTX0, 0, FN_MSIOF1_TXD_D, 0,
-		FN_SSI_SDATA9_B, FN_TS_SDAT0_D, FN_STP_ISD_0_D, FN_RIF0_D1_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_15_12 [4] */
-		IFN_HRX0, 0, FN_MSIOF1_RXD_D, 0,
-		FN_SS1_SDATA2_B, FN_TS_SDEN0_D, FN_STP_ISEN_0_D, FN_RIF0_D0_C,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_11_8 [4] */
-		IFN_HSCK0, 0, FN_MSIOF1_SCK_D, FN_AUDIO_CLKB_A,
-		FN_SSI_SDATA1_B, FN_TS_SCK0_D, FN_STP_ISCLK_0_D, FN_RIF0_CLK_C,
-		0, 0, FN_RX5_B, 0,
-		0, 0, 0, 0,
-		/* IPSR13_7_4 [4] */
-		IFN_RX2_A, 0, 0, FN_SD2_WP_B,
-		FN_SDA1_A, 0, FN_FMIN_A, FN_RIF1_SYNC_C,
-		0, FN_FSO_CEF_1_B, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR13_3_0 [4] */
-		IFN_TX2_A, 0, 0, FN_SD2_CD_B,
-		FN_SCL1_A, 0, FN_FMCLK_A, FN_RIF1_D1_C,
-		0, FN_FSO_CFE_0_B, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR14", 0xE6060238, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR14_31_28 [4] */
-		IFN_SSI_SDATA0, 0, FN_MSIOF1_SS2_F, 0,
-		0, 0, 0, FN_MOUT2,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_27_24 [4] */
-		IFN_SSI_WS0129, 0, FN_MSIOF1_SS1_F, 0,
-		0, 0, 0, FN_MOUT1,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_23_20 [4] */
-		IFN_SSI_SCK0129, 0, FN_MSIOF1_TXD_F, 0,
-		0, 0, 0, FN_MOUT0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_19_16 [4] */
-		IFN_MLB_DAT, FN_TX1_B, FN_MSIOF1_RXD_F, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_15_12 [4] */
-		IFN_MLB_SIG, FN_RX1_B, FN_MSIOF1_SYNC_F, 0,
-		FN_SDA1_B, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_11_8 [4] */
-		IFN_MLB_CLK, 0, FN_MSIOF1_SCK_F, 0,
-		FN_SCL1_B, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR14_7_4 [4] */
-		IFN_MSIOF0_SS2, FN_TX5_A, FN_MSIOF1_SS2_D, FN_AUDIO_CLKC_A,
-		FN_SSI_WS2_A, 0, FN_STP_OPWM_0_D, 0,
-		FN_AUDIO_CLKOUT_D, 0, FN_SPEEDIN_B, 0,
-		/* IPSR14_3_0 [4] */
-		IFN_MSIOF0_SS1, FN_RX5_A, 0, FN_AUDIO_CLKA_C,
-		FN_SSI_SCK2_A, 0, FN_STP_IVCXO27_0_C, 0,
-		FN_AUDIO_CLKOUT3_A, 0, FN_TCLK1_B, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR15", 0xE606023C, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR15_31_28 [4] */
-		IFN_SSI_SDATA4, FN_HSCK2_A, FN_MSIOF1_RXD_A, 0,
-		0, FN_TS_SPSYNC0_A, FN_STP_ISSYNC_0_A, FN_RIF0_D0_A,
-		FN_RIF2_D1_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_27_24 [4] */
-		IFN_SSI_WS4, FN_HTX2_A, FN_MSIOF1_SYNC_A, 0,
-		0, FN_TS_SDEN0_A, FN_STP_ISEN_0_A, FN_RIF0_SYNC_A,
-		FN_RIF2_SYNC_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_23_20 [4] */
-		IFN_SSI_SCK4, FN_HRX2_A, FN_MSIOF1_SCK_A, 0,
-		0, FN_TS_SDAT0_A, FN_STP_ISD_0_A, FN_RIF0_CLK_A,
-		FN_RIF2_CLK_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_19_16 [4] */
-		IFN_SSI_SDATA3, FN_HRTS2x_A, FN_MSIOF1_TXD_A, 0,
-		0, FN_TS_SCK0_A, FN_STP_ISCLK_0_A, FN_RIF0_D1_A,
-		FN_RIF2_D0_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_15_12 [4] */
-		IFN_SSI_WS34, FN_HCTS2x_A, FN_MSIOF1_SS2_A, 0,
-		0, 0, FN_STP_IVCXO27_0_A, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_11_8 [4] */
-		IFN_SSI_SCK34, 0, FN_MSIOF1_SS1_A, 0,
-		0, 0, FN_STP_OPWM_0_A, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_7_4 [4] */
-		IFN_SSI_SDATA2_A, 0, 0, 0,
-		FN_SSI_SCK1_B, 0, 0, FN_MOUT6,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR15_3_0 [4] */
-		IFN_SSI_SDATA1_A, 0, 0, 0,
-		0, 0, 0, FN_MOUT5,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR16", 0xE6060240, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR16_31_28 [4] */
-		IFN_SSI_SDATA9_A, FN_HSCK2_B, FN_MSIOF1_SS1_C, FN_HSCK1_A,
-		FN_SSI_WS1_B, FN_SCK1, FN_STP_IVCXO27_1_A, FN_SCK5,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_27_24 [4] */
-		IFN_SSI_SDATA8, FN_HRTS2x_B, FN_MSIOF1_TXD_C, 0,
-		0, FN_TS_SPSYNC1_A, FN_STP_ISSYNC_1_A, FN_RIF1_D1_A,
-		FN_EIF3_D1_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_23_20 [4] */
-		IFN_SSI_SDATA7, FN_HCTS2x_B, FN_MSIOF1_RXD_C, 0,
-		0, FN_TS_SDEN1_A, FN_STP_IEN_1_A, FN_RIF1_D0_A,
-		FN_RIF3_D0_A, 0, FN_TCLK2_A, 0,
-		/* IPSR16_19_16 [4] */
-		IFN_SSI_WS78, FN_HTX2_B, FN_MSIOF1_SYNC_C, 0,
-		0, FN_TS_SDAT1_A, FN_STP_ISD_1_A, FN_RIF1_SYNC_A,
-		FN_RIF3_SYNC_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_15_12 [4] */
-		IFN_SSI_SCK78, FN_HRX2_B, FN_MSIOF1_SCK_C, 0,
-		0, FN_TS_SCK1_A, FN_STP_ISCLK_1_A, FN_RIF1_CLK_A,
-		FN_RIF3_CLK_A, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_11_8 [4] */
-		IFN_SSI_SDATA6, 0, 0, FN_SIM0_CLK_D,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_7_4 [4] */
-		IFN_SSI_WS6, 0, 0, FN_SIM0_D_D,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, 0,
-		/* IPSR16_3_0 [4] */
-		IFN_SSI_SCK6, 0, 0, FN_SIM0_RST_D,
-		0, 0, 0, 0,
-		0, 0, FN_FSO_TOE_A, 0,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR17", 0xE6060244, 32,
-				4, 4, 4, 4, 4, 4, 4, 4) {
-		/* IPSR17_31_28 [4] */
-		IFN_USB30_OVC, 0, FN_AUDIO_CLKOUT1_B, 0,
-		FN_SSI_WS2_B, FN_TS_SPSYNC1_D, FN_STP_ISSYNC_1_D,
-		FN_STP_IVCXO27_0_E,
-		FN_RIF3_D1_B, 0, FN_FSO_TOE_B, FN_TPU0TO1,
-		0, 0, 0, 0,
-		/* IPSR17_27_24 [4] */
-		IFN_USB30_PWEN, 0, 0, FN_AUDIO_CLKOUT_B,
-		FN_SSI_SCK2_B, FN_TS_SDEN1_D, FN_STP_ISEN_1_D, FN_STP_OPWM_0_E,
-		FN_RIF3_D0_B, 0, FN_TCLK2_B, FN_TPU0TO0,
-		FN_BPFCLK_C, FN_HRTS2x_C, 0, 0,
-		/* IPSR17_23_20 [4] */
-		IFN_USB1_OVC, 0, FN_MSIOF1_SS2_C, 0,
-		FN_SSI_WS1_A, FN_TS_SDAT0_E, FN_STP_ISD_0_E, FN_FMIN_B,
-		FN_RIF2_SYNC_B, 0, FN_REMOCON_B, 0,
-		0, FN_HCTS2x_C, 0, 0,
-		/* IPSR17_19_16 [4] */
-		IFN_USB1_PWEN, 0, 0, FN_SIM0_CLK_C,
-		FN_SSI_SCK1_A, FN_TS_SCK0_E, FN_STP_ISCLK_0_E, FN_FMCLK_B,
-		FN_RIF2_CLK_B, 0, FN_SPEEDIN_A, 0,
-		0, FN_HTX2_C, 0, 0,
-		/* IPSR17_15_12 [4] */
-		IFN_USB0_OVC, 0, 0, FN_SIM0_D_C,
-		0, FN_TS_SDAT1_D, FN_STP_ISD_1_D, 0,
-		FN_RIF3_SYNC_B, 0, 0, 0,
-		0, FN_HRX2_C, 0, 0,
-		/* IPSR17_11_8 [4] */
-		IFN_USB0_PWEN, 0, 0, FN_SIM0_RST_C,
-		0, FN_TS_SCK1_D, FN_STP_ISCLK_1_D, FN_BPFCLK_B,
-		FN_RIF3_CLK_B, 0, FN_FSO_CFE_1_A, 0,
-		0, FN_HSCK2_C, 0, 0,
-		/* IPSR17_7_4 [4] */
-		IFN_AUDIO_CLKB_B, FN_SCIF_CLK_A, 0, 0,
-		0, 0, FN_STP_IVCXO27_1_D, FN_REMOCON_A,
-		0, 0, FN_TCLK1_A, 0,
-		0, 0, 0, 0,
-		/* IPSR17_3_0 [4] */
-		IFN_AUDIO_CLKA_A, 0, 0, 0,
-		0, 0, 0, 0,
-		0, 0, 0, FN_CC5_OSCOUT,
-		0, 0, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("IPSR18", 0xE6060248, 32,
-				1, 1, 1, 1, 1, 1, 1, 1,
-				1, 1, 1, 1, 1, 1, 1, 1,
-				1, 1, 1, 1, 1, 1, 1, 1,
-				4, 4) {
-		/* reserved [31..24] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* reserved [23..16] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* reserved [15..8] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		/* IPSR18_7_4 [4] */
-		IFN_GP6_31, 0, 0, FN_AUDIO_CLKOUT3_B,
-		FN_SSI_WS9_B, FN_TS_SPSYNC0_E, FN_STP_ISSYNC_0_E, 0,
-		FN_RIF2_D1_B, 0, 0, FN_TPU0TO3,
-		FN_FMIN_C, FN_FMIN_D, 0, 0,
-		/* IPSR18_3_0 [4] */
-		IFN_GP6_30, 0, 0, FN_AUDIO_CLKOUT2_B,
-		FN_SSI_SCK9_B, FN_TS_SDEN0_E, FN_STP_ISEN_0_E, 0,
-		FN_RIF2_D0_B, 0, FN_FSO_CFE_0_A, FN_TPU0TO2,
-		FN_FMCLK_C, FN_FMCLK_D, 0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("MOD_SEL0", 0xE6060500, 32,
-				3, 2, 3,
-				1, 1, 1, 1, 1, 2, 1,
-				1, 2, 1, 1, 1, 2,
-				2, 1, 2, 1, 1, 1) {
-		/* SEL_MSIOF3 [3] */
-		FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
-		FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
-		FN_SEL_MSIOF3_4, FN_SEL_MSIOF3_5,
-		FN_SEL_MSIOF3_6, 0,
-		/* SEL_MSIOF2 [2] */
-		FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
-		FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
-		/* SEL_MSIOF1 [3] */
-		FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
-		FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
-		FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
-		FN_SEL_MSIOF1_6, 0,
-
-		/* SEL_LBSC [1] */
-		FN_SEL_LBSC_0, FN_SEL_LBSC_1,
-		/* SEL_IEBUS [1] */
-		FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
-		/* SEL_I2C2 [1] */
-		FN_SEL_I2C2_0, FN_SEL_I2C2_1,
-		/* SEL_I2C1 [1] */
-		FN_SEL_I2C1_0, FN_SEL_I2C1_1,
-		/* SEL_HSCIF4 [1] */
-		FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
-		/* SEL_HSCIF3 [2] */
-		FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
-		FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
-		/* SEL_HSCIF1 [1] */
-		FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
-
-		/* SEL_FSO [1] */
-		FN_SEL_FSO_0, FN_SEL_FSO_1,
-		/* SEL_HSCIF2 [2] */
-		FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
-		FN_SEL_HSCIF2_2, 0,
-		/* SEL_ETHERAVB [1] */
-		FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
-		/* SEL_DRIF3 [1] */
-		FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
-		/* SEL_DRIF2 [1] */
-		FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
-		/* SEL_DRIF1 [2] */
-		FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
-		FN_SEL_DRIF1_2, 0,
-
-		/* SEL_DRIF0 [2] */
-		FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
-		FN_SEL_DRIF0_2, 0,
-		/* SEL_CANFD0 [1] */
-		FN_SEL_CANFD_0, FN_SEL_CANFD_1,
-		/* SEL_ADG [2] */
-		FN_SEL_ADG_0, FN_SEL_ADG_1,
-		FN_SEL_ADG_2, FN_SEL_ADG_3,
-		/* reserved [3] */
-		0, 0,
-		0, 0,
-		0, 0,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("MOD_SEL1", 0xE6060504, 32,
-				2, 3, 1, 2,
-				3, 1, 1, 2, 1,
-				2, 1, 1, 1, 1, 1, 1,
-				1, 1, 1, 1, 1, 1, 1, 1) {
-		/* SEL_TSIF1 [2] */
-		FN_SEL_TSIF1_0,
-		FN_SEL_TSIF1_1,
-		FN_SEL_TSIF1_2,
-		FN_SEL_TSIF1_3,
-		/* SEL_TSIF0 [3] */
-		FN_SEL_TSIF0_0,
-		FN_SEL_TSIF0_1,
-		FN_SEL_TSIF0_2,
-		FN_SEL_TSIF0_3,
-		FN_SEL_TSIF0_4,
-		0,
-		0,
-		0,
-		/* SEL_TIMER_TMU [1] */
-		FN_SEL_TIMER_TMU_0,
-		FN_SEL_TIMER_TMU_1,
-		/* SEL_SSP1_1 [2] */
-		FN_SEL_SSP1_1_0,
-		FN_SEL_SSP1_1_1,
-		FN_SEL_SSP1_1_2,
-		FN_SEL_SSP1_1_3,
-
-		/* SEL_SSP1_0 [3] */
-		FN_SEL_SSP1_0_0,
-		FN_SEL_SSP1_0_1,
-		FN_SEL_SSP1_0_2,
-		FN_SEL_SSP1_0_3,
-		FN_SEL_SSP1_0_4,
-		0,
-		0,
-		0,
-		/* SEL_SSI [1] */
-		FN_SEL_SSI_0,
-		FN_SEL_SSI_1,
-		/* SEL_SPEED_PULSE_IF [1] */
-		FN_SEL_SPEED_PULSE_IF_0,
-		FN_SEL_SPEED_PULSE_IF_1,
-		/* SEL_SIMCARD [2] */
-		FN_SEL_SIMCARD_0,
-		FN_SEL_SIMCARD_1,
-		FN_SEL_SIMCARD_2,
-		FN_SEL_SIMCARD_3,
-		/* SEL_SDHI2 [1] */
-		FN_SEL_SDHI2_0,
-		FN_SEL_SDHI2_1,
-
-		/* SEL_SCIF4 [2] */
-		FN_SEL_SCIF4_0,
-		FN_SEL_SCIF4_1,
-		FN_SEL_SCIF4_2,
-		0,
-		/* SEL_SCIF3 [1] */
-		FN_SEL_SCIF3_0,
-		FN_SEL_SCIF3_1,
-		/* SEL_SCIF2 [1] */
-		FN_SEL_SCIF2_0,
-		FN_SEL_SCIF2_1,
-		/* SEL_SCIF1 [1] */
-		FN_SEL_SCIF1_0,
-		FN_SEL_SCIF1_1,
-		/* SEL_SCIF [1] */
-		FN_SEL_SCIF_0,
-		FN_SEL_SCIF_1,
-		/* SEL_REMOCON [1] */
-		FN_SEL_REMOCON_0,
-		FN_SEL_REMOCON_1,
-		/* reserved [2] */
-		0, 0,
-
-		0, 0,
-		/* SEL_RCAN [1] */
-		FN_SEL_RCAN_0,
-		FN_SEL_RCAN_1,
-		/* SEL_PWM6 [1] */
-		FN_SEL_PWM6_0,
-		FN_SEL_PWM6_1,
-		/* SEL_PWM5 [1] */
-		FN_SEL_PWM5_0,
-		FN_SEL_PWM5_1,
-		/* SEL_PWM4 [1] */
-		FN_SEL_PWM4_0,
-		FN_SEL_PWM4_1,
-		/* SEL_PWM3 [1] */
-		FN_SEL_PWM3_0,
-		FN_SEL_PWM3_1,
-		/* SEL_PWM2 [1] */
-		FN_SEL_PWM2_0,
-		FN_SEL_PWM2_1,
-		/* SEL_PWM1 [1] */
-		FN_SEL_PWM1_0,
-		FN_SEL_PWM1_1,
-		}
-	},
-	{ PINMUX_CFG_REG_VAR("MOD_SEL2", 0xE6060508, 32,
-						1, 1, 1, 2, 1,
-						3, 1, 1, 1, 1, 1, 1,
-						1, 1, 1, 1, 1, 1, 1, 1,
-						1, 1, 1, 1, 1, 1, 1, 1,
-						1) {
-		/* I2C_SEL_5 [1] */
-		FN_I2C_SEL_5_0,
-		FN_I2C_SEL_5_1,
-		/* I2C_SEL_3 [1] */
-		FN_I2C_SEL_3_0,
-		FN_I2C_SEL_3_1,
-		/* I2C_SEL_0 [1] */
-		FN_I2C_SEL_0_0,
-		FN_I2C_SEL_0_1,
-		/* SEL_FM [2] */
-		FN_SEL_FM_0,
-		FN_SEL_FM_1,
-		FN_SEL_FM_2,
-		FN_SEL_FM_3,
-		/* SEL_SCIF5 [1] */
-		FN_SEL_SCIF5_0,
-		FN_SEL_SCIF5_1,
-
-		/* SEL_I2C6 [3] */
-		FN_SEL_I2C6_0,
-		FN_SEL_I2C6_1,
-		FN_SEL_I2C6_2,
-		0,
-		0,
-		0,
-		0,
-		0,
-		/* SEL_NDF [1] */
-		FN_SEL_NDF_0,
-		FN_SEL_NDF_1,
-		/* SEL_SSI2 [1] */
-		FN_SEL_SSI2_0,
-		FN_SEL_SSI2_1,
-		/* SEL_SSI9 [1] */
-		FN_SEL_SSI9_0,
-		FN_SEL_SSI9_1,
-		/* SEL_TIMER_TME2 [1] */
-		FN_SEL_TIMER_TMU2_0,
-		FN_SEL_TIMER_TMU2_1,
-		/* SEL_ADG_B [1] */
-		FN_SEL_ADG_B_0,
-		FN_SEL_ADG_B_1,
-
-		/* SEL_ADG_C [1] */
-		FN_SEL_ADG_C_0,
-		FN_SEL_ADG_C_1,
-		/* reserved [16] */
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		/* SEL_VIN4 [1] */
-		FN_SEL_VIN4_0,
-		FN_SEL_VIN4_1,
-		}
-	},
-
-	/* under construction */
-	{ PINMUX_CFG_REG("INOUTSEL0", 0xE6050004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_0_15_IN, GP_0_15_OUT,
-		GP_0_14_IN, GP_0_14_OUT,
-		GP_0_13_IN, GP_0_13_OUT,
-		GP_0_12_IN, GP_0_12_OUT,
-		GP_0_11_IN, GP_0_11_OUT,
-		GP_0_10_IN, GP_0_10_OUT,
-		GP_0_9_IN, GP_0_9_OUT,
-		GP_0_8_IN, GP_0_8_OUT,
-		GP_0_7_IN, GP_0_7_OUT,
-		GP_0_6_IN, GP_0_6_OUT,
-		GP_0_5_IN, GP_0_5_OUT,
-		GP_0_4_IN, GP_0_4_OUT,
-		GP_0_3_IN, GP_0_3_OUT,
-		GP_0_2_IN, GP_0_2_OUT,
-		GP_0_1_IN, GP_0_1_OUT,
-		GP_0_0_IN, GP_0_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL1", 0xE6051004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_1_28_IN, GP_1_28_OUT,
-		GP_1_27_IN, GP_1_27_OUT,
-		GP_1_26_IN, GP_1_26_OUT,
-		GP_1_25_IN, GP_1_25_OUT,
-		GP_1_24_IN, GP_1_24_OUT,
-		GP_1_23_IN, GP_1_23_OUT,
-		GP_1_22_IN, GP_1_22_OUT,
-		GP_1_21_IN, GP_1_21_OUT,
-		GP_1_20_IN, GP_1_20_OUT,
-		GP_1_19_IN, GP_1_19_OUT,
-		GP_1_18_IN, GP_1_18_OUT,
-		GP_1_17_IN, GP_1_17_OUT,
-		GP_1_16_IN, GP_1_16_OUT,
-		GP_1_15_IN, GP_1_15_OUT,
-		GP_1_14_IN, GP_1_14_OUT,
-		GP_1_13_IN, GP_1_13_OUT,
-		GP_1_12_IN, GP_1_12_OUT,
-		GP_1_11_IN, GP_1_11_OUT,
-		GP_1_10_IN, GP_1_10_OUT,
-		GP_1_9_IN, GP_1_9_OUT,
-		GP_1_8_IN, GP_1_8_OUT,
-		GP_1_7_IN, GP_1_7_OUT,
-		GP_1_6_IN, GP_1_6_OUT,
-		GP_1_5_IN, GP_1_5_OUT,
-		GP_1_4_IN, GP_1_4_OUT,
-		GP_1_3_IN, GP_1_3_OUT,
-		GP_1_2_IN, GP_1_2_OUT,
-		GP_1_1_IN, GP_1_1_OUT,
-		GP_1_0_IN, GP_1_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL2", 0xE6052004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		GP_2_14_IN, GP_2_14_OUT,
-		GP_2_13_IN, GP_2_13_OUT,
-		GP_2_12_IN, GP_2_12_OUT,
-		GP_2_11_IN, GP_2_11_OUT,
-		GP_2_10_IN, GP_2_10_OUT,
-		GP_2_9_IN, GP_2_9_OUT,
-		GP_2_8_IN, GP_2_8_OUT,
-		GP_2_7_IN, GP_2_7_OUT,
-		GP_2_6_IN, GP_2_6_OUT,
-		GP_2_5_IN, GP_2_5_OUT,
-		GP_2_4_IN, GP_2_4_OUT,
-		GP_2_3_IN, GP_2_3_OUT,
-		GP_2_2_IN, GP_2_2_OUT,
-		GP_2_1_IN, GP_2_1_OUT,
-		GP_2_0_IN, GP_2_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL3", 0xE6053004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		GP_3_15_IN, GP_3_15_OUT,
-		GP_3_14_IN, GP_3_14_OUT,
-		GP_3_13_IN, GP_3_13_OUT,
-		GP_3_12_IN, GP_3_12_OUT,
-		GP_3_11_IN, GP_3_11_OUT,
-		GP_3_10_IN, GP_3_10_OUT,
-		GP_3_9_IN, GP_3_9_OUT,
-		GP_3_8_IN, GP_3_8_OUT,
-		GP_3_7_IN, GP_3_7_OUT,
-		GP_3_6_IN, GP_3_6_OUT,
-		GP_3_5_IN, GP_3_5_OUT,
-		GP_3_4_IN, GP_3_4_OUT,
-		GP_3_3_IN, GP_3_3_OUT,
-		GP_3_2_IN, GP_3_2_OUT,
-		GP_3_1_IN, GP_3_1_OUT,
-		GP_3_0_IN, GP_3_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL4", 0xE6054004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_4_17_IN, GP_4_17_OUT,
-		GP_4_16_IN, GP_4_16_OUT,
-
-		GP_4_15_IN, GP_4_15_OUT,
-		GP_4_14_IN, GP_4_14_OUT,
-		GP_4_13_IN, GP_4_13_OUT,
-		GP_4_12_IN, GP_4_12_OUT,
-		GP_4_11_IN, GP_4_11_OUT,
-		GP_4_10_IN, GP_4_10_OUT,
-		GP_4_9_IN, GP_4_9_OUT,
-		GP_4_8_IN, GP_4_8_OUT,
-		GP_4_7_IN, GP_4_7_OUT,
-		GP_4_6_IN, GP_4_6_OUT,
-		GP_4_5_IN, GP_4_5_OUT,
-		GP_4_4_IN, GP_4_4_OUT,
-		GP_4_3_IN, GP_4_3_OUT,
-		GP_4_2_IN, GP_4_2_OUT,
-		GP_4_1_IN, GP_4_1_OUT,
-		GP_4_0_IN, GP_4_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL5", 0xE6055004, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_5_25_IN, GP_5_25_OUT,
-		GP_5_24_IN, GP_5_24_OUT,
-
-		GP_5_23_IN, GP_5_23_OUT,
-		GP_5_22_IN, GP_5_22_OUT,
-		GP_5_21_IN, GP_5_21_OUT,
-		GP_5_20_IN, GP_5_20_OUT,
-		GP_5_19_IN, GP_5_19_OUT,
-		GP_5_18_IN, GP_5_18_OUT,
-		GP_5_17_IN, GP_5_17_OUT,
-		GP_5_16_IN, GP_5_16_OUT,
-
-		GP_5_15_IN, GP_5_15_OUT,
-		GP_5_14_IN, GP_5_14_OUT,
-		GP_5_13_IN, GP_5_13_OUT,
-		GP_5_12_IN, GP_5_12_OUT,
-		GP_5_11_IN, GP_5_11_OUT,
-		GP_5_10_IN, GP_5_10_OUT,
-		GP_5_9_IN, GP_5_9_OUT,
-		GP_5_8_IN, GP_5_8_OUT,
-		GP_5_7_IN, GP_5_7_OUT,
-		GP_5_6_IN, GP_5_6_OUT,
-		GP_5_5_IN, GP_5_5_OUT,
-		GP_5_4_IN, GP_5_4_OUT,
-		GP_5_3_IN, GP_5_3_OUT,
-		GP_5_2_IN, GP_5_2_OUT,
-		GP_5_1_IN, GP_5_1_OUT,
-		GP_5_0_IN, GP_5_0_OUT,
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL6", 0xE6055404, 32, 1) {
-		GP_INOUTSEL(6)
-		}
-	},
-	{ PINMUX_CFG_REG("INOUTSEL7", 0xE6055804, 32, 1) {
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-
-		0, 0,
-		0, 0,
-		0, 0,
-		0, 0,
-		GP_6_3_IN, GP_6_3_OUT,
-		GP_6_2_IN, GP_6_2_OUT,
-		GP_6_1_IN, GP_6_1_OUT,
-		GP_6_0_IN, GP_6_0_OUT,
-		}
-	},
-	{ },
-};
-
-static struct pinmux_data_reg pinmux_data_regs[] = {
-	/* use OUTDT registers? */
-	{ PINMUX_DATA_REG("INDT0", 0xE6050008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		GP_0_15_DATA, GP_0_14_DATA, GP_0_13_DATA, GP_0_12_DATA,
-		GP_0_11_DATA, GP_0_10_DATA, GP_0_9_DATA, GP_0_8_DATA,
-		GP_0_7_DATA, GP_0_6_DATA, GP_0_5_DATA, GP_0_4_DATA,
-		GP_0_3_DATA, GP_0_2_DATA, GP_0_1_DATA, GP_0_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT1", 0xE6051008, 32) {
-		0, 0, 0, GP_1_28_DATA,
-		GP_1_27_DATA, GP_1_26_DATA, GP_1_25_DATA, GP_1_24_DATA,
-		GP_1_23_DATA, GP_1_22_DATA, GP_1_21_DATA, GP_1_20_DATA,
-		GP_1_19_DATA, GP_1_18_DATA, GP_1_17_DATA, GP_1_16_DATA,
-		GP_1_15_DATA, GP_1_14_DATA, GP_1_13_DATA, GP_1_12_DATA,
-		GP_1_11_DATA, GP_1_10_DATA, GP_1_9_DATA, GP_1_8_DATA,
-		GP_1_7_DATA, GP_1_6_DATA, GP_1_5_DATA, GP_1_4_DATA,
-		GP_1_3_DATA, GP_1_2_DATA, GP_1_1_DATA, GP_1_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT2", 0xE6052008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, GP_2_14_DATA, GP_2_13_DATA, GP_2_12_DATA,
-		GP_2_11_DATA, GP_2_10_DATA, GP_2_9_DATA, GP_2_8_DATA,
-		GP_2_7_DATA, GP_2_6_DATA, GP_2_5_DATA, GP_2_4_DATA,
-		GP_2_3_DATA, GP_2_2_DATA, GP_2_1_DATA, GP_2_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT3", 0xE6053008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		GP_3_15_DATA, GP_3_14_DATA, GP_3_13_DATA, GP_3_12_DATA,
-		GP_3_11_DATA, GP_3_10_DATA, GP_3_9_DATA, GP_3_8_DATA,
-		GP_3_7_DATA, GP_3_6_DATA, GP_3_5_DATA, GP_3_4_DATA,
-		GP_3_3_DATA, GP_3_2_DATA, GP_3_1_DATA, GP_3_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT4", 0xE6054008, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, GP_4_17_DATA, GP_4_16_DATA,
-		GP_4_15_DATA, GP_4_14_DATA, GP_4_13_DATA, GP_4_12_DATA,
-		GP_4_11_DATA, GP_4_10_DATA, GP_4_9_DATA, GP_4_8_DATA,
-		GP_4_7_DATA, GP_4_6_DATA, GP_4_5_DATA, GP_4_4_DATA,
-		GP_4_3_DATA, GP_4_2_DATA, GP_4_1_DATA, GP_4_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT5", 0xE6055008, 32) {
-		0, 0, 0, 0,
-		0, 0, GP_5_25_DATA, GP_5_24_DATA,
-		GP_5_23_DATA, GP_5_22_DATA, GP_5_21_DATA, GP_5_20_DATA,
-		GP_5_19_DATA, GP_5_18_DATA, GP_5_17_DATA, GP_5_16_DATA,
-		GP_5_15_DATA, GP_5_14_DATA, GP_5_13_DATA, GP_5_12_DATA,
-		GP_5_11_DATA, GP_5_10_DATA, GP_5_9_DATA, GP_5_8_DATA,
-		GP_5_7_DATA, GP_5_6_DATA, GP_5_5_DATA, GP_5_4_DATA,
-		GP_5_3_DATA, GP_5_2_DATA, GP_5_1_DATA, GP_5_0_DATA }
-	},
-	{ PINMUX_DATA_REG("INDT6", 0xE6055408, 32) {
-		GP_INDT(6) }
-	},
-	{ PINMUX_DATA_REG("INDT7", 0xE6055808, 32) {
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0, 0, 0, 0, 0,
-		0, 0, 0, 0,
-		GP_7_3_DATA, GP_7_2_DATA, GP_7_1_DATA, GP_7_0_DATA }
-	},
-	{ },
-};
-
-static struct pinmux_info r8a7796_pinmux_info = {
-	.name = "r8a7796_pfc",
-
-	.unlock_reg = 0xe6060000, /* PMMR */
-
-	.reserved_id = PINMUX_RESERVED,
-	.data = { PINMUX_DATA_BEGIN, PINMUX_DATA_END },
-	.input = { PINMUX_INPUT_BEGIN, PINMUX_INPUT_END },
-	.output = { PINMUX_OUTPUT_BEGIN, PINMUX_OUTPUT_END },
-	.mark = { PINMUX_MARK_BEGIN, PINMUX_MARK_END },
-	.function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
-
-	.first_gpio = GPIO_GP_0_0,
-	.last_gpio = GPIO_FN_FMIN_D,
-
-	.gpios = pinmux_gpios,
-	.cfg_regs = pinmux_config_regs,
-	.data_regs = pinmux_data_regs,
-
-	.gpio_data = pinmux_data,
-	.gpio_data_size = ARRAY_SIZE(pinmux_data),
-};
-
-void r8a7796_pinmux_init(void)
-{
-	register_pinmux(&r8a7796_pinmux_info);
-}
-- 
2.11.0

^ permalink raw reply related	[flat|nested] 7+ messages in thread

* [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables
  2017-09-15 19:13 ` [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables Marek Vasut
@ 2017-10-03 23:46   ` Nobuhiro Iwamatsu
  2017-10-04  1:05     ` Marek Vasut
  0 siblings, 1 reply; 7+ messages in thread
From: Nobuhiro Iwamatsu @ 2017-10-03 23:46 UTC (permalink / raw)
  To: u-boot

Hi!

This patch breaks the compiling of board/renesas/ulcb/cpld.c
----
board/renesas/ulcb/cpld.c: In function 'ulcb_softspi_sda':
board/renesas/ulcb/cpld.c:17:16: error: 'GPIO_GP_6_7' undeclared
(first use in this function)
 #define MOSI   GPIO_GP_6_7
                ^
board/renesas/ulcb/cpld.c:46:17: note: in expansion of macro 'MOSI'
  gpio_set_value(MOSI, set);
                 ^~~~

----

Could you check about this?

Best regards,
  Nobuhiro

2017-09-16 4:13 GMT+09:00 Marek Vasut <marek.vasut@gmail.com>:
> These old PFC tables are no longer needed as there is now a proper
> PFC pinmux driver in drivers/pinctrl/renesas . Remove them .
>
> Signed-off-by: Marek Vasut <marek.vasut+renesas@gmail.com>
> Cc: Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
> ---
>  arch/arm/mach-rmobile/Makefile                    |    4 +-
>  arch/arm/mach-rmobile/include/mach/gpio.h         |    6 -
>  arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h | 1016 ----
>  arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h | 1084 -----
>  arch/arm/mach-rmobile/pfc-r8a7795.c               | 5005 --------------------
>  arch/arm/mach-rmobile/pfc-r8a7796.c               | 5253 ---------------------
>  6 files changed, 2 insertions(+), 12366 deletions(-)
>  delete mode 100644 arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h
>  delete mode 100644 arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h
>  delete mode 100644 arch/arm/mach-rmobile/pfc-r8a7795.c
>  delete mode 100644 arch/arm/mach-rmobile/pfc-r8a7796.c
>
> diff --git a/arch/arm/mach-rmobile/Makefile b/arch/arm/mach-rmobile/Makefile
> index 2aea527bae..8aa2b4f82a 100644
> --- a/arch/arm/mach-rmobile/Makefile
> +++ b/arch/arm/mach-rmobile/Makefile
> @@ -16,7 +16,7 @@ obj-$(CONFIG_R8A7791) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7791.o
>  obj-$(CONFIG_R8A7792) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7792.o
>  obj-$(CONFIG_R8A7793) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7793.o
>  obj-$(CONFIG_R8A7794) += lowlevel_init_ca15.o cpu_info-rcar.o pfc-r8a7794.o
> -obj-$(CONFIG_R8A7795) += lowlevel_init_gen3.o cpu_info-rcar.o pfc-r8a7795.o memmap-r8a7795.o
> -obj-$(CONFIG_R8A7796) += lowlevel_init_gen3.o cpu_info-rcar.o pfc-r8a7796.o memmap-r8a7796.o
> +obj-$(CONFIG_R8A7795) += lowlevel_init_gen3.o cpu_info-rcar.o memmap-r8a7795.o
> +obj-$(CONFIG_R8A7796) += lowlevel_init_gen3.o cpu_info-rcar.o memmap-r8a7796.o
>  obj-$(CONFIG_SH73A0) += lowlevel_init.o cpu_info-sh73a0.o pfc-sh73a0.o
>  obj-$(CONFIG_TMU_TIMER) += ../../sh/lib/time.o
> diff --git a/arch/arm/mach-rmobile/include/mach/gpio.h b/arch/arm/mach-rmobile/include/mach/gpio.h
> index 02b29364c5..448d189e92 100644
> --- a/arch/arm/mach-rmobile/include/mach/gpio.h
> +++ b/arch/arm/mach-rmobile/include/mach/gpio.h
> @@ -22,12 +22,6 @@ void r8a7793_pinmux_init(void);
>  #elif defined(CONFIG_R8A7794)
>  #include "r8a7794-gpio.h"
>  void r8a7794_pinmux_init(void);
> -#elif defined(CONFIG_R8A7795)
> -#include "r8a7795-gpio.h"
> -void r8a7795_pinmux_init(void);
> -#elif defined(CONFIG_R8A7796)
> -#include "r8a7796-gpio.h"
> -void r8a7796_pinmux_init(void);
>  #endif
>
>  #endif /* __ASM_ARCH_GPIO_H */
> diff --git a/arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h b/arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h
> deleted file mode 100644
> index 554063ab8f..0000000000
> --- a/arch/arm/mach-rmobile/include/mach/r8a7795-gpio.h
> +++ /dev/null
> @@ -1,1016 +0,0 @@
> -/*
> - * arch/arm/include/asm/arch-rcar_gen3/r8a7795-gpio.h
> - *     This file defines pin function control of gpio.
> - *
> - * Copyright (C) 2015-2016 Renesas Electronics Corporation
> - *
> - * SPDX-License-Identifier:    GPL-2.0+
> - */
> -#ifndef __ASM_R8A7795_GPIO_H__
> -#define __ASM_R8A7795_GPIO_H__
> -
> -/* Pin Function Controller:
> - * GPIO_FN_xx - GPIO used to select pin function
> - * GPIO_GP_x_x - GPIO mapped to real I/O pin on CPU
> - */
> -
> -/* V2(ES2.0) */
> -enum {
> -       GPIO_GP_0_0, GPIO_GP_0_1, GPIO_GP_0_2, GPIO_GP_0_3,
> -       GPIO_GP_0_4, GPIO_GP_0_5, GPIO_GP_0_6, GPIO_GP_0_7,
> -       GPIO_GP_0_8, GPIO_GP_0_9, GPIO_GP_0_10, GPIO_GP_0_11,
> -       GPIO_GP_0_12, GPIO_GP_0_13, GPIO_GP_0_14, GPIO_GP_0_15,
> -
> -       GPIO_GP_1_0, GPIO_GP_1_1, GPIO_GP_1_2, GPIO_GP_1_3,
> -       GPIO_GP_1_4, GPIO_GP_1_5, GPIO_GP_1_6, GPIO_GP_1_7,
> -       GPIO_GP_1_8, GPIO_GP_1_9, GPIO_GP_1_10, GPIO_GP_1_11,
> -       GPIO_GP_1_12, GPIO_GP_1_13, GPIO_GP_1_14, GPIO_GP_1_15,
> -       GPIO_GP_1_16, GPIO_GP_1_17, GPIO_GP_1_18, GPIO_GP_1_19,
> -       GPIO_GP_1_20, GPIO_GP_1_21, GPIO_GP_1_22, GPIO_GP_1_23,
> -       GPIO_GP_1_24, GPIO_GP_1_25, GPIO_GP_1_26, GPIO_GP_1_27,
> -       GPIO_GP_1_28,
> -
> -       GPIO_GP_2_0, GPIO_GP_2_1, GPIO_GP_2_2, GPIO_GP_2_3,
> -       GPIO_GP_2_4, GPIO_GP_2_5, GPIO_GP_2_6, GPIO_GP_2_7,
> -       GPIO_GP_2_8, GPIO_GP_2_9, GPIO_GP_2_10, GPIO_GP_2_11,
> -       GPIO_GP_2_12, GPIO_GP_2_13, GPIO_GP_2_14,
> -
> -       GPIO_GP_3_0, GPIO_GP_3_1, GPIO_GP_3_2, GPIO_GP_3_3,
> -       GPIO_GP_3_4, GPIO_GP_3_5, GPIO_GP_3_6, GPIO_GP_3_7,
> -       GPIO_GP_3_8, GPIO_GP_3_9, GPIO_GP_3_10, GPIO_GP_3_11,
> -       GPIO_GP_3_12, GPIO_GP_3_13, GPIO_GP_3_14, GPIO_GP_3_15,
> -
> -       GPIO_GP_4_0, GPIO_GP_4_1, GPIO_GP_4_2, GPIO_GP_4_3,
> -       GPIO_GP_4_4, GPIO_GP_4_5, GPIO_GP_4_6, GPIO_GP_4_7,
> -       GPIO_GP_4_8, GPIO_GP_4_9, GPIO_GP_4_10, GPIO_GP_4_11,
> -       GPIO_GP_4_12, GPIO_GP_4_13, GPIO_GP_4_14, GPIO_GP_4_15,
> -       GPIO_GP_4_16, GPIO_GP_4_17,
> -
> -       GPIO_GP_5_0, GPIO_GP_5_1, GPIO_GP_5_2, GPIO_GP_5_3,
> -       GPIO_GP_5_4, GPIO_GP_5_5, GPIO_GP_5_6, GPIO_GP_5_7,
> -       GPIO_GP_5_8, GPIO_GP_5_9, GPIO_GP_5_10, GPIO_GP_5_11,
> -       GPIO_GP_5_12, GPIO_GP_5_13, GPIO_GP_5_14, GPIO_GP_5_15,
> -       GPIO_GP_5_16, GPIO_GP_5_17, GPIO_GP_5_18, GPIO_GP_5_19,
> -       GPIO_GP_5_20, GPIO_GP_5_21, GPIO_GP_5_22, GPIO_GP_5_23,
> -       GPIO_GP_5_24, GPIO_GP_5_25,
> -
> -       GPIO_GP_6_0, GPIO_GP_6_1, GPIO_GP_6_2, GPIO_GP_6_3,
> -       GPIO_GP_6_4, GPIO_GP_6_5, GPIO_GP_6_6, GPIO_GP_6_7,
> -       GPIO_GP_6_8, GPIO_GP_6_9, GPIO_GP_6_10, GPIO_GP_6_11,
> -       GPIO_GP_6_12, GPIO_GP_6_13, GPIO_GP_6_14, GPIO_GP_6_15,
> -       GPIO_GP_6_16, GPIO_GP_6_17, GPIO_GP_6_18, GPIO_GP_6_19,
> -       GPIO_GP_6_20, GPIO_GP_6_21, GPIO_GP_6_22, GPIO_GP_6_23,
> -       GPIO_GP_6_24, GPIO_GP_6_25, GPIO_GP_6_26, GPIO_GP_6_27,
> -       GPIO_GP_6_28, GPIO_GP_6_29, GPIO_GP_6_30, GPIO_GP_6_31,
> -
> -       GPIO_GP_7_0, GPIO_GP_7_1, GPIO_GP_7_2, GPIO_GP_7_3,
> -
> -       /* GPSR0 */
> -       GPIO_GFN_D15,
> -       GPIO_GFN_D14,
> -       GPIO_GFN_D13,
> -       GPIO_GFN_D12,
> -       GPIO_GFN_D11,
> -       GPIO_GFN_D10,
> -       GPIO_GFN_D9,
> -       GPIO_GFN_D8,
> -       GPIO_GFN_D7,
> -       GPIO_GFN_D6,
> -       GPIO_GFN_D5,
> -       GPIO_GFN_D4,
> -       GPIO_GFN_D3,
> -       GPIO_GFN_D2,
> -       GPIO_GFN_D1,
> -       GPIO_GFN_D0,
> -
> -       /* GPSR1 */
> -       GPIO_GFN_CLKOUT,
> -       GPIO_GFN_EX_WAIT0_A,
> -       GPIO_GFN_WE1x,
> -       GPIO_GFN_WE0x,
> -       GPIO_GFN_RD_WRx,
> -       GPIO_GFN_RDx,
> -       GPIO_GFN_BSx,
> -       GPIO_GFN_CS1x_A26,
> -       GPIO_GFN_CS0x,
> -       GPIO_GFN_A19,
> -       GPIO_GFN_A18,
> -       GPIO_GFN_A17,
> -       GPIO_GFN_A16,
> -       GPIO_GFN_A15,
> -       GPIO_GFN_A14,
> -       GPIO_GFN_A13,
> -       GPIO_GFN_A12,
> -       GPIO_GFN_A11,
> -       GPIO_GFN_A10,
> -       GPIO_GFN_A9,
> -       GPIO_GFN_A8,
> -       GPIO_GFN_A7,
> -       GPIO_GFN_A6,
> -       GPIO_GFN_A5,
> -       GPIO_GFN_A4,
> -       GPIO_GFN_A3,
> -       GPIO_GFN_A2,
> -       GPIO_GFN_A1,
> -       GPIO_GFN_A0,
> -
> -       /* GPSR2 */
> -       GPIO_GFN_AVB_AVTP_CAPTURE_A,
> -       GPIO_GFN_AVB_AVTP_MATCH_A,
> -       GPIO_GFN_AVB_LINK,
> -       GPIO_GFN_AVB_PHY_INT,
> -       GPIO_GFN_AVB_MAGIC,
> -       GPIO_GFN_AVB_MDC,
> -       GPIO_GFN_PWM2_A,
> -       GPIO_GFN_PWM1_A,
> -       GPIO_GFN_PWM0,
> -       GPIO_GFN_IRQ5,
> -       GPIO_GFN_IRQ4,
> -       GPIO_GFN_IRQ3,
> -       GPIO_GFN_IRQ2,
> -       GPIO_GFN_IRQ1,
> -       GPIO_GFN_IRQ0,
> -
> -       /* GPSR3 */
> -       GPIO_GFN_SD1_WP,
> -       GPIO_GFN_SD1_CD,
> -       GPIO_GFN_SD0_WP,
> -       GPIO_GFN_SD0_CD,
> -       GPIO_GFN_SD1_DAT3,
> -       GPIO_GFN_SD1_DAT2,
> -       GPIO_GFN_SD1_DAT1,
> -       GPIO_GFN_SD1_DAT0,
> -       GPIO_GFN_SD1_CMD,
> -       GPIO_GFN_SD1_CLK,
> -       GPIO_GFN_SD0_DAT3,
> -       GPIO_GFN_SD0_DAT2,
> -       GPIO_GFN_SD0_DAT1,
> -       GPIO_GFN_SD0_DAT0,
> -       GPIO_GFN_SD0_CMD,
> -       GPIO_GFN_SD0_CLK,
> -
> -       /* GPSR4 */
> -       GPIO_GFN_SD3_DS,
> -       GPIO_GFN_SD3_DAT7,
> -       GPIO_GFN_SD3_DAT6,
> -       GPIO_GFN_SD3_DAT5,
> -       GPIO_GFN_SD3_DAT4,
> -       GPIO_GFN_SD3_DAT3,
> -       GPIO_GFN_SD3_DAT2,
> -       GPIO_GFN_SD3_DAT1,
> -       GPIO_GFN_SD3_DAT0,
> -       GPIO_GFN_SD3_CMD,
> -       GPIO_GFN_SD3_CLK,
> -       GPIO_GFN_SD2_DS,
> -       GPIO_GFN_SD2_DAT3,
> -       GPIO_GFN_SD2_DAT2,
> -       GPIO_GFN_SD2_DAT1,
> -       GPIO_GFN_SD2_DAT0,
> -       GPIO_GFN_SD2_CMD,
> -       GPIO_GFN_SD2_CLK,
> -
> -       /* GPSR5 */
> -       GPIO_GFN_MLB_DAT,
> -       GPIO_GFN_MLB_SIG,
> -       GPIO_GFN_MLB_CLK,
> -       GPIO_FN_MSIOF0_RXD,
> -       GPIO_GFN_MSIOF0_SS2,
> -       GPIO_FN_MSIOF0_TXD,
> -       GPIO_GFN_MSIOF0_SS1,
> -       GPIO_GFN_MSIOF0_SYNC,
> -       GPIO_FN_MSIOF0_SCK,
> -       GPIO_GFN_HRTS0x,
> -       GPIO_GFN_HCTS0x,
> -       GPIO_GFN_HTX0,
> -       GPIO_GFN_HRX0,
> -       GPIO_GFN_HSCK0,
> -       GPIO_GFN_RX2_A,
> -       GPIO_GFN_TX2_A,
> -       GPIO_GFN_SCK2,
> -       GPIO_GFN_RTS1x_TANS,
> -       GPIO_GFN_CTS1x,
> -       GPIO_GFN_TX1_A,
> -       GPIO_GFN_RX1_A,
> -       GPIO_GFN_RTS0x_TANS,
> -       GPIO_GFN_CTS0x,
> -       GPIO_GFN_TX0,
> -       GPIO_GFN_RX0,
> -       GPIO_GFN_SCK0,
> -
> -       /* GPSR6 */
> -       GPIO_GFN_USB3_OVC,
> -       GPIO_GFN_USB3_PWEN,
> -       GPIO_GFN_USB30_OVC,
> -       GPIO_GFN_USB30_PWEN,
> -       GPIO_GFN_USB1_OVC,
> -       GPIO_GFN_USB1_PWEN,
> -       GPIO_GFN_USB0_OVC,
> -       GPIO_GFN_USB0_PWEN,
> -       GPIO_GFN_AUDIO_CLKB_B,
> -       GPIO_GFN_AUDIO_CLKA_A,
> -       GPIO_GFN_SSI_SDATA9_A,
> -       GPIO_GFN_SSI_SDATA8,
> -       GPIO_GFN_SSI_SDATA7,
> -       GPIO_GFN_SSI_WS78,
> -       GPIO_GFN_SSI_SCK78,
> -       GPIO_GFN_SSI_SDATA6,
> -       GPIO_GFN_SSI_WS6,
> -       GPIO_GFN_SSI_SCK6,
> -       GPIO_FN_SSI_SDATA5,
> -       GPIO_FN_SSI_WS5,
> -       GPIO_FN_SSI_SCK5,
> -       GPIO_GFN_SSI_SDATA4,
> -       GPIO_GFN_SSI_WS4,
> -       GPIO_GFN_SSI_SCK4,
> -       GPIO_GFN_SSI_SDATA3,
> -       GPIO_GFN_SSI_WS34,
> -       GPIO_GFN_SSI_SCK34,
> -       GPIO_GFN_SSI_SDATA2_A,
> -       GPIO_GFN_SSI_SDATA1_A,
> -       GPIO_GFN_SSI_SDATA0,
> -       GPIO_GFN_SSI_WS01239,
> -       GPIO_GFN_SSI_SCK01239,
> -
> -       /* GPSR7 */
> -       GPIO_FN_HDMI1_CEC,
> -       GPIO_FN_HDMI0_CEC,
> -       GPIO_FN_AVS2,
> -       GPIO_FN_AVS1,
> -
> -       /* IPSR0 */
> -       GPIO_IFN_AVB_MDC,
> -       GPIO_FN_MSIOF2_SS2_C,
> -       GPIO_IFN_AVB_MAGIC,
> -       GPIO_FN_MSIOF2_SS1_C,
> -       GPIO_FN_SCK4_A,
> -       GPIO_IFN_AVB_PHY_INT,
> -       GPIO_FN_MSIOF2_SYNC_C,
> -       GPIO_FN_RX4_A,
> -       GPIO_IFN_AVB_LINK,
> -       GPIO_FN_MSIOF2_SCK_C,
> -       GPIO_FN_TX4_A,
> -       GPIO_IFN_AVB_AVTP_MATCH_A,
> -       GPIO_FN_MSIOF2_RXD_C,
> -       GPIO_FN_CTS4x_A,
> -       GPIO_FN_FSCLKST2x_A,
> -       GPIO_IFN_AVB_AVTP_CAPTURE_A,
> -       GPIO_FN_MSIOF2_TXD_C,
> -       GPIO_FN_RTS4x_TANS_A,
> -       GPIO_IFN_IRQ0,
> -       GPIO_FN_QPOLB,
> -       GPIO_FN_DU_CDE,
> -       GPIO_FN_VI4_DATA0_B,
> -       GPIO_FN_CAN0_TX_B,
> -       GPIO_FN_CANFD0_TX_B,
> -       GPIO_FN_MSIOF3_SS2_E,
> -       GPIO_IFN_IRQ1,
> -       GPIO_FN_QPOLA,
> -       GPIO_FN_DU_DISP,
> -       GPIO_FN_VI4_DATA1_B,
> -       GPIO_FN_CAN0_RX_B,
> -       GPIO_FN_CANFD0_RX_B,
> -       GPIO_FN_MSIOF3_SS1_E,
> -
> -       /* IPSR1 */
> -       GPIO_IFN_IRQ2,
> -       GPIO_FN_QCPV_QDE,
> -       GPIO_FN_DU_EXODDF_DU_ODDF_DISP_CDE,
> -       GPIO_FN_VI4_DATA2_B,
> -       GPIO_FN_MSIOF3_SYNC_E,
> -       GPIO_FN_PWM3_B,
> -       GPIO_IFN_IRQ3,
> -       GPIO_FN_QSTVB_QVE,
> -       GPIO_FN_A25,
> -       GPIO_FN_DU_DOTCLKOUT1,
> -       GPIO_FN_VI4_DATA3_B,
> -       GPIO_FN_MSIOF3_SCK_E,
> -       GPIO_FN_PWM4_B,
> -       GPIO_IFN_IRQ4,
> -       GPIO_FN_QSTH_QHS,
> -       GPIO_FN_A24,
> -       GPIO_FN_DU_EXHSYNC_DU_HSYNC,
> -       GPIO_FN_VI4_DATA4_B,
> -       GPIO_FN_MSIOF3_RXD_E,
> -       GPIO_FN_PWM5_B,
> -       GPIO_IFN_IRQ5,
> -       GPIO_FN_QSTB_QHE,
> -       GPIO_FN_A23,
> -       GPIO_FN_DU_EXVSYNC_DU_VSYNC,
> -       GPIO_FN_VI4_DATA5_B,
> -       GPIO_FN_FSCLKST2x_B,
> -       GPIO_FN_MSIOF3_TXD_E,
> -       GPIO_FN_PWM6_B,
> -       GPIO_IFN_PWM0,
> -       GPIO_FN_AVB_AVTP_PPS,
> -       GPIO_FN_VI4_DATA6_B,
> -       GPIO_FN_IECLK_B,
> -       GPIO_IFN_PWM1_A,
> -       GPIO_FN_HRX3_D,
> -       GPIO_FN_VI4_DATA7_B,
> -       GPIO_FN_IERX_B,
> -       GPIO_IFN_PWM2_A,
> -       GPIO_FN_HTX3_D,
> -       GPIO_FN_IETX_B,
> -       GPIO_IFN_A0,
> -       GPIO_FN_LCDOUT16,
> -       GPIO_FN_MSIOF3_SYNC_B,
> -       GPIO_FN_VI4_DATA8,
> -       GPIO_FN_DU_DB0,
> -       GPIO_FN_PWM3_A,
> -
> -       /* IPSR2 */
> -       GPIO_IFN_A1,
> -       GPIO_FN_LCDOUT17,
> -       GPIO_FN_MSIOF3_TXD_B,
> -       GPIO_FN_VI4_DATA9,
> -       GPIO_FN_DU_DB1,
> -       GPIO_FN_PWM4_A,
> -       GPIO_IFN_A2,
> -       GPIO_FN_LCDOUT18,
> -       GPIO_FN_MSIOF3_SCK_B,
> -       GPIO_FN_VI4_DATA10,
> -       GPIO_FN_DU_DB2,
> -       GPIO_FN_PWM5_A,
> -       GPIO_IFN_A3,
> -       GPIO_FN_LCDOUT19,
> -       GPIO_FN_MSIOF3_RXD_B,
> -       GPIO_FN_VI4_DATA11,
> -       GPIO_FN_DU_DB3,
> -       GPIO_FN_PWM6_A,
> -       GPIO_IFN_A4,
> -       GPIO_FN_LCDOUT20,
> -       GPIO_FN_MSIOF3_SS1_B,
> -       GPIO_FN_VI4_DATA12,
> -       GPIO_FN_VI5_DATA12,
> -       GPIO_FN_DU_DB4,
> -       GPIO_IFN_A5,
> -       GPIO_FN_LCDOUT21,
> -       GPIO_FN_MSIOF3_SS2_B,
> -       GPIO_FN_SCK4_B,
> -       GPIO_FN_VI4_DATA13,
> -       GPIO_FN_VI5_DATA13,
> -       GPIO_FN_DU_DB5,
> -       GPIO_IFN_A6,
> -       GPIO_FN_LCDOUT22,
> -       GPIO_FN_MSIOF2_SS1_A,
> -       GPIO_FN_RX4_B,
> -       GPIO_FN_VI4_DATA14,
> -       GPIO_FN_VI5_DATA14,
> -       GPIO_FN_DU_DB6,
> -       GPIO_IFN_A7,
> -       GPIO_FN_LCDOUT23,
> -       GPIO_FN_MSIOF2_SS2_A,
> -       GPIO_FN_TX4_B,
> -       GPIO_FN_VI4_DATA15,
> -       GPIO_FN_V15_DATA15,
> -       GPIO_FN_DU_DB7,
> -       GPIO_IFN_A8,
> -       GPIO_FN_RX3_B,
> -       GPIO_FN_MSIOF2_SYNC_A,
> -       GPIO_FN_HRX4_B,
> -       GPIO_FN_SDA6_A,
> -       GPIO_FN_AVB_AVTP_MATCH_B,
> -       GPIO_FN_PWM1_B,
> -
> -       /* IPSR3 */
> -       GPIO_IFN_A9,
> -       GPIO_FN_MSIOF2_SCK_A,
> -       GPIO_FN_CTS4x_B,
> -       GPIO_FN_VI5_VSYNCx,
> -       GPIO_IFN_A10,
> -       GPIO_FN_MSIOF2_RXD_A,
> -       GPIO_FN_RTS4n_TANS_B,
> -       GPIO_FN_VI5_HSYNCx,
> -       GPIO_IFN_A11,
> -       GPIO_FN_TX3_B,
> -       GPIO_FN_MSIOF2_TXD_A,
> -       GPIO_FN_HTX4_B,
> -       GPIO_FN_HSCK4,
> -       GPIO_FN_VI5_FIELD,
> -       GPIO_FN_SCL6_A,
> -       GPIO_FN_AVB_AVTP_CAPTURE_B,
> -       GPIO_FN_PWM2_B,
> -       GPIO_IFN_A12,
> -       GPIO_FN_LCDOUT12,
> -       GPIO_FN_MSIOF3_SCK_C,
> -       GPIO_FN_HRX4_A,
> -       GPIO_FN_VI5_DATA8,
> -       GPIO_FN_DU_DG4,
> -       GPIO_IFN_A13,
> -       GPIO_FN_LCDOUT13,
> -       GPIO_FN_MSIOF3_SYNC_C,
> -       GPIO_FN_HTX4_A,
> -       GPIO_FN_VI5_DATA9,
> -       GPIO_FN_DU_DG5,
> -       GPIO_IFN_A14,
> -       GPIO_FN_LCDOUT14,
> -       GPIO_FN_MSIOF3_RXD_C,
> -       GPIO_FN_HCTS4x,
> -       GPIO_FN_VI5_DATA10,
> -       GPIO_FN_DU_DG6,
> -       GPIO_IFN_A15,
> -       GPIO_FN_LCDOUT15,
> -       GPIO_FN_MSIOF3_TXD_C,
> -       GPIO_FN_HRTS4x,
> -       GPIO_FN_VI5_DATA11,
> -       GPIO_FN_DU_DG7,
> -       GPIO_IFN_A16,
> -       GPIO_FN_LCDOUT8,
> -       GPIO_FN_VI4_FIELD,
> -       GPIO_FN_DU_DG0,
> -
> -       /* IPSR4 */
> -       GPIO_IFN_A17,
> -       GPIO_FN_LCDOUT9,
> -       GPIO_FN_VI4_VSYNCx,
> -       GPIO_FN_DU_DG1,
> -       GPIO_IFN_A18,
> -       GPIO_FN_LCDOUT10,
> -       GPIO_FN_VI4_HSYNCx,
> -       GPIO_FN_DU_DG2,
> -       GPIO_IFN_A19,
> -       GPIO_FN_LCDOUT11,
> -       GPIO_FN_VI4_CLKENB,
> -       GPIO_FN_DU_DG3,
> -       GPIO_IFN_CS0x,
> -       GPIO_FN_VI5_CLKENB,
> -       GPIO_IFN_CS1x_A26,
> -       GPIO_FN_VI5_CLK,
> -       GPIO_FN_EX_WAIT0_B,
> -       GPIO_IFN_BSx,
> -       GPIO_FN_QSTVA_QVS,
> -       GPIO_FN_MSIOF3_SCK_D,
> -       GPIO_FN_SCK3,
> -       GPIO_FN_HSCK3,
> -       GPIO_FN_CAN1_TX,
> -       GPIO_FN_CANFD1_TX,
> -       GPIO_FN_IETX_A,
> -       GPIO_IFN_RDx,
> -       GPIO_FN_MSIOF3_SYNC_D,
> -       GPIO_FN_RX3_A,
> -       GPIO_FN_HRX3_A,
> -       GPIO_FN_CAN0_TX_A,
> -       GPIO_FN_CANFD0_TX_A,
> -       GPIO_IFN_RD_WRx,
> -       GPIO_FN_MSIOF3_RXD_D,
> -       GPIO_FN_TX3_A,
> -       GPIO_FN_HTX3_A,
> -       GPIO_FN_CAN0_RX_A,
> -       GPIO_FN_CANFD0_RX_A,
> -
> -       /* IPSR5 */
> -       GPIO_IFN_WE0x,
> -       GPIO_FN_MSIIOF3_TXD_D,
> -       GPIO_FN_CTS3x,
> -       GPIO_FN_HCTS3x,
> -       GPIO_FN_SCL6_B,
> -       GPIO_FN_CAN_CLK,
> -       GPIO_FN_IECLK_A,
> -       GPIO_IFN_WE1x,
> -       GPIO_FN_MSIOF3_SS1_D,
> -       GPIO_FN_RTS3x_TANS,
> -       GPIO_FN_HRTS3x,
> -       GPIO_FN_SDA6_B,
> -       GPIO_FN_CAN1_RX,
> -       GPIO_FN_CANFD1_RX,
> -       GPIO_FN_IERX_A,
> -       GPIO_IFN_EX_WAIT0_A,
> -       GPIO_FN_QCLK,
> -       GPIO_FN_VI4_CLK,
> -       GPIO_FN_DU_DOTCLKOUT0,
> -       GPIO_IFN_D0,
> -       GPIO_FN_MSIOF2_SS1_B,
> -       GPIO_FN_MSIOF3_SCK_A,
> -       GPIO_FN_VI4_DATA16,
> -       GPIO_FN_VI5_DATA0,
> -       GPIO_IFN_D1,
> -       GPIO_FN_MSIOF2_SS2_B,
> -       GPIO_FN_MSIOF3_SYNC_A,
> -       GPIO_FN_VI4_DATA17,
> -       GPIO_FN_VI5_DATA1,
> -       GPIO_IFN_D2,
> -       GPIO_FN_MSIOF3_RXD_A,
> -       GPIO_FN_VI4_DATA18,
> -       GPIO_FN_VI5_DATA2,
> -       GPIO_IFN_D3,
> -       GPIO_FN_MSIOF3_TXD_A,
> -       GPIO_FN_VI4_DATA19,
> -       GPIO_FN_VI5_DATA3,
> -       GPIO_IFN_D4,
> -       GPIO_FN_MSIOF2_SCK_B,
> -       GPIO_FN_VI4_DATA20,
> -       GPIO_FN_VI5_DATA4,
> -
> -       /* IPSR6 */
> -       GPIO_IFN_D5,
> -       GPIO_FN_MSIOF2_SYNC_B,
> -       GPIO_FN_VI4_DATA21,
> -       GPIO_FN_VI5_DATA5,
> -       GPIO_IFN_D6,
> -       GPIO_FN_MSIOF2_RXD_B,
> -       GPIO_FN_VI4_DATA22,
> -       GPIO_FN_VI5_DATA6,
> -       GPIO_IFN_D7,
> -       GPIO_FN_MSIOF2_TXD_B,
> -       GPIO_FN_VI4_DATA23,
> -       GPIO_FN_VI5_DATA7,
> -       GPIO_IFN_D8,
> -       GPIO_FN_LCDOUT0,
> -       GPIO_FN_MSIOF2_SCK_D,
> -       GPIO_FN_SCK4_C,
> -       GPIO_FN_VI4_DATA0_A,
> -       GPIO_FN_DU_DR0,
> -       GPIO_IFN_D9,
> -       GPIO_FN_LCDOUT1,
> -       GPIO_FN_MSIOF2_SYNC_D,
> -       GPIO_FN_VI4_DATA1_A,
> -       GPIO_FN_DU_DR1,
> -       GPIO_IFN_D10,
> -       GPIO_FN_LCDOUT2,
> -       GPIO_FN_MSIOF2_RXD_D,
> -       GPIO_FN_HRX3_B,
> -       GPIO_FN_VI4_DATA2_A,
> -       GPIO_FN_CTS4x_C,
> -       GPIO_FN_DU_DR2,
> -       GPIO_IFN_D11,
> -       GPIO_FN_LCDOUT3,
> -       GPIO_FN_MSIOF2_TXD_D,
> -       GPIO_FN_HTX3_B,
> -       GPIO_FN_VI4_DATA3_A,
> -       GPIO_FN_RTS4x_TANS_C,
> -       GPIO_FN_DU_DR3,
> -       GPIO_IFN_D12,
> -       GPIO_FN_LCDOUT4,
> -       GPIO_FN_MSIOF2_SS1_D,
> -       GPIO_FN_RX4_C,
> -       GPIO_FN_VI4_DATA4_A,
> -       GPIO_FN_DU_DR4,
> -
> -       /* IPSR7 */
> -       GPIO_IFN_D13,
> -       GPIO_FN_LCDOUT5,
> -       GPIO_FN_MSIOF2_SS2_D,
> -       GPIO_FN_TX4_C,
> -       GPIO_FN_VI4_DATA5_A,
> -       GPIO_FN_DU_DR5,
> -       GPIO_IFN_D14,
> -       GPIO_FN_LCDOUT6,
> -       GPIO_FN_MSIOF3_SS1_A,
> -       GPIO_FN_HRX3_C,
> -       GPIO_FN_VI4_DATA6_A,
> -       GPIO_FN_DU_DR6,
> -       GPIO_FN_SCL6_C,
> -       GPIO_IFN_D15,
> -       GPIO_FN_LCDOUT7,
> -       GPIO_FN_MSIOF3_SS2_A,
> -       GPIO_FN_HTX3_C,
> -       GPIO_FN_VI4_DATA7_A,
> -       GPIO_FN_DU_DR7,
> -       GPIO_FN_SDA6_C,
> -       GPIO_FN_FSCLKST,
> -       GPIO_IFN_SD0_CLK,
> -       GPIO_FN_MSIOF1_SCK_E,
> -       GPIO_FN_STP_OPWM_0_B,
> -       GPIO_IFN_SD0_CMD,
> -       GPIO_FN_MSIOF1_SYNC_E,
> -       GPIO_FN_STP_IVCXO27_0_B,
> -       GPIO_IFN_SD0_DAT0,
> -       GPIO_FN_MSIOF1_RXD_E,
> -       GPIO_FN_TS_SCK0_B,
> -       GPIO_FN_STP_ISCLK_0_B,
> -       GPIO_IFN_SD0_DAT1,
> -       GPIO_FN_MSIOF1_TXD_E,
> -       GPIO_FN_TS_SPSYNC0_B,
> -       GPIO_FN_STP_ISSYNC_0_B,
> -
> -       /* IPSR8 */
> -       GPIO_IFN_SD0_DAT2,
> -       GPIO_FN_MSIOF1_SS1_E,
> -       GPIO_FN_TS_SDAT0_B,
> -       GPIO_FN_STP_ISD_0_B,
> -       GPIO_IFN_SD0_DAT3,
> -       GPIO_FN_MSIOF1_SS2_E,
> -       GPIO_FN_TS_SDEN0_B,
> -       GPIO_FN_STP_ISEN_0_B,
> -       GPIO_IFN_SD1_CLK,
> -       GPIO_FN_MSIOF1_SCK_G,
> -       GPIO_FN_SIM0_CLK_A,
> -       GPIO_IFN_SD1_CMD,
> -       GPIO_FN_MSIOF1_SYNC_G,
> -       GPIO_FN_NFCEx_B,
> -       GPIO_FN_SIM0_D_A,
> -       GPIO_FN_STP_IVCXO27_1_B,
> -       GPIO_IFN_SD1_DAT0,
> -       GPIO_FN_SD2_DAT4,
> -       GPIO_FN_MSIOF1_RXD_G,
> -       GPIO_FN_NFWPx_B,
> -       GPIO_FN_TS_SCK1_B,
> -       GPIO_FN_STP_ISCLK_1_B,
> -       GPIO_IFN_SD1_DAT1,
> -       GPIO_FN_SD2_DAT5,
> -       GPIO_FN_MSIOF1_TXD_G,
> -       GPIO_FN_NFDATA14_B,
> -       GPIO_FN_TS_SPSYNC1_B,
> -       GPIO_FN_STP_ISSYNC_1_B,
> -       GPIO_IFN_SD1_DAT2,
> -       GPIO_FN_SD2_DAT6,
> -       GPIO_FN_MSIOF1_SS1_G,
> -       GPIO_FN_NFDATA15_B,
> -       GPIO_FN_TS_SDAT1_B,
> -       GPIO_FN_STP_IOD_1_B,
> -       GPIO_IFN_SD1_DAT3,
> -       GPIO_FN_SD2_DAT7,
> -       GPIO_FN_MSIOF1_SS2_G,
> -       GPIO_FN_NFRBx_B,
> -       GPIO_FN_TS_SDEN1_B,
> -       GPIO_FN_STP_ISEN_1_B,
> -
> -       /* IPSR9 */
> -       GPIO_IFN_SD2_CLK,
> -       GPIO_FN_NFDATA8,
> -       GPIO_IFN_SD2_CMD,
> -       GPIO_FN_NFDATA9,
> -       GPIO_IFN_SD2_DAT0,
> -       GPIO_FN_NFDATA10,
> -       GPIO_IFN_SD2_DAT1,
> -       GPIO_FN_NFDATA11,
> -       GPIO_IFN_SD2_DAT2,
> -       GPIO_FN_NFDATA12,
> -       GPIO_IFN_SD2_DAT3,
> -       GPIO_FN_NFDATA13,
> -       GPIO_IFN_SD2_DS,
> -       GPIO_FN_NFALE,
> -       GPIO_FN_SATA_DEVSLP_B,
> -       GPIO_IFN_SD3_CLK,
> -       GPIO_FN_NFWEx,
> -
> -       /* IPSR10 */
> -       GPIO_IFN_SD3_CMD,
> -       GPIO_FN_NFREx,
> -       GPIO_IFN_SD3_DAT0,
> -       GPIO_FN_NFDATA0,
> -       GPIO_IFN_SD3_DAT1,
> -       GPIO_FN_NFDATA1,
> -       GPIO_IFN_SD3_DAT2,
> -       GPIO_FN_NFDATA2,
> -       GPIO_IFN_SD3_DAT3,
> -       GPIO_FN_NFDATA3,
> -       GPIO_IFN_SD3_DAT4,
> -       GPIO_FN_SD2_CD_A,
> -       GPIO_FN_NFDATA4,
> -       GPIO_IFN_SD3_DAT5,
> -       GPIO_FN_SD2_WP_A,
> -       GPIO_FN_NFDATA5,
> -       GPIO_IFN_SD3_DAT6,
> -       GPIO_FN_SD3_CD,
> -       GPIO_FN_NFDATA6,
> -
> -       /* IPSR11 */
> -       GPIO_IFN_SD3_DAT7,
> -       GPIO_FN_SD3_WP,
> -       GPIO_FN_NFDATA7,
> -       GPIO_IFN_SD3_DS,
> -       GPIO_FN_NFCLE,
> -       GPIO_IFN_SD0_CD,
> -       GPIO_FN_NFDATA14_A,
> -       GPIO_FN_SCL2_B,
> -       GPIO_FN_SIM0_RST_A,
> -       GPIO_IFN_SD0_WP,
> -       GPIO_FN_NFDATA15_A,
> -       GPIO_FN_SDA2_B,
> -       GPIO_IFN_SD1_CD,
> -       GPIO_FN_NFRBx_A,
> -       GPIO_FN_SIM0_CLK_B,
> -       GPIO_IFN_SD1_WP,
> -       GPIO_FN_NFCEx_A,
> -       GPIO_FN_SIM0_D_B,
> -       GPIO_IFN_SCK0,
> -       GPIO_FN_HSCK1_B,
> -       GPIO_FN_MSIOF1_SS2_B,
> -       GPIO_FN_AUDIO_CLKC_B,
> -       GPIO_FN_SDA2_A,
> -       GPIO_FN_SIM0_RST_B,
> -       GPIO_FN_STP_OPWM_0_C,
> -       GPIO_FN_RIF0_CLK_B,
> -       GPIO_FN_ADICHS2,
> -       GPIO_FN_SCK5_B,
> -       GPIO_IFN_RX0,
> -       GPIO_FN_HRX1_B,
> -       GPIO_FN_TS_SCK0_C,
> -       GPIO_FN_STP_ISCLK_0_C,
> -       GPIO_FN_RIF0_D0_B,
> -
> -       /* IPSR12 */
> -       GPIO_IFN_TX0,
> -       GPIO_FN_HTX1_B,
> -       GPIO_FN_TS_SPSYNC0_C,
> -       GPIO_FN_STP_ISSYNC_0_C,
> -       GPIO_FN_RIF0_D1_B,
> -       GPIO_IFN_CTS0x,
> -       GPIO_FN_HCTS1x_B,
> -       GPIO_FN_MSIOF1_SYNC_B,
> -       GPIO_FN_TS_SPSYNC1_C,
> -       GPIO_FN_STP_ISSYNC_1_C,
> -       GPIO_FN_RIF1_SYNC_B,
> -       GPIO_FN_AUDIO_CLKOUT_C,
> -       GPIO_FN_ADICS_SAMP,
> -       GPIO_IFN_RTS0x_TANS,
> -       GPIO_FN_HRTS1x_B,
> -       GPIO_FN_MSIOF1_SS1_B,
> -       GPIO_FN_AUDIO_CLKA_B,
> -       GPIO_FN_SCL2_A,
> -       GPIO_FN_STP_IVCXO27_1_C,
> -       GPIO_FN_RIF0_SYNC_B,
> -       GPIO_FN_ADICHS1,
> -       GPIO_IFN_RX1_A,
> -       GPIO_FN_HRX1_A,
> -       GPIO_FN_TS_SDAT0_C,
> -       GPIO_FN_STP_ISD_0_C,
> -       GPIO_FN_RIF1_CLK_C,
> -       GPIO_IFN_TX1_A,
> -       GPIO_FN_HTX1_A,
> -       GPIO_FN_TS_SDEN0_C,
> -       GPIO_FN_STP_ISEN_0_C,
> -       GPIO_FN_RIF1_D0_C,
> -       GPIO_IFN_CTS1x,
> -       GPIO_FN_HCTS1x_A,
> -       GPIO_FN_MSIOF1_RXD_B,
> -       GPIO_FN_TS_SDEN1_C,
> -       GPIO_FN_STP_ISEN_1_C,
> -       GPIO_FN_RIF1_D0_B,
> -       GPIO_FN_ADIDATA,
> -       GPIO_IFN_RTS1x_TANS,
> -       GPIO_FN_HRTS1x_A,
> -       GPIO_FN_MSIOF1_TXD_B,
> -       GPIO_FN_TS_SDAT1_C,
> -       GPIO_FN_STP_ISD_1_C,
> -       GPIO_FN_RIF1_D1_B,
> -       GPIO_FN_ADICHS0,
> -       GPIO_IFN_SCK2,
> -       GPIO_FN_SCIF_CLK_B,
> -       GPIO_FN_MSIOF1_SCK_B,
> -       GPIO_FN_TS_SCK1_C,
> -       GPIO_FN_STP_ISCLK_1_C,
> -       GPIO_FN_RIF1_CLK_B,
> -       GPIO_FN_ADICLK,
> -
> -       /* IPSR13 */
> -       GPIO_IFN_TX2_A,
> -       GPIO_FN_SD2_CD_B,
> -       GPIO_FN_SCL1_A,
> -       GPIO_FN_FMCLK_A,
> -       GPIO_FN_RIF1_D1_C,
> -       GPIO_FN_FSO_CFE_0x,
> -       GPIO_IFN_RX2_A,
> -       GPIO_FN_SD2_WP_B,
> -       GPIO_FN_SDA1_A,
> -       GPIO_FN_FMIN_A,
> -       GPIO_FN_RIF1_SYNC_C,
> -       GPIO_FN_FSO_CFE_1x,
> -       GPIO_IFN_HSCK0,
> -       GPIO_FN_MSIOF1_SCK_D,
> -       GPIO_FN_AUDIO_CLKB_A,
> -       GPIO_FN_SSI_SDATA1_B,
> -       GPIO_FN_TS_SCK0_D,
> -       GPIO_FN_STP_ISCLK_0_D,
> -       GPIO_FN_RIF0_CLK_C,
> -       GPIO_FN_RX5_B,
> -       GPIO_IFN_HRX0,
> -       GPIO_FN_MSIOF1_RXD_D,
> -       GPIO_FN_SSI_SDATA2_B,
> -       GPIO_FN_TS_SDEN0_D,
> -       GPIO_FN_STP_ISEN_0_D,
> -       GPIO_FN_RIF0_D0_C,
> -       GPIO_IFN_HTX0,
> -       GPIO_FN_MSIOF1_TXD_D,
> -       GPIO_FN_SSI_SDATA9_B,
> -       GPIO_FN_TS_SDAT0_D,
> -       GPIO_FN_STP_ISD_0_D,
> -       GPIO_FN_RIF0_D1_C,
> -       GPIO_IFN_HCTS0x,
> -       GPIO_FN_RX2_B,
> -       GPIO_FN_MSIOF1_SYNC_D,
> -       GPIO_FN_SSI_SCK9_A,
> -       GPIO_FN_TS_SPSYNC0_D,
> -       GPIO_FN_STP_ISSYNC_0_D,
> -       GPIO_FN_RIF0_SYNC_C,
> -       GPIO_FN_AUDIO_CLKOUT1_A,
> -       GPIO_IFN_HRTS0x,
> -       GPIO_FN_TX2_B,
> -       GPIO_FN_MSIOF1_SS1_D,
> -       GPIO_FN_SSI_WS9_A,
> -       GPIO_FN_STP_IVCXO27_0_D,
> -       GPIO_FN_BPFCLK_A,
> -       GPIO_FN_AUDIO_CLKOUT2_A,
> -       GPIO_IFN_MSIOF0_SYNC,
> -       GPIO_FN_AUDIO_CLKOUT_A,
> -       GPIO_FN_TX5_B,
> -       GPIO_FN_BPFCLK_D,
> -
> -       /* IPSR14 */
> -       GPIO_IFN_MSIOF0_SS1,
> -       GPIO_FN_RX5_A,
> -       GPIO_FN_NFWPx_A,
> -       GPIO_FN_AUDIO_CLKA_C,
> -       GPIO_FN_SSI_SCK2_A,
> -       GPIO_FN_STP_IVCXO27_0_C,
> -       GPIO_FN_AUDIO_CLKOUT3_A,
> -       GPIO_FN_TCLK1_B,
> -       GPIO_IFN_MSIOF0_SS2,
> -       GPIO_FN_TX5_A,
> -       GPIO_FN_MSIOF1_SS2_D,
> -       GPIO_FN_AUDIO_CLKC_A,
> -       GPIO_FN_SSI_WS2_A,
> -       GPIO_FN_STP_OPWM_0_D,
> -       GPIO_FN_AUDIO_CLKOUT_D,
> -       GPIO_FN_SPEEDIN_B,
> -       GPIO_IFN_MLB_CLK,
> -       GPIO_FN_MSIOF1_SCK_F,
> -       GPIO_FN_SCL1_B,
> -       GPIO_IFN_MLB_SIG,
> -       GPIO_FN_RX1_B,
> -       GPIO_FN_MSIOF1_SYNC_F,
> -       GPIO_FN_SDA1_B,
> -       GPIO_IFN_MLB_DAT,
> -       GPIO_FN_TX1_B,
> -       GPIO_FN_MSIOF1_RXD_F,
> -       GPIO_IFN_SSI_SCK01239,
> -       GPIO_FN_MSIOF1_TXD_F,
> -       GPIO_FN_MOUT0,
> -       GPIO_IFN_SSI_WS01239,
> -       GPIO_FN_MSIOF1_SS1_F,
> -       GPIO_FN_MOUT1,
> -       GPIO_IFN_SSI_SDATA0,
> -       GPIO_FN_MSIOF1_SS2_F,
> -       GPIO_FN_MOUT2,
> -
> -       /* IPSR15 */
> -       GPIO_IFN_SSI_SDATA1_A,
> -       GPIO_FN_MOUT5,
> -       GPIO_IFN_SSI_SDATA2_A,
> -       GPIO_FN_SSI_SCK1_B,
> -       GPIO_FN_MOUT6,
> -       GPIO_IFN_SSI_SCK34,
> -       GPIO_FN_MSIOF1_SS1_A,
> -       GPIO_FN_STP_OPWM_0_A,
> -       GPIO_IFN_SSI_WS34,
> -       GPIO_FN_HCTS2x_A,
> -       GPIO_FN_MSIOF1_SS2_A,
> -       GPIO_FN_STP_IVCXO27_0_A,
> -       GPIO_IFN_SSI_SDATA3,
> -       GPIO_FN_HRTS2x_A,
> -       GPIO_FN_MSIOF1_TXD_A,
> -       GPIO_FN_TS_SCK0_A,
> -       GPIO_FN_STP_ISCLK_0_A,
> -       GPIO_FN_RIF0_D1_A,
> -       GPIO_FN_RIF2_D0_A,
> -       GPIO_IFN_SSI_SCK4,
> -       GPIO_FN_HRX2_A,
> -       GPIO_FN_MSIOF1_SCK_A,
> -       GPIO_FN_TS_SDAT0_A,
> -       GPIO_FN_STP_ISD_0_A,
> -       GPIO_FN_RIF0_CLK_A,
> -       GPIO_FN_RIF2_CLK_A,
> -       GPIO_IFN_SSI_WS4,
> -       GPIO_FN_HTX2_A,
> -       GPIO_FN_MSIOF1_SYNC_A,
> -       GPIO_FN_TS_SDEN0_A,
> -       GPIO_FN_STP_ISEN_0_A,
> -       GPIO_FN_RIF0_SYNC_A,
> -       GPIO_FN_RIF2_SYNC_A,
> -       GPIO_IFN_SSI_SDATA4,
> -       GPIO_FN_HSCK2_A,
> -       GPIO_FN_MSIOF1_RXD_A,
> -       GPIO_FN_TS_SPSYNC0_A,
> -       GPIO_FN_STP_ISSYNC_0_A,
> -       GPIO_FN_RIF0_D0_A,
> -       GPIO_FN_RIF2_D1_A,
> -
> -       /* IPSR16 */
> -       GPIO_IFN_SSI_SCK6,
> -       GPIO_FN_SIM0_RST_D,
> -       GPIO_IFN_SSI_WS6,
> -       GPIO_FN_SIM0_D_D,
> -       GPIO_IFN_SSI_SDATA6,
> -       GPIO_FN_SIM0_CLK_D,
> -       GPIO_FN_SATA_DEVSLP_A,
> -       GPIO_IFN_SSI_SCK78,
> -       GPIO_FN_HRX2_B,
> -       GPIO_FN_MSIOF1_SCK_C,
> -       GPIO_FN_TS_SCK1_A,
> -       GPIO_FN_STP_ISCLK_1_A,
> -       GPIO_FN_RIF1_CLK_A,
> -       GPIO_FN_RIF3_CLK_A,
> -       GPIO_IFN_SSI_WS78,
> -       GPIO_FN_HTX2_B,
> -       GPIO_FN_MSIOF1_SYNC_C,
> -       GPIO_FN_TS_SDAT1_A,
> -       GPIO_FN_STP_ISD_1_A,
> -       GPIO_FN_RIF1_SYNC_A,
> -       GPIO_FN_RIF3_SYNC_A,
> -       GPIO_IFN_SSI_SDATA7,
> -       GPIO_FN_HCTS2x_B,
> -       GPIO_FN_MSIOF1_RXD_C,
> -       GPIO_FN_TS_SDEN1_A,
> -       GPIO_FN_STP_ISEN_1_A,
> -       GPIO_FN_RIF1_D0_A,
> -       GPIO_FN_RIF3_D0_A,
> -       GPIO_FN_TCLK2_A,
> -       GPIO_IFN_SSI_SDATA8,
> -       GPIO_FN_HRTS2x_B,
> -       GPIO_FN_MSIOF1_TXD_C,
> -       GPIO_FN_TS_SPSYNC1_A,
> -       GPIO_FN_STP_ISSYNC_1_A,
> -       GPIO_FN_RIF1_D1_A,
> -       GPIO_FN_RIF3_D1_A,
> -       GPIO_IFN_SSI_SDATA9_A,
> -       GPIO_FN_HSCK2_B,
> -       GPIO_FN_MSIOF1_SS1_C,
> -       GPIO_FN_HSCK1_A,
> -       GPIO_FN_SSI_WS1_B,
> -       GPIO_FN_SCK1,
> -       GPIO_FN_STP_IVCXO27_1_A,
> -       GPIO_FN_SCK5_A,
> -
> -       /* IPSR17 */
> -       GPIO_IFN_AUDIO_CLKA_A,
> -       GPIO_FN_CC5_OSCOUT,
> -       GPIO_IFN_AUDIO_CLKB_B,
> -       GPIO_FN_SCIF_CLK_A,
> -       GPIO_FN_STP_IVCXO27_1_D,
> -       GPIO_FN_REMOCON_A,
> -       GPIO_FN_TCLK1_A,
> -       GPIO_IFN_USB0_PWEN,
> -       GPIO_FN_SIM0_RST_C,
> -       GPIO_FN_TS_SCK1_D,
> -       GPIO_FN_STP_ISCLK_1_D,
> -       GPIO_FN_BPFCLK_B,
> -       GPIO_FN_RIF3_CLK_B,
> -       GPIO_FN_HSCK2_C,
> -       GPIO_IFN_USB0_OVC,
> -       GPIO_FN_SIM0_D_C,
> -       GPIO_FN_TS_SDAT1_D,
> -       GPIO_FN_STP_ISD_1_D,
> -       GPIO_FN_RIF3_SYNC_B,
> -       GPIO_FN_HRX2_C,
> -       GPIO_IFN_USB1_PWEN,
> -       GPIO_FN_SIM0_CLK_C,
> -       GPIO_FN_SSI_SCK1_A,
> -       GPIO_FN_TS_SCK0_E,
> -       GPIO_FN_STP_ISCLK_0_E,
> -       GPIO_FN_FMCLK_B,
> -       GPIO_FN_RIF2_CLK_B,
> -       GPIO_FN_SPEEDIN_A,
> -       GPIO_FN_HTX2_C,
> -       GPIO_IFN_USB1_OVC,
> -       GPIO_FN_MSIOF1_SS2_C,
> -       GPIO_FN_SSI_WS1_A,
> -       GPIO_FN_TS_SDAT0_E,
> -       GPIO_FN_STP_ISD_0_E,
> -       GPIO_FN_FMIN_B,
> -       GPIO_FN_RIF2_SYNC_B,
> -       GPIO_FN_REMOCON_B,
> -       GPIO_FN_HCTS2x_C,
> -       GPIO_IFN_USB30_PWEN,
> -       GPIO_FN_AUDIO_CLKOUT_B,
> -       GPIO_FN_SSI_SCK2_B,
> -       GPIO_FN_TS_SDEN1_D,
> -       GPIO_FN_STP_ISEN_1_D,
> -       GPIO_FN_STP_OPWM_0_E,
> -       GPIO_FN_RIF3_D0_B,
> -       GPIO_FN_TCLK2_B,
> -       GPIO_FN_TPU0TO0,
> -       GPIO_FN_BPFCLK_C,
> -       GPIO_FN_HRTS2x_C,
> -       GPIO_IFN_USB30_OVC,
> -       GPIO_FN_AUDIO_CLKOUT1_B,
> -       GPIO_FN_SSI_WS2_B,
> -       GPIO_FN_TS_SPSYNC1_D,
> -       GPIO_FN_STP_ISSYNC_1_D,
> -       GPIO_FN_STP_IVCXO27_0_E,
> -       GPIO_FN_RIF3_D1_B,
> -       GPIO_FN_FSO_TOEx,
> -       GPIO_FN_TPU0TO1,
> -
> -       /* IPSR18 */
> -       GPIO_IFN_USB3_PWEN,
> -       GPIO_FN_AUDIO_CLKOUT2_B,
> -       GPIO_FN_SSI_SCK9_B,
> -       GPIO_FN_TS_SDEN0_E,
> -       GPIO_FN_STP_ISEN_0_E,
> -       GPIO_FN_RIF2_D0_B,
> -       GPIO_FN_TPU0TO2,
> -       GPIO_FN_FMCLK_C,
> -       GPIO_FN_FMCLK_D,
> -       GPIO_IFN_USB3_OVC,
> -       GPIO_FN_AUDIO_CLKOUT3_B,
> -       GPIO_FN_SSI_WS9_B,
> -       GPIO_FN_TS_SPSYNC0_E,
> -       GPIO_FN_STP_ISSYNC_0_E,
> -       GPIO_FN_RIF2_D1_B,
> -       GPIO_FN_TPU0TO3,
> -       GPIO_FN_FMIN_C,
> -       GPIO_FN_FMIN_D,
> -};
> -
> -#endif /* __ASM_R8A7795_GPIO_H__ */
> diff --git a/arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h b/arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h
> deleted file mode 100644
> index 2359e36a14..0000000000
> --- a/arch/arm/mach-rmobile/include/mach/r8a7796-gpio.h
> +++ /dev/null
> @@ -1,1084 +0,0 @@
> -/*
> - * arch/arm/include/asm/arch-rcar_gen3/r8a7796-gpio.h
> - *     This file defines pin function control of gpio.
> - *
> - * Copyright (C) 2016 Renesas Electronics Corporation
> - *
> - * SPDX-License-Identifier:    GPL-2.0+
> - */
> -#ifndef __ASM_R8A7796_GPIO_H__
> -#define __ASM_R8A7796_GPIO_H__
> -
> -/* Pin Function Controller:
> - * GPIO_FN_xx - GPIO used to select pin function
> - * GPIO_GP_x_x - GPIO mapped to real I/O pin on CPU
> - */
> -enum {
> -       GPIO_GP_0_0, GPIO_GP_0_1, GPIO_GP_0_2, GPIO_GP_0_3,
> -       GPIO_GP_0_4, GPIO_GP_0_5, GPIO_GP_0_6, GPIO_GP_0_7,
> -       GPIO_GP_0_8, GPIO_GP_0_9, GPIO_GP_0_10, GPIO_GP_0_11,
> -       GPIO_GP_0_12, GPIO_GP_0_13, GPIO_GP_0_14, GPIO_GP_0_15,
> -
> -       GPIO_GP_1_0, GPIO_GP_1_1, GPIO_GP_1_2, GPIO_GP_1_3,
> -       GPIO_GP_1_4, GPIO_GP_1_5, GPIO_GP_1_6, GPIO_GP_1_7,
> -       GPIO_GP_1_8, GPIO_GP_1_9, GPIO_GP_1_10, GPIO_GP_1_11,
> -       GPIO_GP_1_12, GPIO_GP_1_13, GPIO_GP_1_14, GPIO_GP_1_15,
> -       GPIO_GP_1_16, GPIO_GP_1_17, GPIO_GP_1_18, GPIO_GP_1_19,
> -       GPIO_GP_1_20, GPIO_GP_1_21, GPIO_GP_1_22, GPIO_GP_1_23,
> -       GPIO_GP_1_24, GPIO_GP_1_25, GPIO_GP_1_26, GPIO_GP_1_27,
> -       GPIO_GP_1_28,
> -
> -       GPIO_GP_2_0, GPIO_GP_2_1, GPIO_GP_2_2, GPIO_GP_2_3,
> -       GPIO_GP_2_4, GPIO_GP_2_5, GPIO_GP_2_6, GPIO_GP_2_7,
> -       GPIO_GP_2_8, GPIO_GP_2_9, GPIO_GP_2_10, GPIO_GP_2_11,
> -       GPIO_GP_2_12, GPIO_GP_2_13, GPIO_GP_2_14,
> -
> -       GPIO_GP_3_0, GPIO_GP_3_1, GPIO_GP_3_2, GPIO_GP_3_3,
> -       GPIO_GP_3_4, GPIO_GP_3_5, GPIO_GP_3_6, GPIO_GP_3_7,
> -       GPIO_GP_3_8, GPIO_GP_3_9, GPIO_GP_3_10, GPIO_GP_3_11,
> -       GPIO_GP_3_12, GPIO_GP_3_13, GPIO_GP_3_14, GPIO_GP_3_15,
> -
> -       GPIO_GP_4_0, GPIO_GP_4_1, GPIO_GP_4_2, GPIO_GP_4_3,
> -       GPIO_GP_4_4, GPIO_GP_4_5, GPIO_GP_4_6, GPIO_GP_4_7,
> -       GPIO_GP_4_8, GPIO_GP_4_9, GPIO_GP_4_10, GPIO_GP_4_11,
> -       GPIO_GP_4_12, GPIO_GP_4_13, GPIO_GP_4_14, GPIO_GP_4_15,
> -       GPIO_GP_4_16, GPIO_GP_4_17,
> -
> -       GPIO_GP_5_0, GPIO_GP_5_1, GPIO_GP_5_2, GPIO_GP_5_3,
> -       GPIO_GP_5_4, GPIO_GP_5_5, GPIO_GP_5_6, GPIO_GP_5_7,
> -       GPIO_GP_5_8, GPIO_GP_5_9, GPIO_GP_5_10, GPIO_GP_5_11,
> -       GPIO_GP_5_12, GPIO_GP_5_13, GPIO_GP_5_14, GPIO_GP_5_15,
> -       GPIO_GP_5_16, GPIO_GP_5_17, GPIO_GP_5_18, GPIO_GP_5_19,
> -       GPIO_GP_5_20, GPIO_GP_5_21, GPIO_GP_5_22, GPIO_GP_5_23,
> -       GPIO_GP_5_24, GPIO_GP_5_25,
> -
> -       GPIO_GP_6_0, GPIO_GP_6_1, GPIO_GP_6_2, GPIO_GP_6_3,
> -       GPIO_GP_6_4, GPIO_GP_6_5, GPIO_GP_6_6, GPIO_GP_6_7,
> -       GPIO_GP_6_8, GPIO_GP_6_9, GPIO_GP_6_10, GPIO_GP_6_11,
> -       GPIO_GP_6_12, GPIO_GP_6_13, GPIO_GP_6_14, GPIO_GP_6_15,
> -       GPIO_GP_6_16, GPIO_GP_6_17, GPIO_GP_6_18, GPIO_GP_6_19,
> -       GPIO_GP_6_20, GPIO_GP_6_21, GPIO_GP_6_22, GPIO_GP_6_23,
> -       GPIO_GP_6_24, GPIO_GP_6_25, GPIO_GP_6_26, GPIO_GP_6_27,
> -       GPIO_GP_6_28, GPIO_GP_6_29, GPIO_GP_6_30, GPIO_GP_6_31,
> -
> -       GPIO_GP_7_0, GPIO_GP_7_1, GPIO_GP_7_2, GPIO_GP_7_3,
> -
> -       /* GPSR0 */
> -       GPIO_GFN_D15,
> -       GPIO_GFN_D14,
> -       GPIO_GFN_D13,
> -       GPIO_GFN_D12,
> -       GPIO_GFN_D11,
> -       GPIO_GFN_D10,
> -       GPIO_GFN_D9,
> -       GPIO_GFN_D8,
> -       GPIO_GFN_D7,
> -       GPIO_GFN_D6,
> -       GPIO_GFN_D5,
> -       GPIO_GFN_D4,
> -       GPIO_GFN_D3,
> -       GPIO_GFN_D2,
> -       GPIO_GFN_D1,
> -       GPIO_GFN_D0,
> -
> -       /* GPSR1 */
> -       GPIO_GFN_CLKOUT,
> -       GPIO_GFN_EX_WAIT0_A,
> -       GPIO_GFN_WE1x,
> -       GPIO_GFN_WE0x,
> -       GPIO_GFN_RD_WRx,
> -       GPIO_GFN_RDx,
> -       GPIO_GFN_BSx,
> -       GPIO_GFN_CS1x_A26,
> -       GPIO_GFN_CS0x,
> -       GPIO_GFN_A19,
> -       GPIO_GFN_A18,
> -       GPIO_GFN_A17,
> -       GPIO_GFN_A16,
> -       GPIO_GFN_A15,
> -       GPIO_GFN_A14,
> -       GPIO_GFN_A13,
> -       GPIO_GFN_A12,
> -       GPIO_GFN_A11,
> -       GPIO_GFN_A10,
> -       GPIO_GFN_A9,
> -       GPIO_GFN_A8,
> -       GPIO_GFN_A7,
> -       GPIO_GFN_A6,
> -       GPIO_GFN_A5,
> -       GPIO_GFN_A4,
> -       GPIO_GFN_A3,
> -       GPIO_GFN_A2,
> -       GPIO_GFN_A1,
> -       GPIO_GFN_A0,
> -
> -       /* GPSR2 */
> -       GPIO_GFN_AVB_AVTP_CAPTURE_A,
> -       GPIO_GFN_AVB_AVTP_MATCH_A,
> -       GPIO_GFN_AVB_LINK,
> -       GPIO_GFN_AVB_PHY_INT,
> -       GPIO_GFN_AVB_MAGIC,
> -       GPIO_GFN_AVB_MDC,
> -       GPIO_GFN_PWM2_A,
> -       GPIO_GFN_PWM1_A,
> -       GPIO_GFN_PWM0,
> -       GPIO_GFN_IRQ5,
> -       GPIO_GFN_IRQ4,
> -       GPIO_GFN_IRQ3,
> -       GPIO_GFN_IRQ2,
> -       GPIO_GFN_IRQ1,
> -       GPIO_GFN_IRQ0,
> -
> -       /* GPSR3 */
> -       GPIO_GFN_SD1_WP,
> -       GPIO_GFN_SD1_CD,
> -       GPIO_GFN_SD0_WP,
> -       GPIO_GFN_SD0_CD,
> -       GPIO_GFN_SD1_DAT3,
> -       GPIO_GFN_SD1_DAT2,
> -       GPIO_GFN_SD1_DAT1,
> -       GPIO_GFN_SD1_DAT0,
> -       GPIO_GFN_SD1_CMD,
> -       GPIO_GFN_SD1_CLK,
> -       GPIO_GFN_SD0_DAT3,
> -       GPIO_GFN_SD0_DAT2,
> -       GPIO_GFN_SD0_DAT1,
> -       GPIO_GFN_SD0_DAT0,
> -       GPIO_GFN_SD0_CMD,
> -       GPIO_GFN_SD0_CLK,
> -
> -       /* GPSR4 */
> -       GPIO_GFN_SD3_DS,
> -       GPIO_GFN_SD3_DAT7,
> -       GPIO_GFN_SD3_DAT6,
> -       GPIO_GFN_SD3_DAT5,
> -       GPIO_GFN_SD3_DAT4,
> -       GPIO_FN_SD3_DAT3,
> -       GPIO_FN_SD3_DAT2,
> -       GPIO_FN_SD3_DAT1,
> -       GPIO_FN_SD3_DAT0,
> -       GPIO_FN_SD3_CMD,
> -       GPIO_FN_SD3_CLK,
> -       GPIO_GFN_SD2_DS,
> -       GPIO_GFN_SD2_DAT3,
> -       GPIO_GFN_SD2_DAT2,
> -       GPIO_GFN_SD2_DAT1,
> -       GPIO_GFN_SD2_DAT0,
> -       GPIO_FN_SD2_CMD,
> -       GPIO_GFN_SD2_CLK,
> -
> -       /* GPSR5 */
> -       GPIO_GFN_MLB_DAT,
> -       GPIO_GFN_MLB_SIG,
> -       GPIO_GFN_MLB_CLK,
> -       GPIO_FN_MSIOF0_RXD,
> -       GPIO_GFN_MSIOF0_SS2,
> -       GPIO_FN_MSIOF0_TXD,
> -       GPIO_GFN_MSIOF0_SS1,
> -       GPIO_GFN_MSIOF0_SYNC,
> -       GPIO_FN_MSIOF0_SCK,
> -       GPIO_GFN_HRTS0x,
> -       GPIO_GFN_HCTS0x,
> -       GPIO_GFN_HTX0,
> -       GPIO_GFN_HRX0,
> -       GPIO_GFN_HSCK0,
> -       GPIO_GFN_RX2_A,
> -       GPIO_GFN_TX2_A,
> -       GPIO_GFN_SCK2,
> -       GPIO_GFN_RTS1x_TANS,
> -       GPIO_GFN_CTS1x,
> -       GPIO_GFN_TX1_A,
> -       GPIO_GFN_RX1_A,
> -       GPIO_GFN_RTS0x_TANS,
> -       GPIO_GFN_CTS0x,
> -       GPIO_GFN_TX0,
> -       GPIO_GFN_RX0,
> -       GPIO_GFN_SCK0,
> -
> -       /* GPSR6 */
> -       GPIO_GFN_GP6_31,
> -       GPIO_GFN_GP6_30,
> -       GPIO_GFN_USB30_OVC,
> -       GPIO_GFN_USB30_PWEN,
> -       GPIO_GFN_USB1_OVC,
> -       GPIO_GFN_USB1_PWEN,
> -       GPIO_GFN_USB0_OVC,
> -       GPIO_GFN_USB0_PWEN,
> -       GPIO_GFN_AUDIO_CLKB_B,
> -       GPIO_GFN_AUDIO_CLKA_A,
> -       GPIO_GFN_SSI_SDATA9_A,
> -       GPIO_GFN_SSI_SDATA8,
> -       GPIO_GFN_SSI_SDATA7,
> -       GPIO_GFN_SSI_WS78,
> -       GPIO_GFN_SSI_SCK78,
> -       GPIO_GFN_SSI_SDATA6,
> -       GPIO_GFN_SSI_WS6,
> -       GPIO_GFN_SSI_SCK6,
> -       GPIO_FN_SSI_SDATA5,
> -       GPIO_FN_SSI_WS5,
> -       GPIO_FN_SSI_SCK5,
> -       GPIO_GFN_SSI_SDATA4,
> -       GPIO_GFN_SSI_WS4,
> -       GPIO_GFN_SSI_SCK4,
> -       GPIO_GFN_SSI_SDATA3,
> -       GPIO_GFN_SSI_WS34,
> -       GPIO_GFN_SSI_SCK34,
> -       GPIO_GFN_SSI_SDATA2_A,
> -       GPIO_GFN_SSI_SDATA1_A,
> -       GPIO_GFN_SSI_SDATA0,
> -       GPIO_GFN_SSI_WS01239,
> -       GPIO_GFN_SSI_SCK01239,
> -
> -       /* GPSR7 */
> -       GPIO_FN_HDMI1_CEC,
> -       GPIO_FN_HDMI0_CEC,
> -       GPIO_FN_AVS2,
> -       GPIO_FN_AVS1,
> -
> -       /* IPSR0 */
> -       GPIO_IFN_AVB_MDC,
> -       GPIO_FN_MSIOF2_SS2_C,
> -       GPIO_IFN_AVB_MAGIC,
> -       GPIO_FN_MSIOF2_SS1_C,
> -       GPIO_FN_SCK4_A,
> -       GPIO_IFN_AVB_PHY_INT,
> -       GPIO_FN_MSIOF2_SYNC_C,
> -       GPIO_FN_RX4_A,
> -       GPIO_IFN_AVB_LINK,
> -       GPIO_FN_MSIOF2_SCK_C,
> -       GPIO_FN_TX4_A,
> -       GPIO_IFN_AVB_AVTP_MATCH_A,
> -       GPIO_FN_MSIOF2_RXD_C,
> -       GPIO_FN_CTS4x_A,
> -       GPIO_IFN_AVB_AVTP_CAPTURE_A,
> -       GPIO_FN_MSIOF2_TXD_C,
> -       GPIO_FN_RTS4x_TANS_A,
> -       GPIO_IFN_IRQ0,
> -       GPIO_FN_QPOLB,
> -       GPIO_FN_DU_CDE,
> -       GPIO_FN_VI4_DATA0_B,
> -       GPIO_FN_CAN0_TX_B,
> -       GPIO_FN_CANFD0_TX_B,
> -       GPIO_FN_MSIOF3_SS2_E,
> -       GPIO_IFN_IRQ1,
> -       GPIO_FN_QPOLA,
> -       GPIO_FN_DU_DISP,
> -       GPIO_FN_VI4_DATA1_B,
> -       GPIO_FN_CAN0_RX_B,
> -       GPIO_FN_CANFD0_RX_B,
> -       GPIO_FN_MSIOF3_SS1_E,
> -
> -       /* IPSR1 */
> -       GPIO_IFN_IRQ2,
> -       GPIO_FN_QCPV_QDE,
> -       GPIO_FN_DU_EXODDF_DU_ODDF_DISP_CDE,
> -       GPIO_FN_VI4_DATA2_B,
> -       GPIO_FN_MSIOF3_SYNC_E,
> -       GPIO_FN_PWM3_B,
> -       GPIO_IFN_IRQ3,
> -       GPIO_FN_QSTVB_QVE,
> -       GPIO_FN_DU_DOTCLKOUT1,
> -       GPIO_FN_VI4_DATA3_B,
> -       GPIO_FN_MSIOF3_SCK_E,
> -       GPIO_FN_PWM4_B,
> -       GPIO_IFN_IRQ4,
> -       GPIO_FN_QSTH_QHS,
> -       GPIO_FN_DU_EXHSYNC_DU_HSYNC,
> -       GPIO_FN_VI4_DATA4_B,
> -       GPIO_FN_MSIOF3_RXD_E,
> -       GPIO_FN_PWM5_B,
> -       GPIO_IFN_IRQ5,
> -       GPIO_FN_QSTB_QHE,
> -       GPIO_FN_DU_EXVSYNC_DU_VSYNC,
> -       GPIO_FN_VI4_DATA5_B,
> -       GPIO_FN_MSIOF3_TXD_E,
> -       GPIO_FN_PWM6_B,
> -       GPIO_IFN_PWM0,
> -       GPIO_FN_AVB_AVTP_PPS,
> -       GPIO_FN_VI4_DATA6_B,
> -       GPIO_FN_IECLK_B,
> -       GPIO_IFN_PWM1_A,
> -       GPIO_FN_HRX3_D,
> -       GPIO_FN_VI4_DATA7_B,
> -       GPIO_FN_IERX_B,
> -       GPIO_IFN_PWM2_A,
> -       GPIO_FN_PWMFSW0,
> -       GPIO_FN_HTX3_D,
> -       GPIO_FN_IETX_B,
> -       GPIO_IFN_A0,
> -       GPIO_FN_LCDOUT16,
> -       GPIO_FN_MSIOF3_SYNC_B,
> -       GPIO_FN_VI4_DATA8,
> -       GPIO_FN_DU_DB0,
> -       GPIO_FN_PWM3_A,
> -
> -       /* IPSR2 */
> -       GPIO_IFN_A1,
> -       GPIO_FN_LCDOUT17,
> -       GPIO_FN_MSIOF3_TXD_B,
> -       GPIO_FN_VI4_DATA9,
> -       GPIO_FN_DU_DB1,
> -       GPIO_FN_PWM4_A,
> -       GPIO_IFN_A2,
> -       GPIO_FN_LCDOUT18,
> -       GPIO_FN_MSIOF3_SCK_B,
> -       GPIO_FN_VI4_DATA10,
> -       GPIO_FN_DU_DB2,
> -       GPIO_FN_PWM5_A,
> -       GPIO_IFN_A3,
> -       GPIO_FN_LCDOUT19,
> -       GPIO_FN_MSIOF3_RXD_B,
> -       GPIO_FN_VI4_DATA11,
> -       GPIO_FN_DU_DB3,
> -       GPIO_FN_PWM6_A,
> -       GPIO_IFN_A4,
> -       GPIO_FN_LCDOUT20,
> -       GPIO_FN_MSIOF3_SS1_B,
> -       GPIO_FN_VI4_DATA12,
> -       GPIO_FN_VI5_DATA12,
> -       GPIO_FN_DU_DB4,
> -       GPIO_IFN_A5,
> -       GPIO_FN_LCDOUT21,
> -       GPIO_FN_MSIOF3_SS2_B,
> -       GPIO_FN_SCK4_B,
> -       GPIO_FN_VI4_DATA13,
> -       GPIO_FN_VI5_DATA13,
> -       GPIO_FN_DU_DB5,
> -       GPIO_IFN_A6,
> -       GPIO_FN_LCDOUT22,
> -       GPIO_FN_MSIOF2_SS1_A,
> -       GPIO_FN_RX4_B,
> -       GPIO_FN_VI4_DATA14,
> -       GPIO_FN_VI5_DATA14,
> -       GPIO_FN_DU_DB6,
> -       GPIO_IFN_A7,
> -       GPIO_FN_LCDOUT23,
> -       GPIO_FN_MSIOF2_SS2_A,
> -       GPIO_FN_TX4_B,
> -       GPIO_FN_VI4_DATA15,
> -       GPIO_FN_V15_DATA15,
> -       GPIO_FN_DU_DB7,
> -       GPIO_IFN_A8,
> -       GPIO_FN_RX3_B,
> -       GPIO_FN_MSIOF2_SYNC_A,
> -       GPIO_FN_HRX4_B,
> -       GPIO_FN_SDA6_A,
> -       GPIO_FN_AVB_AVTP_MATCH_B,
> -       GPIO_FN_PWM1_B,
> -
> -       /* IPSR3 */
> -       GPIO_IFN_A9,
> -       GPIO_FN_MSIOF2_SCK_A,
> -       GPIO_FN_CTS4x_B,
> -       GPIO_FN_VI5_VSYNCx,
> -       GPIO_IFN_A10,
> -       GPIO_FN_MSIOF2_RXD_A,
> -       GPIO_FN_RTS4n_TANS_B,
> -       GPIO_FN_VI5_HSYNCx,
> -       GPIO_IFN_A11,
> -       GPIO_FN_TX3_B,
> -       GPIO_FN_MSIOF2_TXD_A,
> -       GPIO_FN_HTX4_B,
> -       GPIO_FN_HSCK4,
> -       GPIO_FN_VI5_FIELD,
> -       GPIO_FN_SCL6_A,
> -       GPIO_FN_AVB_AVTP_CAPTURE_B,
> -       GPIO_FN_PWM2_B,
> -       GPIO_FN_SPV_EVEN,
> -       GPIO_IFN_A12,
> -       GPIO_FN_LCDOUT12,
> -       GPIO_FN_MSIOF3_SCK_C,
> -       GPIO_FN_HRX4_A,
> -       GPIO_FN_VI5_DATA8,
> -       GPIO_FN_DU_DG4,
> -       GPIO_IFN_A13,
> -       GPIO_FN_LCDOUT13,
> -       GPIO_FN_MSIOF3_SYNC_C,
> -       GPIO_FN_HTX4_A,
> -       GPIO_FN_VI5_DATA9,
> -       GPIO_FN_DU_DG5,
> -       GPIO_IFN_A14,
> -       GPIO_FN_LCDOUT14,
> -       GPIO_FN_MSIOF3_RXD_C,
> -       GPIO_FN_HCTS4x,
> -       GPIO_FN_VI5_DATA10,
> -       GPIO_FN_DU_DG6,
> -       GPIO_IFN_A15,
> -       GPIO_FN_LCDOUT15,
> -       GPIO_FN_MSIOF3_TXD_C,
> -       GPIO_FN_HRTS4x,
> -       GPIO_FN_VI5_DATA11,
> -       GPIO_FN_DU_DG7,
> -       GPIO_IFN_A16,
> -       GPIO_FN_LCDOUT8,
> -       GPIO_FN_VI4_FIELD,
> -       GPIO_FN_DU_DG0,
> -
> -       /* IPSR4 */
> -       GPIO_IFN_A17,
> -       GPIO_FN_LCDOUT9,
> -       GPIO_FN_VI4_VSYNCx,
> -       GPIO_FN_DU_DG1,
> -       GPIO_IFN_A18,
> -       GPIO_FN_LCDOUT10,
> -       GPIO_FN_VI4_HSYNCx,
> -       GPIO_FN_DU_DG2,
> -       GPIO_IFN_A19,
> -       GPIO_FN_LCDOUT11,
> -       GPIO_FN_VI4_CLKENB,
> -       GPIO_FN_DU_DG3,
> -       GPIO_IFN_CS0x,
> -       GPIO_FN_VI5_CLKENB,
> -       GPIO_IFN_CS1x_A26,
> -       GPIO_FN_VI5_CLK,
> -       GPIO_FN_EX_WAIT0_B,
> -       GPIO_IFN_BSx,
> -       GPIO_FN_QSTVA_QVS,
> -       GPIO_FN_MSIOF3_SCK_D,
> -       GPIO_FN_SCK3,
> -       GPIO_FN_HSCK3,
> -       GPIO_FN_CAN1_TX,
> -       GPIO_FN_CANFD1_TX,
> -       GPIO_FN_IETX_A,
> -       GPIO_IFN_RDx,
> -       GPIO_FN_MSIOF3_SYNC_D,
> -       GPIO_FN_RX3_A,
> -       GPIO_FN_HRX3_A,
> -       GPIO_FN_CAN0_TX_A,
> -       GPIO_FN_CANFD0_TX_A,
> -       GPIO_IFN_RD_WRx,
> -       GPIO_FN_MSIOF3_RXD_D,
> -       GPIO_FN_TX3_A,
> -       GPIO_FN_HTX3_A,
> -       GPIO_FN_CAN0_RX_A,
> -       GPIO_FN_CANFD0_RX_A,
> -
> -       /* IPSR5 */
> -       GPIO_IFN_WE0x,
> -       GPIO_FN_MSIIOF3_TXD_D,
> -       GPIO_FN_CTS3x,
> -       GPIO_FN_HCTS3x,
> -       GPIO_FN_SCL6_B,
> -       GPIO_FN_CAN_CLK,
> -       GPIO_FN_IECLK_A,
> -       GPIO_IFN_WE1x,
> -       GPIO_FN_MSIOF3_SS1_D,
> -       GPIO_FN_RTS3x_TANS,
> -       GPIO_FN_HRTS3x,
> -       GPIO_FN_SDA6_B,
> -       GPIO_FN_CAN1_RX,
> -       GPIO_FN_CANFD1_RX,
> -       GPIO_FN_IERX_A,
> -       GPIO_IFN_EX_WAIT0_A,
> -       GPIO_FN_QCLK,
> -       GPIO_FN_VI4_CLK,
> -       GPIO_FN_DU_DOTCLKOUT0,
> -       GPIO_IFN_D0,
> -       GPIO_FN_MSIOF2_SS1_B,
> -       GPIO_FN_MSIOF3_SCK_A,
> -       GPIO_FN_VI4_DATA16,
> -       GPIO_FN_VI5_DATA0,
> -       GPIO_IFN_D1,
> -       GPIO_FN_MSIOF2_SS2_B,
> -       GPIO_FN_MSIOF3_SYNC_A,
> -       GPIO_FN_VI4_DATA17,
> -       GPIO_FN_VI5_DATA1,
> -       GPIO_IFN_D2,
> -       GPIO_FN_MSIOF3_RXD_A,
> -       GPIO_FN_VI4_DATA18,
> -       GPIO_FN_VI5_DATA2,
> -       GPIO_IFN_D3,
> -       GPIO_FN_MSIOF3_TXD_A,
> -       GPIO_FN_VI4_DATA19,
> -       GPIO_FN_VI5_DATA3,
> -       GPIO_IFN_D4,
> -       GPIO_FN_MSIOF2_SCK_B,
> -       GPIO_FN_VI4_DATA20,
> -       GPIO_FN_VI5_DATA4,
> -
> -       /* IPSR6 */
> -       GPIO_IFN_D5,
> -       GPIO_FN_MSIOF2_SYNC_B,
> -       GPIO_FN_VI4_DATA21,
> -       GPIO_FN_VI5_DATA5,
> -       GPIO_IFN_D6,
> -       GPIO_FN_MSIOF2_RXD_B,
> -       GPIO_FN_VI4_DATA22,
> -       GPIO_FN_VI5_DATA6,
> -       GPIO_IFN_D7,
> -       GPIO_FN_MSIOF2_TXD_B,
> -       GPIO_FN_VI4_DATA23,
> -       GPIO_FN_VI5_DATA7,
> -       GPIO_IFN_D8,
> -       GPIO_FN_LCDOUT0,
> -       GPIO_FN_MSIOF2_SCK_D,
> -       GPIO_FN_SCK4_C,
> -       GPIO_FN_VI4_DATA0_A,
> -       GPIO_FN_DU_DR0,
> -       GPIO_IFN_D9,
> -       GPIO_FN_LCDOUT1,
> -       GPIO_FN_MSIOF2_SYNC_D,
> -       GPIO_FN_VI4_DATA1_A,
> -       GPIO_FN_DU_DR1,
> -       GPIO_IFN_D10,
> -       GPIO_FN_LCDOUT2,
> -       GPIO_FN_MSIOF2_RXD_D,
> -       GPIO_FN_HRX3_B,
> -       GPIO_FN_VI4_DATA2_A,
> -       GPIO_FN_CTS4x_C,
> -       GPIO_FN_DU_DR2,
> -       GPIO_IFN_D11,
> -       GPIO_FN_LCDOUT3,
> -       GPIO_FN_MSIOF2_TXD_D,
> -       GPIO_FN_HTX3_B,
> -       GPIO_FN_VI4_DATA3_A,
> -       GPIO_FN_RTS4x_TANS_C,
> -       GPIO_FN_DU_DR3,
> -       GPIO_IFN_D12,
> -       GPIO_FN_LCDOUT4,
> -       GPIO_FN_MSIOF2_SS1_D,
> -       GPIO_FN_RX4_C,
> -       GPIO_FN_VI4_DATA4_A,
> -       GPIO_FN_DU_DR4,
> -
> -       /* IPSR7 */
> -       GPIO_IFN_D13,
> -       GPIO_FN_LCDOUT5,
> -       GPIO_FN_MSIOF2_SS2_D,
> -       GPIO_FN_TX4_C,
> -       GPIO_FN_VI4_DATA5_A,
> -       GPIO_FN_DU_DR5,
> -       GPIO_IFN_D14,
> -       GPIO_FN_LCDOUT6,
> -       GPIO_FN_MSIOF3_SS1_A,
> -       GPIO_FN_HRX3_C,
> -       GPIO_FN_VI4_DATA6_A,
> -       GPIO_FN_DU_DR6,
> -       GPIO_FN_SCL6_C,
> -       GPIO_IFN_D15,
> -       GPIO_FN_LCDOUT7,
> -       GPIO_FN_MSIOF3_SS2_A,
> -       GPIO_FN_HTX3_C,
> -       GPIO_FN_VI4_DATA7_A,
> -       GPIO_FN_DU_DR7,
> -       GPIO_FN_SDA6_C,
> -       GPIO_FN_FSCLKST,
> -       GPIO_IFN_SD0_CLK,
> -       GPIO_FN_MSIOF1_SCK_E,
> -       GPIO_FN_STP_OPWM_0_B,
> -       GPIO_IFN_SD0_CMD,
> -       GPIO_FN_MSIOF1_SYNC_E,
> -       GPIO_FN_STP_IVCXO27_0_B,
> -       GPIO_IFN_SD0_DAT0,
> -       GPIO_FN_MSIOF1_RXD_E,
> -       GPIO_FN_TS_SCK0_B,
> -       GPIO_FN_STP_ISCLK_0_B,
> -       GPIO_IFN_SD0_DAT1,
> -       GPIO_FN_MSIOF1_TXD_E,
> -       GPIO_FN_TS_SPSYNC0_B,
> -       GPIO_FN_STP_ISSYNC_0_B,
> -
> -       /* IPSR8 */
> -       GPIO_IFN_SD0_DAT2,
> -       GPIO_FN_MSIOF1_SS1_E,
> -       GPIO_FN_TS_SDAT0_B,
> -       GPIO_FN_STP_ISD_0_B,
> -
> -       GPIO_IFN_SD0_DAT3,
> -       GPIO_FN_MSIOF1_SS2_E,
> -       GPIO_FN_TS_SDEN0_B,
> -       GPIO_FN_STP_ISEN_0_B,
> -
> -       GPIO_IFN_SD1_CLK,
> -       GPIO_FN_MSIOF1_SCK_G,
> -       GPIO_FN_SIM0_CLK_A,
> -
> -       GPIO_IFN_SD1_CMD,
> -       GPIO_FN_MSIOF1_SYNC_G,
> -       GPIO_FN_NFCEx_B,
> -       GPIO_FN_SIM0_D_A,
> -       GPIO_FN_STP_IVCXO27_1_B,
> -
> -       GPIO_IFN_SD1_DAT0,
> -       GPIO_FN_SD2_DAT4,
> -       GPIO_FN_MSIOF1_RXD_G,
> -       GPIO_FN_NFWPx_B,
> -       GPIO_FN_TS_SCK1_B,
> -       GPIO_FN_STP_ISCLK_1_B,
> -
> -       GPIO_IFN_SD1_DAT1,
> -       GPIO_FN_SD2_DAT5,
> -       GPIO_FN_MSIOF1_TXD_G,
> -       GPIO_FN_NFDATA14_B,
> -       GPIO_FN_TS_SPSYNC1_B,
> -       GPIO_FN_STP_ISSYNC_1_B,
> -
> -       GPIO_IFN_SD1_DAT2,
> -       GPIO_FN_SD2_DAT6,
> -       GPIO_FN_MSIOF1_SS1_G,
> -       GPIO_FN_NFDATA15_B,
> -       GPIO_FN_TS_SDAT1_B,
> -       GPIO_FN_STP_IOD_1_B,
> -
> -       GPIO_IFN_SD1_DAT3,
> -       GPIO_FN_SD2_DAT7,
> -       GPIO_FN_MSIOF1_SS2_G,
> -       GPIO_FN_NFRBx_B,
> -       GPIO_FN_TS_SDEN1_B,
> -       GPIO_FN_STP_ISEN_1_B,
> -
> -       /* IPSR9 */
> -       GPIO_IFN_SD2_CLK,
> -       GPIO_FN_NFDATA8,
> -
> -       GPIO_IFN_SD2_CMD,
> -       GPIO_FN_NFDATA9,
> -
> -       GPIO_IFN_SD2_DAT0,
> -       GPIO_FN_NFDATA10,
> -
> -       GPIO_IFN_SD2_DAT1,
> -       GPIO_FN_NFDATA11,
> -
> -       GPIO_IFN_SD2_DAT2,
> -       GPIO_FN_NFDATA12,
> -
> -       GPIO_IFN_SD2_DAT3,
> -       GPIO_FN_NFDATA13,
> -
> -       GPIO_IFN_SD2_DS,
> -       GPIO_FN_NFALE,
> -
> -       GPIO_IFN_SD3_CLK,
> -       GPIO_FN_NFWEx,
> -
> -       /* IPSR10 */
> -       GPIO_IFN_SD3_CMD,
> -       GPIO_FN_NFREx,
> -
> -       GPIO_IFN_SD3_DAT0,
> -       GPIO_FN_NFDATA0,
> -
> -       GPIO_IFN_SD3_DAT1,
> -       GPIO_FN_NFDATA1,
> -
> -       GPIO_IFN_SD3_DAT2,
> -       GPIO_FN_NFDATA2,
> -
> -       GPIO_IFN_SD3_DAT3,
> -       GPIO_FN_NFDATA3,
> -
> -       GPIO_IFN_SD3_DAT4,
> -       GPIO_FN_SD2_CD_A,
> -       GPIO_FN_NFDATA4,
> -
> -       GPIO_IFN_SD3_DAT5,
> -       GPIO_FN_SD2_WP_A,
> -       GPIO_FN_NFDATA5,
> -
> -       GPIO_IFN_SD3_DAT6,
> -       GPIO_FN_SD3_CD,
> -       GPIO_FN_NFDATA6,
> -
> -       /* IPSR11 */
> -       GPIO_IFN_SD3_DAT7,
> -       GPIO_FN_SD3_WP,
> -       GPIO_FN_NFDATA7,
> -
> -       GPIO_IFN_SD3_DS,
> -       GPIO_FN_NFCLE,
> -
> -       GPIO_IFN_SD0_CD,
> -       GPIO_FN_NFDATA14_A,
> -       GPIO_FN_SCL2_B,
> -       GPIO_FN_SIM0_RST_A,
> -
> -       GPIO_IFN_SD0_WP,
> -       GPIO_FN_NFDATA15_A,
> -       GPIO_FN_SDA2_B,
> -
> -       GPIO_IFN_SD1_CD,
> -       GPIO_FN_NFRBx_A,
> -       GPIO_FN_SIM0_CLK_B,
> -
> -       GPIO_IFN_SD1_WP,
> -       GPIO_FN_NFCEx_A,
> -       GPIO_FN_SIM0_D_B,
> -
> -       GPIO_IFN_SCK0,
> -       GPIO_FN_HSCK1_B,
> -       GPIO_FN_MSIOF1_SS2_B,
> -       GPIO_FN_AUDIO_CLKC_B,
> -       GPIO_FN_SDA2_A,
> -       GPIO_FN_SIM0_RST_B,
> -       GPIO_FN_STP_OPWM_0_C,
> -       GPIO_FN_RIF0_CLK_B,
> -       GPIO_FN_ADICHS2,
> -       GPIO_FN_SCK5_B,
> -
> -       GPIO_IFN_RX0,
> -       GPIO_FN_HRX1_B,
> -       GPIO_FN_TS_SCK0_C,
> -       GPIO_FN_STP_ISCLK_0_C,
> -       GPIO_FN_RIF0_D0_B,
> -
> -       /* IPSR12 */
> -       GPIO_IFN_TX0,
> -       GPIO_FN_HTX1_B,
> -       GPIO_FN_TS_SPSYNC0_C,
> -       GPIO_FN_STP_ISSYNC_0_C,
> -       GPIO_FN_RIF0_D1_B,
> -
> -       GPIO_IFN_CTS0x,
> -       GPIO_FN_HCTS1x_B,
> -       GPIO_FN_MSIOF1_SYNC_B,
> -       GPIO_FN_TS_SPSYNC1_C,
> -       GPIO_FN_STP_ISSYNC_1_C,
> -       GPIO_FN_RIF1_SYNC_B,
> -       GPIO_FN_AUDIO_CLKOUT_C,
> -       GPIO_FN_ADICS_SAMP,
> -
> -       GPIO_IFN_RTS0x_TANS,
> -       GPIO_FN_HRTS1x_B,
> -       GPIO_FN_MSIOF1_SS1_B,
> -       GPIO_FN_AUDIO_CLKA_B,
> -       GPIO_FN_SCL2_A,
> -       GPIO_FN_STP_IVCXO27_1_C,
> -       GPIO_FN_RIF0_SYNC_B,
> -       GPIO_FN_ADICHS1,
> -
> -       GPIO_IFN_RX1_A,
> -       GPIO_FN_HRX1_A,
> -       GPIO_FN_TS_SDAT0_C,
> -       GPIO_FN_STP_ISD_0_C,
> -       GPIO_FN_RIF1_CLK_C,
> -
> -       GPIO_IFN_TX1_A,
> -       GPIO_FN_HTX1_A,
> -       GPIO_FN_TS_SDEN0_C,
> -       GPIO_FN_STP_ISEN_0_C,
> -       GPIO_FN_RIF1_D0_C,
> -
> -       GPIO_IFN_CTS1x,
> -       GPIO_FN_HCTS1x_A,
> -       GPIO_FN_MSIOF1_RXD_B,
> -       GPIO_FN_TS_SDEN1_C,
> -       GPIO_FN_STP_ISEN_1_C,
> -       GPIO_FN_RIF1_D0_B,
> -       GPIO_FN_ADIDATA,
> -
> -       GPIO_IFN_RTS1x_TANS,
> -       GPIO_FN_HRTS1x_A,
> -       GPIO_FN_MSIOF1_TXD_B,
> -       GPIO_FN_TS_SDAT1_C,
> -       GPIO_FN_STP_ISD_1_C,
> -       GPIO_FN_RIF1_D1_B,
> -       GPIO_FN_ADICHS0,
> -
> -       GPIO_IFN_SCK2,
> -       GPIO_FN_SCIF_CLK_B,
> -       GPIO_FN_MSIOF1_SCK_B,
> -       GPIO_FN_TS_SCK1_C,
> -       GPIO_FN_STP_ISCLK_1_C,
> -       GPIO_FN_RIF1_CLK_B,
> -       GPIO_FN_ADICLK,
> -
> -       /* IPSR13 */
> -       GPIO_IFN_TX2_A,
> -       GPIO_FN_SD2_CD_B,
> -       GPIO_FN_SCL1_A,
> -       GPIO_FN_FMCLK_A,
> -       GPIO_FN_RIF1_D1_C,
> -       GPIO_FN_FSO_CFE_0_B,
> -
> -       GPIO_IFN_RX2_A,
> -       GPIO_FN_SD2_WP_B,
> -       GPIO_FN_SDA1_A,
> -       GPIO_FN_FMIN_A,
> -       GPIO_FN_RIF1_SYNC_C,
> -       GPIO_FN_FSO_CEF_1_B,
> -
> -       GPIO_IFN_HSCK0,
> -       GPIO_FN_MSIOF1_SCK_D,
> -       GPIO_FN_AUDIO_CLKB_A,
> -       GPIO_FN_SSI_SDATA1_B,
> -       GPIO_FN_TS_SCK0_D,
> -       GPIO_FN_STP_ISCLK_0_D,
> -       GPIO_FN_RIF0_CLK_C,
> -       GPIO_FN_RX5_B,
> -
> -       GPIO_IFN_HRX0,
> -       GPIO_FN_MSIOF1_RXD_D,
> -       GPIO_FN_SS1_SDATA2_B,
> -       GPIO_FN_TS_SDEN0_D,
> -       GPIO_FN_STP_ISEN_0_D,
> -       GPIO_FN_RIF0_D0_C,
> -
> -       GPIO_IFN_HTX0,
> -       GPIO_FN_MSIOF1_TXD_D,
> -       GPIO_FN_SSI_SDATA9_B,
> -       GPIO_FN_TS_SDAT0_D,
> -       GPIO_FN_STP_ISD_0_D,
> -       GPIO_FN_RIF0_D1_C,
> -
> -       GPIO_IFN_HCTS0x,
> -       GPIO_FN_RX2_B,
> -       GPIO_FN_MSIOF1_SYNC_D,
> -       GPIO_FN_SSI_SCK9_A,
> -       GPIO_FN_TS_SPSYNC0_D,
> -       GPIO_FN_STP_ISSYNC_0_D,
> -       GPIO_FN_RIF0_SYNC_C,
> -       GPIO_FN_AUDIO_CLKOUT1_A,
> -
> -       GPIO_IFN_HRTS0x,
> -       GPIO_FN_TX2_B,
> -       GPIO_FN_MSIOF1_SS1_D,
> -       GPIO_FN_SSI_WS9_A,
> -       GPIO_FN_STP_IVCXO27_0_D,
> -       GPIO_FN_BPFCLK_A,
> -       GPIO_FN_AUDIO_CLKOUT2_A,
> -
> -       GPIO_IFN_MSIOF0_SYNC,
> -       GPIO_FN_AUDIO_CLKOUT_A,
> -       GPIO_FN_TX5_B,
> -       GPIO_FN_BPFCLK_D,
> -
> -       /* IPSR14 */
> -       GPIO_IFN_MSIOF0_SS1,
> -       GPIO_FN_RX5_A,
> -       GPIO_FN_NFWPx_A,
> -       GPIO_FN_AUDIO_CLKA_C,
> -       GPIO_FN_SSI_SCK2_A,
> -       GPIO_FN_STP_IVCXO27_0_C,
> -       GPIO_FN_AUDIO_CLKOUT3_A,
> -       GPIO_FN_TCLK1_B,
> -
> -       GPIO_IFN_MSIOF0_SS2,
> -       GPIO_FN_TX5_A,
> -       GPIO_FN_MSIOF1_SS2_D,
> -       GPIO_FN_AUDIO_CLKC_A,
> -       GPIO_FN_SSI_WS2_A,
> -       GPIO_FN_STP_OPWM_0_D,
> -       GPIO_FN_AUDIO_CLKOUT_D,
> -       GPIO_FN_SPEEDIN_B,
> -
> -       GPIO_IFN_MLB_CLK,
> -       GPIO_FN_MSIOF1_SCK_F,
> -       GPIO_FN_SCL1_B,
> -
> -       GPIO_IFN_MLB_SIG,
> -       GPIO_FN_RX1_B,
> -       GPIO_FN_MSIOF1_SYNC_F,
> -       GPIO_FN_SDA1_B,
> -
> -       GPIO_IFN_MLB_DAT,
> -       GPIO_FN_TX1_B,
> -       GPIO_FN_MSIOF1_RXD_F,
> -
> -       GPIO_IFN_SSI_SCK0129,
> -       GPIO_FN_MSIOF1_TXD_F,
> -       GPIO_FN_MOUT0,
> -
> -       GPIO_IFN_SSI_WS0129,
> -       GPIO_FN_MSIOF1_SS1_F,
> -       GPIO_FN_MOUT1,
> -
> -       GPIO_IFN_SSI_SDATA0,
> -       GPIO_FN_MSIOF1_SS2_F,
> -       GPIO_FN_MOUT2,
> -
> -       /* IPSR15 */
> -       GPIO_IFN_SSI_SDATA1_A,
> -       GPIO_FN_MOUT5,
> -
> -       GPIO_IFN_SSI_SDATA2_A,
> -       GPIO_FN_SSI_SCK1_B,
> -       GPIO_FN_MOUT6,
> -
> -       GPIO_IFN_SSI_SCK34,
> -       GPIO_FN_MSIOF1_SS1_A,
> -       GPIO_FN_STP_OPWM_0_A,
> -
> -       GPIO_IFN_SSI_WS34,
> -       GPIO_FN_HCTS2x_A,
> -       GPIO_FN_MSIOF1_SS2_A,
> -       GPIO_FN_STP_IVCXO27_0_A,
> -
> -       GPIO_IFN_SSI_SDATA3,
> -       GPIO_FN_HRTS2x_A,
> -       GPIO_FN_MSIOF1_TXD_A,
> -       GPIO_FN_TS_SCK0_A,
> -       GPIO_FN_STP_ISCLK_0_A,
> -       GPIO_FN_RIF0_D1_A,
> -       GPIO_FN_RIF2_D0_A,
> -
> -       GPIO_IFN_SSI_SCK4,
> -       GPIO_FN_HRX2_A,
> -       GPIO_FN_MSIOF1_SCK_A,
> -       GPIO_FN_TS_SDAT0_A,
> -       GPIO_FN_STP_ISD_0_A,
> -       GPIO_FN_RIF0_CLK_A,
> -       GPIO_FN_RIF2_CLK_A,
> -
> -       GPIO_IFN_SSI_WS4,
> -       GPIO_FN_HTX2_A,
> -       GPIO_FN_MSIOF1_SYNC_A,
> -       GPIO_FN_TS_SDEN0_A,
> -       GPIO_FN_STP_ISEN_0_A,
> -       GPIO_FN_RIF0_SYNC_A,
> -       GPIO_FN_RIF2_SYNC_A,
> -
> -       GPIO_IFN_SSI_SDATA4,
> -       GPIO_FN_HSCK2_A,
> -       GPIO_FN_MSIOF1_RXD_A,
> -       GPIO_FN_TS_SPSYNC0_A,
> -       GPIO_FN_STP_ISSYNC_0_A,
> -       GPIO_FN_RIF0_D0_A,
> -       GPIO_FN_RIF2_D1_A,
> -
> -       /* IPSR16 */
> -       GPIO_IFN_SSI_SCK6,
> -       GPIO_FN_SIM0_RST_D,
> -       GPIO_FN_FSO_TOE_A,
> -
> -       GPIO_IFN_SSI_WS6,
> -       GPIO_FN_SIM0_D_D,
> -
> -       GPIO_IFN_SSI_SDATA6,
> -       GPIO_FN_SIM0_CLK_D,
> -
> -       GPIO_IFN_SSI_SCK78,
> -       GPIO_FN_HRX2_B,
> -       GPIO_FN_MSIOF1_SCK_C,
> -       GPIO_FN_TS_SCK1_A,
> -       GPIO_FN_STP_ISCLK_1_A,
> -       GPIO_FN_RIF1_CLK_A,
> -       GPIO_FN_RIF3_CLK_A,
> -
> -       GPIO_IFN_SSI_WS78,
> -       GPIO_FN_HTX2_B,
> -       GPIO_FN_MSIOF1_SYNC_C,
> -       GPIO_FN_TS_SDAT1_A,
> -       GPIO_FN_STP_ISD_1_A,
> -       GPIO_FN_RIF1_SYNC_A,
> -       GPIO_FN_RIF3_SYNC_A,
> -
> -       GPIO_IFN_SSI_SDATA7,
> -       GPIO_FN_HCTS2x_B,
> -       GPIO_FN_MSIOF1_RXD_C,
> -       GPIO_FN_TS_SDEN1_A,
> -       GPIO_FN_STP_IEN_1_A,
> -       GPIO_FN_RIF1_D0_A,
> -       GPIO_FN_RIF3_D0_A,
> -       GPIO_FN_TCLK2_A,
> -
> -       GPIO_IFN_SSI_SDATA8,
> -       GPIO_FN_HRTS2x_B,
> -       GPIO_FN_MSIOF1_TXD_C,
> -       GPIO_FN_TS_SPSYNC1_A,
> -       GPIO_FN_STP_ISSYNC_1_A,
> -       GPIO_FN_RIF1_D1_A,
> -       GPIO_FN_EIF3_D1_A,
> -
> -       GPIO_IFN_SSI_SDATA9_A,
> -       GPIO_FN_HSCK2_B,
> -       GPIO_FN_MSIOF1_SS1_C,
> -       GPIO_FN_HSCK1_A,
> -       GPIO_FN_SSI_WS1_B,
> -       GPIO_FN_SCK1,
> -       GPIO_FN_STP_IVCXO27_1_A,
> -       GPIO_FN_SCK5,
> -
> -       /* IPSR17 */
> -       GPIO_IFN_AUDIO_CLKA_A,
> -       GPIO_FN_CC5_OSCOUT,
> -
> -       GPIO_IFN_AUDIO_CLKB_B,
> -       GPIO_FN_SCIF_CLK_A,
> -       GPIO_FN_STP_IVCXO27_1_D,
> -       GPIO_FN_REMOCON_A,
> -       GPIO_FN_TCLK1_A,
> -
> -       GPIO_IFN_USB0_PWEN,
> -       GPIO_FN_SIM0_RST_C,
> -       GPIO_FN_TS_SCK1_D,
> -       GPIO_FN_STP_ISCLK_1_D,
> -       GPIO_FN_BPFCLK_B,
> -       GPIO_FN_RIF3_CLK_B,
> -       GPIO_FN_FSO_CFE_1_A,
> -       GPIO_FN_HSCK2_C,
> -
> -       GPIO_IFN_USB0_OVC,
> -       GPIO_FN_SIM0_D_C,
> -       GPIO_FN_TS_SDAT1_D,
> -       GPIO_FN_STP_ISD_1_D,
> -       GPIO_FN_RIF3_SYNC_B,
> -       GPIO_FN_HRX2_C,
> -
> -       GPIO_IFN_USB1_PWEN,
> -       GPIO_FN_SIM0_CLK_C,
> -       GPIO_FN_SSI_SCK1_A,
> -       GPIO_FN_TS_SCK0_E,
> -       GPIO_FN_STP_ISCLK_0_E,
> -       GPIO_FN_FMCLK_B,
> -       GPIO_FN_RIF2_CLK_B,
> -       GPIO_FN_SPEEDIN_A,
> -       GPIO_FN_HTX2_C,
> -
> -       GPIO_IFN_USB1_OVC,
> -       GPIO_FN_MSIOF1_SS2_C,
> -       GPIO_FN_SSI_WS1_A,
> -       GPIO_FN_TS_SDAT0_E,
> -       GPIO_FN_STP_ISD_0_E,
> -       GPIO_FN_FMIN_B,
> -       GPIO_FN_RIF2_SYNC_B,
> -       GPIO_FN_REMOCON_B,
> -       GPIO_FN_HCTS2x_C,
> -
> -       GPIO_IFN_USB30_PWEN,
> -       GPIO_FN_AUDIO_CLKOUT_B,
> -       GPIO_FN_SSI_SCK2_B,
> -       GPIO_FN_TS_SDEN1_D,
> -       GPIO_FN_STP_ISEN_1_D,
> -       GPIO_FN_STP_OPWM_0_E,
> -       GPIO_FN_RIF3_D0_B,
> -       GPIO_FN_TCLK2_B,
> -       GPIO_FN_TPU0TO0,
> -       GPIO_FN_BPFCLK_C,
> -       GPIO_FN_HRTS2x_C,
> -
> -       GPIO_IFN_USB30_OVC,
> -       GPIO_FN_AUDIO_CLKOUT1_B,
> -       GPIO_FN_SSI_WS2_B,
> -       GPIO_FN_TS_SPSYNC1_D,
> -       GPIO_FN_STP_ISSYNC_1_D,
> -       GPIO_FN_STP_IVCXO27_0_E,
> -       GPIO_FN_RIF3_D1_B,
> -       GPIO_FN_FSO_TOE_B,
> -       GPIO_FN_TPU0TO1,
> -
> -       /* IPSR18 */
> -       GPIO_IFN_GP6_30,
> -       GPIO_FN_AUDIO_CLKOUT2_B,
> -       GPIO_FN_SSI_SCK9_B,
> -       GPIO_FN_TS_SDEN0_E,
> -       GPIO_FN_STP_ISEN_0_E,
> -       GPIO_FN_RIF2_D0_B,
> -       GPIO_FN_FSO_CFE_0_A,
> -       GPIO_FN_TPU0TO2,
> -       GPIO_FN_FMCLK_C,
> -       GPIO_FN_FMCLK_D,
> -
> -       GPIO_IFN_GP6_31,
> -       GPIO_FN_AUDIO_CLKOUT3_B,
> -       GPIO_FN_SSI_WS9_B,
> -       GPIO_FN_TS_SPSYNC0_E,
> -       GPIO_FN_STP_ISSYNC_0_E,
> -       GPIO_FN_RIF2_D1_B,
> -       GPIO_FN_TPU0TO3,
> -       GPIO_FN_FMIN_C,
> -       GPIO_FN_FMIN_D,
> -
> -};
> -
> -#endif /* __ASM_R8A7796_GPIO_H__ */
> diff --git a/arch/arm/mach-rmobile/pfc-r8a7795.c b/arch/arm/mach-rmobile/pfc-r8a7795.c
> deleted file mode 100644
> index 93aaf31ed9..0000000000
> --- a/arch/arm/mach-rmobile/pfc-r8a7795.c
> +++ /dev/null
> @@ -1,5005 +0,0 @@
> -/*
> - * arch/arm/cpu/armv8/rcar_gen3/pfc-r8a7795.c
> - *     This file is r8a7795 processor support - PFC hardware block.
> - *
> - * Copyright (C) 2015-2016 Renesas Electronics Corporation
> - *
> - * SPDX-License-Identifier:    GPL-2.0+
> - */
> -
> -#include <common.h>
> -#include <sh_pfc.h>
> -#include <asm/gpio.h>
> -
> -#define CPU_32_PORT(fn, pfx, sfx)                              \
> -       PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),        \
> -       PORT_10(fn, pfx##2, sfx), PORT_1(fn, pfx##30, sfx),     \
> -       PORT_1(fn, pfx##31, sfx)
> -
> -#define CPU_32_PORT1(fn, pfx, sfx)                             \
> -       PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),        \
> -       PORT_10(fn, pfx##2, sfx)
> -
> -#define CPU_32_PORT2(fn, pfx, sfx)                             \
> -       PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),        \
> -       PORT_10(fn, pfx##2, sfx)
> -
> -#define CPU_32_PORT_29(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_10(fn, pfx##1, sfx),                               \
> -       PORT_1(fn, pfx##20, sfx),                               \
> -       PORT_1(fn, pfx##21, sfx),                               \
> -       PORT_1(fn, pfx##22, sfx),                               \
> -       PORT_1(fn, pfx##23, sfx),                               \
> -       PORT_1(fn, pfx##24, sfx),                               \
> -       PORT_1(fn, pfx##25, sfx),                               \
> -       PORT_1(fn, pfx##26, sfx),                               \
> -       PORT_1(fn, pfx##27, sfx),                               \
> -       PORT_1(fn, pfx##28, sfx)
> -
> -#define CPU_32_PORT_28(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_10(fn, pfx##1, sfx),                               \
> -       PORT_1(fn, pfx##20, sfx),                               \
> -       PORT_1(fn, pfx##21, sfx),                               \
> -       PORT_1(fn, pfx##22, sfx),                               \
> -       PORT_1(fn, pfx##23, sfx),                               \
> -       PORT_1(fn, pfx##24, sfx),                               \
> -       PORT_1(fn, pfx##25, sfx),                               \
> -       PORT_1(fn, pfx##26, sfx),                               \
> -       PORT_1(fn, pfx##27, sfx)
> -
> -#define CPU_32_PORT_26(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_10(fn, pfx##1, sfx),                               \
> -       PORT_1(fn, pfx##20, sfx),                               \
> -       PORT_1(fn, pfx##21, sfx),                               \
> -       PORT_1(fn, pfx##22, sfx),                               \
> -       PORT_1(fn, pfx##23, sfx),                               \
> -       PORT_1(fn, pfx##24, sfx),                               \
> -       PORT_1(fn, pfx##25, sfx)
> -
> -#define CPU_32_PORT_18(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_1(fn, pfx##10, sfx),                               \
> -       PORT_1(fn, pfx##11, sfx),                               \
> -       PORT_1(fn, pfx##12, sfx),                               \
> -       PORT_1(fn, pfx##13, sfx),                               \
> -       PORT_1(fn, pfx##14, sfx),                               \
> -       PORT_1(fn, pfx##15, sfx),                               \
> -       PORT_1(fn, pfx##16, sfx),                               \
> -       PORT_1(fn, pfx##17, sfx)
> -
> -#define CPU_32_PORT_16(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_1(fn, pfx##10, sfx),                               \
> -       PORT_1(fn, pfx##11, sfx),                               \
> -       PORT_1(fn, pfx##12, sfx),                               \
> -       PORT_1(fn, pfx##13, sfx),                               \
> -       PORT_1(fn, pfx##14, sfx),                               \
> -       PORT_1(fn, pfx##15, sfx)
> -
> -#define CPU_32_PORT_15(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_1(fn, pfx##10, sfx),                               \
> -       PORT_1(fn, pfx##11, sfx),                               \
> -       PORT_1(fn, pfx##12, sfx),                               \
> -       PORT_1(fn, pfx##13, sfx),                               \
> -       PORT_1(fn, pfx##14, sfx)
> -
> -#define CPU_32_PORT_4(fn, pfx, sfx)                            \
> -       PORT_1(fn, pfx##0, sfx),                                \
> -       PORT_1(fn, pfx##1, sfx),                                \
> -       PORT_1(fn, pfx##2, sfx),                                \
> -       PORT_1(fn, pfx##3, sfx)
> -
> -
> -/* --gen3-- */
> -/* GP_0_0_DATA -> GP_7_4_DATA */
> -/* except for GP0[16] - [31],
> -               GP1[28] - [31],
> -               GP2[15] - [31],
> -               GP3[16] - [31],
> -               GP4[18] - [31],
> -               GP5[26] - [31],
> -               GP7[4] - [31] */
> -
> -#define ES_CPU_ALL_PORT(fn, pfx, sfx)          \
> -       CPU_32_PORT_16(fn, pfx##_0_, sfx),      \
> -       CPU_32_PORT_28(fn, pfx##_1_, sfx),      \
> -       CPU_32_PORT_15(fn, pfx##_2_, sfx),      \
> -       CPU_32_PORT_16(fn, pfx##_3_, sfx),      \
> -       CPU_32_PORT_18(fn, pfx##_4_, sfx),      \
> -       CPU_32_PORT_26(fn, pfx##_5_, sfx),      \
> -       CPU_32_PORT(fn, pfx##_6_, sfx),         \
> -       CPU_32_PORT_4(fn, pfx##_7_, sfx)
> -
> -#define CPU_ALL_PORT(fn, pfx, sfx)             \
> -       CPU_32_PORT_16(fn, pfx##_0_, sfx),      \
> -       CPU_32_PORT_29(fn, pfx##_1_, sfx),      \
> -       CPU_32_PORT_15(fn, pfx##_2_, sfx),      \
> -       CPU_32_PORT_16(fn, pfx##_3_, sfx),      \
> -       CPU_32_PORT_18(fn, pfx##_4_, sfx),      \
> -       CPU_32_PORT_26(fn, pfx##_5_, sfx),      \
> -       CPU_32_PORT(fn, pfx##_6_, sfx),         \
> -       CPU_32_PORT_4(fn, pfx##_7_, sfx)
> -
> -#define _GP_GPIO(pfx, sfx) PINMUX_GPIO(GPIO_GP##pfx, GP##pfx##_DATA)
> -#define _GP_DATA(pfx, sfx) PINMUX_DATA(GP##pfx##_DATA, GP##pfx##_FN,   \
> -                                      GP##pfx##_IN, GP##pfx##_OUT)
> -
> -#define _GP_INOUTSEL(pfx, sfx) GP##pfx##_IN, GP##pfx##_OUT
> -#define _GP_INDT(pfx, sfx) GP##pfx##_DATA
> -
> -#define GP_ALL(str)    CPU_ALL_PORT(_PORT_ALL, GP, str)
> -#define PINMUX_GPIO_GP_ALL()   CPU_ALL_PORT(_GP_GPIO, , unused)
> -#define PINMUX_DATA_GP_ALL()   CPU_ALL_PORT(_GP_DATA, , unused)
> -
> -
> -#define PORT_10_REV(fn, pfx, sfx)                              \
> -       PORT_1(fn, pfx##9, sfx), PORT_1(fn, pfx##8, sfx),       \
> -       PORT_1(fn, pfx##7, sfx), PORT_1(fn, pfx##6, sfx),       \
> -       PORT_1(fn, pfx##5, sfx), PORT_1(fn, pfx##4, sfx),       \
> -       PORT_1(fn, pfx##3, sfx), PORT_1(fn, pfx##2, sfx),       \
> -       PORT_1(fn, pfx##1, sfx), PORT_1(fn, pfx##0, sfx)
> -
> -#define CPU_32_PORT_REV(fn, pfx, sfx)                                  \
> -       PORT_1(fn, pfx##31, sfx), PORT_1(fn, pfx##30, sfx),             \
> -       PORT_10_REV(fn, pfx##2, sfx), PORT_10_REV(fn, pfx##1, sfx),     \
> -       PORT_10_REV(fn, pfx, sfx)
> -
> -#define GP_INOUTSEL(bank) CPU_32_PORT_REV(_GP_INOUTSEL, _##bank##_, unused)
> -#define GP_INDT(bank) CPU_32_PORT_REV(_GP_INDT, _##bank##_, unused)
> -
> -#define PINMUX_IPSR_DATA(ipsr, fn) PINMUX_DATA(fn##_MARK, FN_##ipsr, FN_##fn)
> -#define PINMUX_IPSR_MODSEL_DATA(ipsr, fn, ms) PINMUX_DATA(fn##_MARK, FN_##ms, \
> -                                                         FN_##ipsr, FN_##fn)
> -
> -enum {
> -       PINMUX_RESERVED = 0,
> -
> -       PINMUX_DATA_BEGIN,
> -       GP_ALL(DATA),
> -       PINMUX_DATA_END,
> -
> -       PINMUX_INPUT_BEGIN,
> -       GP_ALL(IN),
> -       PINMUX_INPUT_END,
> -
> -       PINMUX_OUTPUT_BEGIN,
> -       GP_ALL(OUT),
> -       PINMUX_OUTPUT_END,
> -
> -       PINMUX_FUNCTION_BEGIN,
> -       GP_ALL(FN),
> -
> -       /* GPSR0 */
> -       GFN_D15,
> -       GFN_D14,
> -       GFN_D13,
> -       GFN_D12,
> -       GFN_D11,
> -       GFN_D10,
> -       GFN_D9,
> -       GFN_D8,
> -       GFN_D7,
> -       GFN_D6,
> -       GFN_D5,
> -       GFN_D4,
> -       GFN_D3,
> -       GFN_D2,
> -       GFN_D1,
> -       GFN_D0,
> -
> -       /* GPSR1 */
> -       GFN_CLKOUT,
> -       GFN_EX_WAIT0_A,
> -       GFN_WE1x,
> -       GFN_WE0x,
> -       GFN_RD_WRx,
> -       GFN_RDx,
> -       GFN_BSx,
> -       GFN_CS1x_A26,
> -       GFN_CS0x,
> -       GFN_A19,
> -       GFN_A18,
> -       GFN_A17,
> -       GFN_A16,
> -       GFN_A15,
> -       GFN_A14,
> -       GFN_A13,
> -       GFN_A12,
> -       GFN_A11,
> -       GFN_A10,
> -       GFN_A9,
> -       GFN_A8,
> -       GFN_A7,
> -       GFN_A6,
> -       GFN_A5,
> -       GFN_A4,
> -       GFN_A3,
> -       GFN_A2,
> -       GFN_A1,
> -       GFN_A0,
> -
> -       /* GPSR2 */
> -       GFN_AVB_AVTP_CAPTURE_A,
> -       GFN_AVB_AVTP_MATCH_A,
> -       GFN_AVB_LINK,
> -       GFN_AVB_PHY_INT,
> -       GFN_AVB_MAGIC,
> -       GFN_AVB_MDC,
> -       GFN_PWM2_A,
> -       GFN_PWM1_A,
> -       GFN_PWM0,
> -       GFN_IRQ5,
> -       GFN_IRQ4,
> -       GFN_IRQ3,
> -       GFN_IRQ2,
> -       GFN_IRQ1,
> -       GFN_IRQ0,
> -
> -       /* GPSR3 */
> -       GFN_SD1_WP,
> -       GFN_SD1_CD,
> -       GFN_SD0_WP,
> -       GFN_SD0_CD,
> -       GFN_SD1_DAT3,
> -       GFN_SD1_DAT2,
> -       GFN_SD1_DAT1,
> -       GFN_SD1_DAT0,
> -       GFN_SD1_CMD,
> -       GFN_SD1_CLK,
> -       GFN_SD0_DAT3,
> -       GFN_SD0_DAT2,
> -       GFN_SD0_DAT1,
> -       GFN_SD0_DAT0,
> -       GFN_SD0_CMD,
> -       GFN_SD0_CLK,
> -
> -       /* GPSR4 */
> -       GFN_SD3_DS,
> -       GFN_SD3_DAT7,
> -       GFN_SD3_DAT6,
> -       GFN_SD3_DAT5,
> -       GFN_SD3_DAT4,
> -       GFN_SD3_DAT3,
> -       GFN_SD3_DAT2,
> -       GFN_SD3_DAT1,
> -       GFN_SD3_DAT0,
> -       GFN_SD3_CMD,
> -       GFN_SD3_CLK,
> -       GFN_SD2_DS,
> -       GFN_SD2_DAT3,
> -       GFN_SD2_DAT2,
> -       GFN_SD2_DAT1,
> -       GFN_SD2_DAT0,
> -       GFN_SD2_CMD,
> -       GFN_SD2_CLK,
> -
> -       /* GPSR5 */
> -       GFN_MLB_DAT,
> -       GFN_MLB_SIG,
> -       GFN_MLB_CLK,
> -       FN_MSIOF0_RXD,
> -       GFN_MSIOF0_SS2,
> -       FN_MSIOF0_TXD,
> -       GFN_MSIOF0_SS1,
> -       GFN_MSIOF0_SYNC,
> -       FN_MSIOF0_SCK,
> -       GFN_HRTS0x,
> -       GFN_HCTS0x,
> -       GFN_HTX0,
> -       GFN_HRX0,
> -       GFN_HSCK0,
> -       GFN_RX2_A,
> -       GFN_TX2_A,
> -       GFN_SCK2,
> -       GFN_RTS1x_TANS,
> -       GFN_CTS1x,
> -       GFN_TX1_A,
> -       GFN_RX1_A,
> -       GFN_RTS0x_TANS,
> -       GFN_CTS0x,
> -       GFN_TX0,
> -       GFN_RX0,
> -       GFN_SCK0,
> -
> -       /* GPSR6 */
> -       GFN_USB3_OVC,
> -       GFN_USB3_PWEN,
> -       GFN_USB30_OVC,
> -       GFN_USB30_PWEN,
> -       GFN_USB1_OVC,
> -       GFN_USB1_PWEN,
> -       GFN_USB0_OVC,
> -       GFN_USB0_PWEN,
> -       GFN_AUDIO_CLKB_B,
> -       GFN_AUDIO_CLKA_A,
> -       GFN_SSI_SDATA9_A,
> -       GFN_SSI_SDATA8,
> -       GFN_SSI_SDATA7,
> -       GFN_SSI_WS78,
> -       GFN_SSI_SCK78,
> -       GFN_SSI_SDATA6,
> -       GFN_SSI_WS6,
> -       GFN_SSI_SCK6,
> -       FN_SSI_SDATA5,
> -       FN_SSI_WS5,
> -       FN_SSI_SCK5,
> -       GFN_SSI_SDATA4,
> -       GFN_SSI_WS4,
> -       GFN_SSI_SCK4,
> -       GFN_SSI_SDATA3,
> -       GFN_SSI_WS34,
> -       GFN_SSI_SCK34,
> -       GFN_SSI_SDATA2_A,
> -       GFN_SSI_SDATA1_A,
> -       GFN_SSI_SDATA0,
> -       GFN_SSI_WS01239,
> -       GFN_SSI_SCK01239,
> -
> -       /* GPSR7 */
> -       FN_HDMI1_CEC,
> -       FN_HDMI0_CEC,
> -       FN_AVS2,
> -       FN_AVS1,
> -
> -       /* IPSR0 */
> -       IFN_AVB_MDC,
> -       FN_MSIOF2_SS2_C,
> -       IFN_AVB_MAGIC,
> -       FN_MSIOF2_SS1_C,
> -       FN_SCK4_A,
> -       IFN_AVB_PHY_INT,
> -       FN_MSIOF2_SYNC_C,
> -       FN_RX4_A,
> -       IFN_AVB_LINK,
> -       FN_MSIOF2_SCK_C,
> -       FN_TX4_A,
> -       IFN_AVB_AVTP_MATCH_A,
> -       FN_MSIOF2_RXD_C,
> -       FN_CTS4x_A,
> -       FN_FSCLKST2x_A,
> -       IFN_AVB_AVTP_CAPTURE_A,
> -       FN_MSIOF2_TXD_C,
> -       FN_RTS4x_TANS_A,
> -       IFN_IRQ0,
> -       FN_QPOLB,
> -       FN_DU_CDE,
> -       FN_VI4_DATA0_B,
> -       FN_CAN0_TX_B,
> -       FN_CANFD0_TX_B,
> -       FN_MSIOF3_SS2_E,
> -       IFN_IRQ1,
> -       FN_QPOLA,
> -       FN_DU_DISP,
> -       FN_VI4_DATA1_B,
> -       FN_CAN0_RX_B,
> -       FN_CANFD0_RX_B,
> -       FN_MSIOF3_SS1_E,
> -
> -       /* IPSR1 */
> -       IFN_IRQ2,
> -       FN_QCPV_QDE,
> -       FN_DU_EXODDF_DU_ODDF_DISP_CDE,
> -       FN_VI4_DATA2_B,
> -       FN_MSIOF3_SYNC_E,
> -       FN_PWM3_B,
> -       IFN_IRQ3,
> -       FN_QSTVB_QVE,
> -       FN_DU_DOTCLKOUT1,
> -       FN_VI4_DATA3_B,
> -       FN_MSIOF3_SCK_E,
> -       FN_PWM4_B,
> -       IFN_IRQ4,
> -       FN_QSTH_QHS,
> -       FN_DU_EXHSYNC_DU_HSYNC,
> -       FN_VI4_DATA4_B,
> -       FN_MSIOF3_RXD_E,
> -       FN_PWM5_B,
> -       IFN_IRQ5,
> -       FN_QSTB_QHE,
> -       FN_DU_EXVSYNC_DU_VSYNC,
> -       FN_VI4_DATA5_B,
> -       FN_FSCLKST2x_B,
> -       FN_MSIOF3_TXD_E,
> -       FN_PWM6_B,
> -       IFN_PWM0,
> -       FN_AVB_AVTP_PPS,
> -       FN_VI4_DATA6_B,
> -       FN_IECLK_B,
> -       IFN_PWM1_A,
> -       FN_HRX3_D,
> -       FN_VI4_DATA7_B,
> -       FN_IERX_B,
> -       IFN_PWM2_A,
> -       FN_HTX3_D,
> -       FN_IETX_B,
> -       IFN_A0,
> -       FN_LCDOUT16,
> -       FN_MSIOF3_SYNC_B,
> -       FN_VI4_DATA8,
> -       FN_DU_DB0,
> -       FN_PWM3_A,
> -
> -       /* IPSR2 */
> -       IFN_A1,
> -       FN_LCDOUT17,
> -       FN_MSIOF3_TXD_B,
> -       FN_VI4_DATA9,
> -       FN_DU_DB1,
> -       FN_PWM4_A,
> -       IFN_A2,
> -       FN_LCDOUT18,
> -       FN_MSIOF3_SCK_B,
> -       FN_VI4_DATA10,
> -       FN_DU_DB2,
> -       FN_PWM5_A,
> -       IFN_A3,
> -       FN_LCDOUT19,
> -       FN_MSIOF3_RXD_B,
> -       FN_VI4_DATA11,
> -       FN_DU_DB3,
> -       FN_PWM6_A,
> -       IFN_A4,
> -       FN_LCDOUT20,
> -       FN_MSIOF3_SS1_B,
> -       FN_VI4_DATA12,
> -       FN_VI5_DATA12,
> -       FN_DU_DB4,
> -       IFN_A5,
> -       FN_LCDOUT21,
> -       FN_MSIOF3_SS2_B,
> -       FN_SCK4_B,
> -       FN_VI4_DATA13,
> -       FN_VI5_DATA13,
> -       FN_DU_DB5,
> -       IFN_A6,
> -       FN_LCDOUT22,
> -       FN_MSIOF2_SS1_A,
> -       FN_RX4_B,
> -       FN_VI4_DATA14,
> -       FN_VI5_DATA14,
> -       FN_DU_DB6,
> -       IFN_A7,
> -       FN_LCDOUT23,
> -       FN_MSIOF2_SS2_A,
> -       FN_TX4_B,
> -       FN_VI4_DATA15,
> -       FN_V15_DATA15,
> -       FN_DU_DB7,
> -       IFN_A8,
> -       FN_RX3_B,
> -       FN_MSIOF2_SYNC_A,
> -       FN_HRX4_B,
> -       FN_SDA6_A,
> -       FN_AVB_AVTP_MATCH_B,
> -       FN_PWM1_B,
> -
> -       /* IPSR3 */
> -       IFN_A9,
> -       FN_MSIOF2_SCK_A,
> -       FN_CTS4x_B,
> -       FN_VI5_VSYNCx,
> -       IFN_A10,
> -       FN_MSIOF2_RXD_A,
> -       FN_RTS4n_TANS_B,
> -       FN_VI5_HSYNCx,
> -       IFN_A11,
> -       FN_TX3_B,
> -       FN_MSIOF2_TXD_A,
> -       FN_HTX4_B,
> -       FN_HSCK4,
> -       FN_VI5_FIELD,
> -       FN_SCL6_A,
> -       FN_AVB_AVTP_CAPTURE_B,
> -       FN_PWM2_B,
> -       IFN_A12,
> -       FN_LCDOUT12,
> -       FN_MSIOF3_SCK_C,
> -       FN_HRX4_A,
> -       FN_VI5_DATA8,
> -       FN_DU_DG4,
> -       IFN_A13,
> -       FN_LCDOUT13,
> -       FN_MSIOF3_SYNC_C,
> -       FN_HTX4_A,
> -       FN_VI5_DATA9,
> -       FN_DU_DG5,
> -       IFN_A14,
> -       FN_LCDOUT14,
> -       FN_MSIOF3_RXD_C,
> -       FN_HCTS4x,
> -       FN_VI5_DATA10,
> -       FN_DU_DG6,
> -       IFN_A15,
> -       FN_LCDOUT15,
> -       FN_MSIOF3_TXD_C,
> -       FN_HRTS4x,
> -       FN_VI5_DATA11,
> -       FN_DU_DG7,
> -       IFN_A16,
> -       FN_LCDOUT8,
> -       FN_VI4_FIELD,
> -       FN_DU_DG0,
> -
> -       /* IPSR4 */
> -       IFN_A17,
> -       FN_LCDOUT9,
> -       FN_VI4_VSYNCx,
> -       FN_DU_DG1,
> -       IFN_A18,
> -       FN_LCDOUT10,
> -       FN_VI4_HSYNCx,
> -       FN_DU_DG2,
> -       IFN_A19,
> -       FN_LCDOUT11,
> -       FN_VI4_CLKENB,
> -       FN_DU_DG3,
> -       IFN_CS0x,
> -       FN_VI5_CLKENB,
> -       IFN_CS1x_A26,
> -       FN_VI5_CLK,
> -       FN_EX_WAIT0_B,
> -       IFN_BSx,
> -       FN_QSTVA_QVS,
> -       FN_MSIOF3_SCK_D,
> -       FN_SCK3,
> -       FN_HSCK3,
> -       FN_CAN1_TX,
> -       FN_CANFD1_TX,
> -       FN_IETX_A,
> -       IFN_RDx,
> -       FN_MSIOF3_SYNC_D,
> -       FN_RX3_A,
> -       FN_HRX3_A,
> -       FN_CAN0_TX_A,
> -       FN_CANFD0_TX_A,
> -       IFN_RD_WRx,
> -       FN_MSIOF3_RXD_D,
> -       FN_TX3_A,
> -       FN_HTX3_A,
> -       FN_CAN0_RX_A,
> -       FN_CANFD0_RX_A,
> -
> -       /* IPSR5 */
> -       IFN_WE0x,
> -       FN_MSIIOF3_TXD_D,
> -       FN_CTS3x,
> -       FN_HCTS3x,
> -       FN_SCL6_B,
> -       FN_CAN_CLK,
> -       FN_IECLK_A,
> -       IFN_WE1x,
> -       FN_MSIOF3_SS1_D,
> -       FN_RTS3x_TANS,
> -       FN_HRTS3x,
> -       FN_SDA6_B,
> -       FN_CAN1_RX,
> -       FN_CANFD1_RX,
> -       FN_IERX_A,
> -       IFN_EX_WAIT0_A,
> -       FN_QCLK,
> -       FN_VI4_CLK,
> -       FN_DU_DOTCLKOUT0,
> -       IFN_D0,
> -       FN_MSIOF2_SS1_B,
> -       FN_MSIOF3_SCK_A,
> -       FN_VI4_DATA16,
> -       FN_VI5_DATA0,
> -       IFN_D1,
> -       FN_MSIOF2_SS2_B,
> -       FN_MSIOF3_SYNC_A,
> -       FN_VI4_DATA17,
> -       FN_VI5_DATA1,
> -       IFN_D2,
> -       FN_MSIOF3_RXD_A,
> -       FN_VI4_DATA18,
> -       FN_VI5_DATA2,
> -       IFN_D3,
> -       FN_MSIOF3_TXD_A,
> -       FN_VI4_DATA19,
> -       FN_VI5_DATA3,
> -       IFN_D4,
> -       FN_MSIOF2_SCK_B,
> -       FN_VI4_DATA20,
> -       FN_VI5_DATA4,
> -
> -       /* IPSR6 */
> -       IFN_D5,
> -       FN_MSIOF2_SYNC_B,
> -       FN_VI4_DATA21,
> -       FN_VI5_DATA5,
> -       IFN_D6,
> -       FN_MSIOF2_RXD_B,
> -       FN_VI4_DATA22,
> -       FN_VI5_DATA6,
> -       IFN_D7,
> -       FN_MSIOF2_TXD_B,
> -       FN_VI4_DATA23,
> -       FN_VI5_DATA7,
> -       IFN_D8,
> -       FN_LCDOUT0,
> -       FN_MSIOF2_SCK_D,
> -       FN_SCK4_C,
> -       FN_VI4_DATA0_A,
> -       FN_DU_DR0,
> -       IFN_D9,
> -       FN_LCDOUT1,
> -       FN_MSIOF2_SYNC_D,
> -       FN_VI4_DATA1_A,
> -       FN_DU_DR1,
> -       IFN_D10,
> -       FN_LCDOUT2,
> -       FN_MSIOF2_RXD_D,
> -       FN_HRX3_B,
> -       FN_VI4_DATA2_A,
> -       FN_CTS4x_C,
> -       FN_DU_DR2,
> -       IFN_D11,
> -       FN_LCDOUT3,
> -       FN_MSIOF2_TXD_D,
> -       FN_HTX3_B,
> -       FN_VI4_DATA3_A,
> -       FN_RTS4x_TANS_C,
> -       FN_DU_DR3,
> -       IFN_D12,
> -       FN_LCDOUT4,
> -       FN_MSIOF2_SS1_D,
> -       FN_RX4_C,
> -       FN_VI4_DATA4_A,
> -       FN_DU_DR4,
> -
> -       /* IPSR7 */
> -       IFN_D13,
> -       FN_LCDOUT5,
> -       FN_MSIOF2_SS2_D,
> -       FN_TX4_C,
> -       FN_VI4_DATA5_A,
> -       FN_DU_DR5,
> -       IFN_D14,
> -       FN_LCDOUT6,
> -       FN_MSIOF3_SS1_A,
> -       FN_HRX3_C,
> -       FN_VI4_DATA6_A,
> -       FN_DU_DR6,
> -       FN_SCL6_C,
> -       IFN_D15,
> -       FN_LCDOUT7,
> -       FN_MSIOF3_SS2_A,
> -       FN_HTX3_C,
> -       FN_VI4_DATA7_A,
> -       FN_DU_DR7,
> -       FN_SDA6_C,
> -       FN_FSCLKST,
> -       IFN_SD0_CLK,
> -       FN_MSIOF1_SCK_E,
> -       FN_STP_OPWM_0_B,
> -       IFN_SD0_CMD,
> -       FN_MSIOF1_SYNC_E,
> -       FN_STP_IVCXO27_0_B,
> -       IFN_SD0_DAT0,
> -       FN_MSIOF1_RXD_E,
> -       FN_TS_SCK0_B,
> -       FN_STP_ISCLK_0_B,
> -       IFN_SD0_DAT1,
> -       FN_MSIOF1_TXD_E,
> -       FN_TS_SPSYNC0_B,
> -       FN_STP_ISSYNC_0_B,
> -
> -       /* IPSR8 */
> -       IFN_SD0_DAT2,
> -       FN_MSIOF1_SS1_E,
> -       FN_TS_SDAT0_B,
> -       FN_STP_ISD_0_B,
> -       IFN_SD0_DAT3,
> -       FN_MSIOF1_SS2_E,
> -       FN_TS_SDEN0_B,
> -       FN_STP_ISEN_0_B,
> -       IFN_SD1_CLK,
> -       FN_MSIOF1_SCK_G,
> -       FN_SIM0_CLK_A,
> -       IFN_SD1_CMD,
> -       FN_MSIOF1_SYNC_G,
> -       FN_NFCEx_B,
> -       FN_SIM0_D_A,
> -       FN_STP_IVCXO27_1_B,
> -       IFN_SD1_DAT0,
> -       FN_SD2_DAT4,
> -       FN_MSIOF1_RXD_G,
> -       FN_NFWPx_B,
> -       FN_TS_SCK1_B,
> -       FN_STP_ISCLK_1_B,
> -       IFN_SD1_DAT1,
> -       FN_SD2_DAT5,
> -       FN_MSIOF1_TXD_G,
> -       FN_NFDATA14_B,
> -       FN_TS_SPSYNC1_B,
> -       FN_STP_ISSYNC_1_B,
> -       IFN_SD1_DAT2,
> -       FN_SD2_DAT6,
> -       FN_MSIOF1_SS1_G,
> -       FN_NFDATA15_B,
> -       FN_TS_SDAT1_B,
> -       FN_STP_IOD_1_B,
> -       IFN_SD1_DAT3,
> -       FN_SD2_DAT7,
> -       FN_MSIOF1_SS2_G,
> -       FN_NFRBx_B,
> -       FN_TS_SDEN1_B,
> -       FN_STP_ISEN_1_B,
> -
> -       /* IPSR9 */
> -       IFN_SD2_CLK,
> -       FN_NFDATA8,
> -       IFN_SD2_CMD,
> -       FN_NFDATA9,
> -       IFN_SD2_DAT0,
> -       FN_NFDATA10,
> -       IFN_SD2_DAT1,
> -       FN_NFDATA11,
> -       IFN_SD2_DAT2,
> -       FN_NFDATA12,
> -       IFN_SD2_DAT3,
> -       FN_NFDATA13,
> -       IFN_SD2_DS,
> -       FN_NFALE,
> -       FN_SATA_DEVSLP_B,
> -       IFN_SD3_CLK,
> -       FN_NFWEx,
> -
> -       /* IPSR10 */
> -       IFN_SD3_CMD,
> -       FN_NFREx,
> -       IFN_SD3_DAT0,
> -       FN_NFDATA0,
> -       IFN_SD3_DAT1,
> -       FN_NFDATA1,
> -       IFN_SD3_DAT2,
> -       FN_NFDATA2,
> -       IFN_SD3_DAT3,
> -       FN_NFDATA3,
> -       IFN_SD3_DAT4,
> -       FN_SD2_CD_A,
> -       FN_NFDATA4,
> -       IFN_SD3_DAT5,
> -       FN_SD2_WP_A,
> -       FN_NFDATA5,
> -       IFN_SD3_DAT6,
> -       FN_SD3_CD,
> -       FN_NFDATA6,
> -
> -       /* IPSR11 */
> -       IFN_SD3_DAT7,
> -       FN_SD3_WP,
> -       FN_NFDATA7,
> -       IFN_SD3_DS,
> -       FN_NFCLE,
> -       IFN_SD0_CD,
> -       FN_NFDATA14_A,
> -       FN_SCL2_B,
> -       FN_SIM0_RST_A,
> -       IFN_SD0_WP,
> -       FN_NFDATA15_A,
> -       FN_SDA2_B,
> -       IFN_SD1_CD,
> -       FN_NFRBx_A,
> -       FN_SIM0_CLK_B,
> -       IFN_SD1_WP,
> -       FN_NFCEx_A,
> -       FN_SIM0_D_B,
> -       IFN_SCK0,
> -       FN_HSCK1_B,
> -       FN_MSIOF1_SS2_B,
> -       FN_AUDIO_CLKC_B,
> -       FN_SDA2_A,
> -       FN_SIM0_RST_B,
> -       FN_STP_OPWM_0_C,
> -       FN_RIF0_CLK_B,
> -       FN_ADICHS2,
> -       FN_SCK5_B,
> -       IFN_RX0,
> -       FN_HRX1_B,
> -       FN_TS_SCK0_C,
> -       FN_STP_ISCLK_0_C,
> -       FN_RIF0_D0_B,
> -
> -       /* IPSR12 */
> -       IFN_TX0,
> -       FN_HTX1_B,
> -       FN_TS_SPSYNC0_C,
> -       FN_STP_ISSYNC_0_C,
> -       FN_RIF0_D1_B,
> -       IFN_CTS0x,
> -       FN_HCTS1x_B,
> -       FN_MSIOF1_SYNC_B,
> -       FN_TS_SPSYNC1_C,
> -       FN_STP_ISSYNC_1_C,
> -       FN_RIF1_SYNC_B,
> -       FN_AUDIO_CLKOUT_C,
> -       FN_ADICS_SAMP,
> -       IFN_RTS0x_TANS,
> -       FN_HRTS1x_B,
> -       FN_MSIOF1_SS1_B,
> -       FN_AUDIO_CLKA_B,
> -       FN_SCL2_A,
> -       FN_STP_IVCXO27_1_C,
> -       FN_RIF0_SYNC_B,
> -       FN_ADICHS1,
> -       IFN_RX1_A,
> -       FN_HRX1_A,
> -       FN_TS_SDAT0_C,
> -       FN_STP_ISD_0_C,
> -       FN_RIF1_CLK_C,
> -       IFN_TX1_A,
> -       FN_HTX1_A,
> -       FN_TS_SDEN0_C,
> -       FN_STP_ISEN_0_C,
> -       FN_RIF1_D0_C,
> -       IFN_CTS1x,
> -       FN_HCTS1x_A,
> -       FN_MSIOF1_RXD_B,
> -       FN_TS_SDEN1_C,
> -       FN_STP_ISEN_1_C,
> -       FN_RIF1_D0_B,
> -       FN_ADIDATA,
> -       IFN_RTS1x_TANS,
> -       FN_HRTS1x_A,
> -       FN_MSIOF1_TXD_B,
> -       FN_TS_SDAT1_C,
> -       FN_STP_ISD_1_C,
> -       FN_RIF1_D1_B,
> -       FN_ADICHS0,
> -       IFN_SCK2,
> -       FN_SCIF_CLK_B,
> -       FN_MSIOF1_SCK_B,
> -       FN_TS_SCK1_C,
> -       FN_STP_ISCLK_1_C,
> -       FN_RIF1_CLK_B,
> -       FN_ADICLK,
> -
> -       /* IPSR13 */
> -       IFN_TX2_A,
> -       FN_SD2_CD_B,
> -       FN_SCL1_A,
> -       FN_FMCLK_A,
> -       FN_RIF1_D1_C,
> -       FN_FSO_CFE_0x,
> -       IFN_RX2_A,
> -       FN_SD2_WP_B,
> -       FN_SDA1_A,
> -       FN_FMIN_A,
> -       FN_RIF1_SYNC_C,
> -       FN_FSO_CFE_1x,
> -       IFN_HSCK0,
> -       FN_MSIOF1_SCK_D,
> -       FN_AUDIO_CLKB_A,
> -       FN_SSI_SDATA1_B,
> -       FN_TS_SCK0_D,
> -       FN_STP_ISCLK_0_D,
> -       FN_RIF0_CLK_C,
> -       FN_RX5_B,
> -       IFN_HRX0,
> -       FN_MSIOF1_RXD_D,
> -       FN_SSI_SDATA2_B,
> -       FN_TS_SDEN0_D,
> -       FN_STP_ISEN_0_D,
> -       FN_RIF0_D0_C,
> -       IFN_HTX0,
> -       FN_MSIOF1_TXD_D,
> -       FN_SSI_SDATA9_B,
> -       FN_TS_SDAT0_D,
> -       FN_STP_ISD_0_D,
> -       FN_RIF0_D1_C,
> -       IFN_HCTS0x,
> -       FN_RX2_B,
> -       FN_MSIOF1_SYNC_D,
> -       FN_SSI_SCK9_A,
> -       FN_TS_SPSYNC0_D,
> -       FN_STP_ISSYNC_0_D,
> -       FN_RIF0_SYNC_C,
> -       FN_AUDIO_CLKOUT1_A,
> -       IFN_HRTS0x,
> -       FN_TX2_B,
> -       FN_MSIOF1_SS1_D,
> -       FN_SSI_WS9_A,
> -       FN_STP_IVCXO27_0_D,
> -       FN_BPFCLK_A,
> -       FN_AUDIO_CLKOUT2_A,
> -       IFN_MSIOF0_SYNC,
> -       FN_AUDIO_CLKOUT_A,
> -       FN_TX5_B,
> -       FN_BPFCLK_D,
> -
> -       /* IPSR14 */
> -       IFN_MSIOF0_SS1,
> -       FN_RX5_A,
> -       FN_NFWPx_A,
> -       FN_AUDIO_CLKA_C,
> -       FN_SSI_SCK2_A,
> -       FN_STP_IVCXO27_0_C,
> -       FN_AUDIO_CLKOUT3_A,
> -       FN_TCLK1_B,
> -       IFN_MSIOF0_SS2,
> -       FN_TX5_A,
> -       FN_MSIOF1_SS2_D,
> -       FN_AUDIO_CLKC_A,
> -       FN_SSI_WS2_A,
> -       FN_STP_OPWM_0_D,
> -       FN_AUDIO_CLKOUT_D,
> -       FN_SPEEDIN_B,
> -       IFN_MLB_CLK,
> -       FN_MSIOF1_SCK_F,
> -       FN_SCL1_B,
> -       IFN_MLB_SIG,
> -       FN_RX1_B,
> -       FN_MSIOF1_SYNC_F,
> -       FN_SDA1_B,
> -       IFN_MLB_DAT,
> -       FN_TX1_B,
> -       FN_MSIOF1_RXD_F,
> -       IFN_SSI_SCK01239,
> -       FN_MSIOF1_TXD_F,
> -       FN_MOUT0,
> -       IFN_SSI_WS01239,
> -       FN_MSIOF1_SS1_F,
> -       FN_MOUT1,
> -       IFN_SSI_SDATA0,
> -       FN_MSIOF1_SS2_F,
> -       FN_MOUT2,
> -
> -       /* IPSR15 */
> -       IFN_SSI_SDATA1_A,
> -       FN_MOUT5,
> -       IFN_SSI_SDATA2_A,
> -       FN_SSI_SCK1_B,
> -       FN_MOUT6,
> -       IFN_SSI_SCK34,
> -       FN_MSIOF1_SS1_A,
> -       FN_STP_OPWM_0_A,
> -       IFN_SSI_WS34,
> -       FN_HCTS2x_A,
> -       FN_MSIOF1_SS2_A,
> -       FN_STP_IVCXO27_0_A,
> -       IFN_SSI_SDATA3,
> -       FN_HRTS2x_A,
> -       FN_MSIOF1_TXD_A,
> -       FN_TS_SCK0_A,
> -       FN_STP_ISCLK_0_A,
> -       FN_RIF0_D1_A,
> -       FN_RIF2_D0_A,
> -       IFN_SSI_SCK4,
> -       FN_HRX2_A,
> -       FN_MSIOF1_SCK_A,
> -       FN_TS_SDAT0_A,
> -       FN_STP_ISD_0_A,
> -       FN_RIF0_CLK_A,
> -       FN_RIF2_CLK_A,
> -       IFN_SSI_WS4,
> -       FN_HTX2_A,
> -       FN_MSIOF1_SYNC_A,
> -       FN_TS_SDEN0_A,
> -       FN_STP_ISEN_0_A,
> -       FN_RIF0_SYNC_A,
> -       FN_RIF2_SYNC_A,
> -       IFN_SSI_SDATA4,
> -       FN_HSCK2_A,
> -       FN_MSIOF1_RXD_A,
> -       FN_TS_SPSYNC0_A,
> -       FN_STP_ISSYNC_0_A,
> -       FN_RIF0_D0_A,
> -       FN_RIF2_D1_A,
> -
> -       /* IPSR16 */
> -       IFN_SSI_SCK6,
> -       FN_SIM0_RST_D,
> -       IFN_SSI_WS6,
> -       FN_SIM0_D_D,
> -       IFN_SSI_SDATA6,
> -       FN_SIM0_CLK_D,
> -       FN_SATA_DEVSLP_A,
> -       IFN_SSI_SCK78,
> -       FN_HRX2_B,
> -       FN_MSIOF1_SCK_C,
> -       FN_TS_SCK1_A,
> -       FN_STP_ISCLK_1_A,
> -       FN_RIF1_CLK_A,
> -       FN_RIF3_CLK_A,
> -       IFN_SSI_WS78,
> -       FN_HTX2_B,
> -       FN_MSIOF1_SYNC_C,
> -       FN_TS_SDAT1_A,
> -       FN_STP_ISD_1_A,
> -       FN_RIF1_SYNC_A,
> -       FN_RIF3_SYNC_A,
> -       IFN_SSI_SDATA7,
> -       FN_HCTS2x_B,
> -       FN_MSIOF1_RXD_C,
> -       FN_TS_SDEN1_A,
> -       FN_STP_ISEN_1_A,
> -       FN_RIF1_D0_A,
> -       FN_RIF3_D0_A,
> -       FN_TCLK2_A,
> -       IFN_SSI_SDATA8,
> -       FN_HRTS2x_B,
> -       FN_MSIOF1_TXD_C,
> -       FN_TS_SPSYNC1_A,
> -       FN_STP_ISSYNC_1_A,
> -       FN_RIF1_D1_A,
> -       FN_RIF3_D1_A,
> -       IFN_SSI_SDATA9_A,
> -       FN_HSCK2_B,
> -       FN_MSIOF1_SS1_C,
> -       FN_HSCK1_A,
> -       FN_SSI_WS1_B,
> -       FN_SCK1,
> -       FN_STP_IVCXO27_1_A,
> -       FN_SCK5_A,
> -
> -       /* IPSR17 */
> -       IFN_AUDIO_CLKA_A,
> -       FN_CC5_OSCOUT,
> -       IFN_AUDIO_CLKB_B,
> -       FN_SCIF_CLK_A,
> -       FN_STP_IVCXO27_1_D,
> -       FN_REMOCON_A,
> -       FN_TCLK1_A,
> -       IFN_USB0_PWEN,
> -       FN_SIM0_RST_C,
> -       FN_TS_SCK1_D,
> -       FN_STP_ISCLK_1_D,
> -       FN_BPFCLK_B,
> -       FN_RIF3_CLK_B,
> -       FN_HSCK2_C,
> -       IFN_USB0_OVC,
> -       FN_SIM0_D_C,
> -       FN_TS_SDAT1_D,
> -       FN_STP_ISD_1_D,
> -       FN_RIF3_SYNC_B,
> -       FN_HRX2_C,
> -       IFN_USB1_PWEN,
> -       FN_SIM0_CLK_C,
> -       FN_SSI_SCK1_A,
> -       FN_TS_SCK0_E,
> -       FN_STP_ISCLK_0_E,
> -       FN_FMCLK_B,
> -       FN_RIF2_CLK_B,
> -       FN_SPEEDIN_A,
> -       FN_HTX2_C,
> -       IFN_USB1_OVC,
> -       FN_MSIOF1_SS2_C,
> -       FN_SSI_WS1_A,
> -       FN_TS_SDAT0_E,
> -       FN_STP_ISD_0_E,
> -       FN_FMIN_B,
> -       FN_RIF2_SYNC_B,
> -       FN_REMOCON_B,
> -       FN_HCTS2x_C,
> -       IFN_USB30_PWEN,
> -       FN_AUDIO_CLKOUT_B,
> -       FN_SSI_SCK2_B,
> -       FN_TS_SDEN1_D,
> -       FN_STP_ISEN_1_D,
> -       FN_STP_OPWM_0_E,
> -       FN_RIF3_D0_B,
> -       FN_TCLK2_B,
> -       FN_TPU0TO0,
> -       FN_BPFCLK_C,
> -       FN_HRTS2x_C,
> -       IFN_USB30_OVC,
> -       FN_AUDIO_CLKOUT1_B,
> -       FN_SSI_WS2_B,
> -       FN_TS_SPSYNC1_D,
> -       FN_STP_ISSYNC_1_D,
> -       FN_STP_IVCXO27_0_E,
> -       FN_RIF3_D1_B,
> -       FN_FSO_TOEx,
> -       FN_TPU0TO1,
> -
> -       /* IPSR18 */
> -       IFN_USB3_PWEN,
> -       FN_AUDIO_CLKOUT2_B,
> -       FN_SSI_SCK9_B,
> -       FN_TS_SDEN0_E,
> -       FN_STP_ISEN_0_E,
> -       FN_RIF2_D0_B,
> -       FN_TPU0TO2,
> -       FN_FMCLK_C,
> -       FN_FMCLK_D,
> -       IFN_USB3_OVC,
> -       FN_AUDIO_CLKOUT3_B,
> -       FN_SSI_WS9_B,
> -       FN_TS_SPSYNC0_E,
> -       FN_STP_ISSYNC_0_E,
> -       FN_RIF2_D1_B,
> -       FN_TPU0TO3,
> -       FN_FMIN_C,
> -       FN_FMIN_D,
> -
> -       /* MOD_SEL0 */
> -       /* sel_msiof3[3](0,1,2,3,4) */
> -       FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
> -       FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
> -       FN_SEL_MSIOF3_4,
> -       /* sel_msiof2[2](0,1,2,3) */
> -       FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
> -       FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
> -       /* sel_msiof1[3](0,1,2,3,4,5,6) */
> -       FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
> -       FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
> -       FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
> -       FN_SEL_MSIOF1_6,
> -       /* sel_lbsc[1](0,1) */
> -       FN_SEL_LBSC_0, FN_SEL_LBSC_1,
> -       /* sel_iebus[1](0,1) */
> -       FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
> -       /* sel_i2c2[1](0,1) */
> -       FN_SEL_I2C2_0, FN_SEL_I2C2_1,
> -       /* sel_i2c1[1](0,1) */
> -       FN_SEL_I2C1_0, FN_SEL_I2C1_1,
> -       /* sel_hscif4[1](0,1) */
> -       FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
> -       /* sel_hscif3[2](0,1,2,3) */
> -       FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
> -       FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
> -       /* sel_hscif1[1](0,1) */
> -       FN_SEL_HSCIF1_0, FN_SEL_HSCIF1_1,
> -       /* reserved[1] */
> -       /* sel_hscif2[2](0,1,2) */
> -       FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
> -       FN_SEL_HSCIF2_2,
> -       /* sel_etheravb[1](0,1) */
> -       FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
> -       /* sel_drif3[1](0,1) */
> -       FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
> -       /* sel_drif2[1](0,1) */
> -       FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
> -       /* sel_drif1[2](0,1,2) */
> -       FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
> -       FN_SEL_DRIF1_2,
> -       /* sel_drif0[2](0,1,2) */
> -       FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
> -       FN_SEL_DRIF0_2,
> -       /* sel_canfd0[1](0,1) */
> -       FN_SEL_CANFD_0, FN_SEL_CANFD_1,
> -       /* sel_adg_a[2](0,1,2) */
> -       FN_SEL_ADG_A_0, FN_SEL_ADG_A_1,
> -       FN_SEL_ADG_A_2,
> -       /* reserved[3]*/
> -
> -       /* MOD_SEL1 */
> -       /* sel_tsif1[2](0,1,2,3) */
> -       FN_SEL_TSIF1_0, FN_SEL_TSIF1_1,
> -       FN_SEL_TSIF1_2, FN_SEL_TSIF1_3,
> -       /* sel_tsif0[3](0,1,2,3,4) */
> -       FN_SEL_TSIF0_0, FN_SEL_TSIF0_1,
> -       FN_SEL_TSIF0_2, FN_SEL_TSIF0_3,
> -       FN_SEL_TSIF0_4,
> -       /* sel_timer_tmu1[1](0,1) */
> -       FN_SEL_TIMER_TMU1_0, FN_SEL_TIMER_TMU1_1,
> -       /* sel_ssp1_1[2](0,1,2,3) */
> -       FN_SEL_SSP1_1_0, FN_SEL_SSP1_1_1,
> -       FN_SEL_SSP1_1_2, FN_SEL_SSP1_1_3,
> -       /* sel_ssp1_0[3](0,1,2,3,4) */
> -       FN_SEL_SSP1_0_0, FN_SEL_SSP1_0_1,
> -       FN_SEL_SSP1_0_2, FN_SEL_SSP1_0_3,
> -       FN_SEL_SSP1_0_4,
> -       /* sel_ssi1[1](0,1) */
> -       FN_SEL_SSI_0, FN_SEL_SSI_1,
> -       /* sel_speed_pulse_if[1](0,1) */
> -       FN_SEL_SPEED_PULSE_IF_0, FN_SEL_SPEED_PULSE_IF_1,
> -       /* sel_simcard[2](0,1,2,3) */
> -       FN_SEL_SIMCARD_0, FN_SEL_SIMCARD_1,
> -       FN_SEL_SIMCARD_2, FN_SEL_SIMCARD_3,
> -       /* sel_sdhi2[1](0,1) */
> -       FN_SEL_SDHI2_0, FN_SEL_SDHI2_1,
> -       /* sel_scif4[2](0,1,2) */
> -       FN_SEL_SCIF4_0, FN_SEL_SCIF4_1,
> -       FN_SEL_SCIF4_2,
> -       /* sel_scif3[1](0,1) */
> -       FN_SEL_SCIF3_0, FN_SEL_SCIF3_1,
> -       /* sel_scif2[1](0,1) */
> -       FN_SEL_SCIF2_0, FN_SEL_SCIF2_1,
> -       /* sel_scif1[1](0,1) */
> -       FN_SEL_SCIF1_0, FN_SEL_SCIF1_1,
> -       /* sel_scif[1](0,1) */
> -       FN_SEL_SCIF_0, FN_SEL_SCIF_1,
> -       /* sel_remocon[1](0,1) */
> -       FN_SEL_REMOCON_0, FN_SEL_REMOCON_1,
> -       /* reserved[8..7] */
> -       /* sel_rcan0[1](0,1) */
> -       FN_SEL_RCAN_0, FN_SEL_RCAN_1,
> -       /* sel_pwm6[1](0,1) */
> -       FN_SEL_PWM6_0, FN_SEL_PWM6_1,
> -       /* sel_pwm5[1](0,1) */
> -       FN_SEL_PWM5_0, FN_SEL_PWM5_1,
> -       /* sel_pwm4[1](0,1) */
> -       FN_SEL_PWM4_0, FN_SEL_PWM4_1,
> -       /* sel_pwm3[1](0,1) */
> -       FN_SEL_PWM3_0, FN_SEL_PWM3_1,
> -       /* sel_pwm2[1](0,1) */
> -       FN_SEL_PWM2_0, FN_SEL_PWM2_1,
> -       /* sel_pwm1[1](0,1) */
> -       FN_SEL_PWM1_0, FN_SEL_PWM1_1,
> -
> -       /* MOD_SEL2 */
> -       /* i2c_sel_5[1](0,1) */
> -       FN_I2C_SEL_5_0, FN_I2C_SEL_5_1,
> -       /* i2c_sel_3[1](0,1) */
> -       FN_I2C_SEL_3_0, FN_I2C_SEL_3_1,
> -       /* i2c_sel_0[1](0,1) */
> -       FN_I2C_SEL_0_0, FN_I2C_SEL_0_1,
> -       /* sel_fm[2](0,1,2,3) */
> -       FN_SEL_FM_0, FN_SEL_FM_1,
> -       FN_SEL_FM_2, FN_SEL_FM_3,
> -       /* sel_scif5[1](0,1) */
> -       FN_SEL_SCIF5_0, FN_SEL_SCIF5_1,
> -       /* sel_i2c6[3](0,1,2) */
> -       FN_SEL_I2C6_0, FN_SEL_I2C6_1,
> -       FN_SEL_I2C6_2,
> -       /* sel_ndfc[1](0,1) */
> -       FN_SEL_NDFC_0, FN_SEL_NDFC_1,
> -       /* sel_ssi2[1](0,1) */
> -       FN_SEL_SSI2_0, FN_SEL_SSI2_1,
> -       /* sel_ssi9[1](0,1) */
> -       FN_SEL_SSI9_0, FN_SEL_SSI9_1,
> -       /* sel_timer_tmu2[1](0,1) */
> -       FN_SEL_TIMER_TMU2_0, FN_SEL_TIMER_TMU2_1,
> -       /* sel_adg_b[1](0,1) */
> -       FN_SEL_ADG_B_0, FN_SEL_ADG_B_1,
> -       /* sel_adg_c[1](0,1) */
> -       FN_SEL_ADG_C_0, FN_SEL_ADG_C_1,
> -       /* reserved[16..16] */
> -       /* reserved[15..8] */
> -       /* reserved[7..1] */
> -       /* sel_vin4[1](0,1) */
> -       FN_SEL_VIN4_0, FN_SEL_VIN4_1,
> -
> -       PINMUX_FUNCTION_END,
> -
> -       PINMUX_MARK_BEGIN,
> -
> -       /* GPSR0 */
> -       D15_GMARK,
> -       D14_GMARK,
> -       D13_GMARK,
> -       D12_GMARK,
> -       D11_GMARK,
> -       D10_GMARK,
> -       D9_GMARK,
> -       D8_GMARK,
> -       D7_GMARK,
> -       D6_GMARK,
> -       D5_GMARK,
> -       D4_GMARK,
> -       D3_GMARK,
> -       D2_GMARK,
> -       D1_GMARK,
> -       D0_GMARK,
> -
> -       /* GPSR1 */
> -       CLKOUT_GMARK,
> -       EX_WAIT0_A_GMARK,
> -       WE1x_GMARK,
> -       WE0x_GMARK,
> -       RD_WRx_GMARK,
> -       RDx_GMARK,
> -       BSx_GMARK,
> -       CS1x_A26_GMARK,
> -       CS0x_GMARK,
> -       A19_GMARK,
> -       A18_GMARK,
> -       A17_GMARK,
> -       A16_GMARK,
> -       A15_GMARK,
> -       A14_GMARK,
> -       A13_GMARK,
> -       A12_GMARK,
> -       A11_GMARK,
> -       A10_GMARK,
> -       A9_GMARK,
> -       A8_GMARK,
> -       A7_GMARK,
> -       A6_GMARK,
> -       A5_GMARK,
> -       A4_GMARK,
> -       A3_GMARK,
> -       A2_GMARK,
> -       A1_GMARK,
> -       A0_GMARK,
> -
> -       /* GPSR2 */
> -       AVB_AVTP_CAPTURE_A_GMARK,
> -       AVB_AVTP_MATCH_A_GMARK,
> -       AVB_LINK_GMARK,
> -       AVB_PHY_INT_GMARK,
> -       AVB_MAGIC_GMARK,
> -       AVB_MDC_GMARK,
> -       PWM2_A_GMARK,
> -       PWM1_A_GMARK,
> -       PWM0_GMARK,
> -       IRQ5_GMARK,
> -       IRQ4_GMARK,
> -       IRQ3_GMARK,
> -       IRQ2_GMARK,
> -       IRQ1_GMARK,
> -       IRQ0_GMARK,
> -
> -       /* GPSR3 */
> -       SD1_WP_GMARK,
> -       SD1_CD_GMARK,
> -       SD0_WP_GMARK,
> -       SD0_CD_GMARK,
> -       SD1_DAT3_GMARK,
> -       SD1_DAT2_GMARK,
> -       SD1_DAT1_GMARK,
> -       SD1_DAT0_GMARK,
> -       SD1_CMD_GMARK,
> -       SD1_CLK_GMARK,
> -       SD0_DAT3_GMARK,
> -       SD0_DAT2_GMARK,
> -       SD0_DAT1_GMARK,
> -       SD0_DAT0_GMARK,
> -       SD0_CMD_GMARK,
> -       SD0_CLK_GMARK,
> -
> -       /* GPSR4 */
> -       SD3_DS_GMARK,
> -       SD3_DAT7_GMARK,
> -       SD3_DAT6_GMARK,
> -       SD3_DAT5_GMARK,
> -       SD3_DAT4_GMARK,
> -       SD3_DAT3_GMARK,
> -       SD3_DAT2_GMARK,
> -       SD3_DAT1_GMARK,
> -       SD3_DAT0_GMARK,
> -       SD3_CMD_GMARK,
> -       SD3_CLK_GMARK,
> -       SD2_DS_GMARK,
> -       SD2_DAT3_GMARK,
> -       SD2_DAT2_GMARK,
> -       SD2_DAT1_GMARK,
> -       SD2_DAT0_GMARK,
> -       SD2_CMD_GMARK,
> -       SD2_CLK_GMARK,
> -
> -       /* GPSR5 */
> -       MLB_DAT_GMARK,
> -       MLB_SIG_GMARK,
> -       MLB_CLK_GMARK,
> -       MSIOF0_RXD_MARK,
> -       MSIOF0_SS2_GMARK,
> -       MSIOF0_TXD_MARK,
> -       MSIOF0_SS1_GMARK,
> -       MSIOF0_SYNC_GMARK,
> -       MSIOF0_SCK_MARK,
> -       HRTS0x_GMARK,
> -       HCTS0x_GMARK,
> -       HTX0_GMARK,
> -       HRX0_GMARK,
> -       HSCK0_GMARK,
> -       RX2_A_GMARK,
> -       TX2_A_GMARK,
> -       SCK2_GMARK,
> -       RTS1x_TANS_GMARK,
> -       CTS1x_GMARK,
> -       TX1_A_GMARK,
> -       RX1_A_GMARK,
> -       RTS0x_TANS_GMARK,
> -       CTS0x_GMARK,
> -       TX0_GMARK,
> -       RX0_GMARK,
> -       SCK0_GMARK,
> -
> -       /* GPSR6 */
> -       USB3_OVC_GMARK,
> -       USB3_PWEN_GMARK,
> -       USB30_OVC_GMARK,
> -       USB30_PWEN_GMARK,
> -       USB1_OVC_GMARK,
> -       USB1_PWEN_GMARK,
> -       USB0_OVC_GMARK,
> -       USB0_PWEN_GMARK,
> -       AUDIO_CLKB_B_GMARK,
> -       AUDIO_CLKA_A_GMARK,
> -       SSI_SDATA9_A_GMARK,
> -       SSI_SDATA8_GMARK,
> -       SSI_SDATA7_GMARK,
> -       SSI_WS78_GMARK,
> -       SSI_SCK78_GMARK,
> -       SSI_SDATA6_GMARK,
> -       SSI_WS6_GMARK,
> -       SSI_SCK6_GMARK,
> -       SSI_SDATA5_MARK,
> -       SSI_WS5_MARK,
> -       SSI_SCK5_MARK,
> -       SSI_SDATA4_GMARK,
> -       SSI_WS4_GMARK,
> -       SSI_SCK4_GMARK,
> -       SSI_SDATA3_GMARK,
> -       SSI_WS34_GMARK,
> -       SSI_SCK34_GMARK,
> -       SSI_SDATA2_A_GMARK,
> -       SSI_SDATA1_A_GMARK,
> -       SSI_SDATA0_GMARK,
> -       SSI_WS01239_GMARK,
> -       SSI_SCK01239_GMARK,
> -
> -       /* GPSR7 */
> -       HDMI1_CEC_MARK,
> -       HDMI0_CEC_MARK,
> -       AVS2_MARK,
> -       AVS1_MARK,
> -
> -       /* IPSR0 */
> -       AVB_MDC_IMARK,
> -       MSIOF2_SS2_C_MARK,
> -       AVB_MAGIC_IMARK,
> -       MSIOF2_SS1_C_MARK,
> -       SCK4_A_MARK,
> -       AVB_PHY_INT_IMARK,
> -       MSIOF2_SYNC_C_MARK,
> -       RX4_A_MARK,
> -       AVB_LINK_IMARK,
> -       MSIOF2_SCK_C_MARK,
> -       TX4_A_MARK,
> -       AVB_AVTP_MATCH_A_IMARK,
> -       MSIOF2_RXD_C_MARK,
> -       CTS4x_A_MARK,
> -       FSCLKST2x_A_MARK,
> -       AVB_AVTP_CAPTURE_A_IMARK,
> -       MSIOF2_TXD_C_MARK,
> -       RTS4x_TANS_A_MARK,
> -       IRQ0_IMARK,
> -       QPOLB_MARK,
> -       DU_CDE_MARK,
> -       VI4_DATA0_B_MARK,
> -       CAN0_TX_B_MARK,
> -       CANFD0_TX_B_MARK,
> -       MSIOF3_SS2_E_MARK,
> -       IRQ1_IMARK,
> -       QPOLA_MARK,
> -       DU_DISP_MARK,
> -       VI4_DATA1_B_MARK,
> -       CAN0_RX_B_MARK,
> -       CANFD0_RX_B_MARK,
> -       MSIOF3_SS1_E_MARK,
> -
> -       /* IPSR1 */
> -       IRQ2_IMARK,
> -       QCPV_QDE_MARK,
> -       DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
> -       VI4_DATA2_B_MARK,
> -       MSIOF3_SYNC_E_MARK,
> -       PWM3_B_MARK,
> -       IRQ3_IMARK,
> -       QSTVB_QVE_MARK,
> -       DU_DOTCLKOUT1_MARK,
> -       VI4_DATA3_B_MARK,
> -       MSIOF3_SCK_E_MARK,
> -       PWM4_B_MARK,
> -       IRQ4_IMARK,
> -       QSTH_QHS_MARK,
> -       DU_EXHSYNC_DU_HSYNC_MARK,
> -       VI4_DATA4_B_MARK,
> -       MSIOF3_RXD_E_MARK,
> -       PWM5_B_MARK,
> -       IRQ5_IMARK,
> -       QSTB_QHE_MARK,
> -       DU_EXVSYNC_DU_VSYNC_MARK,
> -       VI4_DATA5_B_MARK,
> -       FSCLKST2x_B_MARK,
> -       MSIOF3_TXD_E_MARK,
> -       PWM6_B_MARK,
> -       PWM0_IMARK,
> -       AVB_AVTP_PPS_MARK,
> -       VI4_DATA6_B_MARK,
> -       IECLK_B_MARK,
> -       PWM1_A_IMARK,
> -       HRX3_D_MARK,
> -       VI4_DATA7_B_MARK,
> -       IERX_B_MARK,
> -       PWM2_A_IMARK,
> -       PWMFSW0_MARK,
> -       HTX3_D_MARK,
> -       IETX_B_MARK,
> -       A0_IMARK,
> -       LCDOUT16_MARK,
> -       MSIOF3_SYNC_B_MARK,
> -       VI4_DATA8_MARK,
> -       DU_DB0_MARK,
> -       PWM3_A_MARK,
> -
> -       /* IPSR2 */
> -       A1_IMARK,
> -       LCDOUT17_MARK,
> -       MSIOF3_TXD_B_MARK,
> -       VI4_DATA9_MARK,
> -       DU_DB1_MARK,
> -       PWM4_A_MARK,
> -       A2_IMARK,
> -       LCDOUT18_MARK,
> -       MSIOF3_SCK_B_MARK,
> -       VI4_DATA10_MARK,
> -       DU_DB2_MARK,
> -       PWM5_A_MARK,
> -       A3_IMARK,
> -       LCDOUT19_MARK,
> -       MSIOF3_RXD_B_MARK,
> -       VI4_DATA11_MARK,
> -       DU_DB3_MARK,
> -       PWM6_A_MARK,
> -       A4_IMARK,
> -       LCDOUT20_MARK,
> -       MSIOF3_SS1_B_MARK,
> -       VI4_DATA12_MARK,
> -       VI5_DATA12_MARK,
> -       DU_DB4_MARK,
> -       A5_IMARK,
> -       LCDOUT21_MARK,
> -       MSIOF3_SS2_B_MARK,
> -       SCK4_B_MARK,
> -       VI4_DATA13_MARK,
> -       VI5_DATA13_MARK,
> -       DU_DB5_MARK,
> -       A6_IMARK,
> -       LCDOUT22_MARK,
> -       MSIOF2_SS1_A_MARK,
> -       RX4_B_MARK,
> -       VI4_DATA14_MARK,
> -       VI5_DATA14_MARK,
> -       DU_DB6_MARK,
> -       A7_IMARK,
> -       LCDOUT23_MARK,
> -       MSIOF2_SS2_A_MARK,
> -       TX4_B_MARK,
> -       VI4_DATA15_MARK,
> -       V15_DATA15_MARK,
> -       DU_DB7_MARK,
> -       A8_IMARK,
> -       RX3_B_MARK,
> -       MSIOF2_SYNC_A_MARK,
> -       HRX4_B_MARK,
> -       SDA6_A_MARK,
> -       AVB_AVTP_MATCH_B_MARK,
> -       PWM1_B_MARK,
> -
> -       /* IPSR3 */
> -       A9_IMARK,
> -       MSIOF2_SCK_A_MARK,
> -       CTS4x_B_MARK,
> -       VI5_VSYNCx_MARK,
> -       A10_IMARK,
> -       MSIOF2_RXD_A_MARK,
> -       RTS4n_TANS_B_MARK,
> -       VI5_HSYNCx_MARK,
> -       A11_IMARK,
> -       TX3_B_MARK,
> -       MSIOF2_TXD_A_MARK,
> -       HTX4_B_MARK,
> -       HSCK4_MARK,
> -       VI5_FIELD_MARK,
> -       SCL6_A_MARK,
> -       AVB_AVTP_CAPTURE_B_MARK,
> -       PWM2_B_MARK,
> -       A12_IMARK,
> -       LCDOUT12_MARK,
> -       MSIOF3_SCK_C_MARK,
> -       HRX4_A_MARK,
> -       VI5_DATA8_MARK,
> -       DU_DG4_MARK,
> -       A13_IMARK,
> -       LCDOUT13_MARK,
> -       MSIOF3_SYNC_C_MARK,
> -       HTX4_A_MARK,
> -       VI5_DATA9_MARK,
> -       DU_DG5_MARK,
> -       A14_IMARK,
> -       LCDOUT14_MARK,
> -       MSIOF3_RXD_C_MARK,
> -       HCTS4x_MARK,
> -       VI5_DATA10_MARK,
> -       DU_DG6_MARK,
> -       A15_IMARK,
> -       LCDOUT15_MARK,
> -       MSIOF3_TXD_C_MARK,
> -       HRTS4x_MARK,
> -       VI5_DATA11_MARK,
> -       DU_DG7_MARK,
> -       A16_IMARK,
> -       LCDOUT8_MARK,
> -       VI4_FIELD_MARK,
> -       DU_DG0_MARK,
> -
> -       /* IPSR4 */
> -       A17_IMARK,
> -       LCDOUT9_MARK,
> -       VI4_VSYNCx_MARK,
> -       DU_DG1_MARK,
> -       A18_IMARK,
> -       LCDOUT10_MARK,
> -       VI4_HSYNCx_MARK,
> -       DU_DG2_MARK,
> -       A19_IMARK,
> -       LCDOUT11_MARK,
> -       VI4_CLKENB_MARK,
> -       DU_DG3_MARK,
> -       CS0x_IMARK,
> -       VI5_CLKENB_MARK,
> -       CS1x_A26_IMARK,
> -       VI5_CLK_MARK,
> -       EX_WAIT0_B_MARK,
> -       BSx_IMARK,
> -       QSTVA_QVS_MARK,
> -       MSIOF3_SCK_D_MARK,
> -       SCK3_MARK,
> -       HSCK3_MARK,
> -       CAN1_TX_MARK,
> -       CANFD1_TX_MARK,
> -       IETX_A_MARK,
> -       RDx_IMARK,
> -       MSIOF3_SYNC_D_MARK,
> -       RX3_A_MARK,
> -       HRX3_A_MARK,
> -       CAN0_TX_A_MARK,
> -       CANFD0_TX_A_MARK,
> -       RD_WRx_IMARK,
> -       MSIOF3_RXD_D_MARK,
> -       TX3_A_MARK,
> -       HTX3_A_MARK,
> -       CAN0_RX_A_MARK,
> -       CANFD0_RX_A_MARK,
> -
> -       /* IPSR5 */
> -       WE0x_IMARK,
> -       MSIIOF3_TXD_D_MARK,
> -       CTS3x_MARK,
> -       HCTS3x_MARK,
> -       SCL6_B_MARK,
> -       CAN_CLK_MARK,
> -       IECLK_A_MARK,
> -       WE1x_IMARK,
> -       MSIOF3_SS1_D_MARK,
> -       RTS3x_TANS_MARK,
> -       HRTS3x_MARK,
> -       SDA6_B_MARK,
> -       CAN1_RX_MARK,
> -       CANFD1_RX_MARK,
> -       IERX_A_MARK,
> -       EX_WAIT0_A_IMARK,
> -       QCLK_MARK,
> -       VI4_CLK_MARK,
> -       DU_DOTCLKOUT0_MARK,
> -       D0_IMARK,
> -       MSIOF2_SS1_B_MARK,
> -       MSIOF3_SCK_A_MARK,
> -       VI4_DATA16_MARK,
> -       VI5_DATA0_MARK,
> -       D1_IMARK,
> -       MSIOF2_SS2_B_MARK,
> -       MSIOF3_SYNC_A_MARK,
> -       VI4_DATA17_MARK,
> -       VI5_DATA1_MARK,
> -       D2_IMARK,
> -       MSIOF3_RXD_A_MARK,
> -       VI4_DATA18_MARK,
> -       VI5_DATA2_MARK,
> -       D3_IMARK,
> -       MSIOF3_TXD_A_MARK,
> -       VI4_DATA19_MARK,
> -       VI5_DATA3_MARK,
> -       D4_IMARK,
> -       MSIOF2_SCK_B_MARK,
> -       VI4_DATA20_MARK,
> -       VI5_DATA4_MARK,
> -
> -       /* IPSR6 */
> -       D5_IMARK,
> -       MSIOF2_SYNC_B_MARK,
> -       VI4_DATA21_MARK,
> -       VI5_DATA5_MARK,
> -       D6_IMARK,
> -       MSIOF2_RXD_B_MARK,
> -       VI4_DATA22_MARK,
> -       VI5_DATA6_MARK,
> -       D7_IMARK,
> -       MSIOF2_TXD_B_MARK,
> -       VI4_DATA23_MARK,
> -       VI5_DATA7_MARK,
> -       D8_IMARK,
> -       LCDOUT0_MARK,
> -       MSIOF2_SCK_D_MARK,
> -       SCK4_C_MARK,
> -       VI4_DATA0_A_MARK,
> -       DU_DR0_MARK,
> -       D9_IMARK,
> -       LCDOUT1_MARK,
> -       MSIOF2_SYNC_D_MARK,
> -       VI4_DATA1_A_MARK,
> -       DU_DR1_MARK,
> -       D10_IMARK,
> -       LCDOUT2_MARK,
> -       MSIOF2_RXD_D_MARK,
> -       HRX3_B_MARK,
> -       VI4_DATA2_A_MARK,
> -       CTS4x_C_MARK,
> -       DU_DR2_MARK,
> -       D11_IMARK,
> -       LCDOUT3_MARK,
> -       MSIOF2_TXD_D_MARK,
> -       HTX3_B_MARK,
> -       VI4_DATA3_A_MARK,
> -       RTS4x_TANS_C_MARK,
> -       DU_DR3_MARK,
> -       D12_IMARK,
> -       LCDOUT4_MARK,
> -       MSIOF2_SS1_D_MARK,
> -       RX4_C_MARK,
> -       VI4_DATA4_A_MARK,
> -       DU_DR4_MARK,
> -
> -       /* IPSR7 */
> -       D13_IMARK,
> -       LCDOUT5_MARK,
> -       MSIOF2_SS2_D_MARK,
> -       TX4_C_MARK,
> -       VI4_DATA5_A_MARK,
> -       DU_DR5_MARK,
> -       D14_IMARK,
> -       LCDOUT6_MARK,
> -       MSIOF3_SS1_A_MARK,
> -       HRX3_C_MARK,
> -       VI4_DATA6_A_MARK,
> -       DU_DR6_MARK,
> -       SCL6_C_MARK,
> -       D15_IMARK,
> -       LCDOUT7_MARK,
> -       MSIOF3_SS2_A_MARK,
> -       HTX3_C_MARK,
> -       VI4_DATA7_A_MARK,
> -       DU_DR7_MARK,
> -       SDA6_C_MARK,
> -       FSCLKST_MARK,
> -       SD0_CLK_IMARK,
> -       MSIOF1_SCK_E_MARK,
> -       STP_OPWM_0_B_MARK,
> -       SD0_CMD_IMARK,
> -       MSIOF1_SYNC_E_MARK,
> -       STP_IVCXO27_0_B_MARK,
> -       SD0_DAT0_IMARK,
> -       MSIOF1_RXD_E_MARK,
> -       TS_SCK0_B_MARK,
> -       STP_ISCLK_0_B_MARK,
> -       SD0_DAT1_IMARK,
> -       MSIOF1_TXD_E_MARK,
> -       TS_SPSYNC0_B_MARK,
> -       STP_ISSYNC_0_B_MARK,
> -
> -       /* IPSR8 */
> -       SD0_DAT2_IMARK,
> -       MSIOF1_SS1_E_MARK,
> -       TS_SDAT0_B_MARK,
> -       STP_ISD_0_B_MARK,
> -       SD0_DAT3_IMARK,
> -       MSIOF1_SS2_E_MARK,
> -       TS_SDEN0_B_MARK,
> -       STP_ISEN_0_B_MARK,
> -       SD1_CLK_IMARK,
> -       MSIOF1_SCK_G_MARK,
> -       SIM0_CLK_A_MARK,
> -       SD1_CMD_IMARK,
> -       MSIOF1_SYNC_G_MARK,
> -       NFCEx_B_MARK,
> -       SIM0_D_A_MARK,
> -       STP_IVCXO27_1_B_MARK,
> -       SD1_DAT0_IMARK,
> -       SD2_DAT4_MARK,
> -       MSIOF1_RXD_G_MARK,
> -       NFWPx_B_MARK,
> -       TS_SCK1_B_MARK,
> -       STP_ISCLK_1_B_MARK,
> -       SD1_DAT1_IMARK,
> -       SD2_DAT5_MARK,
> -       MSIOF1_TXD_G_MARK,
> -       NFDATA14_B_MARK,
> -       TS_SPSYNC1_B_MARK,
> -       STP_ISSYNC_1_B_MARK,
> -       SD1_DAT2_IMARK,
> -       SD2_DAT6_MARK,
> -       MSIOF1_SS1_G_MARK,
> -       NFDATA15_B_MARK,
> -       TS_SDAT1_B_MARK,
> -       STP_IOD_1_B_MARK,
> -       SD1_DAT3_IMARK,
> -       SD2_DAT7_MARK,
> -       MSIOF1_SS2_G_MARK,
> -       NFRBx_B_MARK,
> -       TS_SDEN1_B_MARK,
> -       STP_ISEN_1_B_MARK,
> -
> -       /* IPSR9 */
> -       SD2_CLK_IMARK,
> -       NFDATA8_MARK,
> -       SD2_CMD_IMARK,
> -       NFDATA9_MARK,
> -       SD2_DAT0_IMARK,
> -       NFDATA10_MARK,
> -       SD2_DAT1_IMARK,
> -       NFDATA11_MARK,
> -       SD2_DAT2_IMARK,
> -       NFDATA12_MARK,
> -       SD2_DAT3_IMARK,
> -       NFDATA13_MARK,
> -       SD2_DS_IMARK,
> -       NFALE_MARK,
> -       SATA_DEVSLP_B_MARK,
> -       SD3_CLK_IMARK,
> -       NFWEx_MARK,
> -
> -       /* IPSR10 */
> -       SD3_CMD_IMARK,
> -       NFREx_MARK,
> -       SD3_DAT0_IMARK,
> -       NFDATA0_MARK,
> -       SD3_DAT1_IMARK,
> -       NFDATA1_MARK,
> -       SD3_DAT2_IMARK,
> -       NFDATA2_MARK,
> -       SD3_DAT3_IMARK,
> -       NFDATA3_MARK,
> -       SD3_DAT4_IMARK,
> -       SD2_CD_A_MARK,
> -       NFDATA4_MARK,
> -       SD3_DAT5_IMARK,
> -       SD2_WP_A_MARK,
> -       NFDATA5_MARK,
> -       SD3_DAT6_IMARK,
> -       SD3_CD_MARK,
> -       NFDATA6_MARK,
> -
> -       /* IPSR11 */
> -       SD3_DAT7_IMARK,
> -       SD3_WP_MARK,
> -       NFDATA7_MARK,
> -       SD3_DS_IMARK,
> -       NFCLE_MARK,
> -       SD0_CD_IMARK,
> -       NFDATA14_A_MARK,
> -       SCL2_B_MARK,
> -       SIM0_RST_A_MARK,
> -       SD0_WP_IMARK,
> -       NFDATA15_A_MARK,
> -       SDA2_B_MARK,
> -       SD1_CD_IMARK,
> -       NFRBx_A_MARK,
> -       SIM0_CLK_B_MARK,
> -       SD1_WP_IMARK,
> -       NFCEx_A_MARK,
> -       SIM0_D_B_MARK,
> -       SCK0_IMARK,
> -       HSCK1_B_MARK,
> -       MSIOF1_SS2_B_MARK,
> -       AUDIO_CLKC_B_MARK,
> -       SDA2_A_MARK,
> -       SIM0_RST_B_MARK,
> -       STP_OPWM_0_C_MARK,
> -       RIF0_CLK_B_MARK,
> -       ADICHS2_MARK,
> -       SCK5_B_MARK,
> -       RX0_IMARK,
> -       HRX1_B_MARK,
> -       TS_SCK0_C_MARK,
> -       STP_ISCLK_0_C_MARK,
> -       RIF0_D0_B_MARK,
> -
> -       /* IPSR12 */
> -       TX0_IMARK,
> -       HTX1_B_MARK,
> -       TS_SPSYNC0_C_MARK,
> -       STP_ISSYNC_0_C_MARK,
> -       RIF0_D1_B_MARK,
> -       CTS0x_IMARK,
> -       HCTS1x_B_MARK,
> -       MSIOF1_SYNC_B_MARK,
> -       TS_SPSYNC1_C_MARK,
> -       STP_ISSYNC_1_C_MARK,
> -       RIF1_SYNC_B_MARK,
> -       AUDIO_CLKOUT_C_MARK,
> -       ADICS_SAMP_MARK,
> -       RTS0x_TANS_IMARK,
> -       HRTS1x_B_MARK,
> -       MSIOF1_SS1_B_MARK,
> -       AUDIO_CLKA_B_MARK,
> -       SCL2_A_MARK,
> -       STP_IVCXO27_1_C_MARK,
> -       RIF0_SYNC_B_MARK,
> -       ADICHS1_MARK,
> -       RX1_A_IMARK,
> -       HRX1_A_MARK,
> -       TS_SDAT0_C_MARK,
> -       STP_ISD_0_C_MARK,
> -       RIF1_CLK_C_MARK,
> -       TX1_A_IMARK,
> -       HTX1_A_MARK,
> -       TS_SDEN0_C_MARK,
> -       STP_ISEN_0_C_MARK,
> -       RIF1_D0_C_MARK,
> -       CTS1x_IMARK,
> -       HCTS1x_A_MARK,
> -       MSIOF1_RXD_B_MARK,
> -       TS_SDEN1_C_MARK,
> -       STP_ISEN_1_C_MARK,
> -       RIF1_D0_B_MARK,
> -       ADIDATA_MARK,
> -       RTS1x_TANS_IMARK,
> -       HRTS1x_A_MARK,
> -       MSIOF1_TXD_B_MARK,
> -       TS_SDAT1_C_MARK,
> -       STP_ISD_1_C_MARK,
> -       RIF1_D1_B_MARK,
> -       ADICHS0_MARK,
> -       SCK2_IMARK,
> -       SCIF_CLK_B_MARK,
> -       MSIOF1_SCK_B_MARK,
> -       TS_SCK1_C_MARK,
> -       STP_ISCLK_1_C_MARK,
> -       RIF1_CLK_B_MARK,
> -       ADICLK_MARK,
> -
> -       /* IPSR13 */
> -       TX2_A_IMARK,
> -       SD2_CD_B_MARK,
> -       SCL1_A_MARK,
> -       FMCLK_A_MARK,
> -       RIF1_D1_C_MARK,
> -       FSO_CFE_0x_MARK,
> -       RX2_A_IMARK,
> -       SD2_WP_B_MARK,
> -       SDA1_A_MARK,
> -       FMIN_A_MARK,
> -       RIF1_SYNC_C_MARK,
> -       FSO_CFE_1x_MARK,
> -       HSCK0_IMARK,
> -       MSIOF1_SCK_D_MARK,
> -       AUDIO_CLKB_A_MARK,
> -       SSI_SDATA1_B_MARK,
> -       TS_SCK0_D_MARK,
> -       STP_ISCLK_0_D_MARK,
> -       RIF0_CLK_C_MARK,
> -       RX5_B_MARK,
> -       HRX0_IMARK,
> -       MSIOF1_RXD_D_MARK,
> -       SSI_SDATA2_B_MARK,
> -       TS_SDEN0_D_MARK,
> -       STP_ISEN_0_D_MARK,
> -       RIF0_D0_C_MARK,
> -       HTX0_IMARK,
> -       MSIOF1_TXD_D_MARK,
> -       SSI_SDATA9_B_MARK,
> -       TS_SDAT0_D_MARK,
> -       STP_ISD_0_D_MARK,
> -       RIF0_D1_C_MARK,
> -       HCTS0x_IMARK,
> -       RX2_B_MARK,
> -       MSIOF1_SYNC_D_MARK,
> -       SSI_SCK9_A_MARK,
> -       TS_SPSYNC0_D_MARK,
> -       STP_ISSYNC_0_D_MARK,
> -       RIF0_SYNC_C_MARK,
> -       AUDIO_CLKOUT1_A_MARK,
> -       HRTS0x_IMARK,
> -       TX2_B_MARK,
> -       MSIOF1_SS1_D_MARK,
> -       SSI_WS9_A_MARK,
> -       STP_IVCXO27_0_D_MARK,
> -       BPFCLK_A_MARK,
> -       AUDIO_CLKOUT2_A_MARK,
> -       MSIOF0_SYNC_IMARK,
> -       AUDIO_CLKOUT_A_MARK,
> -       TX5_B_MARK,
> -       BPFCLK_D_MARK,
> -
> -       /* IPSR14 */
> -       MSIOF0_SS1_IMARK,
> -       RX5_A_MARK,
> -       NFWPx_A_MARK,
> -       AUDIO_CLKA_C_MARK,
> -       SSI_SCK2_A_MARK,
> -       STP_IVCXO27_0_C_MARK,
> -       AUDIO_CLKOUT3_A_MARK,
> -       TCLK1_B_MARK,
> -       MSIOF0_SS2_IMARK,
> -       TX5_A_MARK,
> -       MSIOF1_SS2_D_MARK,
> -       AUDIO_CLKC_A_MARK,
> -       SSI_WS2_A_MARK,
> -       STP_OPWM_0_D_MARK,
> -       AUDIO_CLKOUT_D_MARK,
> -       SPEEDIN_B_MARK,
> -       MLB_CLK_IMARK,
> -       MSIOF1_SCK_F_MARK,
> -       SCL1_B_MARK,
> -       MLB_SIG_IMARK,
> -       RX1_B_MARK,
> -       MSIOF1_SYNC_F_MARK,
> -       SDA1_B_MARK,
> -       MLB_DAT_IMARK,
> -       TX1_B_MARK,
> -       MSIOF1_RXD_F_MARK,
> -       SSI_SCK01239_IMARK,
> -       MSIOF1_TXD_F_MARK,
> -       MOUT0_MARK,
> -       SSI_WS01239_IMARK,
> -       MSIOF1_SS1_F_MARK,
> -       MOUT1_MARK,
> -       SSI_SDATA0_IMARK,
> -       MSIOF1_SS2_F_MARK,
> -       MOUT2_MARK,
> -
> -       /* IPSR15 */
> -       SSI_SDATA1_A_IMARK,
> -       MOUT5_MARK,
> -       SSI_SDATA2_A_IMARK,
> -       SSI_SCK1_B_MARK,
> -       MOUT6_MARK,
> -       SSI_SCK34_IMARK,
> -       MSIOF1_SS1_A_MARK,
> -       STP_OPWM_0_A_MARK,
> -       SSI_WS34_IMARK,
> -       HCTS2x_A_MARK,
> -       MSIOF1_SS2_A_MARK,
> -       STP_IVCXO27_0_A_MARK,
> -       SSI_SDATA3_IMARK,
> -       HRTS2x_A_MARK,
> -       MSIOF1_TXD_A_MARK,
> -       TS_SCK0_A_MARK,
> -       STP_ISCLK_0_A_MARK,
> -       RIF0_D1_A_MARK,
> -       RIF2_D0_A_MARK,
> -       SSI_SCK4_IMARK,
> -       HRX2_A_MARK,
> -       MSIOF1_SCK_A_MARK,
> -       TS_SDAT0_A_MARK,
> -       STP_ISD_0_A_MARK,
> -       RIF0_CLK_A_MARK,
> -       RIF2_CLK_A_MARK,
> -       SSI_WS4_IMARK,
> -       HTX2_A_MARK,
> -       MSIOF1_SYNC_A_MARK,
> -       TS_SDEN0_A_MARK,
> -       STP_ISEN_0_A_MARK,
> -       RIF0_SYNC_A_MARK,
> -       RIF2_SYNC_A_MARK,
> -       SSI_SDATA4_IMARK,
> -       HSCK2_A_MARK,
> -       MSIOF1_RXD_A_MARK,
> -       TS_SPSYNC0_A_MARK,
> -       STP_ISSYNC_0_A_MARK,
> -       RIF0_D0_A_MARK,
> -       RIF2_D1_A_MARK,
> -
> -       /* IPSR16 */
> -       SSI_SCK6_IMARK,
> -       SIM0_RST_D_MARK,
> -       SSI_WS6_IMARK,
> -       SIM0_D_D_MARK,
> -       SSI_SDATA6_IMARK,
> -       SIM0_CLK_D_MARK,
> -       SATA_DEVSLP_A_MARK,
> -       SSI_SCK78_IMARK,
> -       HRX2_B_MARK,
> -       MSIOF1_SCK_C_MARK,
> -       TS_SCK1_A_MARK,
> -       STP_ISCLK_1_A_MARK,
> -       RIF1_CLK_A_MARK,
> -       RIF3_CLK_A_MARK,
> -       SSI_WS78_IMARK,
> -       HTX2_B_MARK,
> -       MSIOF1_SYNC_C_MARK,
> -       TS_SDAT1_A_MARK,
> -       STP_ISD_1_A_MARK,
> -       RIF1_SYNC_A_MARK,
> -       RIF3_SYNC_A_MARK,
> -       SSI_SDATA7_IMARK,
> -       HCTS2x_B_MARK,
> -       MSIOF1_RXD_C_MARK,
> -       TS_SDEN1_A_MARK,
> -       STP_ISEN_1_A_MARK,
> -       RIF1_D0_A_MARK,
> -       RIF3_D0_A_MARK,
> -       TCLK2_A_MARK,
> -       SSI_SDATA8_IMARK,
> -       HRTS2x_B_MARK,
> -       MSIOF1_TXD_C_MARK,
> -       TS_SPSYNC1_A_MARK,
> -       STP_ISSYNC_1_A_MARK,
> -       RIF1_D1_A_MARK,
> -       RIF3_D1_A_MARK,
> -       SSI_SDATA9_A_IMARK,
> -       HSCK2_B_MARK,
> -       MSIOF1_SS1_C_MARK,
> -       HSCK1_A_MARK,
> -       SSI_WS1_B_MARK,
> -       SCK1_MARK,
> -       STP_IVCXO27_1_A_MARK,
> -       SCK5_A_MARK,
> -
> -       /* IPSR17 */
> -       AUDIO_CLKA_A_IMARK,
> -       CC5_OSCOUT_MARK,
> -       AUDIO_CLKB_B_IMARK,
> -       SCIF_CLK_A_MARK,
> -       STP_IVCXO27_1_D_MARK,
> -       REMOCON_A_MARK,
> -       TCLK1_A_MARK,
> -       USB0_PWEN_IMARK,
> -       SIM0_RST_C_MARK,
> -       TS_SCK1_D_MARK,
> -       STP_ISCLK_1_D_MARK,
> -       BPFCLK_B_MARK,
> -       RIF3_CLK_B_MARK,
> -       HSCK2_C_MARK,
> -       USB0_OVC_IMARK,
> -       SIM0_D_C_MARK,
> -       TS_SDAT1_D_MARK,
> -       STP_ISD_1_D_MARK,
> -       RIF3_SYNC_B_MARK,
> -       HRX2_C_MARK,
> -       USB1_PWEN_IMARK,
> -       SIM0_CLK_C_MARK,
> -       SSI_SCK1_A_MARK,
> -       TS_SCK0_E_MARK,
> -       STP_ISCLK_0_E_MARK,
> -       FMCLK_B_MARK,
> -       RIF2_CLK_B_MARK,
> -       SPEEDIN_A_MARK,
> -       HTX2_C_MARK,
> -       USB1_OVC_IMARK,
> -       MSIOF1_SS2_C_MARK,
> -       SSI_WS1_A_MARK,
> -       TS_SDAT0_E_MARK,
> -       STP_ISD_0_E_MARK,
> -       FMIN_B_MARK,
> -       RIF2_SYNC_B_MARK,
> -       REMOCON_B_MARK,
> -       HCTS2x_C_MARK,
> -       USB30_PWEN_IMARK,
> -       AUDIO_CLKOUT_B_MARK,
> -       SSI_SCK2_B_MARK,
> -       TS_SDEN1_D_MARK,
> -       STP_ISEN_1_D_MARK,
> -       STP_OPWM_0_E_MARK,
> -       RIF3_D0_B_MARK,
> -       TCLK2_B_MARK,
> -       TPU0TO0_MARK,
> -       BPFCLK_C_MARK,
> -       HRTS2x_C_MARK,
> -       USB30_OVC_IMARK,
> -       AUDIO_CLKOUT1_B_MARK,
> -       SSI_WS2_B_MARK,
> -       TS_SPSYNC1_D_MARK,
> -       STP_ISSYNC_1_D_MARK,
> -       STP_IVCXO27_0_E_MARK,
> -       RIF3_D1_B_MARK,
> -       FSO_TOEx_MARK,
> -       TPU0TO1_MARK,
> -
> -       /* IPSR18 */
> -       USB3_PWEN_IMARK,
> -       AUDIO_CLKOUT2_B_MARK,
> -       SSI_SCK9_B_MARK,
> -       TS_SDEN0_E_MARK,
> -       STP_ISEN_0_E_MARK,
> -       RIF2_D0_B_MARK,
> -       TPU0TO2_MARK,
> -       FMCLK_C_MARK,
> -       FMCLK_D_MARK,
> -
> -       USB3_OVC_IMARK,
> -       AUDIO_CLKOUT3_B_MARK,
> -       SSI_WS9_B_MARK,
> -       TS_SPSYNC0_E_MARK,
> -       STP_ISSYNC_0_E_MARK,
> -       RIF2_D1_B_MARK,
> -       TPU0TO3_MARK,
> -       FMIN_C_MARK,
> -       FMIN_D_MARK,
> -
> -       PINMUX_MARK_END,
> -};
> -
> -static pinmux_enum_t pinmux_data[] = {
> -       PINMUX_DATA_GP_ALL(), /* PINMUX_DATA(GP_M_N_DATA, GP_M_N_FN...), */
> -
> -       /* GPSR0 */
> -       PINMUX_DATA(D15_GMARK, GFN_D15),
> -       PINMUX_DATA(D14_GMARK, GFN_D14),
> -       PINMUX_DATA(D13_GMARK, GFN_D13),
> -       PINMUX_DATA(D12_GMARK, GFN_D12),
> -       PINMUX_DATA(D11_GMARK, GFN_D11),
> -       PINMUX_DATA(D10_GMARK, GFN_D10),
> -       PINMUX_DATA(D9_GMARK, GFN_D9),
> -       PINMUX_DATA(D8_GMARK, GFN_D8),
> -       PINMUX_DATA(D7_GMARK, GFN_D7),
> -       PINMUX_DATA(D6_GMARK, GFN_D6),
> -       PINMUX_DATA(D5_GMARK, GFN_D5),
> -       PINMUX_DATA(D4_GMARK, GFN_D4),
> -       PINMUX_DATA(D3_GMARK, GFN_D3),
> -       PINMUX_DATA(D2_GMARK, GFN_D2),
> -       PINMUX_DATA(D1_GMARK, GFN_D1),
> -       PINMUX_DATA(D0_GMARK, GFN_D0),
> -
> -       /* GPSR1 */
> -       PINMUX_DATA(CLKOUT_GMARK, GFN_CLKOUT),
> -       PINMUX_DATA(EX_WAIT0_A_GMARK, GFN_EX_WAIT0_A),
> -       PINMUX_DATA(WE1x_GMARK, GFN_WE1x),
> -       PINMUX_DATA(WE0x_GMARK, GFN_WE0x),
> -       PINMUX_DATA(RD_WRx_GMARK, GFN_RD_WRx),
> -       PINMUX_DATA(RDx_GMARK, GFN_RDx),
> -       PINMUX_DATA(BSx_GMARK, GFN_BSx),
> -       PINMUX_DATA(CS1x_A26_GMARK, GFN_CS1x_A26),
> -       PINMUX_DATA(CS0x_GMARK, GFN_CS0x),
> -       PINMUX_DATA(A19_GMARK, GFN_A19),
> -       PINMUX_DATA(A18_GMARK, GFN_A18),
> -       PINMUX_DATA(A17_GMARK, GFN_A17),
> -       PINMUX_DATA(A16_GMARK, GFN_A16),
> -       PINMUX_DATA(A15_GMARK, GFN_A15),
> -       PINMUX_DATA(A14_GMARK, GFN_A14),
> -       PINMUX_DATA(A13_GMARK, GFN_A13),
> -       PINMUX_DATA(A12_GMARK, GFN_A12),
> -       PINMUX_DATA(A11_GMARK, GFN_A11),
> -       PINMUX_DATA(A10_GMARK, GFN_A10),
> -       PINMUX_DATA(A9_GMARK, GFN_A9),
> -       PINMUX_DATA(A8_GMARK, GFN_A8),
> -       PINMUX_DATA(A7_GMARK, GFN_A7),
> -       PINMUX_DATA(A6_GMARK, GFN_A6),
> -       PINMUX_DATA(A5_GMARK, GFN_A5),
> -       PINMUX_DATA(A4_GMARK, GFN_A4),
> -       PINMUX_DATA(A3_GMARK, GFN_A3),
> -       PINMUX_DATA(A2_GMARK, GFN_A2),
> -       PINMUX_DATA(A1_GMARK, GFN_A1),
> -       PINMUX_DATA(A0_GMARK, GFN_A0),
> -
> -       /* GPSR2 */
> -       PINMUX_DATA(AVB_AVTP_CAPTURE_A_GMARK, GFN_AVB_AVTP_CAPTURE_A),
> -       PINMUX_DATA(AVB_AVTP_MATCH_A_GMARK, GFN_AVB_AVTP_MATCH_A),
> -       PINMUX_DATA(AVB_LINK_GMARK, GFN_AVB_LINK),
> -       PINMUX_DATA(AVB_PHY_INT_GMARK, GFN_AVB_PHY_INT),
> -       PINMUX_DATA(AVB_MAGIC_GMARK, GFN_AVB_MAGIC),
> -       PINMUX_DATA(AVB_MDC_GMARK, GFN_AVB_MDC),
> -       PINMUX_DATA(PWM2_A_GMARK, GFN_PWM2_A),
> -       PINMUX_DATA(PWM1_A_GMARK, GFN_PWM1_A),
> -       PINMUX_DATA(PWM0_GMARK, GFN_PWM0),
> -       PINMUX_DATA(IRQ5_GMARK, GFN_IRQ5),
> -       PINMUX_DATA(IRQ4_GMARK, GFN_IRQ4),
> -       PINMUX_DATA(IRQ3_GMARK, GFN_IRQ3),
> -       PINMUX_DATA(IRQ2_GMARK, GFN_IRQ2),
> -       PINMUX_DATA(IRQ1_GMARK, GFN_IRQ1),
> -       PINMUX_DATA(IRQ0_GMARK, GFN_IRQ0),
> -
> -       /* GPSR3 */
> -       PINMUX_DATA(SD1_WP_GMARK, GFN_SD1_WP),
> -       PINMUX_DATA(SD1_CD_GMARK, GFN_SD1_CD),
> -       PINMUX_DATA(SD0_WP_GMARK, GFN_SD0_WP),
> -       PINMUX_DATA(SD0_CD_GMARK, GFN_SD0_CD),
> -       PINMUX_DATA(SD1_DAT3_GMARK, GFN_SD1_DAT3),
> -       PINMUX_DATA(SD1_DAT2_GMARK, GFN_SD1_DAT2),
> -       PINMUX_DATA(SD1_DAT1_GMARK, GFN_SD1_DAT1),
> -       PINMUX_DATA(SD1_DAT0_GMARK, GFN_SD1_DAT0),
> -       PINMUX_DATA(SD1_CMD_GMARK, GFN_SD1_CMD),
> -       PINMUX_DATA(SD1_CLK_GMARK, GFN_SD1_CLK),
> -       PINMUX_DATA(SD0_DAT3_GMARK, GFN_SD0_DAT3),
> -       PINMUX_DATA(SD0_DAT2_GMARK, GFN_SD0_DAT2),
> -       PINMUX_DATA(SD0_DAT1_GMARK, GFN_SD0_DAT1),
> -       PINMUX_DATA(SD0_DAT0_GMARK, GFN_SD0_DAT0),
> -       PINMUX_DATA(SD0_CMD_GMARK, GFN_SD0_CMD),
> -       PINMUX_DATA(SD0_CLK_GMARK, GFN_SD0_CLK),
> -
> -       /* GPSR4 */
> -       PINMUX_DATA(SD3_DS_GMARK, GFN_SD3_DS),
> -       PINMUX_DATA(SD3_DAT7_GMARK, GFN_SD3_DAT7),
> -       PINMUX_DATA(SD3_DAT6_GMARK, GFN_SD3_DAT6),
> -       PINMUX_DATA(SD3_DAT5_GMARK, GFN_SD3_DAT5),
> -       PINMUX_DATA(SD3_DAT4_GMARK, GFN_SD3_DAT4),
> -       PINMUX_DATA(SD3_DAT3_GMARK, GFN_SD3_DAT3),
> -       PINMUX_DATA(SD3_DAT2_GMARK, GFN_SD3_DAT2),
> -       PINMUX_DATA(SD3_DAT1_GMARK, GFN_SD3_DAT1),
> -       PINMUX_DATA(SD3_DAT0_GMARK, GFN_SD3_DAT0),
> -       PINMUX_DATA(SD3_CMD_GMARK, GFN_SD3_CMD),
> -       PINMUX_DATA(SD3_CLK_GMARK, GFN_SD3_CLK),
> -       PINMUX_DATA(SD2_DS_GMARK, GFN_SD2_DS),
> -       PINMUX_DATA(SD2_DAT3_GMARK, GFN_SD2_DAT3),
> -       PINMUX_DATA(SD2_DAT2_GMARK, GFN_SD2_DAT2),
> -       PINMUX_DATA(SD2_DAT1_GMARK, GFN_SD2_DAT1),
> -       PINMUX_DATA(SD2_DAT0_GMARK, GFN_SD2_DAT0),
> -       PINMUX_DATA(SD2_CMD_GMARK, GFN_SD2_CMD),
> -       PINMUX_DATA(SD2_CLK_GMARK, GFN_SD2_CLK),
> -
> -       /* GPSR5 */
> -       PINMUX_DATA(MLB_DAT_GMARK, GFN_MLB_DAT),
> -       PINMUX_DATA(MLB_SIG_GMARK, GFN_MLB_SIG),
> -       PINMUX_DATA(MLB_CLK_GMARK, GFN_MLB_CLK),
> -       PINMUX_DATA(MSIOF0_RXD_MARK, FN_MSIOF0_RXD),
> -       PINMUX_DATA(MSIOF0_SS2_GMARK, GFN_MSIOF0_SS2),
> -       PINMUX_DATA(MSIOF0_TXD_MARK, FN_MSIOF0_TXD),
> -       PINMUX_DATA(MSIOF0_SS1_GMARK, GFN_MSIOF0_SS1),
> -       PINMUX_DATA(MSIOF0_SYNC_GMARK, GFN_MSIOF0_SYNC),
> -       PINMUX_DATA(MSIOF0_SCK_MARK, FN_MSIOF0_SCK),
> -       PINMUX_DATA(HRTS0x_GMARK, GFN_HRTS0x),
> -       PINMUX_DATA(HCTS0x_GMARK, GFN_HCTS0x),
> -       PINMUX_DATA(HTX0_GMARK, GFN_HTX0),
> -       PINMUX_DATA(HRX0_GMARK, GFN_HRX0),
> -       PINMUX_DATA(HSCK0_GMARK, GFN_HSCK0),
> -       PINMUX_DATA(RX2_A_GMARK, GFN_RX2_A),
> -       PINMUX_DATA(TX2_A_GMARK, GFN_TX2_A),
> -       PINMUX_DATA(SCK2_GMARK, GFN_SCK2),
> -       PINMUX_DATA(RTS1x_TANS_GMARK, GFN_RTS1x_TANS),
> -       PINMUX_DATA(CTS1x_GMARK, GFN_CTS1x),
> -       PINMUX_DATA(TX1_A_GMARK, GFN_TX1_A),
> -       PINMUX_DATA(RX1_A_GMARK, GFN_RX1_A),
> -       PINMUX_DATA(RTS0x_TANS_GMARK, GFN_RTS0x_TANS),
> -       PINMUX_DATA(CTS0x_GMARK, GFN_CTS0x),
> -       PINMUX_DATA(TX0_GMARK, GFN_TX0),
> -       PINMUX_DATA(RX0_GMARK, GFN_RX0),
> -       PINMUX_DATA(SCK0_GMARK, GFN_SCK0),
> -
> -       /* GPSR6 */
> -       PINMUX_DATA(USB3_OVC_GMARK, GFN_USB3_OVC),
> -       PINMUX_DATA(USB3_PWEN_GMARK, GFN_USB3_PWEN),
> -       PINMUX_DATA(USB30_OVC_GMARK, GFN_USB30_OVC),
> -       PINMUX_DATA(USB30_PWEN_GMARK, GFN_USB30_PWEN),
> -       PINMUX_DATA(USB1_OVC_GMARK, GFN_USB1_OVC),
> -       PINMUX_DATA(USB1_PWEN_GMARK, GFN_USB1_PWEN),
> -       PINMUX_DATA(USB0_OVC_GMARK, GFN_USB0_OVC),
> -       PINMUX_DATA(USB0_PWEN_GMARK, GFN_USB0_PWEN),
> -       PINMUX_DATA(AUDIO_CLKB_B_GMARK, GFN_AUDIO_CLKB_B),
> -       PINMUX_DATA(AUDIO_CLKA_A_GMARK, GFN_AUDIO_CLKA_A),
> -       PINMUX_DATA(SSI_SDATA9_A_GMARK, GFN_SSI_SDATA9_A),
> -       PINMUX_DATA(SSI_SDATA8_GMARK, GFN_SSI_SDATA8),
> -       PINMUX_DATA(SSI_SDATA7_GMARK, GFN_SSI_SDATA7),
> -       PINMUX_DATA(SSI_WS78_GMARK, GFN_SSI_WS78),
> -       PINMUX_DATA(SSI_SCK78_GMARK, GFN_SSI_SCK78),
> -       PINMUX_DATA(SSI_SDATA6_GMARK, GFN_SSI_SDATA6),
> -       PINMUX_DATA(SSI_WS6_GMARK, GFN_SSI_WS6),
> -       PINMUX_DATA(SSI_SCK6_GMARK, GFN_SSI_SCK6),
> -       PINMUX_DATA(SSI_SDATA5_MARK, FN_SSI_SDATA5),
> -       PINMUX_DATA(SSI_WS5_MARK, FN_SSI_WS5),
> -       PINMUX_DATA(SSI_SCK5_MARK, FN_SSI_SCK5),
> -       PINMUX_DATA(SSI_SDATA4_GMARK, GFN_SSI_SDATA4),
> -       PINMUX_DATA(SSI_WS4_GMARK, GFN_SSI_WS4),
> -       PINMUX_DATA(SSI_SCK4_GMARK, GFN_SSI_SCK4),
> -       PINMUX_DATA(SSI_SDATA3_GMARK, GFN_SSI_SDATA3),
> -       PINMUX_DATA(SSI_WS34_GMARK, GFN_SSI_WS34),
> -       PINMUX_DATA(SSI_SCK34_GMARK, GFN_SSI_SCK34),
> -       PINMUX_DATA(SSI_SDATA2_A_GMARK, GFN_SSI_SDATA2_A),
> -       PINMUX_DATA(SSI_SDATA1_A_GMARK, GFN_SSI_SDATA1_A),
> -       PINMUX_DATA(SSI_SDATA0_GMARK, GFN_SSI_SDATA0),
> -       PINMUX_DATA(SSI_WS01239_GMARK, GFN_SSI_WS01239),
> -       PINMUX_DATA(SSI_SCK01239_GMARK, GFN_SSI_SCK01239),
> -
> -       /* GPSR7 */
> -       PINMUX_DATA(HDMI1_CEC_MARK, FN_HDMI1_CEC),
> -       PINMUX_DATA(HDMI0_CEC_MARK, FN_HDMI0_CEC),
> -       PINMUX_DATA(AVS2_MARK, FN_AVS2),
> -       PINMUX_DATA(AVS1_MARK, FN_AVS1),
> -};
> -
> -static struct pinmux_gpio pinmux_gpios[] = {
> -       PINMUX_GPIO_GP_ALL(),
> -       /* GPSR0 */
> -       GPIO_GFN(D15),
> -       GPIO_GFN(D14),
> -       GPIO_GFN(D13),
> -       GPIO_GFN(D12),
> -       GPIO_GFN(D11),
> -       GPIO_GFN(D10),
> -       GPIO_GFN(D9),
> -       GPIO_GFN(D8),
> -       GPIO_GFN(D7),
> -       GPIO_GFN(D6),
> -       GPIO_GFN(D5),
> -       GPIO_GFN(D4),
> -       GPIO_GFN(D3),
> -       GPIO_GFN(D2),
> -       GPIO_GFN(D1),
> -       GPIO_GFN(D0),
> -       /* GPSR1 */
> -       GPIO_GFN(CLKOUT),
> -       GPIO_GFN(EX_WAIT0_A),
> -       GPIO_GFN(WE1x),
> -       GPIO_GFN(WE0x),
> -       GPIO_GFN(RD_WRx),
> -       GPIO_GFN(RDx),
> -       GPIO_GFN(BSx),
> -       GPIO_GFN(CS1x_A26),
> -       GPIO_GFN(CS0x),
> -       GPIO_GFN(A19),
> -       GPIO_GFN(A18),
> -       GPIO_GFN(A17),
> -       GPIO_GFN(A16),
> -       GPIO_GFN(A15),
> -       GPIO_GFN(A14),
> -       GPIO_GFN(A13),
> -       GPIO_GFN(A12),
> -       GPIO_GFN(A11),
> -       GPIO_GFN(A10),
> -       GPIO_GFN(A9),
> -       GPIO_GFN(A8),
> -       GPIO_GFN(A7),
> -       GPIO_GFN(A6),
> -       GPIO_GFN(A5),
> -       GPIO_GFN(A4),
> -       GPIO_GFN(A3),
> -       GPIO_GFN(A2),
> -       GPIO_GFN(A1),
> -       GPIO_GFN(A0),
> -
> -       /* GPSR2 */
> -       GPIO_GFN(AVB_AVTP_CAPTURE_A),
> -       GPIO_GFN(AVB_AVTP_MATCH_A),
> -       GPIO_GFN(AVB_LINK),
> -       GPIO_GFN(AVB_PHY_INT),
> -       GPIO_GFN(AVB_MAGIC),
> -       GPIO_GFN(AVB_MDC),
> -       GPIO_GFN(PWM2_A),
> -       GPIO_GFN(PWM1_A),
> -       GPIO_GFN(PWM0),
> -       GPIO_GFN(IRQ5),
> -       GPIO_GFN(IRQ4),
> -       GPIO_GFN(IRQ3),
> -       GPIO_GFN(IRQ2),
> -       GPIO_GFN(IRQ1),
> -       GPIO_GFN(IRQ0),
> -
> -       /* GPSR3 */
> -       GPIO_GFN(SD1_WP),
> -       GPIO_GFN(SD1_CD),
> -       GPIO_GFN(SD0_WP),
> -       GPIO_GFN(SD0_CD),
> -       GPIO_GFN(SD1_DAT3),
> -       GPIO_GFN(SD1_DAT2),
> -       GPIO_GFN(SD1_DAT1),
> -       GPIO_GFN(SD1_DAT0),
> -       GPIO_GFN(SD1_CMD),
> -       GPIO_GFN(SD1_CLK),
> -       GPIO_GFN(SD0_DAT3),
> -       GPIO_GFN(SD0_DAT2),
> -       GPIO_GFN(SD0_DAT1),
> -       GPIO_GFN(SD0_DAT0),
> -       GPIO_GFN(SD0_CMD),
> -       GPIO_GFN(SD0_CLK),
> -
> -       /* GPSR4 */
> -       GPIO_GFN(SD3_DS),
> -       GPIO_GFN(SD3_DAT7),
> -       GPIO_GFN(SD3_DAT6),
> -       GPIO_GFN(SD3_DAT5),
> -       GPIO_GFN(SD3_DAT4),
> -       GPIO_GFN(SD3_DAT3),
> -       GPIO_GFN(SD3_DAT2),
> -       GPIO_GFN(SD3_DAT1),
> -       GPIO_GFN(SD3_DAT0),
> -       GPIO_GFN(SD3_CMD),
> -       GPIO_GFN(SD3_CLK),
> -       GPIO_GFN(SD2_DS),
> -       GPIO_GFN(SD2_DAT3),
> -       GPIO_GFN(SD2_DAT2),
> -       GPIO_GFN(SD2_DAT1),
> -       GPIO_GFN(SD2_DAT0),
> -       GPIO_GFN(SD2_CMD),
> -       GPIO_GFN(SD2_CLK),
> -
> -       /* GPSR5 */
> -       GPIO_GFN(MLB_DAT),
> -       GPIO_GFN(MLB_SIG),
> -       GPIO_GFN(MLB_CLK),
> -       GPIO_FN(MSIOF0_RXD),
> -       GPIO_GFN(MSIOF0_SS2),
> -       GPIO_FN(MSIOF0_TXD),
> -       GPIO_GFN(MSIOF0_SS1),
> -       GPIO_GFN(MSIOF0_SYNC),
> -       GPIO_FN(MSIOF0_SCK),
> -       GPIO_GFN(HRTS0x),
> -       GPIO_GFN(HCTS0x),
> -       GPIO_GFN(HTX0),
> -       GPIO_GFN(HRX0),
> -       GPIO_GFN(HSCK0),
> -       GPIO_GFN(RX2_A),
> -       GPIO_GFN(TX2_A),
> -       GPIO_GFN(SCK2),
> -       GPIO_GFN(RTS1x_TANS),
> -       GPIO_GFN(CTS1x),
> -       GPIO_GFN(TX1_A),
> -       GPIO_GFN(RX1_A),
> -       GPIO_GFN(RTS0x_TANS),
> -       GPIO_GFN(CTS0x),
> -       GPIO_GFN(TX0),
> -       GPIO_GFN(RX0),
> -       GPIO_GFN(SCK0),
> -
> -       /* GPSR6 */
> -       GPIO_GFN(USB3_OVC),
> -       GPIO_GFN(USB3_PWEN),
> -       GPIO_GFN(USB30_OVC),
> -       GPIO_GFN(USB30_PWEN),
> -       GPIO_GFN(USB1_OVC),
> -       GPIO_GFN(USB1_PWEN),
> -       GPIO_GFN(USB0_OVC),
> -       GPIO_GFN(USB0_PWEN),
> -       GPIO_GFN(AUDIO_CLKB_B),
> -       GPIO_GFN(AUDIO_CLKA_A),
> -       GPIO_GFN(SSI_SDATA9_A),
> -       GPIO_GFN(SSI_SDATA8),
> -       GPIO_GFN(SSI_SDATA7),
> -       GPIO_GFN(SSI_WS78),
> -       GPIO_GFN(SSI_SCK78),
> -       GPIO_GFN(SSI_SDATA6),
> -       GPIO_GFN(SSI_WS6),
> -       GPIO_GFN(SSI_SCK6),
> -       GPIO_FN(SSI_SDATA5),
> -       GPIO_FN(SSI_WS5),
> -       GPIO_FN(SSI_SCK5),
> -       GPIO_GFN(SSI_SDATA4),
> -       GPIO_GFN(SSI_WS4),
> -       GPIO_GFN(SSI_SCK4),
> -       GPIO_GFN(SSI_SDATA3),
> -       GPIO_GFN(SSI_WS34),
> -       GPIO_GFN(SSI_SCK34),
> -       GPIO_GFN(SSI_SDATA2_A),
> -       GPIO_GFN(SSI_SDATA1_A),
> -       GPIO_GFN(SSI_SDATA0),
> -       GPIO_GFN(SSI_WS01239),
> -       GPIO_GFN(SSI_SCK01239),
> -
> -       /* GPSR7 */
> -       GPIO_FN(HDMI1_CEC),
> -       GPIO_FN(HDMI0_CEC),
> -       GPIO_FN(AVS2),
> -       GPIO_FN(AVS1),
> -
> -       /* IPSR0 */
> -       GPIO_IFN(AVB_MDC),
> -       GPIO_FN(MSIOF2_SS2_C),
> -       GPIO_IFN(AVB_MAGIC),
> -       GPIO_FN(MSIOF2_SS1_C),
> -       GPIO_FN(SCK4_A),
> -       GPIO_IFN(AVB_PHY_INT),
> -       GPIO_FN(MSIOF2_SYNC_C),
> -       GPIO_FN(RX4_A),
> -       GPIO_IFN(AVB_LINK),
> -       GPIO_FN(MSIOF2_SCK_C),
> -       GPIO_FN(TX4_A),
> -       GPIO_IFN(AVB_AVTP_MATCH_A),
> -       GPIO_FN(MSIOF2_RXD_C),
> -       GPIO_FN(CTS4x_A),
> -       GPIO_FN(FSCLKST2x_A),
> -       GPIO_IFN(AVB_AVTP_CAPTURE_A),
> -       GPIO_FN(MSIOF2_TXD_C),
> -       GPIO_FN(RTS4x_TANS_A),
> -       GPIO_IFN(IRQ0),
> -       GPIO_FN(QPOLB),
> -       GPIO_FN(DU_CDE),
> -       GPIO_FN(VI4_DATA0_B),
> -       GPIO_FN(CAN0_TX_B),
> -       GPIO_FN(CANFD0_TX_B),
> -       GPIO_FN(MSIOF3_SS2_E),
> -       GPIO_IFN(IRQ1),
> -       GPIO_FN(QPOLA),
> -       GPIO_FN(DU_DISP),
> -       GPIO_FN(VI4_DATA1_B),
> -       GPIO_FN(CAN0_RX_B),
> -       GPIO_FN(CANFD0_RX_B),
> -       GPIO_FN(MSIOF3_SS1_E),
> -
> -       /* IPSR1 */
> -       GPIO_IFN(IRQ2),
> -       GPIO_FN(QCPV_QDE),
> -       GPIO_FN(DU_EXODDF_DU_ODDF_DISP_CDE),
> -       GPIO_FN(VI4_DATA2_B),
> -       GPIO_FN(MSIOF3_SYNC_E),
> -       GPIO_FN(PWM3_B),
> -       GPIO_IFN(IRQ3),
> -       GPIO_FN(QSTVB_QVE),
> -       GPIO_FN(DU_DOTCLKOUT1),
> -       GPIO_FN(VI4_DATA3_B),
> -       GPIO_FN(MSIOF3_SCK_E),
> -       GPIO_FN(PWM4_B),
> -       GPIO_IFN(IRQ4),
> -       GPIO_FN(QSTH_QHS),
> -       GPIO_FN(DU_EXHSYNC_DU_HSYNC),
> -       GPIO_FN(VI4_DATA4_B),
> -       GPIO_FN(MSIOF3_RXD_E),
> -       GPIO_FN(PWM5_B),
> -       GPIO_IFN(IRQ5),
> -       GPIO_FN(QSTB_QHE),
> -       GPIO_FN(DU_EXVSYNC_DU_VSYNC),
> -       GPIO_FN(VI4_DATA5_B),
> -       GPIO_FN(FSCLKST2x_B),
> -       GPIO_FN(MSIOF3_TXD_E),
> -       GPIO_FN(PWM6_B),
> -       GPIO_IFN(PWM0),
> -       GPIO_FN(AVB_AVTP_PPS),
> -       GPIO_FN(VI4_DATA6_B),
> -       GPIO_FN(IECLK_B),
> -       GPIO_IFN(PWM1_A),
> -       GPIO_FN(HRX3_D),
> -       GPIO_FN(VI4_DATA7_B),
> -       GPIO_FN(IERX_B),
> -       GPIO_IFN(PWM2_A),
> -       GPIO_FN(HTX3_D),
> -       GPIO_FN(IETX_B),
> -       GPIO_IFN(A0),
> -       GPIO_FN(LCDOUT16),
> -       GPIO_FN(MSIOF3_SYNC_B),
> -       GPIO_FN(VI4_DATA8),
> -       GPIO_FN(DU_DB0),
> -       GPIO_FN(PWM3_A),
> -
> -       /* IPSR2 */
> -       GPIO_IFN(A1),
> -       GPIO_FN(LCDOUT17),
> -       GPIO_FN(MSIOF3_TXD_B),
> -       GPIO_FN(VI4_DATA9),
> -       GPIO_FN(DU_DB1),
> -       GPIO_FN(PWM4_A),
> -       GPIO_IFN(A2),
> -       GPIO_FN(LCDOUT18),
> -       GPIO_FN(MSIOF3_SCK_B),
> -       GPIO_FN(VI4_DATA10),
> -       GPIO_FN(DU_DB2),
> -       GPIO_FN(PWM5_A),
> -       GPIO_IFN(A3),
> -       GPIO_FN(LCDOUT19),
> -       GPIO_FN(MSIOF3_RXD_B),
> -       GPIO_FN(VI4_DATA11),
> -       GPIO_FN(DU_DB3),
> -       GPIO_FN(PWM6_A),
> -       GPIO_IFN(A4),
> -       GPIO_FN(LCDOUT20),
> -       GPIO_FN(MSIOF3_SS1_B),
> -       GPIO_FN(VI4_DATA12),
> -       GPIO_FN(VI5_DATA12),
> -       GPIO_FN(DU_DB4),
> -       GPIO_IFN(A5),
> -       GPIO_FN(LCDOUT21),
> -       GPIO_FN(MSIOF3_SS2_B),
> -       GPIO_FN(SCK4_B),
> -       GPIO_FN(VI4_DATA13),
> -       GPIO_FN(VI5_DATA13),
> -       GPIO_FN(DU_DB5),
> -       GPIO_IFN(A6),
> -       GPIO_FN(LCDOUT22),
> -       GPIO_FN(MSIOF2_SS1_A),
> -       GPIO_FN(RX4_B),
> -       GPIO_FN(VI4_DATA14),
> -       GPIO_FN(VI5_DATA14),
> -       GPIO_FN(DU_DB6),
> -       GPIO_IFN(A7),
> -       GPIO_FN(LCDOUT23),
> -       GPIO_FN(MSIOF2_SS2_A),
> -       GPIO_FN(TX4_B),
> -       GPIO_FN(VI4_DATA15),
> -       GPIO_FN(V15_DATA15),
> -       GPIO_FN(DU_DB7),
> -       GPIO_IFN(A8),
> -       GPIO_FN(RX3_B),
> -       GPIO_FN(MSIOF2_SYNC_A),
> -       GPIO_FN(HRX4_B),
> -       GPIO_FN(SDA6_A),
> -       GPIO_FN(AVB_AVTP_MATCH_B),
> -       GPIO_FN(PWM1_B),
> -
> -       /* IPSR3 */
> -       GPIO_IFN(A9),
> -       GPIO_FN(MSIOF2_SCK_A),
> -       GPIO_FN(CTS4x_B),
> -       GPIO_FN(VI5_VSYNCx),
> -       GPIO_IFN(A10),
> -       GPIO_FN(MSIOF2_RXD_A),
> -       GPIO_FN(RTS4n_TANS_B),
> -       GPIO_FN(VI5_HSYNCx),
> -       GPIO_IFN(A11),
> -       GPIO_FN(TX3_B),
> -       GPIO_FN(MSIOF2_TXD_A),
> -       GPIO_FN(HTX4_B),
> -       GPIO_FN(HSCK4),
> -       GPIO_FN(VI5_FIELD),
> -       GPIO_FN(SCL6_A),
> -       GPIO_FN(AVB_AVTP_CAPTURE_B),
> -       GPIO_FN(PWM2_B),
> -       GPIO_IFN(A12),
> -       GPIO_FN(LCDOUT12),
> -       GPIO_FN(MSIOF3_SCK_C),
> -       GPIO_FN(HRX4_A),
> -       GPIO_FN(VI5_DATA8),
> -       GPIO_FN(DU_DG4),
> -       GPIO_IFN(A13),
> -       GPIO_FN(LCDOUT13),
> -       GPIO_FN(MSIOF3_SYNC_C),
> -       GPIO_FN(HTX4_A),
> -       GPIO_FN(VI5_DATA9),
> -       GPIO_FN(DU_DG5),
> -       GPIO_IFN(A14),
> -       GPIO_FN(LCDOUT14),
> -       GPIO_FN(MSIOF3_RXD_C),
> -       GPIO_FN(HCTS4x),
> -       GPIO_FN(VI5_DATA10),
> -       GPIO_FN(DU_DG6),
> -       GPIO_IFN(A15),
> -       GPIO_FN(LCDOUT15),
> -       GPIO_FN(MSIOF3_TXD_C),
> -       GPIO_FN(HRTS4x),
> -       GPIO_FN(VI5_DATA11),
> -       GPIO_FN(DU_DG7),
> -       GPIO_IFN(A16),
> -       GPIO_FN(LCDOUT8),
> -       GPIO_FN(VI4_FIELD),
> -       GPIO_FN(DU_DG0),
> -
> -       /* IPSR4 */
> -       GPIO_IFN(A17),
> -       GPIO_FN(LCDOUT9),
> -       GPIO_FN(VI4_VSYNCx),
> -       GPIO_FN(DU_DG1),
> -       GPIO_IFN(A18),
> -       GPIO_FN(LCDOUT10),
> -       GPIO_FN(VI4_HSYNCx),
> -       GPIO_FN(DU_DG2),
> -       GPIO_IFN(A19),
> -       GPIO_FN(LCDOUT11),
> -       GPIO_FN(VI4_CLKENB),
> -       GPIO_FN(DU_DG3),
> -       GPIO_IFN(CS0x),
> -       GPIO_FN(VI5_CLKENB),
> -       GPIO_IFN(CS1x_A26),
> -       GPIO_FN(VI5_CLK),
> -       GPIO_FN(EX_WAIT0_B),
> -       GPIO_IFN(BSx),
> -       GPIO_FN(QSTVA_QVS),
> -       GPIO_FN(MSIOF3_SCK_D),
> -       GPIO_FN(SCK3),
> -       GPIO_FN(HSCK3),
> -       GPIO_FN(CAN1_TX),
> -       GPIO_FN(CANFD1_TX),
> -       GPIO_FN(IETX_A),
> -       GPIO_IFN(RDx),
> -       GPIO_FN(MSIOF3_SYNC_D),
> -       GPIO_FN(RX3_A),
> -       GPIO_FN(HRX3_A),
> -       GPIO_FN(CAN0_TX_A),
> -       GPIO_FN(CANFD0_TX_A),
> -       GPIO_IFN(RD_WRx),
> -       GPIO_FN(MSIOF3_RXD_D),
> -       GPIO_FN(TX3_A),
> -       GPIO_FN(HTX3_A),
> -       GPIO_FN(CAN0_RX_A),
> -       GPIO_FN(CANFD0_RX_A),
> -
> -       /* IPSR5 */
> -       GPIO_IFN(WE0x),
> -       GPIO_FN(MSIIOF3_TXD_D),
> -       GPIO_FN(CTS3x),
> -       GPIO_FN(HCTS3x),
> -       GPIO_FN(SCL6_B),
> -       GPIO_FN(CAN_CLK),
> -       GPIO_FN(IECLK_A),
> -       GPIO_IFN(WE1x),
> -       GPIO_FN(MSIOF3_SS1_D),
> -       GPIO_FN(RTS3x_TANS),
> -       GPIO_FN(HRTS3x),
> -       GPIO_FN(SDA6_B),
> -       GPIO_FN(CAN1_RX),
> -       GPIO_FN(CANFD1_RX),
> -       GPIO_FN(IERX_A),
> -       GPIO_IFN(EX_WAIT0_A),
> -       GPIO_FN(QCLK),
> -       GPIO_FN(VI4_CLK),
> -       GPIO_FN(DU_DOTCLKOUT0),
> -       GPIO_IFN(D0),
> -       GPIO_FN(MSIOF2_SS1_B),
> -       GPIO_FN(MSIOF3_SCK_A),
> -       GPIO_FN(VI4_DATA16),
> -       GPIO_FN(VI5_DATA0),
> -       GPIO_IFN(D1),
> -       GPIO_FN(MSIOF2_SS2_B),
> -       GPIO_FN(MSIOF3_SYNC_A),
> -       GPIO_FN(VI4_DATA17),
> -       GPIO_FN(VI5_DATA1),
> -       GPIO_IFN(D2),
> -       GPIO_FN(MSIOF3_RXD_A),
> -       GPIO_FN(VI4_DATA18),
> -       GPIO_FN(VI5_DATA2),
> -       GPIO_IFN(D3),
> -       GPIO_FN(MSIOF3_TXD_A),
> -       GPIO_FN(VI4_DATA19),
> -       GPIO_FN(VI5_DATA3),
> -       GPIO_IFN(D4),
> -       GPIO_FN(MSIOF2_SCK_B),
> -       GPIO_FN(VI4_DATA20),
> -       GPIO_FN(VI5_DATA4),
> -
> -       /* IPSR6 */
> -       GPIO_IFN(D5),
> -       GPIO_FN(MSIOF2_SYNC_B),
> -       GPIO_FN(VI4_DATA21),
> -       GPIO_FN(VI5_DATA5),
> -       GPIO_IFN(D6),
> -       GPIO_FN(MSIOF2_RXD_B),
> -       GPIO_FN(VI4_DATA22),
> -       GPIO_FN(VI5_DATA6),
> -       GPIO_IFN(D7),
> -       GPIO_FN(MSIOF2_TXD_B),
> -       GPIO_FN(VI4_DATA23),
> -       GPIO_FN(VI5_DATA7),
> -       GPIO_IFN(D8),
> -       GPIO_FN(LCDOUT0),
> -       GPIO_FN(MSIOF2_SCK_D),
> -       GPIO_FN(SCK4_C),
> -       GPIO_FN(VI4_DATA0_A),
> -       GPIO_FN(DU_DR0),
> -       GPIO_IFN(D9),
> -       GPIO_FN(LCDOUT1),
> -       GPIO_FN(MSIOF2_SYNC_D),
> -       GPIO_FN(VI4_DATA1_A),
> -       GPIO_FN(DU_DR1),
> -       GPIO_IFN(D10),
> -       GPIO_FN(LCDOUT2),
> -       GPIO_FN(MSIOF2_RXD_D),
> -       GPIO_FN(HRX3_B),
> -       GPIO_FN(VI4_DATA2_A),
> -       GPIO_FN(CTS4x_C),
> -       GPIO_FN(DU_DR2),
> -       GPIO_IFN(D11),
> -       GPIO_FN(LCDOUT3),
> -       GPIO_FN(MSIOF2_TXD_D),
> -       GPIO_FN(HTX3_B),
> -       GPIO_FN(VI4_DATA3_A),
> -       GPIO_FN(RTS4x_TANS_C),
> -       GPIO_FN(DU_DR3),
> -       GPIO_IFN(D12),
> -       GPIO_FN(LCDOUT4),
> -       GPIO_FN(MSIOF2_SS1_D),
> -       GPIO_FN(RX4_C),
> -       GPIO_FN(VI4_DATA4_A),
> -       GPIO_FN(DU_DR4),
> -
> -       /* IPSR7 */
> -       GPIO_IFN(D13),
> -       GPIO_FN(LCDOUT5),
> -       GPIO_FN(MSIOF2_SS2_D),
> -       GPIO_FN(TX4_C),
> -       GPIO_FN(VI4_DATA5_A),
> -       GPIO_FN(DU_DR5),
> -       GPIO_IFN(D14),
> -       GPIO_FN(LCDOUT6),
> -       GPIO_FN(MSIOF3_SS1_A),
> -       GPIO_FN(HRX3_C),
> -       GPIO_FN(VI4_DATA6_A),
> -       GPIO_FN(DU_DR6),
> -       GPIO_FN(SCL6_C),
> -       GPIO_IFN(D15),
> -       GPIO_FN(LCDOUT7),
> -       GPIO_FN(MSIOF3_SS2_A),
> -       GPIO_FN(HTX3_C),
> -       GPIO_FN(VI4_DATA7_A),
> -       GPIO_FN(DU_DR7),
> -       GPIO_FN(SDA6_C),
> -       GPIO_FN(FSCLKST),
> -       GPIO_IFN(SD0_CLK),
> -       GPIO_FN(MSIOF1_SCK_E),
> -       GPIO_FN(STP_OPWM_0_B),
> -       GPIO_IFN(SD0_CMD),
> -       GPIO_FN(MSIOF1_SYNC_E),
> -       GPIO_FN(STP_IVCXO27_0_B),
> -       GPIO_IFN(SD0_DAT0),
> -       GPIO_FN(MSIOF1_RXD_E),
> -       GPIO_FN(TS_SCK0_B),
> -       GPIO_FN(STP_ISCLK_0_B),
> -       GPIO_IFN(SD0_DAT1),
> -       GPIO_FN(MSIOF1_TXD_E),
> -       GPIO_FN(TS_SPSYNC0_B),
> -       GPIO_FN(STP_ISSYNC_0_B),
> -
> -       /* IPSR8 */
> -       GPIO_IFN(SD0_DAT2),
> -       GPIO_FN(MSIOF1_SS1_E),
> -       GPIO_FN(TS_SDAT0_B),
> -       GPIO_FN(STP_ISD_0_B),
> -       GPIO_IFN(SD0_DAT3),
> -       GPIO_FN(MSIOF1_SS2_E),
> -       GPIO_FN(TS_SDEN0_B),
> -       GPIO_FN(STP_ISEN_0_B),
> -       GPIO_IFN(SD1_CLK),
> -       GPIO_FN(MSIOF1_SCK_G),
> -       GPIO_FN(SIM0_CLK_A),
> -       GPIO_IFN(SD1_CMD),
> -       GPIO_FN(MSIOF1_SYNC_G),
> -       GPIO_FN(NFCEx_B),
> -       GPIO_FN(SIM0_D_A),
> -       GPIO_FN(STP_IVCXO27_1_B),
> -       GPIO_IFN(SD1_DAT0),
> -       GPIO_FN(SD2_DAT4),
> -       GPIO_FN(MSIOF1_RXD_G),
> -       GPIO_FN(NFWPx_B),
> -       GPIO_FN(TS_SCK1_B),
> -       GPIO_FN(STP_ISCLK_1_B),
> -       GPIO_IFN(SD1_DAT1),
> -       GPIO_FN(SD2_DAT5),
> -       GPIO_FN(MSIOF1_TXD_G),
> -       GPIO_FN(NFDATA14_B),
> -       GPIO_FN(TS_SPSYNC1_B),
> -       GPIO_FN(STP_ISSYNC_1_B),
> -       GPIO_IFN(SD1_DAT2),
> -       GPIO_FN(SD2_DAT6),
> -       GPIO_FN(MSIOF1_SS1_G),
> -       GPIO_FN(NFDATA15_B),
> -       GPIO_FN(TS_SDAT1_B),
> -       GPIO_FN(STP_IOD_1_B),
> -       GPIO_IFN(SD1_DAT3),
> -       GPIO_FN(SD2_DAT7),
> -       GPIO_FN(MSIOF1_SS2_G),
> -       GPIO_FN(NFRBx_B),
> -       GPIO_FN(TS_SDEN1_B),
> -       GPIO_FN(STP_ISEN_1_B),
> -
> -       /* IPSR9 */
> -       GPIO_IFN(SD2_CLK),
> -       GPIO_FN(NFDATA8),
> -       GPIO_IFN(SD2_CMD),
> -       GPIO_FN(NFDATA9),
> -       GPIO_IFN(SD2_DAT0),
> -       GPIO_FN(NFDATA10),
> -       GPIO_IFN(SD2_DAT1),
> -       GPIO_FN(NFDATA11),
> -       GPIO_IFN(SD2_DAT2),
> -       GPIO_FN(NFDATA12),
> -       GPIO_IFN(SD2_DAT3),
> -       GPIO_FN(NFDATA13),
> -       GPIO_IFN(SD2_DS),
> -       GPIO_FN(NFALE),
> -       GPIO_FN(SATA_DEVSLP_B),
> -       GPIO_IFN(SD3_CLK),
> -       GPIO_FN(NFWEx),
> -
> -       /* IPSR10 */
> -       GPIO_IFN(SD3_CMD),
> -       GPIO_FN(NFREx),
> -       GPIO_IFN(SD3_DAT0),
> -       GPIO_FN(NFDATA0),
> -       GPIO_IFN(SD3_DAT1),
> -       GPIO_FN(NFDATA1),
> -       GPIO_IFN(SD3_DAT2),
> -       GPIO_FN(NFDATA2),
> -       GPIO_IFN(SD3_DAT3),
> -       GPIO_FN(NFDATA3),
> -       GPIO_IFN(SD3_DAT4),
> -       GPIO_FN(SD2_CD_A),
> -       GPIO_FN(NFDATA4),
> -       GPIO_IFN(SD3_DAT5),
> -       GPIO_FN(SD2_WP_A),
> -       GPIO_FN(NFDATA5),
> -       GPIO_IFN(SD3_DAT6),
> -       GPIO_FN(SD3_CD),
> -       GPIO_FN(NFDATA6),
> -
> -       /* IPSR11 */
> -       GPIO_IFN(SD3_DAT7),
> -       GPIO_FN(SD3_WP),
> -       GPIO_FN(NFDATA7),
> -       GPIO_IFN(SD3_DS),
> -       GPIO_FN(NFCLE),
> -       GPIO_IFN(SD0_CD),
> -       GPIO_FN(NFDATA14_A),
> -       GPIO_FN(SCL2_B),
> -       GPIO_FN(SIM0_RST_A),
> -       GPIO_IFN(SD0_WP),
> -       GPIO_FN(NFDATA15_A),
> -       GPIO_FN(SDA2_B),
> -       GPIO_IFN(SD1_CD),
> -       GPIO_FN(NFRBx_A),
> -       GPIO_FN(SIM0_CLK_B),
> -       GPIO_IFN(SD1_WP),
> -       GPIO_FN(NFCEx_A),
> -       GPIO_FN(SIM0_D_B),
> -       GPIO_IFN(SCK0),
> -       GPIO_FN(HSCK1_B),
> -       GPIO_FN(MSIOF1_SS2_B),
> -       GPIO_FN(AUDIO_CLKC_B),
> -       GPIO_FN(SDA2_A),
> -       GPIO_FN(SIM0_RST_B),
> -       GPIO_FN(STP_OPWM_0_C),
> -       GPIO_FN(RIF0_CLK_B),
> -       GPIO_FN(ADICHS2),
> -       GPIO_FN(SCK5_B),
> -       GPIO_IFN(RX0),
> -       GPIO_FN(HRX1_B),
> -       GPIO_FN(TS_SCK0_C),
> -       GPIO_FN(STP_ISCLK_0_C),
> -       GPIO_FN(RIF0_D0_B),
> -
> -       /* IPSR12 */
> -       GPIO_IFN(TX0),
> -       GPIO_FN(HTX1_B),
> -       GPIO_FN(TS_SPSYNC0_C),
> -       GPIO_FN(STP_ISSYNC_0_C),
> -       GPIO_FN(RIF0_D1_B),
> -       GPIO_IFN(CTS0x),
> -       GPIO_FN(HCTS1x_B),
> -       GPIO_FN(MSIOF1_SYNC_B),
> -       GPIO_FN(TS_SPSYNC1_C),
> -       GPIO_FN(STP_ISSYNC_1_C),
> -       GPIO_FN(RIF1_SYNC_B),
> -       GPIO_FN(AUDIO_CLKOUT_C),
> -       GPIO_FN(ADICS_SAMP),
> -       GPIO_IFN(RTS0x_TANS),
> -       GPIO_FN(HRTS1x_B),
> -       GPIO_FN(MSIOF1_SS1_B),
> -       GPIO_FN(AUDIO_CLKA_B),
> -       GPIO_FN(SCL2_A),
> -       GPIO_FN(STP_IVCXO27_1_C),
> -       GPIO_FN(RIF0_SYNC_B),
> -       GPIO_FN(ADICHS1),
> -       GPIO_IFN(RX1_A),
> -       GPIO_FN(HRX1_A),
> -       GPIO_FN(TS_SDAT0_C),
> -       GPIO_FN(STP_ISD_0_C),
> -       GPIO_FN(RIF1_CLK_C),
> -       GPIO_IFN(TX1_A),
> -       GPIO_FN(HTX1_A),
> -       GPIO_FN(TS_SDEN0_C),
> -       GPIO_FN(STP_ISEN_0_C),
> -       GPIO_FN(RIF1_D0_C),
> -       GPIO_IFN(CTS1x),
> -       GPIO_FN(HCTS1x_A),
> -       GPIO_FN(MSIOF1_RXD_B),
> -       GPIO_FN(TS_SDEN1_C),
> -       GPIO_FN(STP_ISEN_1_C),
> -       GPIO_FN(RIF1_D0_B),
> -       GPIO_FN(ADIDATA),
> -       GPIO_IFN(RTS1x_TANS),
> -       GPIO_FN(HRTS1x_A),
> -       GPIO_FN(MSIOF1_TXD_B),
> -       GPIO_FN(TS_SDAT1_C),
> -       GPIO_FN(STP_ISD_1_C),
> -       GPIO_FN(RIF1_D1_B),
> -       GPIO_FN(ADICHS0),
> -       GPIO_IFN(SCK2),
> -       GPIO_FN(SCIF_CLK_B),
> -       GPIO_FN(MSIOF1_SCK_B),
> -       GPIO_FN(TS_SCK1_C),
> -       GPIO_FN(STP_ISCLK_1_C),
> -       GPIO_FN(RIF1_CLK_B),
> -       GPIO_FN(ADICLK),
> -
> -       /* IPSR13 */
> -       GPIO_IFN(TX2_A),
> -       GPIO_FN(SD2_CD_B),
> -       GPIO_FN(SCL1_A),
> -       GPIO_FN(FMCLK_A),
> -       GPIO_FN(RIF1_D1_C),
> -       GPIO_FN(FSO_CFE_0x),
> -       GPIO_IFN(RX2_A),
> -       GPIO_FN(SD2_WP_B),
> -       GPIO_FN(SDA1_A),
> -       GPIO_FN(FMIN_A),
> -       GPIO_FN(RIF1_SYNC_C),
> -       GPIO_FN(FSO_CFE_1x),
> -       GPIO_IFN(HSCK0),
> -       GPIO_FN(MSIOF1_SCK_D),
> -       GPIO_FN(AUDIO_CLKB_A),
> -       GPIO_FN(SSI_SDATA1_B),
> -       GPIO_FN(TS_SCK0_D),
> -       GPIO_FN(STP_ISCLK_0_D),
> -       GPIO_FN(RIF0_CLK_C),
> -       GPIO_FN(RX5_B),
> -       GPIO_IFN(HRX0),
> -       GPIO_FN(MSIOF1_RXD_D),
> -       GPIO_FN(SSI_SDATA2_B),
> -       GPIO_FN(TS_SDEN0_D),
> -       GPIO_FN(STP_ISEN_0_D),
> -       GPIO_FN(RIF0_D0_C),
> -       GPIO_IFN(HTX0),
> -       GPIO_FN(MSIOF1_TXD_D),
> -       GPIO_FN(SSI_SDATA9_B),
> -       GPIO_FN(TS_SDAT0_D),
> -       GPIO_FN(STP_ISD_0_D),
> -       GPIO_FN(RIF0_D1_C),
> -       GPIO_IFN(HCTS0x),
> -       GPIO_FN(RX2_B),
> -       GPIO_FN(MSIOF1_SYNC_D),
> -       GPIO_FN(SSI_SCK9_A),
> -       GPIO_FN(TS_SPSYNC0_D),
> -       GPIO_FN(STP_ISSYNC_0_D),
> -       GPIO_FN(RIF0_SYNC_C),
> -       GPIO_FN(AUDIO_CLKOUT1_A),
> -       GPIO_IFN(HRTS0x),
> -       GPIO_FN(TX2_B),
> -       GPIO_FN(MSIOF1_SS1_D),
> -       GPIO_FN(SSI_WS9_A),
> -       GPIO_FN(STP_IVCXO27_0_D),
> -       GPIO_FN(BPFCLK_A),
> -       GPIO_FN(AUDIO_CLKOUT2_A),
> -       GPIO_IFN(MSIOF0_SYNC),
> -       GPIO_FN(AUDIO_CLKOUT_A),
> -       GPIO_FN(TX5_B),
> -       GPIO_FN(BPFCLK_D),
> -
> -       /* IPSR14 */
> -       GPIO_IFN(MSIOF0_SS1),
> -       GPIO_FN(RX5_A),
> -       GPIO_FN(NFWPx_A),
> -       GPIO_FN(AUDIO_CLKA_C),
> -       GPIO_FN(SSI_SCK2_A),
> -       GPIO_FN(STP_IVCXO27_0_C),
> -       GPIO_FN(AUDIO_CLKOUT3_A),
> -       GPIO_FN(TCLK1_B),
> -       GPIO_IFN(MSIOF0_SS2),
> -       GPIO_FN(TX5_A),
> -       GPIO_FN(MSIOF1_SS2_D),
> -       GPIO_FN(AUDIO_CLKC_A),
> -       GPIO_FN(SSI_WS2_A),
> -       GPIO_FN(STP_OPWM_0_D),
> -       GPIO_FN(AUDIO_CLKOUT_D),
> -       GPIO_FN(SPEEDIN_B),
> -       GPIO_IFN(MLB_CLK),
> -       GPIO_FN(MSIOF1_SCK_F),
> -       GPIO_FN(SCL1_B),
> -       GPIO_IFN(MLB_SIG),
> -       GPIO_FN(RX1_B),
> -       GPIO_FN(MSIOF1_SYNC_F),
> -       GPIO_FN(SDA1_B),
> -       GPIO_IFN(MLB_DAT),
> -       GPIO_FN(TX1_B),
> -       GPIO_FN(MSIOF1_RXD_F),
> -       GPIO_IFN(SSI_SCK01239),
> -       GPIO_FN(MSIOF1_TXD_F),
> -       GPIO_FN(MOUT0),
> -       GPIO_IFN(SSI_WS01239),
> -       GPIO_FN(MSIOF1_SS1_F),
> -       GPIO_FN(MOUT1),
> -       GPIO_IFN(SSI_SDATA0),
> -       GPIO_FN(MSIOF1_SS2_F),
> -       GPIO_FN(MOUT2),
> -
> -       /* IPSR15 */
> -       GPIO_IFN(SSI_SDATA1_A),
> -       GPIO_FN(MOUT5),
> -       GPIO_IFN(SSI_SDATA2_A),
> -       GPIO_FN(SSI_SCK1_B),
> -       GPIO_FN(MOUT6),
> -       GPIO_IFN(SSI_SCK34),
> -       GPIO_FN(MSIOF1_SS1_A),
> -       GPIO_FN(STP_OPWM_0_A),
> -       GPIO_IFN(SSI_WS34),
> -       GPIO_FN(HCTS2x_A),
> -       GPIO_FN(MSIOF1_SS2_A),
> -       GPIO_FN(STP_IVCXO27_0_A),
> -       GPIO_IFN(SSI_SDATA3),
> -       GPIO_FN(HRTS2x_A),
> -       GPIO_FN(MSIOF1_TXD_A),
> -       GPIO_FN(TS_SCK0_A),
> -       GPIO_FN(STP_ISCLK_0_A),
> -       GPIO_FN(RIF0_D1_A),
> -       GPIO_FN(RIF2_D0_A),
> -       GPIO_IFN(SSI_SCK4),
> -       GPIO_FN(HRX2_A),
> -       GPIO_FN(MSIOF1_SCK_A),
> -       GPIO_FN(TS_SDAT0_A),
> -       GPIO_FN(STP_ISD_0_A),
> -       GPIO_FN(RIF0_CLK_A),
> -       GPIO_FN(RIF2_CLK_A),
> -       GPIO_IFN(SSI_WS4),
> -       GPIO_FN(HTX2_A),
> -       GPIO_FN(MSIOF1_SYNC_A),
> -       GPIO_FN(TS_SDEN0_A),
> -       GPIO_FN(STP_ISEN_0_A),
> -       GPIO_FN(RIF0_SYNC_A),
> -       GPIO_FN(RIF2_SYNC_A),
> -       GPIO_IFN(SSI_SDATA4),
> -       GPIO_FN(HSCK2_A),
> -       GPIO_FN(MSIOF1_RXD_A),
> -       GPIO_FN(TS_SPSYNC0_A),
> -       GPIO_FN(STP_ISSYNC_0_A),
> -       GPIO_FN(RIF0_D0_A),
> -       GPIO_FN(RIF2_D1_A),
> -
> -       /* IPSR16 */
> -       GPIO_IFN(SSI_SCK6),
> -       GPIO_FN(SIM0_RST_D),
> -       GPIO_IFN(SSI_WS6),
> -       GPIO_FN(SIM0_D_D),
> -       GPIO_IFN(SSI_SDATA6),
> -       GPIO_FN(SIM0_CLK_D),
> -       GPIO_FN(SATA_DEVSLP_A),
> -       GPIO_IFN(SSI_SCK78),
> -       GPIO_FN(HRX2_B),
> -       GPIO_FN(MSIOF1_SCK_C),
> -       GPIO_FN(TS_SCK1_A),
> -       GPIO_FN(STP_ISCLK_1_A),
> -       GPIO_FN(RIF1_CLK_A),
> -       GPIO_FN(RIF3_CLK_A),
> -       GPIO_IFN(SSI_WS78),
> -       GPIO_FN(HTX2_B),
> -       GPIO_FN(MSIOF1_SYNC_C),
> -       GPIO_FN(TS_SDAT1_A),
> -       GPIO_FN(STP_ISD_1_A),
> -       GPIO_FN(RIF1_SYNC_A),
> -       GPIO_FN(RIF3_SYNC_A),
> -       GPIO_IFN(SSI_SDATA7),
> -       GPIO_FN(HCTS2x_B),
> -       GPIO_FN(MSIOF1_RXD_C),
> -       GPIO_FN(TS_SDEN1_A),
> -       GPIO_FN(STP_ISEN_1_A),
> -       GPIO_FN(RIF1_D0_A),
> -       GPIO_FN(RIF3_D0_A),
> -       GPIO_FN(TCLK2_A),
> -       GPIO_IFN(SSI_SDATA8),
> -       GPIO_FN(HRTS2x_B),
> -       GPIO_FN(MSIOF1_TXD_C),
> -       GPIO_FN(TS_SPSYNC1_A),
> -       GPIO_FN(STP_ISSYNC_1_A),
> -       GPIO_FN(RIF1_D1_A),
> -       GPIO_FN(RIF3_D1_A),
> -       GPIO_IFN(SSI_SDATA9_A),
> -       GPIO_FN(HSCK2_B),
> -       GPIO_FN(MSIOF1_SS1_C),
> -       GPIO_FN(HSCK1_A),
> -       GPIO_FN(SSI_WS1_B),
> -       GPIO_FN(SCK1),
> -       GPIO_FN(STP_IVCXO27_1_A),
> -       GPIO_FN(SCK5_A),
> -
> -       /* IPSR17 */
> -       GPIO_IFN(AUDIO_CLKA_A),
> -       GPIO_FN(CC5_OSCOUT),
> -       GPIO_IFN(AUDIO_CLKB_B),
> -       GPIO_FN(SCIF_CLK_A),
> -       GPIO_FN(STP_IVCXO27_1_D),
> -       GPIO_FN(REMOCON_A),
> -       GPIO_FN(TCLK1_A),
> -       GPIO_IFN(USB0_PWEN),
> -       GPIO_FN(SIM0_RST_C),
> -       GPIO_FN(TS_SCK1_D),
> -       GPIO_FN(STP_ISCLK_1_D),
> -       GPIO_FN(BPFCLK_B),
> -       GPIO_FN(RIF3_CLK_B),
> -       GPIO_FN(HSCK2_C),
> -       GPIO_IFN(USB0_OVC),
> -       GPIO_FN(SIM0_D_C),
> -       GPIO_FN(TS_SDAT1_D),
> -       GPIO_FN(STP_ISD_1_D),
> -       GPIO_FN(RIF3_SYNC_B),
> -       GPIO_FN(HRX2_C),
> -       GPIO_IFN(USB1_PWEN),
> -       GPIO_FN(SIM0_CLK_C),
> -       GPIO_FN(SSI_SCK1_A),
> -       GPIO_FN(TS_SCK0_E),
> -       GPIO_FN(STP_ISCLK_0_E),
> -       GPIO_FN(FMCLK_B),
> -       GPIO_FN(RIF2_CLK_B),
> -       GPIO_FN(SPEEDIN_A),
> -       GPIO_FN(HTX2_C),
> -       GPIO_IFN(USB1_OVC),
> -       GPIO_FN(MSIOF1_SS2_C),
> -       GPIO_FN(SSI_WS1_A),
> -       GPIO_FN(TS_SDAT0_E),
> -       GPIO_FN(STP_ISD_0_E),
> -       GPIO_FN(FMIN_B),
> -       GPIO_FN(RIF2_SYNC_B),
> -       GPIO_FN(REMOCON_B),
> -       GPIO_FN(HCTS2x_C),
> -       GPIO_IFN(USB30_PWEN),
> -       GPIO_FN(AUDIO_CLKOUT_B),
> -       GPIO_FN(SSI_SCK2_B),
> -       GPIO_FN(TS_SDEN1_D),
> -       GPIO_FN(STP_ISEN_1_D),
> -       GPIO_FN(STP_OPWM_0_E),
> -       GPIO_FN(RIF3_D0_B),
> -       GPIO_FN(TCLK2_B),
> -       GPIO_FN(TPU0TO0),
> -       GPIO_FN(BPFCLK_C),
> -       GPIO_FN(HRTS2x_C),
> -       GPIO_IFN(USB30_OVC),
> -       GPIO_FN(AUDIO_CLKOUT1_B),
> -       GPIO_FN(SSI_WS2_B),
> -       GPIO_FN(TS_SPSYNC1_D),
> -       GPIO_FN(STP_ISSYNC_1_D),
> -       GPIO_FN(STP_IVCXO27_0_E),
> -       GPIO_FN(RIF3_D1_B),
> -       GPIO_FN(FSO_TOEx),
> -       GPIO_FN(TPU0TO1),
> -
> -       /* IPSR18 */
> -       GPIO_IFN(USB3_PWEN),
> -       GPIO_FN(AUDIO_CLKOUT2_B),
> -       GPIO_FN(SSI_SCK9_B),
> -       GPIO_FN(TS_SDEN0_E),
> -       GPIO_FN(STP_ISEN_0_E),
> -       GPIO_FN(RIF2_D0_B),
> -       GPIO_FN(TPU0TO2),
> -       GPIO_FN(FMCLK_C),
> -       GPIO_FN(FMCLK_D),
> -
> -       GPIO_IFN(USB3_OVC),
> -       GPIO_FN(AUDIO_CLKOUT3_B),
> -       GPIO_FN(SSI_WS9_B),
> -       GPIO_FN(TS_SPSYNC0_E),
> -       GPIO_FN(STP_ISSYNC_0_E),
> -       GPIO_FN(RIF2_D1_B),
> -       GPIO_FN(TPU0TO3),
> -       GPIO_FN(FMIN_C),
> -       GPIO_FN(FMIN_D),
> -};
> -
> -static struct pinmux_cfg_reg pinmux_config_regs[] = {
> -       /* GPSR0(0xE6060100) md[3:1] controls initial value */
> -       /*   md[3:1] .. 0     : 0x0000FFFF                  */
> -       /*           .. other : 0x00000000                  */
> -       { PINMUX_CFG_REG("GPSR0", 0xE6060100, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_0_15_FN, GFN_D15,
> -               GP_0_14_FN, GFN_D14,
> -               GP_0_13_FN, GFN_D13,
> -               GP_0_12_FN, GFN_D12,
> -               GP_0_11_FN, GFN_D11,
> -               GP_0_10_FN, GFN_D10,
> -               GP_0_9_FN, GFN_D9,
> -               GP_0_8_FN, GFN_D8,
> -               GP_0_7_FN, GFN_D7,
> -               GP_0_6_FN, GFN_D6,
> -               GP_0_5_FN, GFN_D5,
> -               GP_0_4_FN, GFN_D4,
> -               GP_0_3_FN, GFN_D3,
> -               GP_0_2_FN, GFN_D2,
> -               GP_0_1_FN, GFN_D1,
> -               GP_0_0_FN, GFN_D0 }
> -       },
> -       /* GPSR1(0xE6060104) is md[3:1] controls initial value */
> -       /*   md[3:1] .. 0     : 0x0EFFFFFF                     */
> -       /*           .. other : 0x00000000                     */
> -       { PINMUX_CFG_REG("GPSR1", 0xE6060104, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_1_28_FN, GFN_CLKOUT,
> -               GP_1_27_FN, GFN_EX_WAIT0_A,
> -               GP_1_26_FN, GFN_WE1x,
> -               GP_1_25_FN, GFN_WE0x,
> -               GP_1_24_FN, GFN_RD_WRx,
> -               GP_1_23_FN, GFN_RDx,
> -               GP_1_22_FN, GFN_BSx,
> -               GP_1_21_FN, GFN_CS1x_A26,
> -               GP_1_20_FN, GFN_CS0x,
> -               GP_1_19_FN, GFN_A19,
> -               GP_1_18_FN, GFN_A18,
> -               GP_1_17_FN, GFN_A17,
> -               GP_1_16_FN, GFN_A16,
> -               GP_1_15_FN, GFN_A15,
> -               GP_1_14_FN, GFN_A14,
> -               GP_1_13_FN, GFN_A13,
> -               GP_1_12_FN, GFN_A12,
> -               GP_1_11_FN, GFN_A11,
> -               GP_1_10_FN, GFN_A10,
> -               GP_1_9_FN, GFN_A9,
> -               GP_1_8_FN, GFN_A8,
> -               GP_1_7_FN, GFN_A7,
> -               GP_1_6_FN, GFN_A6,
> -               GP_1_5_FN, GFN_A5,
> -               GP_1_4_FN, GFN_A4,
> -               GP_1_3_FN, GFN_A3,
> -               GP_1_2_FN, GFN_A2,
> -               GP_1_1_FN, GFN_A1,
> -               GP_1_0_FN, GFN_A0 }
> -       },
> -       /* GPSR2(0xE6060108) is md[3:1] controls               */
> -       /*   md[3:1] .. 0     : 0x000003C0                     */
> -       /*           .. other : 0x00000200                     */
> -       { PINMUX_CFG_REG("GPSR2", 0xE6060108, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               GP_2_14_FN, GFN_AVB_AVTP_CAPTURE_A,
> -               GP_2_13_FN, GFN_AVB_AVTP_MATCH_A,
> -               GP_2_12_FN, GFN_AVB_LINK,
> -               GP_2_11_FN, GFN_AVB_PHY_INT,
> -               GP_2_10_FN, GFN_AVB_MAGIC,
> -               GP_2_9_FN, GFN_AVB_MDC,
> -               GP_2_8_FN, GFN_PWM2_A,
> -               GP_2_7_FN, GFN_PWM1_A,
> -               GP_2_6_FN, GFN_PWM0,
> -               GP_2_5_FN, GFN_IRQ5,
> -               GP_2_4_FN, GFN_IRQ4,
> -               GP_2_3_FN, GFN_IRQ3,
> -               GP_2_2_FN, GFN_IRQ2,
> -               GP_2_1_FN, GFN_IRQ1,
> -               GP_2_0_FN, GFN_IRQ0 }
> -       },
> -
> -       /* GPSR3 */
> -       { PINMUX_CFG_REG("GPSR3", 0xE606010C, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_3_15_FN, GFN_SD1_WP,
> -               GP_3_14_FN, GFN_SD1_CD,
> -               GP_3_13_FN, GFN_SD0_WP,
> -               GP_3_12_FN, GFN_SD0_CD,
> -               GP_3_11_FN, GFN_SD1_DAT3,
> -               GP_3_10_FN, GFN_SD1_DAT2,
> -               GP_3_9_FN, GFN_SD1_DAT1,
> -               GP_3_8_FN, GFN_SD1_DAT0,
> -               GP_3_7_FN, GFN_SD1_CMD,
> -               GP_3_6_FN, GFN_SD1_CLK,
> -               GP_3_5_FN, GFN_SD0_DAT3,
> -               GP_3_4_FN, GFN_SD0_DAT2,
> -               GP_3_3_FN, GFN_SD0_DAT1,
> -               GP_3_2_FN, GFN_SD0_DAT0,
> -               GP_3_1_FN, GFN_SD0_CMD,
> -               GP_3_0_FN, GFN_SD0_CLK }
> -       },
> -       /* GPSR4 */
> -       { PINMUX_CFG_REG("GPSR4", 0xE6060110, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_4_17_FN, GFN_SD3_DS,
> -               GP_4_16_FN, GFN_SD3_DAT7,
> -
> -               GP_4_15_FN, GFN_SD3_DAT6,
> -               GP_4_14_FN, GFN_SD3_DAT5,
> -               GP_4_13_FN, GFN_SD3_DAT4,
> -               GP_4_12_FN, GFN_SD3_DAT3,
> -               GP_4_11_FN, GFN_SD3_DAT2,
> -               GP_4_10_FN, GFN_SD3_DAT1,
> -               GP_4_9_FN, GFN_SD3_DAT0,
> -               GP_4_8_FN, GFN_SD3_CMD,
> -               GP_4_7_FN, GFN_SD3_CLK,
> -               GP_4_6_FN, GFN_SD2_DS,
> -               GP_4_5_FN, GFN_SD2_DAT3,
> -               GP_4_4_FN, GFN_SD2_DAT2,
> -               GP_4_3_FN, GFN_SD2_DAT1,
> -               GP_4_2_FN, GFN_SD2_DAT0,
> -               GP_4_1_FN, GFN_SD2_CMD,
> -               GP_4_0_FN, GFN_SD2_CLK }
> -       },
> -       /* GPSR5 */
> -       { PINMUX_CFG_REG("GPSR5", 0xE6060114, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_5_25_FN, GFN_MLB_DAT,
> -               GP_5_24_FN, GFN_MLB_SIG,
> -               GP_5_23_FN, GFN_MLB_CLK,
> -               GP_5_22_FN, FN_MSIOF0_RXD,
> -               GP_5_21_FN, GFN_MSIOF0_SS2,
> -               GP_5_20_FN, FN_MSIOF0_TXD,
> -               GP_5_19_FN, GFN_MSIOF0_SS1,
> -               GP_5_18_FN, GFN_MSIOF0_SYNC,
> -               GP_5_17_FN, FN_MSIOF0_SCK,
> -               GP_5_16_FN, GFN_HRTS0x,
> -               GP_5_15_FN, GFN_HCTS0x,
> -               GP_5_14_FN, GFN_HTX0,
> -               GP_5_13_FN, GFN_HRX0,
> -               GP_5_12_FN, GFN_HSCK0,
> -               GP_5_11_FN, GFN_RX2_A,
> -               GP_5_10_FN, GFN_TX2_A,
> -               GP_5_9_FN, GFN_SCK2,
> -               GP_5_8_FN, GFN_RTS1x_TANS,
> -               GP_5_7_FN, GFN_CTS1x,
> -               GP_5_6_FN, GFN_TX1_A,
> -               GP_5_5_FN, GFN_RX1_A,
> -               GP_5_4_FN, GFN_RTS0x_TANS,
> -               GP_5_3_FN, GFN_CTS0x,
> -               GP_5_2_FN, GFN_TX0,
> -               GP_5_1_FN, GFN_RX0,
> -               GP_5_0_FN, GFN_SCK0 }
> -       },
> -       /* GPSR6 */
> -       { PINMUX_CFG_REG("GPSR6", 0xE6060118, 32, 1) {
> -               GP_6_31_FN, GFN_USB3_OVC,
> -               GP_6_30_FN, GFN_USB3_PWEN,
> -               GP_6_29_FN, GFN_USB30_OVC,
> -               GP_6_28_FN, GFN_USB30_PWEN,
> -               GP_6_27_FN, GFN_USB1_OVC,
> -               GP_6_26_FN, GFN_USB1_PWEN,
> -               GP_6_25_FN, GFN_USB0_OVC,
> -               GP_6_24_FN, GFN_USB0_PWEN,
> -               GP_6_23_FN, GFN_AUDIO_CLKB_B,
> -               GP_6_22_FN, GFN_AUDIO_CLKA_A,
> -               GP_6_21_FN, GFN_SSI_SDATA9_A,
> -               GP_6_20_FN, GFN_SSI_SDATA8,
> -               GP_6_19_FN, GFN_SSI_SDATA7,
> -               GP_6_18_FN, GFN_SSI_WS78,
> -               GP_6_17_FN, GFN_SSI_SCK78,
> -               GP_6_16_FN, GFN_SSI_SDATA6,
> -               GP_6_15_FN, GFN_SSI_WS6,
> -               GP_6_14_FN, GFN_SSI_SCK6,
> -               GP_6_13_FN, FN_SSI_SDATA5,
> -               GP_6_12_FN, FN_SSI_WS5,
> -               GP_6_11_FN, FN_SSI_SCK5,
> -               GP_6_10_FN, GFN_SSI_SDATA4,
> -               GP_6_9_FN, GFN_SSI_WS4,
> -               GP_6_8_FN, GFN_SSI_SCK4,
> -               GP_6_7_FN, GFN_SSI_SDATA3,
> -               GP_6_6_FN, GFN_SSI_WS34,
> -               GP_6_5_FN, GFN_SSI_SCK34,
> -               GP_6_4_FN, GFN_SSI_SDATA2_A,
> -               GP_6_3_FN, GFN_SSI_SDATA1_A,
> -               GP_6_2_FN, GFN_SSI_SDATA0,
> -               GP_6_1_FN, GFN_SSI_WS01239,
> -               GP_6_0_FN, GFN_SSI_SCK01239 }
> -       },
> -       /* GPSR7 */
> -       { PINMUX_CFG_REG("GPSR7", 0xE606011C, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_7_3_FN, FN_HDMI1_CEC,
> -               GP_7_2_FN, FN_HDMI0_CEC,
> -               GP_7_1_FN, FN_AVS2,
> -               GP_7_0_FN, FN_AVS1 }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR0", 0xE6060200, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR0_31_28 [4] */
> -               IFN_IRQ1, FN_QPOLA, 0, FN_DU_DISP,
> -               FN_VI4_DATA1_B, FN_CAN0_RX_B, FN_CANFD0_RX_B, FN_MSIOF3_SS1_E,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_27_24 [4] */
> -               IFN_IRQ0, FN_QPOLB, 0, FN_DU_CDE,
> -               FN_VI4_DATA0_B, FN_CAN0_TX_B, FN_CANFD0_TX_B, FN_MSIOF3_SS2_E,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_23_20 [4] */
> -               IFN_AVB_AVTP_CAPTURE_A, 0, FN_MSIOF2_TXD_C, FN_RTS4x_TANS_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_19_16 [4] */
> -               IFN_AVB_AVTP_MATCH_A, 0, FN_MSIOF2_RXD_C, FN_CTS4x_A,
> -               0, FN_FSCLKST2x_A, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_15_12 [4] */
> -               IFN_AVB_LINK, 0, FN_MSIOF2_SCK_C, FN_TX4_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_11_8 [4] */
> -               IFN_AVB_PHY_INT, 0, FN_MSIOF2_SYNC_C, FN_RX4_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_7_4 [4] */
> -               IFN_AVB_MAGIC, 0, FN_MSIOF2_SS1_C, FN_SCK4_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_3_0 [4] */
> -               IFN_AVB_MDC, 0, FN_MSIOF2_SS2_C, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR1", 0xE6060204, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR1_31_28 [4] */
> -               IFN_A0, FN_LCDOUT16, FN_MSIOF3_SYNC_B, 0,
> -               FN_VI4_DATA8, 0, FN_DU_DB0, 0,
> -               0, FN_PWM3_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_27_24 [4] */
> -               IFN_PWM2_A, 0, 0, FN_HTX3_D,
> -               0, 0, 0, 0,
> -               0, FN_IETX_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_23_20 [4] */
> -               IFN_PWM1_A, 0, 0, FN_HRX3_D,
> -               FN_VI4_DATA7_B, 0, 0, 0,
> -               0, FN_IERX_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_19_16 [4] */
> -               IFN_PWM0, FN_AVB_AVTP_PPS, 0, 0,
> -               FN_VI4_DATA6_B, 0, 0, 0,
> -               0, FN_IECLK_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_15_12 [4] */
> -               IFN_IRQ5, FN_QSTB_QHE, 0, FN_DU_EXVSYNC_DU_VSYNC,
> -               FN_VI4_DATA5_B, FN_FSCLKST2x_B, 0, FN_MSIOF3_TXD_E,
> -               0, FN_PWM6_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_11_8 [4] */
> -               IFN_IRQ4, FN_QSTH_QHS, 0, FN_DU_EXHSYNC_DU_HSYNC,
> -               FN_VI4_DATA4_B, 0, 0, FN_MSIOF3_RXD_E,
> -               0, FN_PWM5_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_7_4 [4] */
> -               IFN_IRQ3, FN_QSTVB_QVE, 0, FN_DU_DOTCLKOUT1,
> -               FN_VI4_DATA3_B, 0, 0, FN_MSIOF3_SCK_E,
> -               0, FN_PWM4_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_3_0 [4] */
> -               IFN_IRQ2, FN_QCPV_QDE, 0, FN_DU_EXODDF_DU_ODDF_DISP_CDE,
> -               FN_VI4_DATA2_B, 0, 0, FN_MSIOF3_SYNC_E,
> -               0, FN_PWM3_B, 0, 0,
> -               0, 0, 0, 0
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR2", 0xE6060208, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR2_31_28 [4] */
> -               IFN_A8, FN_RX3_B, FN_MSIOF2_SYNC_A, FN_HRX4_B,
> -               0, 0, 0, FN_SDA6_A,
> -               FN_AVB_AVTP_MATCH_B, FN_PWM1_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_27_24 [4] */
> -               IFN_A7, FN_LCDOUT23, FN_MSIOF2_SS2_A, FN_TX4_B,
> -               FN_VI4_DATA15, FN_V15_DATA15, FN_DU_DB7, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_23_20 [4] */
> -               IFN_A6, FN_LCDOUT22, FN_MSIOF2_SS1_A, FN_RX4_B,
> -               FN_VI4_DATA14, FN_VI5_DATA14, FN_DU_DB6, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_19_16 [4] */
> -               IFN_A5, FN_LCDOUT21, FN_MSIOF3_SS2_B, FN_SCK4_B,
> -               FN_VI4_DATA13, FN_VI5_DATA13, FN_DU_DB5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_15_12 [4] */
> -               IFN_A4, FN_LCDOUT20, FN_MSIOF3_SS1_B, 0,
> -               FN_VI4_DATA12, FN_VI5_DATA12, FN_DU_DB4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_11_8 [4] */
> -               IFN_A3, FN_LCDOUT19, FN_MSIOF3_RXD_B, 0,
> -               FN_VI4_DATA11, 0, FN_DU_DB3, 0,
> -               0, FN_PWM6_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_7_4 [4] */
> -               IFN_A2, FN_LCDOUT18, FN_MSIOF3_SCK_B, 0,
> -               FN_VI4_DATA10, 0, FN_DU_DB2, 0,
> -               0, FN_PWM5_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_3_0 [4] */
> -               IFN_A1, FN_LCDOUT17, FN_MSIOF3_TXD_B, 0,
> -               FN_VI4_DATA9, 0, FN_DU_DB1, 0,
> -               0, FN_PWM4_A, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR3", 0xE606020C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR3_31_28 [4] */
> -               IFN_A16, FN_LCDOUT8, 0, 0,
> -               FN_VI4_FIELD, 0, FN_DU_DG0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_27_24 [4] */
> -               IFN_A15, FN_LCDOUT15, FN_MSIOF3_TXD_C, 0,
> -               FN_HRTS4x, FN_VI5_DATA11, FN_DU_DG7, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_23_20 [4] */
> -               IFN_A14, FN_LCDOUT14, FN_MSIOF3_RXD_C, 0,
> -               FN_HCTS4x, FN_VI5_DATA10, FN_DU_DG6, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_19_16 [4] */
> -               IFN_A13, FN_LCDOUT13, FN_MSIOF3_SYNC_C, 0,
> -               FN_HTX4_A, FN_VI5_DATA9, FN_DU_DG5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_15_12 [4] */
> -               IFN_A12, FN_LCDOUT12, FN_MSIOF3_SCK_C, 0,
> -               FN_HRX4_A, FN_VI5_DATA8, FN_DU_DG4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_11_8 [4] */
> -               IFN_A11, FN_TX3_B, FN_MSIOF2_TXD_A, FN_HTX4_B,
> -               FN_HSCK4, FN_VI5_FIELD, 0, FN_SCL6_A,
> -               FN_AVB_AVTP_CAPTURE_B, FN_PWM2_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_7_4 [4] */
> -               IFN_A10, 0, FN_MSIOF2_RXD_A, FN_RTS4n_TANS_B,
> -               0, FN_VI5_HSYNCx, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_3_0 [4] */
> -               IFN_A9, 0, FN_MSIOF2_SCK_A, FN_CTS4x_B,
> -               0, FN_VI5_VSYNCx, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR4", 0xE6060210, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR4_31_28 [4] */
> -               IFN_RD_WRx, 0, FN_MSIOF3_RXD_D, FN_TX3_A,
> -               FN_HTX3_A, 0, 0, 0,
> -               FN_CAN0_RX_A, FN_CANFD0_RX_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_27_24 [4] */
> -               IFN_RDx, 0, FN_MSIOF3_SYNC_D, FN_RX3_A,
> -               FN_HRX3_A, 0, 0, 0,
> -               FN_CAN0_TX_A, FN_CANFD0_TX_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_23_20 [4] */
> -               IFN_BSx, FN_QSTVA_QVS, FN_MSIOF3_SCK_D, FN_SCK3,
> -               FN_HSCK3, 0, 0, 0,
> -               FN_CAN1_TX, FN_CANFD1_TX, FN_IETX_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_19_16 [4] */
> -               IFN_CS1x_A26, 0, 0, 0,
> -               0, FN_VI5_CLK, 0, FN_EX_WAIT0_B,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_15_12 [4] */
> -               IFN_CS0x, 0, 0, 0,
> -               0, FN_VI5_CLKENB, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_11_8 [4] */
> -               IFN_A19, FN_LCDOUT11, 0, 0,
> -               FN_VI4_CLKENB, 0, FN_DU_DG3, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_7_4 [4] */
> -               IFN_A18, FN_LCDOUT10, 0, 0,
> -               FN_VI4_HSYNCx, 0, FN_DU_DG2, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_3_0 [4] */
> -               IFN_A17, FN_LCDOUT9, 0, 0,
> -               FN_VI4_VSYNCx, 0, FN_DU_DG1, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR5", 0xE6060214, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR5_31_28 [4] */
> -               IFN_D4, FN_MSIOF2_SCK_B, 0, 0,
> -               FN_VI4_DATA20, FN_VI5_DATA4, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_27_24 [4] */
> -               IFN_D3, 0, FN_MSIOF3_TXD_A, 0,
> -               FN_VI4_DATA19, FN_VI5_DATA3, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_23_20 [4] */
> -               IFN_D2, 0, FN_MSIOF3_RXD_A, 0,
> -               FN_VI4_DATA18, FN_VI5_DATA2, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_19_16 [4] */
> -               IFN_D1, FN_MSIOF2_SS2_B, FN_MSIOF3_SYNC_A, 0,
> -               FN_VI4_DATA17, FN_VI5_DATA1, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_15_12 [4] */
> -               IFN_D0, FN_MSIOF2_SS1_B, FN_MSIOF3_SCK_A, 0,
> -               FN_VI4_DATA16, FN_VI5_DATA0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_11_8 [4] */
> -               IFN_EX_WAIT0_A, FN_QCLK, 0, 0,
> -               FN_VI4_CLK, 0, FN_DU_DOTCLKOUT0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_7_4 [4] */
> -               IFN_WE1x, 0, FN_MSIOF3_SS1_D, FN_RTS3x_TANS,
> -               FN_HRTS3x, 0, 0, FN_SDA6_B,
> -               FN_CAN1_RX, FN_CANFD1_RX, FN_IERX_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_3_0 [4] */
> -               IFN_WE0x, 0, FN_MSIIOF3_TXD_D, FN_CTS3x,
> -               FN_HCTS3x, 0, 0, FN_SCL6_B,
> -               FN_CAN_CLK, 0, FN_IECLK_A, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR6", 0xE6060218, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR6_31_28 [4] */
> -               IFN_D12, FN_LCDOUT4, FN_MSIOF2_SS1_D, FN_RX4_C,
> -               FN_VI4_DATA4_A, 0, FN_DU_DR4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_27_24 [4] */
> -               IFN_D11, FN_LCDOUT3, FN_MSIOF2_TXD_D, FN_HTX3_B,
> -               FN_VI4_DATA3_A, FN_RTS4x_TANS_C, FN_DU_DR3, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_23_20 [4] */
> -               IFN_D10, FN_LCDOUT2, FN_MSIOF2_RXD_D, FN_HRX3_B,
> -               FN_VI4_DATA2_A, FN_CTS4x_C, FN_DU_DR2, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_19_16 [4] */
> -               IFN_D9, FN_LCDOUT1, FN_MSIOF2_SYNC_D, 0,
> -               FN_VI4_DATA1_A, 0, FN_DU_DR1, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_15_12 [4] */
> -               IFN_D8, FN_LCDOUT0, FN_MSIOF2_SCK_D, FN_SCK4_C,
> -               FN_VI4_DATA0_A, 0, FN_DU_DR0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_11_8 [4] */
> -               IFN_D7, FN_MSIOF2_TXD_B, 0, 0,
> -               FN_VI4_DATA23, FN_VI5_DATA7, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_7_4 [4] */
> -               IFN_D6, FN_MSIOF2_RXD_B, 0, 0,
> -               FN_VI4_DATA22, FN_VI5_DATA6, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_3_0 [4] */
> -               IFN_D5, FN_MSIOF2_SYNC_B, 0, 0,
> -               FN_VI4_DATA21, FN_VI5_DATA5, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR7", 0xE606021C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR7_31_28 [4] */
> -               IFN_SD0_DAT1, 0, FN_MSIOF1_TXD_E, 0,
> -               0, FN_TS_SPSYNC0_B, FN_STP_ISSYNC_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_27_24 [4] */
> -               IFN_SD0_DAT0, 0, FN_MSIOF1_RXD_E, 0,
> -               0, FN_TS_SCK0_B, FN_STP_ISCLK_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_23_20 [4] */
> -               IFN_SD0_CMD, 0, FN_MSIOF1_SYNC_E, 0,
> -               0, 0, FN_STP_IVCXO27_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_19_16 [4] */
> -               IFN_SD0_CLK, 0, FN_MSIOF1_SCK_E, 0,
> -               0, 0, FN_STP_OPWM_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_15_12 [4] */
> -               FN_FSCLKST, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_11_8 [4] */
> -               IFN_D15, FN_LCDOUT7, FN_MSIOF3_SS2_A, FN_HTX3_C,
> -               FN_VI4_DATA7_A, 0, FN_DU_DR7, FN_SDA6_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_7_4 [4] */
> -               IFN_D14, FN_LCDOUT6, FN_MSIOF3_SS1_A, FN_HRX3_C,
> -               FN_VI4_DATA6_A, 0, FN_DU_DR6, FN_SCL6_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_3_0 [4] */
> -               IFN_D13, FN_LCDOUT5, FN_MSIOF2_SS2_D, FN_TX4_C,
> -               FN_VI4_DATA5_A, 0, FN_DU_DR5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR8", 0xE6060220, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR8_31_28 [4] */
> -               IFN_SD1_DAT3, FN_SD2_DAT7, FN_MSIOF1_SS2_G, FN_NFRBx_B,
> -               0, FN_TS_SDEN1_B, FN_STP_ISEN_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_27_24 [4] */
> -               IFN_SD1_DAT2, FN_SD2_DAT6, FN_MSIOF1_SS1_G, FN_NFDATA15_B,
> -               0, FN_TS_SDAT1_B, FN_STP_IOD_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_23_20 [4] */
> -               IFN_SD1_DAT1, FN_SD2_DAT5, FN_MSIOF1_TXD_G, FN_NFDATA14_B,
> -               0, FN_TS_SPSYNC1_B, FN_STP_ISSYNC_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_19_16 [4] */
> -               IFN_SD1_DAT0, FN_SD2_DAT4, FN_MSIOF1_RXD_G, FN_NFWPx_B,
> -               0, FN_TS_SCK1_B, FN_STP_ISCLK_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_15_12 [4] */
> -               IFN_SD1_CMD, 0, FN_MSIOF1_SYNC_G, FN_NFCEx_B,
> -               0, FN_SIM0_D_A, FN_STP_IVCXO27_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_11_8 [4] */
> -               IFN_SD1_CLK, 0, FN_MSIOF1_SCK_G, 0,
> -               0, FN_SIM0_CLK_A, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_7_4 [4] */
> -               IFN_SD0_DAT3, 0, FN_MSIOF1_SS2_E, 0,
> -               0, FN_TS_SDEN0_B, FN_STP_ISEN_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_3_0 [4] */
> -               IFN_SD0_DAT2, 0, FN_MSIOF1_SS1_E, 0,
> -               0, FN_TS_SDAT0_B, FN_STP_ISD_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR9", 0xE6060224, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR9_31_28 [4] */
> -               IFN_SD3_CLK, 0, FN_NFWEx, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_27_24 [4] */
> -               IFN_SD2_DS, 0, FN_NFALE, 0,
> -               0, 0, 0, 0,
> -               FN_SATA_DEVSLP_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_23_20 [4] */
> -               IFN_SD2_DAT3, 0, FN_NFDATA13, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_19_16 [4] */
> -               IFN_SD2_DAT2, 0, FN_NFDATA12, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_15_12 [4] */
> -               IFN_SD2_DAT1, 0, FN_NFDATA11, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_11_8 [4] */
> -               IFN_SD2_DAT0, 0, FN_NFDATA10, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_7_4 [4] */
> -               IFN_SD2_CMD, 0, FN_NFDATA9, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_3_0 [4] */
> -               IFN_SD2_CLK, 0, FN_NFDATA8, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR10", 0xE6060228, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR10_31_28 [4] */
> -               IFN_SD3_DAT6, FN_SD3_CD, FN_NFDATA6, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_27_24 [4] */
> -               IFN_SD3_DAT5, FN_SD2_WP_A, FN_NFDATA5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_23_20 [4] */
> -               IFN_SD3_DAT4, FN_SD2_CD_A, FN_NFDATA4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_19_16 [4] */
> -               IFN_SD3_DAT3, 0, FN_NFDATA3, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_15_12 [4] */
> -               IFN_SD3_DAT2, 0, FN_NFDATA2, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_11_8 [4] */
> -               IFN_SD3_DAT1, 0, FN_NFDATA1, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_7_4 [4] */
> -               IFN_SD3_DAT0, 0, FN_NFDATA0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_3_0 [4] */
> -               IFN_SD3_CMD, 0, FN_NFREx, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR11", 0xE606022C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR11_31_28 [4] */
> -               IFN_RX0, FN_HRX1_B, 0, 0,
> -               0, FN_TS_SCK0_C, FN_STP_ISCLK_0_C, FN_RIF0_D0_B,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_27_24 [4] */
> -               IFN_SCK0, FN_HSCK1_B, FN_MSIOF1_SS2_B, FN_AUDIO_CLKC_B,
> -               FN_SDA2_A, FN_SIM0_RST_B, FN_STP_OPWM_0_C, FN_RIF0_CLK_B,
> -               FN_ADICHS2, FN_SCK5_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_23_20 [4] */
> -               IFN_SD1_WP, 0, FN_NFCEx_A, 0,
> -               0, FN_SIM0_D_B, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_19_16 [4] */
> -               IFN_SD1_CD, 0, FN_NFRBx_A, 0,
> -               0, FN_SIM0_CLK_B, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_15_12 [4] */
> -               IFN_SD0_WP, 0, FN_NFDATA15_A, 0,
> -               FN_SDA2_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_11_8 [4] */
> -               IFN_SD0_CD, 0, FN_NFDATA14_A, 0,
> -               FN_SCL2_B, FN_SIM0_RST_A, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_7_4 [4] */
> -               IFN_SD3_DS, 0, FN_NFCLE, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_3_0 [4] */
> -               IFN_SD3_DAT7, FN_SD3_WP, FN_NFDATA7, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR12", 0xE6060230, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR12_31_28 [4] */
> -               IFN_SCK2, FN_SCIF_CLK_B, FN_MSIOF1_SCK_B, 0,
> -               0, FN_TS_SCK1_C, FN_STP_ISCLK_1_C, FN_RIF1_CLK_B,
> -               0, FN_ADICLK, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_27_24 [4] */
> -               IFN_RTS1x_TANS, FN_HRTS1x_A, FN_MSIOF1_TXD_B, 0,
> -               0, FN_TS_SDAT1_C, FN_STP_ISD_1_C, FN_RIF1_D1_B,
> -               0, FN_ADICHS0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_23_20 [4] */
> -               IFN_CTS1x, FN_HCTS1x_A, FN_MSIOF1_RXD_B, 0,
> -               0, FN_TS_SDEN1_C, FN_STP_ISEN_1_C, FN_RIF1_D0_B,
> -               0, FN_ADIDATA, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_19_16 [4] */
> -               IFN_TX1_A, FN_HTX1_A, 0, 0,
> -               0, FN_TS_SDEN0_C, FN_STP_ISEN_0_C, FN_RIF1_D0_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_15_12 [4] */
> -               IFN_RX1_A, FN_HRX1_A, 0, 0,
> -               0, FN_TS_SDAT0_C, FN_STP_ISD_0_C, FN_RIF1_CLK_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_11_8 [4] */
> -               IFN_RTS0x_TANS, FN_HRTS1x_B, FN_MSIOF1_SS1_B, FN_AUDIO_CLKA_B,
> -               FN_SCL2_A, 0, FN_STP_IVCXO27_1_C, FN_RIF0_SYNC_B,
> -               0, FN_ADICHS1, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_7_4 [4] */
> -               IFN_CTS0x, FN_HCTS1x_B, FN_MSIOF1_SYNC_B, 0,
> -               0, FN_TS_SPSYNC1_C, FN_STP_ISSYNC_1_C, FN_RIF1_SYNC_B,
> -               FN_AUDIO_CLKOUT_C, FN_ADICS_SAMP, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_3_0 [4] */
> -               IFN_TX0, FN_HTX1_B, 0, 0,
> -               0, FN_TS_SPSYNC0_C, FN_STP_ISSYNC_0_C, FN_RIF0_D1_B,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR13", 0xE6060234, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR13_31_28 [4] */
> -               IFN_MSIOF0_SYNC, 0, 0, 0,
> -               0, 0, 0, 0,
> -               FN_AUDIO_CLKOUT_A, 0, FN_TX5_B, 0,
> -               0, FN_BPFCLK_D, 0, 0,
> -               /* IPSR13_27_24 [4] */
> -               IFN_HRTS0x, FN_TX2_B, FN_MSIOF1_SS1_D, 0,
> -               FN_SSI_WS9_A, 0, FN_STP_IVCXO27_0_D, FN_BPFCLK_A,
> -               FN_AUDIO_CLKOUT2_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_23_20 [4] */
> -               IFN_HCTS0x, FN_RX2_B, FN_MSIOF1_SYNC_D, 0,
> -               FN_SSI_SCK9_A, FN_TS_SPSYNC0_D,
> -               FN_STP_ISSYNC_0_D, FN_RIF0_SYNC_C,
> -               FN_AUDIO_CLKOUT1_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_19_16 [4] */
> -               IFN_HTX0, 0, FN_MSIOF1_TXD_D, 0,
> -               FN_SSI_SDATA9_B, FN_TS_SDAT0_D, FN_STP_ISD_0_D, FN_RIF0_D1_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_15_12 [4] */
> -               IFN_HRX0, 0, FN_MSIOF1_RXD_D, 0,
> -               FN_SSI_SDATA2_B, FN_TS_SDEN0_D, FN_STP_ISEN_0_D, FN_RIF0_D0_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_11_8 [4] */
> -               IFN_HSCK0, 0, FN_MSIOF1_SCK_D, FN_AUDIO_CLKB_A,
> -               FN_SSI_SDATA1_B, FN_TS_SCK0_D, FN_STP_ISCLK_0_D, FN_RIF0_CLK_C,
> -               0, 0, FN_RX5_B, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_7_4 [4] */
> -               IFN_RX2_A, 0, 0, FN_SD2_WP_B,
> -               FN_SDA1_A, 0, FN_FMIN_A, FN_RIF1_SYNC_C,
> -               0, FN_FSO_CFE_1x, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_3_0 [4] */
> -               IFN_TX2_A, 0, 0, FN_SD2_CD_B,
> -               FN_SCL1_A, 0, FN_FMCLK_A, FN_RIF1_D1_C,
> -               0, FN_FSO_CFE_0x, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR14", 0xE6060238, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR14_31_28 [4] */
> -               IFN_SSI_SDATA0, 0, FN_MSIOF1_SS2_F, 0,
> -               0, 0, 0, FN_MOUT2,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_27_24 [4] */
> -               IFN_SSI_WS01239, 0, FN_MSIOF1_SS1_F, 0,
> -               0, 0, 0, 0, FN_MOUT1,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_23_20 [4] */
> -               IFN_SSI_SCK01239, 0, FN_MSIOF1_TXD_F, 0,
> -               0, 0, 0, FN_MOUT0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_19_16 [4] */
> -               IFN_MLB_DAT, FN_TX1_B, FN_MSIOF1_RXD_F, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_15_12 [4] */
> -               IFN_MLB_SIG, FN_RX1_B, FN_MSIOF1_SYNC_F, 0,
> -               FN_SDA1_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_11_8 [4] */
> -               IFN_MLB_CLK, 0, FN_MSIOF1_SCK_F, 0,
> -               FN_SCL1_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_7_4 [4] */
> -               IFN_MSIOF0_SS2, FN_TX5_A, FN_MSIOF1_SS2_D, FN_AUDIO_CLKC_A,
> -               FN_SSI_WS2_A, 0, FN_STP_OPWM_0_D, 0,
> -               FN_AUDIO_CLKOUT_D, 0, FN_SPEEDIN_B, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_3_0 [4] */
> -               IFN_MSIOF0_SS1, FN_RX5_A, FN_NFWPx_A, FN_AUDIO_CLKA_C,
> -               FN_SSI_SCK2_A, 0, FN_STP_IVCXO27_0_C, 0,
> -               FN_AUDIO_CLKOUT3_A, 0, FN_TCLK1_B, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR15", 0xE606023C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR15_31_28 [4] */
> -               IFN_SSI_SDATA4, FN_HSCK2_A, FN_MSIOF1_RXD_A, 0,
> -               0, FN_TS_SPSYNC0_A, FN_STP_ISSYNC_0_A, FN_RIF0_D0_A,
> -               FN_RIF2_D1_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_27_24 [4] */
> -               IFN_SSI_WS4, FN_HTX2_A, FN_MSIOF1_SYNC_A, 0,
> -               0, FN_TS_SDEN0_A, FN_STP_ISEN_0_A, FN_RIF0_SYNC_A,
> -               FN_RIF2_SYNC_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_23_20 [4] */
> -               IFN_SSI_SCK4, FN_HRX2_A, FN_MSIOF1_SCK_A, 0,
> -               0, FN_TS_SDAT0_A, FN_STP_ISD_0_A, FN_RIF0_CLK_A,
> -               FN_RIF2_CLK_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_19_16 [4] */
> -               IFN_SSI_SDATA3, FN_HRTS2x_A, FN_MSIOF1_TXD_A, 0,
> -               0, FN_TS_SCK0_A, FN_STP_ISCLK_0_A, FN_RIF0_D1_A,
> -               FN_RIF2_D0_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_15_12 [4] */
> -               IFN_SSI_WS34, FN_HCTS2x_A, FN_MSIOF1_SS2_A, 0,
> -               0, 0, FN_STP_IVCXO27_0_A, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_11_8 [4] */
> -               IFN_SSI_SCK34, 0, FN_MSIOF1_SS1_A, 0,
> -               0, 0, FN_STP_OPWM_0_A, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_7_4 [4] */
> -               IFN_SSI_SDATA2_A, 0, 0, 0,
> -               FN_SSI_SCK1_B, 0, 0, FN_MOUT6,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_3_0 [4] */
> -               IFN_SSI_SDATA1_A, 0, 0, 0,
> -               0, 0, 0, FN_MOUT5,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR16", 0xE6060240, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR16_31_28 [4] */
> -               IFN_SSI_SDATA9_A, FN_HSCK2_B, FN_MSIOF1_SS1_C, FN_HSCK1_A,
> -               FN_SSI_WS1_B, FN_SCK1, FN_STP_IVCXO27_1_A, FN_SCK5_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_27_24 [4] */
> -               IFN_SSI_SDATA8, FN_HRTS2x_B, FN_MSIOF1_TXD_C, 0,
> -               0, FN_TS_SPSYNC1_A, FN_STP_ISSYNC_1_A, FN_RIF1_D1_A,
> -               FN_RIF3_D1_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_23_20 [4] */
> -               IFN_SSI_SDATA7, FN_HCTS2x_B, FN_MSIOF1_RXD_C, 0,
> -               0, FN_TS_SDEN1_A, FN_STP_ISEN_1_A, FN_RIF1_D0_A,
> -               FN_RIF3_D0_A, 0, FN_TCLK2_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_19_16 [4] */
> -               IFN_SSI_WS78, FN_HTX2_B, FN_MSIOF1_SYNC_C, 0,
> -               0, FN_TS_SDAT1_A, FN_STP_ISD_1_A, FN_RIF1_SYNC_A,
> -               FN_RIF3_SYNC_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_15_12 [4] */
> -               IFN_SSI_SCK78, FN_HRX2_B, FN_MSIOF1_SCK_C, 0,
> -               0, FN_TS_SCK1_A, FN_STP_ISCLK_1_A, FN_RIF1_CLK_A,
> -               FN_RIF3_CLK_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_11_8 [4] */
> -               IFN_SSI_SDATA6, 0, 0, FN_SIM0_CLK_D,
> -               0, 0, 0, 0,
> -               FN_SATA_DEVSLP_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_7_4 [4] */
> -               IFN_SSI_WS6, 0, 0, FN_SIM0_D_D,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_3_0 [4] */
> -               IFN_SSI_SCK6, 0, 0, FN_SIM0_RST_D,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR17", 0xE6060244, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR17_31_28 [4] */
> -               IFN_USB30_OVC, 0, 0, FN_AUDIO_CLKOUT1_B,
> -               FN_SSI_WS2_B, FN_TS_SPSYNC1_D, FN_STP_ISSYNC_1_D, FN_STP_IVCXO27_0_E,
> -               FN_RIF3_D1_B, 0, FN_FSO_TOEx, FN_TPU0TO1,
> -               0, 0, 0, 0,
> -               /* IPSR17_27_24 [4] */
> -               IFN_USB30_PWEN, 0, 0, FN_AUDIO_CLKOUT_B,
> -               FN_SSI_SCK2_B, FN_TS_SDEN1_D, FN_STP_ISEN_1_D, FN_STP_OPWM_0_E,
> -               FN_RIF3_D0_B, 0, FN_TCLK2_B, FN_TPU0TO0,
> -               FN_BPFCLK_C, FN_HRTS2x_C, 0, 0,
> -               /* IPSR17_23_20 [4] */
> -               IFN_USB1_OVC, 0, FN_MSIOF1_SS2_C, 0,
> -               FN_SSI_WS1_A, FN_TS_SDAT0_E, FN_STP_ISD_0_E, FN_FMIN_B,
> -               FN_RIF2_SYNC_B, 0, FN_REMOCON_B, 0,
> -               0, FN_HCTS2x_C, 0, 0,
> -               /* IPSR17_19_16 [4] */
> -               IFN_USB1_PWEN, 0, 0, FN_SIM0_CLK_C,
> -               FN_SSI_SCK1_A, FN_TS_SCK0_E, FN_STP_ISCLK_0_E, FN_FMCLK_B,
> -               FN_RIF2_CLK_B, 0, FN_SPEEDIN_A, 0,
> -               0, FN_HTX2_C, 0, 0,
> -               /* IPSR17_15_12 [4] */
> -               IFN_USB0_OVC, 0, 0, FN_SIM0_D_C,
> -               0, FN_TS_SDAT1_D, FN_STP_ISD_1_D, 0,
> -               FN_RIF3_SYNC_B, 0, 0, 0,
> -               0, FN_HRX2_C, 0, 0,
> -               /* IPSR17_11_8 [4] */
> -               IFN_USB0_PWEN, 0, 0, FN_SIM0_RST_C,
> -               0, FN_TS_SCK1_D, FN_STP_ISCLK_1_D, FN_BPFCLK_B,
> -               FN_RIF3_CLK_B, 0, 0, 0,
> -               0, FN_HSCK2_C, 0, 0,
> -               /* IPSR17_7_4 [4] */
> -               IFN_AUDIO_CLKB_B, FN_SCIF_CLK_A, 0, 0,
> -               0, 0, FN_STP_IVCXO27_1_D, FN_REMOCON_A,
> -               0, 0, FN_TCLK1_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR17_3_0 [4] */
> -               IFN_AUDIO_CLKA_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, FN_CC5_OSCOUT,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR18", 0xE6060248, 32,
> -                               1, 1, 1, 1, 1, 1, 1, 1,
> -                               1, 1, 1, 1, 1, 1, 1, 1,
> -                               1, 1, 1, 1, 1, 1, 1, 1,
> -                               4, 4) {
> -               /* reserved [31..24] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* reserved [23..16] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* reserved [15..8] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* IPSR18_7_4 [4] */
> -               IFN_USB3_OVC, 0, 0, FN_AUDIO_CLKOUT3_B,
> -               FN_SSI_WS9_B, FN_TS_SPSYNC0_E, FN_STP_ISSYNC_0_E, 0,
> -               FN_RIF2_D1_B, 0, 0, FN_TPU0TO3,
> -               FN_FMIN_C, FN_FMIN_D, 0, 0,
> -               /* IPSR18_3_0 [4] */
> -               IFN_USB3_PWEN, 0, 0, FN_AUDIO_CLKOUT2_B,
> -               FN_SSI_SCK9_B, FN_TS_SDEN0_E, FN_STP_ISEN_0_E, 0,
> -               FN_RIF2_D0_B, 0, 0, FN_TPU0TO2,
> -               FN_FMCLK_C, FN_FMCLK_D, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("MOD_SEL0", 0xE6060500, 32,
> -                               3, 2, 3, 1, 1, 1, 1, 1, 2, 1,
> -                               1, 2, 1, 1, 1, 2, 2, 1, 2, 1, 1, 1) {
> -               /* MOD_SEL0 */
> -               /* sel_msiof3[3](0,1,2,3,4) */
> -               FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
> -               FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
> -               FN_SEL_MSIOF3_4, 0,
> -               0, 0,
> -               /* sel_msiof2[2](0,1,2,3) */
> -               FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
> -               FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
> -               /* sel_msiof1[3](0,1,2,3,4,5,6) */
> -               FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
> -               FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
> -               FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
> -               FN_SEL_MSIOF1_6, 0,
> -               /* sel_lbsc[1](0,1) */
> -               FN_SEL_LBSC_0, FN_SEL_LBSC_1,
> -               /* sel_iebus[1](0,1) */
> -               FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
> -               /* sel_i2c2[1](0,1) */
> -               FN_SEL_I2C2_0, FN_SEL_I2C2_1,
> -               /* sel_i2c1[1](0,1) */
> -               FN_SEL_I2C1_0, FN_SEL_I2C1_1,
> -               /* sel_hscif4[1](0,1) */
> -               FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
> -               /* sel_hscif3[2](0,1,2,3) */
> -               FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
> -               FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
> -               /* sel_hscif1[1](0,1) */
> -               FN_SEL_HSCIF1_0, FN_SEL_HSCIF1_1,
> -               /* reserved[1] */
> -               0, 0,
> -               /* sel_hscif2[2](0,1,2) */
> -               FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
> -               FN_SEL_HSCIF2_2, 0,
> -               /* sel_etheravb[1](0,1) */
> -               FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
> -               /* sel_drif3[1](0,1) */
> -               FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
> -               /* sel_drif2[1](0,1) */
> -               FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
> -               /* sel_drif1[2](0,1,2) */
> -               FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
> -               FN_SEL_DRIF1_2, 0,
> -               /* sel_drif0[2](0,1,2) */
> -               FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
> -               FN_SEL_DRIF0_2, 0,
> -               /* sel_canfd0[1](0,1) */
> -               FN_SEL_CANFD_0, FN_SEL_CANFD_1,
> -               /* sel_adg_a[2](0,1,2) */
> -               FN_SEL_ADG_A_0, FN_SEL_ADG_A_1,
> -               FN_SEL_ADG_A_2, 0,
> -               /* reserved[3]*/
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("MOD_SEL1", 0xE6060504, 32,
> -                               2, 3, 1, 2,
> -                               3, 1, 1, 2, 1,
> -                               2, 1, 1, 1, 1, 1, 1,
> -                               1, 1, 1, 1, 1, 1, 1, 1) {
> -               /* sel_tsif1[2](0,1,2,3) */
> -               FN_SEL_TSIF1_0, FN_SEL_TSIF1_1,
> -               FN_SEL_TSIF1_2, FN_SEL_TSIF1_3,
> -               /* sel_tsif0[3](0,1,2,3,4) */
> -               FN_SEL_TSIF0_0, FN_SEL_TSIF0_1,
> -               FN_SEL_TSIF0_2, FN_SEL_TSIF0_3,
> -               FN_SEL_TSIF0_4, 0,
> -               0, 0,
> -               /* sel_timer_tmu1[1](0,1) */
> -               FN_SEL_TIMER_TMU1_0, FN_SEL_TIMER_TMU1_1,
> -               /* sel_ssp1_1[2](0,1,2,3) */
> -               FN_SEL_SSP1_1_0, FN_SEL_SSP1_1_1,
> -               FN_SEL_SSP1_1_2, FN_SEL_SSP1_1_3,
> -               /* sel_ssp1_0[3](0,1,2,3,4) */
> -               FN_SEL_SSP1_0_0, FN_SEL_SSP1_0_1,
> -               FN_SEL_SSP1_0_2, FN_SEL_SSP1_0_3,
> -               FN_SEL_SSP1_0_4, 0,
> -               0, 0,
> -               /* sel_ssi1[1](0,1) */
> -               FN_SEL_SSI_0, FN_SEL_SSI_1,
> -               /* sel_speed_pulse_if[1](0,1) */
> -               FN_SEL_SPEED_PULSE_IF_0, FN_SEL_SPEED_PULSE_IF_1,
> -               /* sel_simcard[2](0,1,2,3) */
> -               FN_SEL_SIMCARD_0, FN_SEL_SIMCARD_1,
> -               FN_SEL_SIMCARD_2, FN_SEL_SIMCARD_3,
> -               /* sel_sdhi2[1](0,1) */
> -               FN_SEL_SDHI2_0, FN_SEL_SDHI2_1,
> -               /* sel_scif4[2](0,1,2) */
> -               FN_SEL_SCIF4_0, FN_SEL_SCIF4_1,
> -               FN_SEL_SCIF4_2, 0,
> -               /* sel_scif3[1](0,1) */
> -               FN_SEL_SCIF3_0, FN_SEL_SCIF3_1,
> -               /* sel_scif2[1](0,1) */
> -               FN_SEL_SCIF2_0, FN_SEL_SCIF2_1,
> -               /* sel_scif1[1](0,1) */
> -               FN_SEL_SCIF1_0, FN_SEL_SCIF1_1,
> -               /* sel_scif[1](0,1) */
> -               FN_SEL_SCIF_0, FN_SEL_SCIF_1,
> -               /* sel_remocon[1](0,1) */
> -               FN_SEL_REMOCON_0, FN_SEL_REMOCON_1,
> -               /* reserved[8..7] */
> -               0, 0,
> -               0, 0,
> -               /* sel_rcan0[1](0,1) */
> -               FN_SEL_RCAN_0, FN_SEL_RCAN_1,
> -               /* sel_pwm6[1](0,1) */
> -               FN_SEL_PWM6_0, FN_SEL_PWM6_1,
> -               /* sel_pwm5[1](0,1) */
> -               FN_SEL_PWM5_0, FN_SEL_PWM5_1,
> -               /* sel_pwm4[1](0,1) */
> -               FN_SEL_PWM4_0, FN_SEL_PWM4_1,
> -               /* sel_pwm3[1](0,1) */
> -               FN_SEL_PWM3_0, FN_SEL_PWM3_1,
> -               /* sel_pwm2[1](0,1) */
> -               FN_SEL_PWM2_0, FN_SEL_PWM2_1,
> -               /* sel_pwm1[1](0,1) */
> -               FN_SEL_PWM1_0, FN_SEL_PWM1_1,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("MOD_SEL2", 0xE6060508, 32,
> -                       1, 1, 1, 2, 1, 3, 1, 1, 1, 1, 1, 1, 1,
> -                       1, 1, 1, 1, 1, 1, 1, 1,
> -                       1, 1, 1, 1, 1, 1, 1, 1) {
> -               /* i2c_sel_5[1](0,1) */
> -               FN_I2C_SEL_5_0, FN_I2C_SEL_5_1,
> -               /* i2c_sel_3[1](0,1) */
> -               FN_I2C_SEL_3_0, FN_I2C_SEL_3_1,
> -               /* i2c_sel_0[1](0,1) */
> -               FN_I2C_SEL_0_0, FN_I2C_SEL_0_1,
> -               /* sel_fm[2](0,1,2,3) */
> -               FN_SEL_FM_0, FN_SEL_FM_1,
> -               FN_SEL_FM_2, FN_SEL_FM_3,
> -               /* sel_scif5[1](0,1) */
> -               FN_SEL_SCIF5_0, FN_SEL_SCIF5_1,
> -               /* sel_i2c6[3](0,1,2) */
> -               FN_SEL_I2C6_0, FN_SEL_I2C6_1,
> -               FN_SEL_I2C6_2, 0,
> -               /* sel_ndfc[1](0,1) */
> -               FN_SEL_NDFC_0, FN_SEL_NDFC_1,
> -               /* sel_ssi2[1](0,1) */
> -               FN_SEL_SSI2_0, FN_SEL_SSI2_1,
> -               /* sel_ssi9[1](0,1) */
> -               FN_SEL_SSI9_0, FN_SEL_SSI9_1,
> -               /* sel_timer_tmu2[1](0,1) */
> -               FN_SEL_TIMER_TMU2_0, FN_SEL_TIMER_TMU2_1,
> -               /* sel_adg_b[1](0,1) */
> -               FN_SEL_ADG_B_0, FN_SEL_ADG_B_1,
> -               /* sel_adg_c[1](0,1) */
> -               FN_SEL_ADG_C_0, FN_SEL_ADG_C_1,
> -               /* reserved[16..16] */
> -               0, 0,
> -               /* reserved[15..8] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* reserved[7..1] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* sel_vin4[1](0,1) */
> -               FN_SEL_VIN4_0, FN_SEL_VIN4_1,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL0", 0xE6050004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_0_15_IN, GP_0_15_OUT,
> -               GP_0_14_IN, GP_0_14_OUT,
> -               GP_0_13_IN, GP_0_13_OUT,
> -               GP_0_12_IN, GP_0_12_OUT,
> -               GP_0_11_IN, GP_0_11_OUT,
> -               GP_0_10_IN, GP_0_10_OUT,
> -               GP_0_9_IN, GP_0_9_OUT,
> -               GP_0_8_IN, GP_0_8_OUT,
> -               GP_0_7_IN, GP_0_7_OUT,
> -               GP_0_6_IN, GP_0_6_OUT,
> -               GP_0_5_IN, GP_0_5_OUT,
> -               GP_0_4_IN, GP_0_4_OUT,
> -               GP_0_3_IN, GP_0_3_OUT,
> -               GP_0_2_IN, GP_0_2_OUT,
> -               GP_0_1_IN, GP_0_1_OUT,
> -               GP_0_0_IN, GP_0_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL1", 0xE6051004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_1_28_IN, GP_1_28_OUT,
> -               GP_1_27_IN, GP_1_27_OUT,
> -               GP_1_26_IN, GP_1_26_OUT,
> -               GP_1_25_IN, GP_1_25_OUT,
> -               GP_1_24_IN, GP_1_24_OUT,
> -               GP_1_23_IN, GP_1_23_OUT,
> -               GP_1_22_IN, GP_1_22_OUT,
> -               GP_1_21_IN, GP_1_21_OUT,
> -               GP_1_20_IN, GP_1_20_OUT,
> -               GP_1_19_IN, GP_1_19_OUT,
> -               GP_1_18_IN, GP_1_18_OUT,
> -               GP_1_17_IN, GP_1_17_OUT,
> -               GP_1_16_IN, GP_1_16_OUT,
> -               GP_1_15_IN, GP_1_15_OUT,
> -               GP_1_14_IN, GP_1_14_OUT,
> -               GP_1_13_IN, GP_1_13_OUT,
> -               GP_1_12_IN, GP_1_12_OUT,
> -               GP_1_11_IN, GP_1_11_OUT,
> -               GP_1_10_IN, GP_1_10_OUT,
> -               GP_1_9_IN, GP_1_9_OUT,
> -               GP_1_8_IN, GP_1_8_OUT,
> -               GP_1_7_IN, GP_1_7_OUT,
> -               GP_1_6_IN, GP_1_6_OUT,
> -               GP_1_5_IN, GP_1_5_OUT,
> -               GP_1_4_IN, GP_1_4_OUT,
> -               GP_1_3_IN, GP_1_3_OUT,
> -               GP_1_2_IN, GP_1_2_OUT,
> -               GP_1_1_IN, GP_1_1_OUT,
> -               GP_1_0_IN, GP_1_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL2", 0xE6052004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               GP_2_14_IN, GP_2_14_OUT,
> -               GP_2_13_IN, GP_2_13_OUT,
> -               GP_2_12_IN, GP_2_12_OUT,
> -               GP_2_11_IN, GP_2_11_OUT,
> -               GP_2_10_IN, GP_2_10_OUT,
> -               GP_2_9_IN, GP_2_9_OUT,
> -               GP_2_8_IN, GP_2_8_OUT,
> -               GP_2_7_IN, GP_2_7_OUT,
> -               GP_2_6_IN, GP_2_6_OUT,
> -               GP_2_5_IN, GP_2_5_OUT,
> -               GP_2_4_IN, GP_2_4_OUT,
> -               GP_2_3_IN, GP_2_3_OUT,
> -               GP_2_2_IN, GP_2_2_OUT,
> -               GP_2_1_IN, GP_2_1_OUT,
> -               GP_2_0_IN, GP_2_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL3", 0xE6053004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_3_15_IN, GP_3_15_OUT,
> -               GP_3_14_IN, GP_3_14_OUT,
> -               GP_3_13_IN, GP_3_13_OUT,
> -               GP_3_12_IN, GP_3_12_OUT,
> -               GP_3_11_IN, GP_3_11_OUT,
> -               GP_3_10_IN, GP_3_10_OUT,
> -               GP_3_9_IN, GP_3_9_OUT,
> -               GP_3_8_IN, GP_3_8_OUT,
> -               GP_3_7_IN, GP_3_7_OUT,
> -               GP_3_6_IN, GP_3_6_OUT,
> -               GP_3_5_IN, GP_3_5_OUT,
> -               GP_3_4_IN, GP_3_4_OUT,
> -               GP_3_3_IN, GP_3_3_OUT,
> -               GP_3_2_IN, GP_3_2_OUT,
> -               GP_3_1_IN, GP_3_1_OUT,
> -               GP_3_0_IN, GP_3_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL4", 0xE6054004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_4_17_IN, GP_4_17_OUT,
> -               GP_4_16_IN, GP_4_16_OUT,
> -
> -               GP_4_15_IN, GP_4_15_OUT,
> -               GP_4_14_IN, GP_4_14_OUT,
> -               GP_4_13_IN, GP_4_13_OUT,
> -               GP_4_12_IN, GP_4_12_OUT,
> -               GP_4_11_IN, GP_4_11_OUT,
> -               GP_4_10_IN, GP_4_10_OUT,
> -               GP_4_9_IN, GP_4_9_OUT,
> -               GP_4_8_IN, GP_4_8_OUT,
> -               GP_4_7_IN, GP_4_7_OUT,
> -               GP_4_6_IN, GP_4_6_OUT,
> -               GP_4_5_IN, GP_4_5_OUT,
> -               GP_4_4_IN, GP_4_4_OUT,
> -               GP_4_3_IN, GP_4_3_OUT,
> -               GP_4_2_IN, GP_4_2_OUT,
> -               GP_4_1_IN, GP_4_1_OUT,
> -               GP_4_0_IN, GP_4_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL5", 0xE6055004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_5_25_IN, GP_5_25_OUT,
> -               GP_5_24_IN, GP_5_24_OUT,
> -
> -               GP_5_23_IN, GP_5_23_OUT,
> -               GP_5_22_IN, GP_5_22_OUT,
> -               GP_5_21_IN, GP_5_21_OUT,
> -               GP_5_20_IN, GP_5_20_OUT,
> -               GP_5_19_IN, GP_5_19_OUT,
> -               GP_5_18_IN, GP_5_18_OUT,
> -               GP_5_17_IN, GP_5_17_OUT,
> -               GP_5_16_IN, GP_5_16_OUT,
> -
> -               GP_5_15_IN, GP_5_15_OUT,
> -               GP_5_14_IN, GP_5_14_OUT,
> -               GP_5_13_IN, GP_5_13_OUT,
> -               GP_5_12_IN, GP_5_12_OUT,
> -               GP_5_11_IN, GP_5_11_OUT,
> -               GP_5_10_IN, GP_5_10_OUT,
> -               GP_5_9_IN, GP_5_9_OUT,
> -               GP_5_8_IN, GP_5_8_OUT,
> -               GP_5_7_IN, GP_5_7_OUT,
> -               GP_5_6_IN, GP_5_6_OUT,
> -               GP_5_5_IN, GP_5_5_OUT,
> -               GP_5_4_IN, GP_5_4_OUT,
> -               GP_5_3_IN, GP_5_3_OUT,
> -               GP_5_2_IN, GP_5_2_OUT,
> -               GP_5_1_IN, GP_5_1_OUT,
> -               GP_5_0_IN, GP_5_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL6", 0xE6055404, 32, 1) {
> -               GP_INOUTSEL(6)
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL7", 0xE6055804, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_6_3_IN, GP_6_3_OUT,
> -               GP_6_2_IN, GP_6_2_OUT,
> -               GP_6_1_IN, GP_6_1_OUT,
> -               GP_6_0_IN, GP_6_0_OUT,
> -               }
> -       },
> -       { },
> -};
> -
> -static struct pinmux_data_reg pinmux_data_regs[] = {
> -       /* use OUTDT registers? */
> -       { PINMUX_DATA_REG("INDT0", 0xE6050008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               GP_0_15_DATA, GP_0_14_DATA, GP_0_13_DATA, GP_0_12_DATA,
> -               GP_0_11_DATA, GP_0_10_DATA, GP_0_9_DATA, GP_0_8_DATA,
> -               GP_0_7_DATA, GP_0_6_DATA, GP_0_5_DATA, GP_0_4_DATA,
> -               GP_0_3_DATA, GP_0_2_DATA, GP_0_1_DATA, GP_0_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT1", 0xE6051008, 32) {
> -               0, 0, 0, GP_1_28_DATA,
> -               GP_1_27_DATA, GP_1_26_DATA, GP_1_25_DATA, GP_1_24_DATA,
> -               GP_1_23_DATA, GP_1_22_DATA, GP_1_21_DATA, GP_1_20_DATA,
> -               GP_1_19_DATA, GP_1_18_DATA, GP_1_17_DATA, GP_1_16_DATA,
> -               GP_1_15_DATA, GP_1_14_DATA, GP_1_13_DATA, GP_1_12_DATA,
> -               GP_1_11_DATA, GP_1_10_DATA, GP_1_9_DATA, GP_1_8_DATA,
> -               GP_1_7_DATA, GP_1_6_DATA, GP_1_5_DATA, GP_1_4_DATA,
> -               GP_1_3_DATA, GP_1_2_DATA, GP_1_1_DATA, GP_1_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT2", 0xE6052008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, GP_2_14_DATA, GP_2_13_DATA, GP_2_12_DATA,
> -               GP_2_11_DATA, GP_2_10_DATA, GP_2_9_DATA, GP_2_8_DATA,
> -               GP_2_7_DATA, GP_2_6_DATA, GP_2_5_DATA, GP_2_4_DATA,
> -               GP_2_3_DATA, GP_2_2_DATA, GP_2_1_DATA, GP_2_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT3", 0xE6053008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               GP_3_15_DATA, GP_3_14_DATA, GP_3_13_DATA, GP_3_12_DATA,
> -               GP_3_11_DATA, GP_3_10_DATA, GP_3_9_DATA, GP_3_8_DATA,
> -               GP_3_7_DATA, GP_3_6_DATA, GP_3_5_DATA, GP_3_4_DATA,
> -               GP_3_3_DATA, GP_3_2_DATA, GP_3_1_DATA, GP_3_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT4", 0xE6054008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, GP_4_17_DATA, GP_4_16_DATA,
> -               GP_4_15_DATA, GP_4_14_DATA, GP_4_13_DATA, GP_4_12_DATA,
> -               GP_4_11_DATA, GP_4_10_DATA, GP_4_9_DATA, GP_4_8_DATA,
> -               GP_4_7_DATA, GP_4_6_DATA, GP_4_5_DATA, GP_4_4_DATA,
> -               GP_4_3_DATA, GP_4_2_DATA, GP_4_1_DATA, GP_4_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT5", 0xE6055008, 32) {
> -               0, 0, 0, 0,
> -               0, 0, GP_5_25_DATA, GP_5_24_DATA,
> -               GP_5_23_DATA, GP_5_22_DATA, GP_5_21_DATA, GP_5_20_DATA,
> -               GP_5_19_DATA, GP_5_18_DATA, GP_5_17_DATA, GP_5_16_DATA,
> -               GP_5_15_DATA, GP_5_14_DATA, GP_5_13_DATA, GP_5_12_DATA,
> -               GP_5_11_DATA, GP_5_10_DATA, GP_5_9_DATA, GP_5_8_DATA,
> -               GP_5_7_DATA, GP_5_6_DATA, GP_5_5_DATA, GP_5_4_DATA,
> -               GP_5_3_DATA, GP_5_2_DATA, GP_5_1_DATA, GP_5_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT6", 0xE6055408, 32) {
> -               GP_INDT(6) }
> -       },
> -       { PINMUX_DATA_REG("INDT7", 0xE6055808, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               GP_7_3_DATA, GP_7_2_DATA, GP_7_1_DATA, GP_7_0_DATA }
> -       },
> -       { },
> -};
> -
> -
> -static struct pinmux_info r8a7795_pinmux_info = {
> -       .name = "r8a7795_pfc",
> -
> -       .unlock_reg = 0xe6060000, /* PMMR */
> -
> -       .reserved_id = PINMUX_RESERVED,
> -       .data = { PINMUX_DATA_BEGIN, PINMUX_DATA_END },
> -       .input = { PINMUX_INPUT_BEGIN, PINMUX_INPUT_END },
> -       .output = { PINMUX_OUTPUT_BEGIN, PINMUX_OUTPUT_END },
> -       .mark = { PINMUX_MARK_BEGIN, PINMUX_MARK_END },
> -       .function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
> -
> -       .first_gpio = GPIO_GP_0_0,
> -       .last_gpio = GPIO_FN_FMIN_D,
> -
> -       .gpios = pinmux_gpios,
> -       .cfg_regs = pinmux_config_regs,
> -       .data_regs = pinmux_data_regs,
> -
> -       .gpio_data = pinmux_data,
> -       .gpio_data_size = ARRAY_SIZE(pinmux_data),
> -};
> -
> -void r8a7795_pinmux_init(void)
> -{
> -       register_pinmux(&r8a7795_pinmux_info);
> -}
> diff --git a/arch/arm/mach-rmobile/pfc-r8a7796.c b/arch/arm/mach-rmobile/pfc-r8a7796.c
> deleted file mode 100644
> index f734f96dd0..0000000000
> --- a/arch/arm/mach-rmobile/pfc-r8a7796.c
> +++ /dev/null
> @@ -1,5253 +0,0 @@
> -/*
> - * arch/arm/cpu/armv8/rcar_gen3/pfc-r8a7796.c
> - *     This file is r8a7796 processor support - PFC hardware block.
> - *
> - * Copyright (C) 2016 Renesas Electronics Corporation
> - *
> - * SPDX-License-Identifier:    GPL-2.0+
> - */
> -
> -#include <common.h>
> -#include <sh_pfc.h>
> -#include <asm/gpio.h>
> -
> -#define CPU_32_PORT(fn, pfx, sfx)                              \
> -       PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),        \
> -       PORT_10(fn, pfx##2, sfx), PORT_1(fn, pfx##30, sfx),     \
> -       PORT_1(fn, pfx##31, sfx)
> -
> -#define CPU_32_PORT1(fn, pfx, sfx)                             \
> -       PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),        \
> -       PORT_10(fn, pfx##2, sfx)
> -
> -#define CPU_32_PORT2(fn, pfx, sfx)                             \
> -       PORT_10(fn, pfx, sfx), PORT_10(fn, pfx##1, sfx),        \
> -       PORT_10(fn, pfx##2, sfx)
> -
> -#define CPU_32_PORT_29(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_10(fn, pfx##1, sfx),                               \
> -       PORT_1(fn, pfx##20, sfx),                               \
> -       PORT_1(fn, pfx##21, sfx),                               \
> -       PORT_1(fn, pfx##22, sfx),                               \
> -       PORT_1(fn, pfx##23, sfx),                               \
> -       PORT_1(fn, pfx##24, sfx),                               \
> -       PORT_1(fn, pfx##25, sfx),                               \
> -       PORT_1(fn, pfx##26, sfx),                               \
> -       PORT_1(fn, pfx##27, sfx),                               \
> -       PORT_1(fn, pfx##28, sfx)
> -
> -#define CPU_32_PORT_26(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_10(fn, pfx##1, sfx),                               \
> -       PORT_1(fn, pfx##20, sfx),                               \
> -       PORT_1(fn, pfx##21, sfx),                               \
> -       PORT_1(fn, pfx##22, sfx),                               \
> -       PORT_1(fn, pfx##23, sfx),                               \
> -       PORT_1(fn, pfx##24, sfx),                               \
> -       PORT_1(fn, pfx##25, sfx)
> -
> -#define CPU_32_PORT_18(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_1(fn, pfx##10, sfx),                               \
> -       PORT_1(fn, pfx##11, sfx),                               \
> -       PORT_1(fn, pfx##12, sfx),                               \
> -       PORT_1(fn, pfx##13, sfx),                               \
> -       PORT_1(fn, pfx##14, sfx),                               \
> -       PORT_1(fn, pfx##15, sfx),                               \
> -       PORT_1(fn, pfx##16, sfx),                               \
> -       PORT_1(fn, pfx##17, sfx)
> -
> -#define CPU_32_PORT_16(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_1(fn, pfx##10, sfx),                               \
> -       PORT_1(fn, pfx##11, sfx),                               \
> -       PORT_1(fn, pfx##12, sfx),                               \
> -       PORT_1(fn, pfx##13, sfx),                               \
> -       PORT_1(fn, pfx##14, sfx),                               \
> -       PORT_1(fn, pfx##15, sfx)
> -
> -#define CPU_32_PORT_15(fn, pfx, sfx)                           \
> -       PORT_10(fn, pfx, sfx),                                  \
> -       PORT_1(fn, pfx##10, sfx),                               \
> -       PORT_1(fn, pfx##11, sfx),                               \
> -       PORT_1(fn, pfx##12, sfx),                               \
> -       PORT_1(fn, pfx##13, sfx),                               \
> -       PORT_1(fn, pfx##14, sfx)
> -
> -#define CPU_32_PORT_4(fn, pfx, sfx)                            \
> -       PORT_1(fn, pfx##0, sfx),                                \
> -       PORT_1(fn, pfx##1, sfx),                                \
> -       PORT_1(fn, pfx##2, sfx),                                \
> -       PORT_1(fn, pfx##3, sfx)
> -
> -
> -/* --gen3-- */
> -/* GP_0_0_DATA -> GP_7_4_DATA */
> -/* except for GP0[16] - [31],
> -               GP1[28] - [31],
> -               GP2[15] - [31],
> -               GP3[16] - [31],
> -               GP4[18] - [31],
> -               GP5[26] - [31],
> -               GP7[4] - [31] */
> -
> -#define CPU_ALL_PORT(fn, pfx, sfx)             \
> -       CPU_32_PORT_16(fn, pfx##_0_, sfx),      \
> -       CPU_32_PORT_29(fn, pfx##_1_, sfx),      \
> -       CPU_32_PORT_15(fn, pfx##_2_, sfx),      \
> -       CPU_32_PORT_16(fn, pfx##_3_, sfx),      \
> -       CPU_32_PORT_18(fn, pfx##_4_, sfx),      \
> -       CPU_32_PORT_26(fn, pfx##_5_, sfx),      \
> -       CPU_32_PORT(fn, pfx##_6_, sfx),         \
> -       CPU_32_PORT_4(fn, pfx##_7_, sfx)
> -
> -#define _GP_GPIO(pfx, sfx) PINMUX_GPIO(GPIO_GP##pfx, GP##pfx##_DATA)
> -#define _GP_DATA(pfx, sfx) PINMUX_DATA(GP##pfx##_DATA, GP##pfx##_FN,   \
> -                                      GP##pfx##_IN, GP##pfx##_OUT)
> -
> -#define _GP_INOUTSEL(pfx, sfx) GP##pfx##_IN, GP##pfx##_OUT
> -#define _GP_INDT(pfx, sfx) GP##pfx##_DATA
> -
> -#define GP_ALL(str)    CPU_ALL_PORT(_PORT_ALL, GP, str)
> -#define PINMUX_GPIO_GP_ALL()   CPU_ALL_PORT(_GP_GPIO, , unused)
> -#define PINMUX_DATA_GP_ALL()   CPU_ALL_PORT(_GP_DATA, , unused)
> -
> -
> -#define PORT_10_REV(fn, pfx, sfx)                              \
> -       PORT_1(fn, pfx##9, sfx), PORT_1(fn, pfx##8, sfx),       \
> -       PORT_1(fn, pfx##7, sfx), PORT_1(fn, pfx##6, sfx),       \
> -       PORT_1(fn, pfx##5, sfx), PORT_1(fn, pfx##4, sfx),       \
> -       PORT_1(fn, pfx##3, sfx), PORT_1(fn, pfx##2, sfx),       \
> -       PORT_1(fn, pfx##1, sfx), PORT_1(fn, pfx##0, sfx)
> -
> -#define CPU_32_PORT_REV(fn, pfx, sfx)                                  \
> -       PORT_1(fn, pfx##31, sfx), PORT_1(fn, pfx##30, sfx),             \
> -       PORT_10_REV(fn, pfx##2, sfx), PORT_10_REV(fn, pfx##1, sfx),     \
> -       PORT_10_REV(fn, pfx, sfx)
> -
> -#define GP_INOUTSEL(bank) CPU_32_PORT_REV(_GP_INOUTSEL, _##bank##_, unused)
> -#define GP_INDT(bank) CPU_32_PORT_REV(_GP_INDT, _##bank##_, unused)
> -
> -#define PINMUX_IPSR_DATA(ipsr, fn) PINMUX_DATA(fn##_MARK, FN_##ipsr, FN_##fn)
> -#define PINMUX_IPSR_MODSEL_DATA(ipsr, fn, ms) PINMUX_DATA(fn##_MARK, FN_##ms, \
> -                                                         FN_##ipsr, FN_##fn)
> -
> -enum {
> -       PINMUX_RESERVED = 0,
> -
> -       PINMUX_DATA_BEGIN,
> -       GP_ALL(DATA),
> -       PINMUX_DATA_END,
> -
> -       PINMUX_INPUT_BEGIN,
> -       GP_ALL(IN),
> -       PINMUX_INPUT_END,
> -
> -       PINMUX_OUTPUT_BEGIN,
> -       GP_ALL(OUT),
> -       PINMUX_OUTPUT_END,
> -
> -       PINMUX_FUNCTION_BEGIN,
> -       GP_ALL(FN),
> -
> -       /* GPSR0 */
> -       GFN_D15,
> -       GFN_D14,
> -       GFN_D13,
> -       GFN_D12,
> -       GFN_D11,
> -       GFN_D10,
> -       GFN_D9,
> -       GFN_D8,
> -       GFN_D7,
> -       GFN_D6,
> -       GFN_D5,
> -       GFN_D4,
> -       GFN_D3,
> -       GFN_D2,
> -       GFN_D1,
> -       GFN_D0,
> -
> -       /* GPSR1 */
> -       GFN_CLKOUT,
> -       GFN_EX_WAIT0_A,
> -       GFN_WE1x,
> -       GFN_WE0x,
> -       GFN_RD_WRx,
> -       GFN_RDx,
> -       GFN_BSx,
> -       GFN_CS1x_A26,
> -       GFN_CS0x,
> -       GFN_A19,
> -       GFN_A18,
> -       GFN_A17,
> -       GFN_A16,
> -       GFN_A15,
> -       GFN_A14,
> -       GFN_A13,
> -       GFN_A12,
> -       GFN_A11,
> -       GFN_A10,
> -       GFN_A9,
> -       GFN_A8,
> -       GFN_A7,
> -       GFN_A6,
> -       GFN_A5,
> -       GFN_A4,
> -       GFN_A3,
> -       GFN_A2,
> -       GFN_A1,
> -       GFN_A0,
> -
> -       /* GPSR2 */
> -       GFN_AVB_AVTP_CAPTURE_A,
> -       GFN_AVB_AVTP_MATCH_A,
> -       GFN_AVB_LINK,
> -       GFN_AVB_PHY_INT,
> -       GFN_AVB_MAGIC,
> -       GFN_AVB_MDC,
> -       GFN_PWM2_A,
> -       GFN_PWM1_A,
> -       GFN_PWM0,
> -       GFN_IRQ5,
> -       GFN_IRQ4,
> -       GFN_IRQ3,
> -       GFN_IRQ2,
> -       GFN_IRQ1,
> -       GFN_IRQ0,
> -
> -       /* GPSR3 */
> -       GFN_SD1_WP,
> -       GFN_SD1_CD,
> -       GFN_SD0_WP,
> -       GFN_SD0_CD,
> -       GFN_SD1_DAT3,
> -       GFN_SD1_DAT2,
> -       GFN_SD1_DAT1,
> -       GFN_SD1_DAT0,
> -       GFN_SD1_CMD,
> -       GFN_SD1_CLK,
> -       GFN_SD0_DAT3,
> -       GFN_SD0_DAT2,
> -       GFN_SD0_DAT1,
> -       GFN_SD0_DAT0,
> -       GFN_SD0_CMD,
> -       GFN_SD0_CLK,
> -
> -       /* GPSR4 */
> -       GFN_SD3_DS,
> -       GFN_SD3_DAT7,
> -       GFN_SD3_DAT6,
> -       GFN_SD3_DAT5,
> -       GFN_SD3_DAT4,
> -       FN_SD3_DAT3,
> -       FN_SD3_DAT2,
> -       FN_SD3_DAT1,
> -       FN_SD3_DAT0,
> -       FN_SD3_CMD,
> -       FN_SD3_CLK,
> -       GFN_SD2_DS,
> -       GFN_SD2_DAT3,
> -       GFN_SD2_DAT2,
> -       GFN_SD2_DAT1,
> -       GFN_SD2_DAT0,
> -       FN_SD2_CMD,
> -       GFN_SD2_CLK,
> -
> -       /* GPSR5 */
> -       GFN_MLB_DAT,
> -       GFN_MLB_SIG,
> -       GFN_MLB_CLK,
> -       FN_MSIOF0_RXD,
> -       GFN_MSIOF0_SS2,
> -       FN_MSIOF0_TXD,
> -       GFN_MSIOF0_SS1,
> -       GFN_MSIOF0_SYNC,
> -       FN_MSIOF0_SCK,
> -       GFN_HRTS0x,
> -       GFN_HCTS0x,
> -       GFN_HTX0,
> -       GFN_HRX0,
> -       GFN_HSCK0,
> -       GFN_RX2_A,
> -       GFN_TX2_A,
> -       GFN_SCK2,
> -       GFN_RTS1x_TANS,
> -       GFN_CTS1x,
> -       GFN_TX1_A,
> -       GFN_RX1_A,
> -       GFN_RTS0x_TANS,
> -       GFN_CTS0x,
> -       GFN_TX0,
> -       GFN_RX0,
> -       GFN_SCK0,
> -
> -       /* GPSR6 */
> -       GFN_GP6_30,
> -       GFN_GP6_31,
> -       GFN_USB30_OVC,
> -       GFN_USB30_PWEN,
> -       GFN_USB1_OVC,
> -       GFN_USB1_PWEN,
> -       GFN_USB0_OVC,
> -       GFN_USB0_PWEN,
> -       GFN_AUDIO_CLKB_B,
> -       GFN_AUDIO_CLKA_A,
> -       GFN_SSI_SDATA9_A,
> -       GFN_SSI_SDATA8,
> -       GFN_SSI_SDATA7,
> -       GFN_SSI_WS78,
> -       GFN_SSI_SCK78,
> -       GFN_SSI_SDATA6,
> -       GFN_SSI_WS6,
> -       GFN_SSI_SCK6,
> -       FN_SSI_SDATA5,
> -       FN_SSI_WS5,
> -       FN_SSI_SCK5,
> -       GFN_SSI_SDATA4,
> -       GFN_SSI_WS4,
> -       GFN_SSI_SCK4,
> -       GFN_SSI_SDATA3,
> -       GFN_SSI_WS34,
> -       GFN_SSI_SCK34,
> -       GFN_SSI_SDATA2_A,
> -       GFN_SSI_SDATA1_A,
> -       GFN_SSI_SDATA0,
> -       GFN_SSI_WS01239,
> -       GFN_SSI_SCK01239,
> -
> -       /* GPSR7 */
> -       FN_HDMI1_CEC,
> -       FN_HDMI0_CEC,
> -       FN_AVS2,
> -       FN_AVS1,
> -
> -       /* IPSR0 */
> -       IFN_AVB_MDC,
> -       FN_MSIOF2_SS2_C,
> -       IFN_AVB_MAGIC,
> -       FN_MSIOF2_SS1_C,
> -       FN_SCK4_A,
> -       IFN_AVB_PHY_INT,
> -       FN_MSIOF2_SYNC_C,
> -       FN_RX4_A,
> -       IFN_AVB_LINK,
> -       FN_MSIOF2_SCK_C,
> -       FN_TX4_A,
> -       IFN_AVB_AVTP_MATCH_A,
> -       FN_MSIOF2_RXD_C,
> -       FN_CTS4x_A,
> -       IFN_AVB_AVTP_CAPTURE_A,
> -       FN_MSIOF2_TXD_C,
> -       FN_RTS4x_TANS_A,
> -       IFN_IRQ0,
> -       FN_QPOLB,
> -       FN_DU_CDE,
> -       FN_VI4_DATA0_B,
> -       FN_CAN0_TX_B,
> -       FN_CANFD0_TX_B,
> -       FN_MSIOF3_SS2_E,
> -       IFN_IRQ1,
> -       FN_QPOLA,
> -       FN_DU_DISP,
> -       FN_VI4_DATA1_B,
> -       FN_CAN0_RX_B,
> -       FN_CANFD0_RX_B,
> -       FN_MSIOF3_SS1_E,
> -
> -       /* IPSR1 */
> -       IFN_IRQ2,
> -       FN_QCPV_QDE,
> -       FN_DU_EXODDF_DU_ODDF_DISP_CDE,
> -       FN_VI4_DATA2_B,
> -       FN_MSIOF3_SYNC_E,
> -       FN_PWM3_B,
> -       IFN_IRQ3,
> -       FN_QSTVB_QVE,
> -       FN_DU_DOTCLKOUT1,
> -       FN_VI4_DATA3_B,
> -       FN_MSIOF3_SCK_E,
> -       FN_PWM4_B,
> -       IFN_IRQ4,
> -       FN_QSTH_QHS,
> -       FN_DU_EXHSYNC_DU_HSYNC,
> -       FN_VI4_DATA4_B,
> -       FN_MSIOF3_RXD_E,
> -       FN_PWM5_B,
> -       IFN_IRQ5,
> -       FN_QSTB_QHE,
> -       FN_DU_EXVSYNC_DU_VSYNC,
> -       FN_VI4_DATA5_B,
> -       FN_MSIOF3_TXD_E,
> -       FN_PWM6_B,
> -       IFN_PWM0,
> -       FN_AVB_AVTP_PPS,
> -       FN_VI4_DATA6_B,
> -       FN_IECLK_B,
> -       IFN_PWM1_A,
> -       FN_HRX3_D,
> -       FN_VI4_DATA7_B,
> -       FN_IERX_B,
> -       IFN_PWM2_A,
> -       FN_PWMFSW0,
> -       FN_HTX3_D,
> -       FN_IETX_B,
> -       IFN_A0,
> -       FN_LCDOUT16,
> -       FN_MSIOF3_SYNC_B,
> -       FN_VI4_DATA8,
> -       FN_DU_DB0,
> -       FN_PWM3_A,
> -
> -       /* IPSR2 */
> -       IFN_A1,
> -       FN_LCDOUT17,
> -       FN_MSIOF3_TXD_B,
> -       FN_VI4_DATA9,
> -       FN_DU_DB1,
> -       FN_PWM4_A,
> -       IFN_A2,
> -       FN_LCDOUT18,
> -       FN_MSIOF3_SCK_B,
> -       FN_VI4_DATA10,
> -       FN_DU_DB2,
> -       FN_PWM5_A,
> -       IFN_A3,
> -       FN_LCDOUT19,
> -       FN_MSIOF3_RXD_B,
> -       FN_VI4_DATA11,
> -       FN_DU_DB3,
> -       FN_PWM6_A,
> -       IFN_A4,
> -       FN_LCDOUT20,
> -       FN_MSIOF3_SS1_B,
> -       FN_VI4_DATA12,
> -       FN_VI5_DATA12,
> -       FN_DU_DB4,
> -       IFN_A5,
> -       FN_LCDOUT21,
> -       FN_MSIOF3_SS2_B,
> -       FN_SCK4_B,
> -       FN_VI4_DATA13,
> -       FN_VI5_DATA13,
> -       FN_DU_DB5,
> -       IFN_A6,
> -       FN_LCDOUT22,
> -       FN_MSIOF2_SS1_A,
> -       FN_RX4_B,
> -       FN_VI4_DATA14,
> -       FN_VI5_DATA14,
> -       FN_DU_DB6,
> -       IFN_A7,
> -       FN_LCDOUT23,
> -       FN_MSIOF2_SS2_A,
> -       FN_TX4_B,
> -       FN_VI4_DATA15,
> -       FN_V15_DATA15,
> -       FN_DU_DB7,
> -       IFN_A8,
> -       FN_RX3_B,
> -       FN_MSIOF2_SYNC_A,
> -       FN_HRX4_B,
> -       FN_SDA6_A,
> -       FN_AVB_AVTP_MATCH_B,
> -       FN_PWM1_B,
> -
> -       /* IPSR3 */
> -       IFN_A9,
> -       FN_MSIOF2_SCK_A,
> -       FN_CTS4x_B,
> -       FN_VI5_VSYNCx,
> -       IFN_A10,
> -       FN_MSIOF2_RXD_A,
> -       FN_RTS4n_TANS_B,
> -       FN_VI5_HSYNCx,
> -       IFN_A11,
> -       FN_TX3_B,
> -       FN_MSIOF2_TXD_A,
> -       FN_HTX4_B,
> -       FN_HSCK4,
> -       FN_VI5_FIELD,
> -       FN_SCL6_A,
> -       FN_AVB_AVTP_CAPTURE_B,
> -       FN_PWM2_B,
> -       FN_SPV_EVEN,
> -       IFN_A12,
> -       FN_LCDOUT12,
> -       FN_MSIOF3_SCK_C,
> -       FN_HRX4_A,
> -       FN_VI5_DATA8,
> -       FN_DU_DG4,
> -       IFN_A13,
> -       FN_LCDOUT13,
> -       FN_MSIOF3_SYNC_C,
> -       FN_HTX4_A,
> -       FN_VI5_DATA9,
> -       FN_DU_DG5,
> -       IFN_A14,
> -       FN_LCDOUT14,
> -       FN_MSIOF3_RXD_C,
> -       FN_HCTS4x,
> -       FN_VI5_DATA10,
> -       FN_DU_DG6,
> -       IFN_A15,
> -       FN_LCDOUT15,
> -       FN_MSIOF3_TXD_C,
> -       FN_HRTS4x,
> -       FN_VI5_DATA11,
> -       FN_DU_DG7,
> -       IFN_A16,
> -       FN_LCDOUT8,
> -       FN_VI4_FIELD,
> -       FN_DU_DG0,
> -
> -       /* IPSR4 */
> -       IFN_A17,
> -       FN_LCDOUT9,
> -       FN_VI4_VSYNCx,
> -       FN_DU_DG1,
> -       IFN_A18,
> -       FN_LCDOUT10,
> -       FN_VI4_HSYNCx,
> -       FN_DU_DG2,
> -       IFN_A19,
> -       FN_LCDOUT11,
> -       FN_VI4_CLKENB,
> -       FN_DU_DG3,
> -       IFN_CS0x,
> -       FN_VI5_CLKENB,
> -       IFN_CS1x_A26,
> -       FN_VI5_CLK,
> -       FN_EX_WAIT0_B,
> -       IFN_BSx,
> -       FN_QSTVA_QVS,
> -       FN_MSIOF3_SCK_D,
> -       FN_SCK3,
> -       FN_HSCK3,
> -       FN_CAN1_TX,
> -       FN_CANFD1_TX,
> -       FN_IETX_A,
> -       IFN_RDx,
> -       FN_MSIOF3_SYNC_D,
> -       FN_RX3_A,
> -       FN_HRX3_A,
> -       FN_CAN0_TX_A,
> -       FN_CANFD0_TX_A,
> -       IFN_RD_WRx,
> -       FN_MSIOF3_RXD_D,
> -       FN_TX3_A,
> -       FN_HTX3_A,
> -       FN_CAN0_RX_A,
> -       FN_CANFD0_RX_A,
> -
> -       /* IPSR5 */
> -       IFN_WE0x,
> -       FN_MSIIOF3_TXD_D,
> -       FN_CTS3x,
> -       FN_HCTS3x,
> -       FN_SCL6_B,
> -       FN_CAN_CLK,
> -       FN_IECLK_A,
> -       IFN_WE1x,
> -       FN_MSIOF3_SS1_D,
> -       FN_RTS3x_TANS,
> -       FN_HRTS3x,
> -       FN_SDA6_B,
> -       FN_CAN1_RX,
> -       FN_CANFD1_RX,
> -       FN_IERX_A,
> -       IFN_EX_WAIT0_A,
> -       FN_QCLK,
> -       FN_VI4_CLK,
> -       FN_DU_DOTCLKOUT0,
> -       IFN_D0,
> -       FN_MSIOF2_SS1_B,
> -       FN_MSIOF3_SCK_A,
> -       FN_VI4_DATA16,
> -       FN_VI5_DATA0,
> -       IFN_D1,
> -       FN_MSIOF2_SS2_B,
> -       FN_MSIOF3_SYNC_A,
> -       FN_VI4_DATA17,
> -       FN_VI5_DATA1,
> -       IFN_D2,
> -       FN_MSIOF3_RXD_A,
> -       FN_VI4_DATA18,
> -       FN_VI5_DATA2,
> -       IFN_D3,
> -       FN_MSIOF3_TXD_A,
> -       FN_VI4_DATA19,
> -       FN_VI5_DATA3,
> -       IFN_D4,
> -       FN_MSIOF2_SCK_B,
> -       FN_VI4_DATA20,
> -       FN_VI5_DATA4,
> -
> -       /* IPSR6 */
> -       IFN_D5,
> -       FN_MSIOF2_SYNC_B,
> -       FN_VI4_DATA21,
> -       FN_VI5_DATA5,
> -       IFN_D6,
> -       FN_MSIOF2_RXD_B,
> -       FN_VI4_DATA22,
> -       FN_VI5_DATA6,
> -       IFN_D7,
> -       FN_MSIOF2_TXD_B,
> -       FN_VI4_DATA23,
> -       FN_VI5_DATA7,
> -       IFN_D8,
> -       FN_LCDOUT0,
> -       FN_MSIOF2_SCK_D,
> -       FN_SCK4_C,
> -       FN_VI4_DATA0_A,
> -       FN_DU_DR0,
> -       IFN_D9,
> -       FN_LCDOUT1,
> -       FN_MSIOF2_SYNC_D,
> -       FN_VI4_DATA1_A,
> -       FN_DU_DR1,
> -       IFN_D10,
> -       FN_LCDOUT2,
> -       FN_MSIOF2_RXD_D,
> -       FN_HRX3_B,
> -       FN_VI4_DATA2_A,
> -       FN_CTS4x_C,
> -       FN_DU_DR2,
> -       IFN_D11,
> -       FN_LCDOUT3,
> -       FN_MSIOF2_TXD_D,
> -       FN_HTX3_B,
> -       FN_VI4_DATA3_A,
> -       FN_RTS4x_TANS_C,
> -       FN_DU_DR3,
> -       IFN_D12,
> -       FN_LCDOUT4,
> -       FN_MSIOF2_SS1_D,
> -       FN_RX4_C,
> -       FN_VI4_DATA4_A,
> -       FN_DU_DR4,
> -
> -       /* IPSR7 */
> -       IFN_D13,
> -       FN_LCDOUT5,
> -       FN_MSIOF2_SS2_D,
> -       FN_TX4_C,
> -       FN_VI4_DATA5_A,
> -       FN_DU_DR5,
> -       IFN_D14,
> -       FN_LCDOUT6,
> -       FN_MSIOF3_SS1_A,
> -       FN_HRX3_C,
> -       FN_VI4_DATA6_A,
> -       FN_DU_DR6,
> -       FN_SCL6_C,
> -       IFN_D15,
> -       FN_LCDOUT7,
> -       FN_MSIOF3_SS2_A,
> -       FN_HTX3_C,
> -       FN_VI4_DATA7_A,
> -       FN_DU_DR7,
> -       FN_SDA6_C,
> -       FN_FSCLKST,
> -       IFN_SD0_CLK,
> -       FN_MSIOF1_SCK_E,
> -       FN_STP_OPWM_0_B,
> -       IFN_SD0_CMD,
> -       FN_MSIOF1_SYNC_E,
> -       FN_STP_IVCXO27_0_B,
> -       IFN_SD0_DAT0,
> -       FN_MSIOF1_RXD_E,
> -       FN_TS_SCK0_B,
> -       FN_STP_ISCLK_0_B,
> -       IFN_SD0_DAT1,
> -       FN_MSIOF1_TXD_E,
> -       FN_TS_SPSYNC0_B,
> -       FN_STP_ISSYNC_0_B,
> -
> -       /* IPSR8 */
> -       IFN_SD0_DAT2,
> -       FN_MSIOF1_SS1_E,
> -       FN_TS_SDAT0_B,
> -       FN_STP_ISD_0_B,
> -
> -       IFN_SD0_DAT3,
> -       FN_MSIOF1_SS2_E,
> -       FN_TS_SDEN0_B,
> -       FN_STP_ISEN_0_B,
> -
> -       IFN_SD1_CLK,
> -       FN_MSIOF1_SCK_G,
> -       FN_SIM0_CLK_A,
> -
> -       IFN_SD1_CMD,
> -       FN_MSIOF1_SYNC_G,
> -       FN_NFCEx_B,
> -       FN_SIM0_D_A,
> -       FN_STP_IVCXO27_1_B,
> -
> -       IFN_SD1_DAT0,
> -       FN_SD2_DAT4,
> -       FN_MSIOF1_RXD_G,
> -       FN_NFWPx_B,
> -       FN_TS_SCK1_B,
> -       FN_STP_ISCLK_1_B,
> -
> -       IFN_SD1_DAT1,
> -       FN_SD2_DAT5,
> -       FN_MSIOF1_TXD_G,
> -       FN_NFDATA14_B,
> -       FN_TS_SPSYNC1_B,
> -       FN_STP_ISSYNC_1_B,
> -
> -       IFN_SD1_DAT2,
> -       FN_SD2_DAT6,
> -       FN_MSIOF1_SS1_G,
> -       FN_NFDATA15_B,
> -       FN_TS_SDAT1_B,
> -       FN_STP_IOD_1_B,
> -
> -       IFN_SD1_DAT3,
> -       FN_SD2_DAT7,
> -       FN_MSIOF1_SS2_G,
> -       FN_NFRBx_B,
> -       FN_TS_SDEN1_B,
> -       FN_STP_ISEN_1_B,
> -
> -       /* IPSR9 */
> -       IFN_SD2_CLK,
> -       FN_NFDATA8,
> -
> -       IFN_SD2_CMD,
> -       FN_NFDATA9,
> -
> -       IFN_SD2_DAT0,
> -       FN_NFDATA10,
> -
> -       IFN_SD2_DAT1,
> -       FN_NFDATA11,
> -
> -       IFN_SD2_DAT2,
> -       FN_NFDATA12,
> -
> -       IFN_SD2_DAT3,
> -       FN_NFDATA13,
> -
> -       IFN_SD2_DS,
> -       FN_NFALE,
> -
> -       IFN_SD3_CLK,
> -       FN_NFWEx,
> -
> -       /* IPSR10 */
> -       IFN_SD3_CMD,
> -       FN_NFREx,
> -
> -       IFN_SD3_DAT0,
> -       FN_NFDATA0,
> -
> -       IFN_SD3_DAT1,
> -       FN_NFDATA1,
> -
> -       IFN_SD3_DAT2,
> -       FN_NFDATA2,
> -
> -       IFN_SD3_DAT3,
> -       FN_NFDATA3,
> -
> -       IFN_SD3_DAT4,
> -       FN_SD2_CD_A,
> -       FN_NFDATA4,
> -
> -       IFN_SD3_DAT5,
> -       FN_SD2_WP_A,
> -       FN_NFDATA5,
> -
> -       IFN_SD3_DAT6,
> -       FN_SD3_CD,
> -       FN_NFDATA6,
> -
> -       /* IPSR11 */
> -       IFN_SD3_DAT7,
> -       FN_SD3_WP,
> -       FN_NFDATA7,
> -
> -       IFN_SD3_DS,
> -       FN_NFCLE,
> -
> -       IFN_SD0_CD,
> -       FN_NFDATA14_A,
> -       FN_SCL2_B,
> -       FN_SIM0_RST_A,
> -
> -       IFN_SD0_WP,
> -       FN_NFDATA15_A,
> -       FN_SDA2_B,
> -
> -       IFN_SD1_CD,
> -       FN_NFRBx_A,
> -       FN_SIM0_CLK_B,
> -
> -       IFN_SD1_WP,
> -       FN_NFCEx_A,
> -       FN_SIM0_D_B,
> -
> -       IFN_SCK0,
> -       FN_HSCK1_B,
> -       FN_MSIOF1_SS2_B,
> -       FN_AUDIO_CLKC_B,
> -       FN_SDA2_A,
> -       FN_SIM0_RST_B,
> -       FN_STP_OPWM_0_C,
> -       FN_RIF0_CLK_B,
> -       FN_ADICHS2,
> -       FN_SCK5_B,
> -
> -       IFN_RX0,
> -       FN_HRX1_B,
> -       FN_TS_SCK0_C,
> -       FN_STP_ISCLK_0_C,
> -       FN_RIF0_D0_B,
> -
> -       /* IPSR12 */
> -       IFN_TX0,
> -       FN_HTX1_B,
> -       FN_TS_SPSYNC0_C,
> -       FN_STP_ISSYNC_0_C,
> -       FN_RIF0_D1_B,
> -
> -       IFN_CTS0x,
> -       FN_HCTS1x_B,
> -       FN_MSIOF1_SYNC_B,
> -       FN_TS_SPSYNC1_C,
> -       FN_STP_ISSYNC_1_C,
> -       FN_RIF1_SYNC_B,
> -       FN_AUDIO_CLKOUT_C,
> -       FN_ADICS_SAMP,
> -
> -       IFN_RTS0x_TANS,
> -       FN_HRTS1x_B,
> -       FN_MSIOF1_SS1_B,
> -       FN_AUDIO_CLKA_B,
> -       FN_SCL2_A,
> -       FN_STP_IVCXO27_1_C,
> -       FN_RIF0_SYNC_B,
> -       FN_ADICHS1,
> -
> -       IFN_RX1_A,
> -       FN_HRX1_A,
> -       FN_TS_SDAT0_C,
> -       FN_STP_ISD_0_C,
> -       FN_RIF1_CLK_C,
> -
> -       IFN_TX1_A,
> -       FN_HTX1_A,
> -       FN_TS_SDEN0_C,
> -       FN_STP_ISEN_0_C,
> -       FN_RIF1_D0_C,
> -
> -       IFN_CTS1x,
> -       FN_HCTS1x_A,
> -       FN_MSIOF1_RXD_B,
> -       FN_TS_SDEN1_C,
> -       FN_STP_ISEN_1_C,
> -       FN_RIF1_D0_B,
> -       FN_ADIDATA,
> -
> -       IFN_RTS1x_TANS,
> -       FN_HRTS1x_A,
> -       FN_MSIOF1_TXD_B,
> -       FN_TS_SDAT1_C,
> -       FN_STP_ISD_1_C,
> -       FN_RIF1_D1_B,
> -       FN_ADICHS0,
> -
> -       IFN_SCK2,
> -       FN_SCIF_CLK_B,
> -       FN_MSIOF1_SCK_B,
> -       FN_TS_SCK1_C,
> -       FN_STP_ISCLK_1_C,
> -       FN_RIF1_CLK_B,
> -       FN_ADICLK,
> -
> -       /* IPSR13 */
> -       IFN_TX2_A,
> -       FN_SD2_CD_B,
> -       FN_SCL1_A,
> -       FN_FMCLK_A,
> -       FN_RIF1_D1_C,
> -       FN_FSO_CFE_0_B,
> -
> -       IFN_RX2_A,
> -       FN_SD2_WP_B,
> -       FN_SDA1_A,
> -       FN_FMIN_A,
> -       FN_RIF1_SYNC_C,
> -       FN_FSO_CEF_1_B,
> -
> -       IFN_HSCK0,
> -       FN_MSIOF1_SCK_D,
> -       FN_AUDIO_CLKB_A,
> -       FN_SSI_SDATA1_B,
> -       FN_TS_SCK0_D,
> -       FN_STP_ISCLK_0_D,
> -       FN_RIF0_CLK_C,
> -       FN_RX5_B,
> -
> -       IFN_HRX0,
> -       FN_MSIOF1_RXD_D,
> -       FN_SS1_SDATA2_B,
> -       FN_TS_SDEN0_D,
> -       FN_STP_ISEN_0_D,
> -       FN_RIF0_D0_C,
> -
> -       IFN_HTX0,
> -       FN_MSIOF1_TXD_D,
> -       FN_SSI_SDATA9_B,
> -       FN_TS_SDAT0_D,
> -       FN_STP_ISD_0_D,
> -       FN_RIF0_D1_C,
> -
> -       IFN_HCTS0x,
> -       FN_RX2_B,
> -       FN_MSIOF1_SYNC_D,
> -       FN_SSI_SCK9_A,
> -       FN_TS_SPSYNC0_D,
> -       FN_STP_ISSYNC_0_D,
> -       FN_RIF0_SYNC_C,
> -       FN_AUDIO_CLKOUT1_A,
> -
> -       IFN_HRTS0x,
> -       FN_TX2_B,
> -       FN_MSIOF1_SS1_D,
> -       FN_SSI_WS9_A,
> -       FN_STP_IVCXO27_0_D,
> -       FN_BPFCLK_A,
> -       FN_AUDIO_CLKOUT2_A,
> -
> -       IFN_MSIOF0_SYNC,
> -       FN_AUDIO_CLKOUT_A,
> -       FN_TX5_B,
> -       FN_BPFCLK_D,
> -
> -       /* IPSR14 */
> -       IFN_MSIOF0_SS1,
> -       FN_RX5_A,
> -       FN_NFWPx_A,
> -       FN_AUDIO_CLKA_C,
> -       FN_SSI_SCK2_A,
> -       FN_STP_IVCXO27_0_C,
> -       FN_AUDIO_CLKOUT3_A,
> -       FN_TCLK1_B,
> -
> -       IFN_MSIOF0_SS2,
> -       FN_TX5_A,
> -       FN_MSIOF1_SS2_D,
> -       FN_AUDIO_CLKC_A,
> -       FN_SSI_WS2_A,
> -       FN_STP_OPWM_0_D,
> -       FN_AUDIO_CLKOUT_D,
> -       FN_SPEEDIN_B,
> -
> -       IFN_MLB_CLK,
> -       FN_MSIOF1_SCK_F,
> -       FN_SCL1_B,
> -
> -       IFN_MLB_SIG,
> -       FN_RX1_B,
> -       FN_MSIOF1_SYNC_F,
> -       FN_SDA1_B,
> -
> -       IFN_MLB_DAT,
> -       FN_TX1_B,
> -       FN_MSIOF1_RXD_F,
> -
> -       IFN_SSI_SCK0129,
> -       FN_MSIOF1_TXD_F,
> -       FN_MOUT0,
> -
> -       IFN_SSI_WS0129,
> -       FN_MSIOF1_SS1_F,
> -       FN_MOUT1,
> -
> -       IFN_SSI_SDATA0,
> -       FN_MSIOF1_SS2_F,
> -       FN_MOUT2,
> -
> -       /* IPSR15 */
> -       IFN_SSI_SDATA1_A,
> -       FN_MOUT5,
> -
> -       IFN_SSI_SDATA2_A,
> -       FN_SSI_SCK1_B,
> -       FN_MOUT6,
> -
> -       IFN_SSI_SCK34,
> -       FN_MSIOF1_SS1_A,
> -       FN_STP_OPWM_0_A,
> -
> -       IFN_SSI_WS34,
> -       FN_HCTS2x_A,
> -       FN_MSIOF1_SS2_A,
> -       FN_STP_IVCXO27_0_A,
> -
> -       IFN_SSI_SDATA3,
> -       FN_HRTS2x_A,
> -       FN_MSIOF1_TXD_A,
> -       FN_TS_SCK0_A,
> -       FN_STP_ISCLK_0_A,
> -       FN_RIF0_D1_A,
> -       FN_RIF2_D0_A,
> -
> -       IFN_SSI_SCK4,
> -       FN_HRX2_A,
> -       FN_MSIOF1_SCK_A,
> -       FN_TS_SDAT0_A,
> -       FN_STP_ISD_0_A,
> -       FN_RIF0_CLK_A,
> -       FN_RIF2_CLK_A,
> -
> -       IFN_SSI_WS4,
> -       FN_HTX2_A,
> -       FN_MSIOF1_SYNC_A,
> -       FN_TS_SDEN0_A,
> -       FN_STP_ISEN_0_A,
> -       FN_RIF0_SYNC_A,
> -       FN_RIF2_SYNC_A,
> -
> -       IFN_SSI_SDATA4,
> -       FN_HSCK2_A,
> -       FN_MSIOF1_RXD_A,
> -       FN_TS_SPSYNC0_A,
> -       FN_STP_ISSYNC_0_A,
> -       FN_RIF0_D0_A,
> -       FN_RIF2_D1_A,
> -
> -       /* IPSR16 */
> -       IFN_SSI_SCK6,
> -       FN_SIM0_RST_D,
> -       FN_FSO_TOE_A,
> -
> -       IFN_SSI_WS6,
> -       FN_SIM0_D_D,
> -
> -       IFN_SSI_SDATA6,
> -       FN_SIM0_CLK_D,
> -
> -       IFN_SSI_SCK78,
> -       FN_HRX2_B,
> -       FN_MSIOF1_SCK_C,
> -       FN_TS_SCK1_A,
> -       FN_STP_ISCLK_1_A,
> -       FN_RIF1_CLK_A,
> -       FN_RIF3_CLK_A,
> -
> -       IFN_SSI_WS78,
> -       FN_HTX2_B,
> -       FN_MSIOF1_SYNC_C,
> -       FN_TS_SDAT1_A,
> -       FN_STP_ISD_1_A,
> -       FN_RIF1_SYNC_A,
> -       FN_RIF3_SYNC_A,
> -
> -       IFN_SSI_SDATA7,
> -       FN_HCTS2x_B,
> -       FN_MSIOF1_RXD_C,
> -       FN_TS_SDEN1_A,
> -       FN_STP_IEN_1_A,
> -       FN_RIF1_D0_A,
> -       FN_RIF3_D0_A,
> -       FN_TCLK2_A,
> -
> -       IFN_SSI_SDATA8,
> -       FN_HRTS2x_B,
> -       FN_MSIOF1_TXD_C,
> -       FN_TS_SPSYNC1_A,
> -       FN_STP_ISSYNC_1_A,
> -       FN_RIF1_D1_A,
> -       FN_EIF3_D1_A,
> -
> -       IFN_SSI_SDATA9_A,
> -       FN_HSCK2_B,
> -       FN_MSIOF1_SS1_C,
> -       FN_HSCK1_A,
> -       FN_SSI_WS1_B,
> -       FN_SCK1,
> -       FN_STP_IVCXO27_1_A,
> -       FN_SCK5,
> -
> -       /* IPSR17 */
> -       IFN_AUDIO_CLKA_A,
> -       FN_CC5_OSCOUT,
> -
> -       IFN_AUDIO_CLKB_B,
> -       FN_SCIF_CLK_A,
> -       FN_STP_IVCXO27_1_D,
> -       FN_REMOCON_A,
> -       FN_TCLK1_A,
> -
> -       IFN_USB0_PWEN,
> -       FN_SIM0_RST_C,
> -       FN_TS_SCK1_D,
> -       FN_STP_ISCLK_1_D,
> -       FN_BPFCLK_B,
> -       FN_RIF3_CLK_B,
> -       FN_FSO_CFE_1_A,
> -       FN_HSCK2_C,
> -
> -       IFN_USB0_OVC,
> -       FN_SIM0_D_C,
> -       FN_TS_SDAT1_D,
> -       FN_STP_ISD_1_D,
> -       FN_RIF3_SYNC_B,
> -       FN_HRX2_C,
> -
> -       IFN_USB1_PWEN,
> -       FN_SIM0_CLK_C,
> -       FN_SSI_SCK1_A,
> -       FN_TS_SCK0_E,
> -       FN_STP_ISCLK_0_E,
> -       FN_FMCLK_B,
> -       FN_RIF2_CLK_B,
> -       FN_SPEEDIN_A,
> -       FN_HTX2_C,
> -
> -       IFN_USB1_OVC,
> -       FN_MSIOF1_SS2_C,
> -       FN_SSI_WS1_A,
> -       FN_TS_SDAT0_E,
> -       FN_STP_ISD_0_E,
> -       FN_FMIN_B,
> -       FN_RIF2_SYNC_B,
> -       FN_REMOCON_B,
> -       FN_HCTS2x_C,
> -
> -       IFN_USB30_PWEN,
> -       FN_AUDIO_CLKOUT_B,
> -       FN_SSI_SCK2_B,
> -       FN_TS_SDEN1_D,
> -       FN_STP_ISEN_1_D,
> -       FN_STP_OPWM_0_E,
> -       FN_RIF3_D0_B,
> -       FN_TCLK2_B,
> -       FN_TPU0TO0,
> -       FN_BPFCLK_C,
> -       FN_HRTS2x_C,
> -
> -       IFN_USB30_OVC,
> -       FN_AUDIO_CLKOUT1_B,
> -       FN_SSI_WS2_B,
> -       FN_TS_SPSYNC1_D,
> -       FN_STP_ISSYNC_1_D,
> -       FN_STP_IVCXO27_0_E,
> -       FN_RIF3_D1_B,
> -       FN_FSO_TOE_B,
> -       FN_TPU0TO1,
> -
> -       /* IPSR18 */
> -       IFN_GP6_30,
> -       FN_AUDIO_CLKOUT2_B,
> -       FN_SSI_SCK9_B,
> -       FN_TS_SDEN0_E,
> -       FN_STP_ISEN_0_E,
> -       FN_RIF2_D0_B,
> -       FN_FSO_CFE_0_A,
> -       FN_TPU0TO2,
> -       FN_FMCLK_C,
> -       FN_FMCLK_D,
> -
> -       IFN_GP6_31,
> -       FN_AUDIO_CLKOUT3_B,
> -       FN_SSI_WS9_B,
> -       FN_TS_SPSYNC0_E,
> -       FN_STP_ISSYNC_0_E,
> -       FN_RIF2_D1_B,
> -       FN_TPU0TO3,
> -       FN_FMIN_C,
> -       FN_FMIN_D,
> -
> -       /* MOD_SEL0 */
> -       FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
> -       FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
> -       FN_SEL_MSIOF3_4, FN_SEL_MSIOF3_5,
> -       FN_SEL_MSIOF3_6,
> -       FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
> -       FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
> -       FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
> -       FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
> -       FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
> -       FN_SEL_MSIOF1_6,
> -       FN_SEL_LBSC_0, FN_SEL_LBSC_1,
> -       FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
> -       FN_SEL_I2C2_0, FN_SEL_I2C2_1,
> -       FN_SEL_I2C1_0, FN_SEL_I2C1_1,
> -       FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
> -       FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
> -       FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
> -       FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
> -       FN_SEL_HSCIF2_2,
> -       FN_SEL_HSCIF1_0, FN_SEL_HSCIF1_1,
> -       FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
> -       FN_SEL_FSO_0, FN_SEL_FSO_1,
> -       FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
> -       FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
> -       FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
> -       FN_SEL_DRIF1_2,
> -       FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
> -       FN_SEL_DRIF0_2,
> -       FN_SEL_CANFD_0, FN_SEL_CANFD_1,
> -       FN_SEL_ADG_0, FN_SEL_ADG_1,
> -       FN_SEL_ADG_2, FN_SEL_ADG_3,
> -
> -       /* MOD_SEL1 */
> -       FN_SEL_TSIF1_0,
> -       FN_SEL_TSIF1_1,
> -       FN_SEL_TSIF1_2,
> -       FN_SEL_TSIF1_3,
> -       FN_SEL_TSIF0_0,
> -       FN_SEL_TSIF0_1,
> -       FN_SEL_TSIF0_2,
> -       FN_SEL_TSIF0_3,
> -       FN_SEL_TSIF0_4,
> -       FN_SEL_TIMER_TMU_0,
> -       FN_SEL_TIMER_TMU_1,
> -       FN_SEL_SSP1_1_0,
> -       FN_SEL_SSP1_1_1,
> -       FN_SEL_SSP1_1_2,
> -       FN_SEL_SSP1_1_3,
> -       FN_SEL_SSP1_0_0,
> -       FN_SEL_SSP1_0_1,
> -       FN_SEL_SSP1_0_2,
> -       FN_SEL_SSP1_0_3,
> -       FN_SEL_SSP1_0_4,
> -       FN_SEL_SSI_0,
> -       FN_SEL_SSI_1,
> -       FN_SEL_SPEED_PULSE_IF_0,
> -       FN_SEL_SPEED_PULSE_IF_1,
> -       FN_SEL_SIMCARD_0,
> -       FN_SEL_SIMCARD_1,
> -       FN_SEL_SIMCARD_2,
> -       FN_SEL_SIMCARD_3,
> -       FN_SEL_SDHI2_0,
> -       FN_SEL_SDHI2_1,
> -       FN_SEL_SCIF4_0,
> -       FN_SEL_SCIF4_1,
> -       FN_SEL_SCIF4_2,
> -       FN_SEL_SCIF3_0,
> -       FN_SEL_SCIF3_1,
> -       FN_SEL_SCIF2_0,
> -       FN_SEL_SCIF2_1,
> -       FN_SEL_SCIF1_0,
> -       FN_SEL_SCIF1_1,
> -       FN_SEL_SCIF_0,
> -       FN_SEL_SCIF_1,
> -       FN_SEL_REMOCON_0,
> -       FN_SEL_REMOCON_1,
> -       FN_SEL_RCAN_0,
> -       FN_SEL_RCAN_1,
> -       FN_SEL_PWM6_0,
> -       FN_SEL_PWM6_1,
> -       FN_SEL_PWM5_0,
> -       FN_SEL_PWM5_1,
> -       FN_SEL_PWM4_0,
> -       FN_SEL_PWM4_1,
> -       FN_SEL_PWM3_0,
> -       FN_SEL_PWM3_1,
> -       FN_SEL_PWM2_0,
> -       FN_SEL_PWM2_1,
> -       FN_SEL_PWM1_0,
> -       FN_SEL_PWM1_1,
> -
> -       /* MOD_SEL2 */
> -       FN_I2C_SEL_5_0,
> -       FN_I2C_SEL_5_1,
> -       FN_I2C_SEL_3_0,
> -       FN_I2C_SEL_3_1,
> -       FN_I2C_SEL_0_0,
> -       FN_I2C_SEL_0_1,
> -       FN_SEL_FM_0,
> -       FN_SEL_FM_1,
> -       FN_SEL_FM_2,
> -       FN_SEL_FM_3,
> -       FN_SEL_SCIF5_0,
> -       FN_SEL_SCIF5_1,
> -       FN_SEL_I2C6_0,
> -       FN_SEL_I2C6_1,
> -       FN_SEL_I2C6_2,
> -       FN_SEL_NDF_0,
> -       FN_SEL_NDF_1,
> -       FN_SEL_SSI2_0,
> -       FN_SEL_SSI2_1,
> -       FN_SEL_SSI9_0,
> -       FN_SEL_SSI9_1,
> -       FN_SEL_TIMER_TMU2_0,
> -       FN_SEL_TIMER_TMU2_1,
> -       FN_SEL_ADG_B_0,
> -       FN_SEL_ADG_B_1,
> -       FN_SEL_ADG_C_0,
> -       FN_SEL_ADG_C_1,
> -       FN_SEL_VIN4_0,
> -       FN_SEL_VIN4_1,
> -
> -       PINMUX_FUNCTION_END,
> -
> -       PINMUX_MARK_BEGIN,
> -
> -       /* GPSR0 */
> -       D15_GMARK,
> -       D14_GMARK,
> -       D13_GMARK,
> -       D12_GMARK,
> -       D11_GMARK,
> -       D10_GMARK,
> -       D9_GMARK,
> -       D8_GMARK,
> -       D7_GMARK,
> -       D6_GMARK,
> -       D5_GMARK,
> -       D4_GMARK,
> -       D3_GMARK,
> -       D2_GMARK,
> -       D1_GMARK,
> -       D0_GMARK,
> -
> -       /* GPSR1 */
> -       CLKOUT_GMARK,
> -       EX_WAIT0_A_GMARK,
> -       WE1x_GMARK,
> -       WE0x_GMARK,
> -       RD_WRx_GMARK,
> -       RDx_GMARK,
> -       BSx_GMARK,
> -       CS1x_A26_GMARK,
> -       CS0x_GMARK,
> -       A19_GMARK,
> -       A18_GMARK,
> -       A17_GMARK,
> -       A16_GMARK,
> -       A15_GMARK,
> -       A14_GMARK,
> -       A13_GMARK,
> -       A12_GMARK,
> -       A11_GMARK,
> -       A10_GMARK,
> -       A9_GMARK,
> -       A8_GMARK,
> -       A7_GMARK,
> -       A6_GMARK,
> -       A5_GMARK,
> -       A4_GMARK,
> -       A3_GMARK,
> -       A2_GMARK,
> -       A1_GMARK,
> -       A0_GMARK,
> -
> -       /* GPSR2 */
> -       AVB_AVTP_CAPTURE_A_GMARK,
> -       AVB_AVTP_MATCH_A_GMARK,
> -       AVB_LINK_GMARK,
> -       AVB_PHY_INT_GMARK,
> -       AVB_MAGIC_GMARK,
> -       AVB_MDC_GMARK,
> -       PWM2_A_GMARK,
> -       PWM1_A_GMARK,
> -       PWM0_GMARK,
> -       IRQ5_GMARK,
> -       IRQ4_GMARK,
> -       IRQ3_GMARK,
> -       IRQ2_GMARK,
> -       IRQ1_GMARK,
> -       IRQ0_GMARK,
> -
> -       /* GPSR3 */
> -       SD1_WP_GMARK,
> -       SD1_CD_GMARK,
> -       SD0_WP_GMARK,
> -       SD0_CD_GMARK,
> -       SD1_DAT3_GMARK,
> -       SD1_DAT2_GMARK,
> -       SD1_DAT1_GMARK,
> -       SD1_DAT0_GMARK,
> -       SD1_CMD_GMARK,
> -       SD1_CLK_GMARK,
> -       SD0_DAT3_GMARK,
> -       SD0_DAT2_GMARK,
> -       SD0_DAT1_GMARK,
> -       SD0_DAT0_GMARK,
> -       SD0_CMD_GMARK,
> -       SD0_CLK_GMARK,
> -
> -       /* GPSR4 */
> -       SD3_DS_GMARK,
> -       SD3_DAT7_GMARK,
> -       SD3_DAT6_GMARK,
> -       SD3_DAT5_GMARK,
> -       SD3_DAT4_GMARK,
> -       SD3_DAT3_MARK,
> -       SD3_DAT2_MARK,
> -       SD3_DAT1_MARK,
> -       SD3_DAT0_MARK,
> -       SD3_CMD_MARK,
> -       SD3_CLK_MARK,
> -       SD2_DS_GMARK,
> -       SD2_DAT3_GMARK,
> -       SD2_DAT2_GMARK,
> -       SD2_DAT1_GMARK,
> -       SD2_DAT0_GMARK,
> -       SD2_CMD_MARK,
> -       SD2_CLK_GMARK,
> -
> -       /* GPSR5 */
> -       MLB_DAT_GMARK,
> -       MLB_SIG_GMARK,
> -       MLB_CLK_GMARK,
> -       MSIOF0_RXD_MARK,
> -       MSIOF0_SS2_GMARK,
> -       MSIOF0_TXD_MARK,
> -       MSIOF0_SS1_GMARK,
> -       MSIOF0_SYNC_GMARK,
> -       MSIOF0_SCK_MARK,
> -       HRTS0x_GMARK,
> -       HCTS0x_GMARK,
> -       HTX0_GMARK,
> -       HRX0_GMARK,
> -       HSCK0_GMARK,
> -       RX2_A_GMARK,
> -       TX2_A_GMARK,
> -       SCK2_GMARK,
> -       RTS1x_TANS_GMARK,
> -       CTS1x_GMARK,
> -       TX1_A_GMARK,
> -       RX1_A_GMARK,
> -       RTS0x_TANS_GMARK,
> -       CTS0x_GMARK,
> -       TX0_GMARK,
> -       RX0_GMARK,
> -       SCK0_GMARK,
> -
> -       /* GPSR6 */
> -       GP6_30_GMARK,
> -       GP6_31_GMARK,
> -       USB30_OVC_GMARK,
> -       USB30_PWEN_GMARK,
> -       USB1_OVC_GMARK,
> -       USB1_PWEN_GMARK,
> -       USB0_OVC_GMARK,
> -       USB0_PWEN_GMARK,
> -       AUDIO_CLKB_B_GMARK,
> -       AUDIO_CLKA_A_GMARK,
> -       SSI_SDATA9_A_GMARK,
> -       SSI_SDATA8_GMARK,
> -       SSI_SDATA7_GMARK,
> -       SSI_WS78_GMARK,
> -       SSI_SCK78_GMARK,
> -       SSI_SDATA6_GMARK,
> -       SSI_WS6_GMARK,
> -       SSI_SCK6_GMARK,
> -       SSI_SDATA5_MARK,
> -       SSI_WS5_MARK,
> -       SSI_SCK5_MARK,
> -       SSI_SDATA4_GMARK,
> -       SSI_WS4_GMARK,
> -       SSI_SCK4_GMARK,
> -       SSI_SDATA3_GMARK,
> -       SSI_WS34_GMARK,
> -       SSI_SCK34_GMARK,
> -       SSI_SDATA2_A_GMARK,
> -       SSI_SDATA1_A_GMARK,
> -       SSI_SDATA0_GMARK,
> -       SSI_WS01239_GMARK,
> -       SSI_SCK01239_GMARK,
> -
> -       /* GPSR7 */
> -       HDMI1_CEC_MARK,
> -       HDMI0_CEC_MARK,
> -       AVS2_MARK,
> -       AVS1_MARK,
> -
> -       /* IPSR0 */
> -       AVB_MDC_IMARK,
> -       MSIOF2_SS2_C_MARK,
> -       AVB_MAGIC_IMARK,
> -       MSIOF2_SS1_C_MARK,
> -       SCK4_A_MARK,
> -       AVB_PHY_INT_IMARK,
> -       MSIOF2_SYNC_C_MARK,
> -       RX4_A_MARK,
> -       AVB_LINK_IMARK,
> -       MSIOF2_SCK_C_MARK,
> -       TX4_A_MARK,
> -       AVB_AVTP_MATCH_A_IMARK,
> -       MSIOF2_RXD_C_MARK,
> -       CTS4x_A_MARK,
> -       AVB_AVTP_CAPTURE_A_IMARK,
> -       MSIOF2_TXD_C_MARK,
> -       RTS4x_TANS_A_MARK,
> -       IRQ0_IMARK,
> -       QPOLB_MARK,
> -       DU_CDE_MARK,
> -       VI4_DATA0_B_MARK,
> -       CAN0_TX_B_MARK,
> -       CANFD0_TX_B_MARK,
> -       MSIOF3_SS2_E_MARK,
> -       IRQ1_IMARK,
> -       QPOLA_MARK,
> -       DU_DISP_MARK,
> -       VI4_DATA1_B_MARK,
> -       CAN0_RX_B_MARK,
> -       CANFD0_RX_B_MARK,
> -       MSIOF3_SS1_E_MARK,
> -
> -       /* IPSR1 */
> -       IRQ2_IMARK,
> -       QCPV_QDE_MARK,
> -       DU_EXODDF_DU_ODDF_DISP_CDE_MARK,
> -       VI4_DATA2_B_MARK,
> -       MSIOF3_SYNC_E_MARK,
> -       PWM3_B_MARK,
> -       IRQ3_IMARK,
> -       QSTVB_QVE_MARK,
> -       DU_DOTCLKOUT1_MARK,
> -       VI4_DATA3_B_MARK,
> -       MSIOF3_SCK_E_MARK,
> -       PWM4_B_MARK,
> -       IRQ4_IMARK,
> -       QSTH_QHS_MARK,
> -       DU_EXHSYNC_DU_HSYNC_MARK,
> -       VI4_DATA4_B_MARK,
> -       MSIOF3_RXD_E_MARK,
> -       PWM5_B_MARK,
> -       IRQ5_IMARK,
> -       QSTB_QHE_MARK,
> -       DU_EXVSYNC_DU_VSYNC_MARK,
> -       VI4_DATA5_B_MARK,
> -       MSIOF3_TXD_E_MARK,
> -       PWM6_B_MARK,
> -       PWM0_IMARK,
> -       AVB_AVTP_PPS_MARK,
> -       VI4_DATA6_B_MARK,
> -       IECLK_B_MARK,
> -       PWM1_A_IMARK,
> -       HRX3_D_MARK,
> -       VI4_DATA7_B_MARK,
> -       IERX_B_MARK,
> -       PWM2_A_IMARK,
> -       PWMFSW0_MARK,
> -       HTX3_D_MARK,
> -       IETX_B_MARK,
> -       A0_IMARK,
> -       LCDOUT16_MARK,
> -       MSIOF3_SYNC_B_MARK,
> -       VI4_DATA8_MARK,
> -       DU_DB0_MARK,
> -       PWM3_A_MARK,
> -
> -       /* IPSR2 */
> -       A1_IMARK,
> -       LCDOUT17_MARK,
> -       MSIOF3_TXD_B_MARK,
> -       VI4_DATA9_MARK,
> -       DU_DB1_MARK,
> -       PWM4_A_MARK,
> -       A2_IMARK,
> -       LCDOUT18_MARK,
> -       MSIOF3_SCK_B_MARK,
> -       VI4_DATA10_MARK,
> -       DU_DB2_MARK,
> -       PWM5_A_MARK,
> -       A3_IMARK,
> -       LCDOUT19_MARK,
> -       MSIOF3_RXD_B_MARK,
> -       VI4_DATA11_MARK,
> -       DU_DB3_MARK,
> -       PWM6_A_MARK,
> -       A4_IMARK,
> -       LCDOUT20_MARK,
> -       MSIOF3_SS1_B_MARK,
> -       VI4_DATA12_MARK,
> -       VI5_DATA12_MARK,
> -       DU_DB4_MARK,
> -       A5_IMARK,
> -       LCDOUT21_MARK,
> -       MSIOF3_SS2_B_MARK,
> -       SCK4_B_MARK,
> -       VI4_DATA13_MARK,
> -       VI5_DATA13_MARK,
> -       DU_DB5_MARK,
> -       A6_IMARK,
> -       LCDOUT22_MARK,
> -       MSIOF2_SS1_A_MARK,
> -       RX4_B_MARK,
> -       VI4_DATA14_MARK,
> -       VI5_DATA14_MARK,
> -       DU_DB6_MARK,
> -       A7_IMARK,
> -       LCDOUT23_MARK,
> -       MSIOF2_SS2_A_MARK,
> -       TX4_B_MARK,
> -       VI4_DATA15_MARK,
> -       V15_DATA15_MARK,
> -       DU_DB7_MARK,
> -       A8_IMARK,
> -       RX3_B_MARK,
> -       MSIOF2_SYNC_A_MARK,
> -       HRX4_B_MARK,
> -       SDA6_A_MARK,
> -       AVB_AVTP_MATCH_B_MARK,
> -       PWM1_B_MARK,
> -
> -       /* IPSR3 */
> -       A9_IMARK,
> -       MSIOF2_SCK_A_MARK,
> -       CTS4x_B_MARK,
> -       VI5_VSYNCx_MARK,
> -       A10_IMARK,
> -       MSIOF2_RXD_A_MARK,
> -       RTS4n_TANS_B_MARK,
> -       VI5_HSYNCx_MARK,
> -       A11_IMARK,
> -       TX3_B_MARK,
> -       MSIOF2_TXD_A_MARK,
> -       HTX4_B_MARK,
> -       HSCK4_MARK,
> -       VI5_FIELD_MARK,
> -       SCL6_A_MARK,
> -       AVB_AVTP_CAPTURE_B_MARK,
> -       PWM2_B_MARK,
> -       SPV_EVEN_MARK,
> -       A12_IMARK,
> -       LCDOUT12_MARK,
> -       MSIOF3_SCK_C_MARK,
> -       HRX4_A_MARK,
> -       VI5_DATA8_MARK,
> -       DU_DG4_MARK,
> -       A13_IMARK,
> -       LCDOUT13_MARK,
> -       MSIOF3_SYNC_C_MARK,
> -       HTX4_A_MARK,
> -       VI5_DATA9_MARK,
> -       DU_DG5_MARK,
> -       A14_IMARK,
> -       LCDOUT14_MARK,
> -       MSIOF3_RXD_C_MARK,
> -       HCTS4x_MARK,
> -       VI5_DATA10_MARK,
> -       DU_DG6_MARK,
> -       A15_IMARK,
> -       LCDOUT15_MARK,
> -       MSIOF3_TXD_C_MARK,
> -       HRTS4x_MARK,
> -       VI5_DATA11_MARK,
> -       DU_DG7_MARK,
> -       A16_IMARK,
> -       LCDOUT8_MARK,
> -       VI4_FIELD_MARK,
> -       DU_DG0_MARK,
> -
> -       /* IPSR4 */
> -       A17_IMARK,
> -       LCDOUT9_MARK,
> -       VI4_VSYNCx_MARK,
> -       DU_DG1_MARK,
> -       A18_IMARK,
> -       LCDOUT10_MARK,
> -       VI4_HSYNCx_MARK,
> -       DU_DG2_MARK,
> -       A19_IMARK,
> -       LCDOUT11_MARK,
> -       VI4_CLKENB_MARK,
> -       DU_DG3_MARK,
> -       CS0x_IMARK,
> -       VI5_CLKENB_MARK,
> -       CS1x_A26_IMARK,
> -       VI5_CLK_MARK,
> -       EX_WAIT0_B_MARK,
> -       BSx_IMARK,
> -       QSTVA_QVS_MARK,
> -       MSIOF3_SCK_D_MARK,
> -       SCK3_MARK,
> -       HSCK3_MARK,
> -       CAN1_TX_MARK,
> -       CANFD1_TX_MARK,
> -       IETX_A_MARK,
> -       RDx_IMARK,
> -       MSIOF3_SYNC_D_MARK,
> -       RX3_A_MARK,
> -       HRX3_A_MARK,
> -       CAN0_TX_A_MARK,
> -       CANFD0_TX_A_MARK,
> -       RD_WRx_IMARK,
> -       MSIOF3_RXD_D_MARK,
> -       TX3_A_MARK,
> -       HTX3_A_MARK,
> -       CAN0_RX_A_MARK,
> -       CANFD0_RX_A_MARK,
> -
> -       /* IPSR5 */
> -       WE0x_IMARK,
> -       MSIIOF3_TXD_D_MARK,
> -       CTS3x_MARK,
> -       HCTS3x_MARK,
> -       SCL6_B_MARK,
> -       CAN_CLK_MARK,
> -       IECLK_A_MARK,
> -       WE1x_IMARK,
> -       MSIOF3_SS1_D_MARK,
> -       RTS3x_TANS_MARK,
> -       HRTS3x_MARK,
> -       SDA6_B_MARK,
> -       CAN1_RX_MARK,
> -       CANFD1_RX_MARK,
> -       IERX_A_MARK,
> -       EX_WAIT0_A_IMARK,
> -       QCLK_MARK,
> -       VI4_CLK_MARK,
> -       DU_DOTCLKOUT0_MARK,
> -       D0_IMARK,
> -       MSIOF2_SS1_B_MARK,
> -       MSIOF3_SCK_A_MARK,
> -       VI4_DATA16_MARK,
> -       VI5_DATA0_MARK,
> -       D1_IMARK,
> -       MSIOF2_SS2_B_MARK,
> -       MSIOF3_SYNC_A_MARK,
> -       VI4_DATA17_MARK,
> -       VI5_DATA1_MARK,
> -       D2_IMARK,
> -       MSIOF3_RXD_A_MARK,
> -       VI4_DATA18_MARK,
> -       VI5_DATA2_MARK,
> -       D3_IMARK,
> -       MSIOF3_TXD_A_MARK,
> -       VI4_DATA19_MARK,
> -       VI5_DATA3_MARK,
> -       D4_IMARK,
> -       MSIOF2_SCK_B_MARK,
> -       VI4_DATA20_MARK,
> -       VI5_DATA4_MARK,
> -
> -       /* IPSR6 */
> -       D5_IMARK,
> -       MSIOF2_SYNC_B_MARK,
> -       VI4_DATA21_MARK,
> -       VI5_DATA5_MARK,
> -       D6_IMARK,
> -       MSIOF2_RXD_B_MARK,
> -       VI4_DATA22_MARK,
> -       VI5_DATA6_MARK,
> -       D7_IMARK,
> -       MSIOF2_TXD_B_MARK,
> -       VI4_DATA23_MARK,
> -       VI5_DATA7_MARK,
> -       D8_IMARK,
> -       LCDOUT0_MARK,
> -       MSIOF2_SCK_D_MARK,
> -       SCK4_C_MARK,
> -       VI4_DATA0_A_MARK,
> -       DU_DR0_MARK,
> -       D9_IMARK,
> -       LCDOUT1_MARK,
> -       MSIOF2_SYNC_D_MARK,
> -       VI4_DATA1_A_MARK,
> -       DU_DR1_MARK,
> -       D10_IMARK,
> -       LCDOUT2_MARK,
> -       MSIOF2_RXD_D_MARK,
> -       HRX3_B_MARK,
> -       VI4_DATA2_A_MARK,
> -       CTS4x_C_MARK,
> -       DU_DR2_MARK,
> -       D11_IMARK,
> -       LCDOUT3_MARK,
> -       MSIOF2_TXD_D_MARK,
> -       HTX3_B_MARK,
> -       VI4_DATA3_A_MARK,
> -       RTS4x_TANS_C_MARK,
> -       DU_DR3_MARK,
> -       D12_IMARK,
> -       LCDOUT4_MARK,
> -       MSIOF2_SS1_D_MARK,
> -       RX4_C_MARK,
> -       VI4_DATA4_A_MARK,
> -       DU_DR4_MARK,
> -
> -       /* IPSR7 */
> -       D13_IMARK,
> -       LCDOUT5_MARK,
> -       MSIOF2_SS2_D_MARK,
> -       TX4_C_MARK,
> -       VI4_DATA5_A_MARK,
> -       DU_DR5_MARK,
> -       D14_IMARK,
> -       LCDOUT6_MARK,
> -       MSIOF3_SS1_A_MARK,
> -       HRX3_C_MARK,
> -       VI4_DATA6_A_MARK,
> -       DU_DR6_MARK,
> -       SCL6_C_MARK,
> -       D15_IMARK,
> -       LCDOUT7_MARK,
> -       MSIOF3_SS2_A_MARK,
> -       HTX3_C_MARK,
> -       VI4_DATA7_A_MARK,
> -       DU_DR7_MARK,
> -       SDA6_C_MARK,
> -       FSCLKST_MARK,
> -       SD0_CLK_IMARK,
> -       MSIOF1_SCK_E_MARK,
> -       STP_OPWM_0_B_MARK,
> -       SD0_CMD_IMARK,
> -       MSIOF1_SYNC_E_MARK,
> -       STP_IVCXO27_0_B_MARK,
> -       SD0_DAT0_IMARK,
> -       MSIOF1_RXD_E_MARK,
> -       TS_SCK0_B_MARK,
> -       STP_ISCLK_0_B_MARK,
> -       SD0_DAT1_IMARK,
> -       MSIOF1_TXD_E_MARK,
> -       TS_SPSYNC0_B_MARK,
> -       STP_ISSYNC_0_B_MARK,
> -
> -       /* IPSR8 */
> -       SD0_DAT2_IMARK,
> -       MSIOF1_SS1_E_MARK,
> -       TS_SDAT0_B_MARK,
> -       STP_ISD_0_B_MARK,
> -
> -       SD0_DAT3_IMARK,
> -       MSIOF1_SS2_E_MARK,
> -       TS_SDEN0_B_MARK,
> -       STP_ISEN_0_B_MARK,
> -
> -       SD1_CLK_IMARK,
> -       MSIOF1_SCK_G_MARK,
> -       SIM0_CLK_A_MARK,
> -
> -       SD1_CMD_IMARK,
> -       MSIOF1_SYNC_G_MARK,
> -       NFCEx_B_MARK,
> -       SIM0_D_A_MARK,
> -       STP_IVCXO27_1_B_MARK,
> -
> -       SD1_DAT0_IMARK,
> -       SD2_DAT4_MARK,
> -       MSIOF1_RXD_G_MARK,
> -       NFWPx_B_MARK,
> -       TS_SCK1_B_MARK,
> -       STP_ISCLK_1_B_MARK,
> -
> -       SD1_DAT1_IMARK,
> -       SD2_DAT5_MARK,
> -       MSIOF1_TXD_G_MARK,
> -       NFDATA14_B_MARK,
> -       TS_SPSYNC1_B_MARK,
> -       STP_ISSYNC_1_B_MARK,
> -
> -       SD1_DAT2_IMARK,
> -       SD2_DAT6_MARK,
> -       MSIOF1_SS1_G_MARK,
> -       NFDATA15_B_MARK,
> -       TS_SDAT1_B_MARK,
> -       STP_IOD_1_B_MARK,
> -
> -       SD1_DAT3_IMARK,
> -       SD2_DAT7_MARK,
> -       MSIOF1_SS2_G_MARK,
> -       NFRBx_B_MARK,
> -       TS_SDEN1_B_MARK,
> -       STP_ISEN_1_B_MARK,
> -
> -       /* IPSR9 */
> -       SD2_CLK_IMARK,
> -       NFDATA8_MARK,
> -
> -       SD2_CMD_IMARK,
> -       NFDATA9_MARK,
> -
> -       SD2_DAT0_IMARK,
> -       NFDATA10_MARK,
> -
> -       SD2_DAT1_IMARK,
> -       NFDATA11_MARK,
> -
> -       SD2_DAT2_IMARK,
> -       NFDATA12_MARK,
> -
> -       SD2_DAT3_IMARK,
> -       NFDATA13_MARK,
> -
> -       SD2_DS_IMARK,
> -       NFALE_MARK,
> -
> -       SD3_CLK_IMARK,
> -       NFWEx_MARK,
> -
> -       /* IPSR10 */
> -       SD3_CMD_IMARK,
> -       NFREx_MARK,
> -
> -       SD3_DAT0_IMARK,
> -       NFDATA0_MARK,
> -
> -       SD3_DAT1_IMARK,
> -       NFDATA1_MARK,
> -
> -       SD3_DAT2_IMARK,
> -       NFDATA2_MARK,
> -
> -       SD3_DAT3_IMARK,
> -       NFDATA3_MARK,
> -
> -       SD3_DAT4_IMARK,
> -       SD2_CD_A_MARK,
> -       NFDATA4_MARK,
> -
> -       SD3_DAT5_IMARK,
> -       SD2_WP_A_MARK,
> -       NFDATA5_MARK,
> -
> -       SD3_DAT6_IMARK,
> -       SD3_CD_MARK,
> -       NFDATA6_MARK,
> -
> -       /* IPSR11 */
> -       SD3_DAT7_IMARK,
> -       SD3_WP_MARK,
> -       NFDATA7_MARK,
> -
> -       SD3_DS_IMARK,
> -       NFCLE_MARK,
> -
> -       SD0_CD_IMARK,
> -       NFDATA14_A_MARK,
> -       SCL2_B_MARK,
> -       SIM0_RST_A_MARK,
> -
> -       SD0_WP_IMARK,
> -       NFDATA15_A_MARK,
> -       SDA2_B_MARK,
> -
> -       SD1_CD_IMARK,
> -       NFRBx_A_MARK,
> -       SIM0_CLK_B_MARK,
> -
> -       SD1_WP_IMARK,
> -       NFCEx_A_MARK,
> -       SIM0_D_B_MARK,
> -
> -       SCK0_IMARK,
> -       HSCK1_B_MARK,
> -       MSIOF1_SS2_B_MARK,
> -       AUDIO_CLKC_B_MARK,
> -       SDA2_A_MARK,
> -       SIM0_RST_B_MARK,
> -       STP_OPWM_0_C_MARK,
> -       RIF0_CLK_B_MARK,
> -       ADICHS2_MARK,
> -       SCK5_B_MARK,
> -
> -       RX0_IMARK,
> -       HRX1_B_MARK,
> -       TS_SCK0_C_MARK,
> -       STP_ISCLK_0_C_MARK,
> -       RIF0_D0_B_MARK,
> -
> -       /* IPSR12 */
> -       TX0_IMARK,
> -       HTX1_B_MARK,
> -       TS_SPSYNC0_C_MARK,
> -       STP_ISSYNC_0_C_MARK,
> -       RIF0_D1_B_MARK,
> -
> -       CTS0x_IMARK,
> -       HCTS1x_B_MARK,
> -       MSIOF1_SYNC_B_MARK,
> -       TS_SPSYNC1_C_MARK,
> -       STP_ISSYNC_1_C_MARK,
> -       RIF1_SYNC_B_MARK,
> -       AUDIO_CLKOUT_C_MARK,
> -       ADICS_SAMP_MARK,
> -
> -       RTS0x_TANS_IMARK,
> -       HRTS1x_B_MARK,
> -       MSIOF1_SS1_B_MARK,
> -       AUDIO_CLKA_B_MARK,
> -       SCL2_A_MARK,
> -       STP_IVCXO27_1_C_MARK,
> -       RIF0_SYNC_B_MARK,
> -       ADICHS1_MARK,
> -
> -       RX1_A_IMARK,
> -       HRX1_A_MARK,
> -       TS_SDAT0_C_MARK,
> -       STP_ISD_0_C_MARK,
> -       RIF1_CLK_C_MARK,
> -
> -       TX1_A_IMARK,
> -       HTX1_A_MARK,
> -       TS_SDEN0_C_MARK,
> -       STP_ISEN_0_C_MARK,
> -       RIF1_D0_C_MARK,
> -
> -       CTS1x_IMARK,
> -       HCTS1x_A_MARK,
> -       MSIOF1_RXD_B_MARK,
> -       TS_SDEN1_C_MARK,
> -       STP_ISEN_1_C_MARK,
> -       RIF1_D0_B_MARK,
> -       ADIDATA_MARK,
> -
> -       RTS1x_TANS_IMARK,
> -       HRTS1x_A_MARK,
> -       MSIOF1_TXD_B_MARK,
> -       TS_SDAT1_C_MARK,
> -       STP_ISD_1_C_MARK,
> -       RIF1_D1_B_MARK,
> -       ADICHS0_MARK,
> -
> -       SCK2_IMARK,
> -       SCIF_CLK_B_MARK,
> -       MSIOF1_SCK_B_MARK,
> -       TS_SCK1_C_MARK,
> -       STP_ISCLK_1_C_MARK,
> -       RIF1_CLK_B_MARK,
> -       ADICLK_MARK,
> -
> -       /* IPSR13 */
> -       TX2_A_IMARK,
> -       SD2_CD_B_MARK,
> -       SCL1_A_MARK,
> -       FMCLK_A_MARK,
> -       RIF1_D1_C_MARK,
> -       FSO_CFE_0_B_MARK,
> -
> -       RX2_A_IMARK,
> -       SD2_WP_B_MARK,
> -       SDA1_A_MARK,
> -       FMIN_A_MARK,
> -       RIF1_SYNC_C_MARK,
> -       FSO_CEF_1_B_MARK,
> -
> -       HSCK0_IMARK,
> -       MSIOF1_SCK_D_MARK,
> -       AUDIO_CLKB_A_MARK,
> -       SSI_SDATA1_B_MARK,
> -       TS_SCK0_D_MARK,
> -       STP_ISCLK_0_D_MARK,
> -       RIF0_CLK_C_MARK,
> -       RX5_B_MARK,
> -
> -       HRX0_IMARK,
> -       MSIOF1_RXD_D_MARK,
> -       SS1_SDATA2_B_MARK,
> -       TS_SDEN0_D_MARK,
> -       STP_ISEN_0_D_MARK,
> -       RIF0_D0_C_MARK,
> -
> -       HTX0_IMARK,
> -       MSIOF1_TXD_D_MARK,
> -       SSI_SDATA9_B_MARK,
> -       TS_SDAT0_D_MARK,
> -       STP_ISD_0_D_MARK,
> -       RIF0_D1_C_MARK,
> -
> -       HCTS0x_IMARK,
> -       RX2_B_MARK,
> -       MSIOF1_SYNC_D_MARK,
> -       SSI_SCK9_A_MARK,
> -       TS_SPSYNC0_D_MARK,
> -       STP_ISSYNC_0_D_MARK,
> -       RIF0_SYNC_C_MARK,
> -       AUDIO_CLKOUT1_A_MARK,
> -
> -       HRTS0x_IMARK,
> -       TX2_B_MARK,
> -       MSIOF1_SS1_D_MARK,
> -       SSI_WS9_A_MARK,
> -       STP_IVCXO27_0_D_MARK,
> -       BPFCLK_A_MARK,
> -       AUDIO_CLKOUT2_A_MARK,
> -
> -       MSIOF0_SYNC_IMARK,
> -       AUDIO_CLKOUT_A_MARK,
> -       TX5_B_MARK,
> -       BPFCLK_D_MARK,
> -
> -       /* IPSR14 */
> -       MSIOF0_SS1_IMARK,
> -       RX5_A_MARK,
> -       NFWPx_A_MARK,
> -       AUDIO_CLKA_C_MARK,
> -       SSI_SCK2_A_MARK,
> -       STP_IVCXO27_0_C_MARK,
> -       AUDIO_CLKOUT3_A_MARK,
> -       TCLK1_B_MARK,
> -
> -       MSIOF0_SS2_IMARK,
> -       TX5_A_MARK,
> -       MSIOF1_SS2_D_MARK,
> -       AUDIO_CLKC_A_MARK,
> -       SSI_WS2_A_MARK,
> -       STP_OPWM_0_D_MARK,
> -       AUDIO_CLKOUT_D_MARK,
> -       SPEEDIN_B_MARK,
> -
> -       MLB_CLK_IMARK,
> -       MSIOF1_SCK_F_MARK,
> -       SCL1_B_MARK,
> -
> -       MLB_SIG_IMARK,
> -       RX1_B_MARK,
> -       MSIOF1_SYNC_F_MARK,
> -       SDA1_B_MARK,
> -
> -       MLB_DAT_IMARK,
> -       TX1_B_MARK,
> -       MSIOF1_RXD_F_MARK,
> -
> -       SSI_SCK0129_IMARK,
> -       MSIOF1_TXD_F_MARK,
> -       MOUT0_MARK,
> -
> -       SSI_WS0129_IMARK,
> -       MSIOF1_SS1_F_MARK,
> -       MOUT1_MARK,
> -
> -       SSI_SDATA0_IMARK,
> -       MSIOF1_SS2_F_MARK,
> -       MOUT2_MARK,
> -
> -       /* IPSR15 */
> -       SSI_SDATA1_A_IMARK,
> -       MOUT5_MARK,
> -
> -       SSI_SDATA2_A_IMARK,
> -       SSI_SCK1_B_MARK,
> -       MOUT6_MARK,
> -
> -       SSI_SCK34_IMARK,
> -       MSIOF1_SS1_A_MARK,
> -       STP_OPWM_0_A_MARK,
> -
> -       SSI_WS34_IMARK,
> -       HCTS2x_A_MARK,
> -       MSIOF1_SS2_A_MARK,
> -       STP_IVCXO27_0_A_MARK,
> -
> -       SSI_SDATA3_IMARK,
> -       HRTS2x_A_MARK,
> -       MSIOF1_TXD_A_MARK,
> -       TS_SCK0_A_MARK,
> -       STP_ISCLK_0_A_MARK,
> -       RIF0_D1_A_MARK,
> -       RIF2_D0_A_MARK,
> -
> -       SSI_SCK4_IMARK,
> -       HRX2_A_MARK,
> -       MSIOF1_SCK_A_MARK,
> -       TS_SDAT0_A_MARK,
> -       STP_ISD_0_A_MARK,
> -       RIF0_CLK_A_MARK,
> -       RIF2_CLK_A_MARK,
> -
> -       SSI_WS4_IMARK,
> -       HTX2_A_MARK,
> -       MSIOF1_SYNC_A_MARK,
> -       TS_SDEN0_A_MARK,
> -       STP_ISEN_0_A_MARK,
> -       RIF0_SYNC_A_MARK,
> -       RIF2_SYNC_A_MARK,
> -
> -       SSI_SDATA4_IMARK,
> -       HSCK2_A_MARK,
> -       MSIOF1_RXD_A_MARK,
> -       TS_SPSYNC0_A_MARK,
> -       STP_ISSYNC_0_A_MARK,
> -       RIF0_D0_A_MARK,
> -       RIF2_D1_A_MARK,
> -
> -       /* IPSR16 */
> -       SSI_SCK6_IMARK,
> -       SIM0_RST_D_MARK,
> -       FSO_TOE_A_MARK,
> -
> -       SSI_WS6_IMARK,
> -       SIM0_D_D_MARK,
> -
> -       SSI_SDATA6_IMARK,
> -       SIM0_CLK_D_MARK,
> -
> -       SSI_SCK78_IMARK,
> -       HRX2_B_MARK,
> -       MSIOF1_SCK_C_MARK,
> -       TS_SCK1_A_MARK,
> -       STP_ISCLK_1_A_MARK,
> -       RIF1_CLK_A_MARK,
> -       RIF3_CLK_A_MARK,
> -
> -       SSI_WS78_IMARK,
> -       HTX2_B_MARK,
> -       MSIOF1_SYNC_C_MARK,
> -       TS_SDAT1_A_MARK,
> -       STP_ISD_1_A_MARK,
> -       RIF1_SYNC_A_MARK,
> -       RIF3_SYNC_A_MARK,
> -
> -       SSI_SDATA7_IMARK,
> -       HCTS2x_B_MARK,
> -       MSIOF1_RXD_C_MARK,
> -       TS_SDEN1_A_MARK,
> -       STP_IEN_1_A_MARK,
> -       RIF1_D0_A_MARK,
> -       RIF3_D0_A_MARK,
> -       TCLK2_A_MARK,
> -
> -       SSI_SDATA8_IMARK,
> -       HRTS2x_B_MARK,
> -       MSIOF1_TXD_C_MARK,
> -       TS_SPSYNC1_A_MARK,
> -       STP_ISSYNC_1_A_MARK,
> -       RIF1_D1_A_MARK,
> -       EIF3_D1_A_MARK,
> -
> -       SSI_SDATA9_A_IMARK,
> -       HSCK2_B_MARK,
> -       MSIOF1_SS1_C_MARK,
> -       HSCK1_A_MARK,
> -       SSI_WS1_B_MARK,
> -       SCK1_MARK,
> -       STP_IVCXO27_1_A_MARK,
> -       SCK5_MARK,
> -
> -       /* IPSR17 */
> -       AUDIO_CLKA_A_IMARK,
> -       CC5_OSCOUT_MARK,
> -
> -       AUDIO_CLKB_B_IMARK,
> -       SCIF_CLK_A_MARK,
> -       STP_IVCXO27_1_D_MARK,
> -       REMOCON_A_MARK,
> -       TCLK1_A_MARK,
> -
> -       USB0_PWEN_IMARK,
> -       SIM0_RST_C_MARK,
> -       TS_SCK1_D_MARK,
> -       STP_ISCLK_1_D_MARK,
> -       BPFCLK_B_MARK,
> -       RIF3_CLK_B_MARK,
> -       FSO_CFE_1_A_MARK,
> -       HSCK2_C_MARK,
> -
> -       USB0_OVC_IMARK,
> -       SIM0_D_C_MARK,
> -       TS_SDAT1_D_MARK,
> -       STP_ISD_1_D_MARK,
> -       RIF3_SYNC_B_MARK,
> -       HRX2_C_MARK,
> -
> -       USB1_PWEN_IMARK,
> -       SIM0_CLK_C_MARK,
> -       SSI_SCK1_A_MARK,
> -       TS_SCK0_E_MARK,
> -       STP_ISCLK_0_E_MARK,
> -       FMCLK_B_MARK,
> -       RIF2_CLK_B_MARK,
> -       SPEEDIN_A_MARK,
> -       HTX2_C_MARK,
> -
> -       USB1_OVC_IMARK,
> -       MSIOF1_SS2_C_MARK,
> -       SSI_WS1_A_MARK,
> -       TS_SDAT0_E_MARK,
> -       STP_ISD_0_E_MARK,
> -       FMIN_B_MARK,
> -       RIF2_SYNC_B_MARK,
> -       REMOCON_B_MARK,
> -       HCTS2x_C_MARK,
> -
> -       USB30_PWEN_IMARK,
> -       AUDIO_CLKOUT_B_MARK,
> -       SSI_SCK2_B_MARK,
> -       TS_SDEN1_D_MARK,
> -       STP_ISEN_1_D_MARK,
> -       STP_OPWM_0_E_MARK,
> -       RIF3_D0_B_MARK,
> -       TCLK2_B_MARK,
> -       TPU0TO0_MARK,
> -       BPFCLK_C_MARK,
> -       HRTS2x_C_MARK,
> -
> -       USB30_OVC_IMARK,
> -       AUDIO_CLKOUT1_B_MARK,
> -       SSI_WS2_B_MARK,
> -       TS_SPSYNC1_D_MARK,
> -       STP_ISSYNC_1_D_MARK,
> -       STP_IVCXO27_0_E_MARK,
> -       RIF3_D1_B_MARK,
> -       FSO_TOE_B_MARK,
> -       TPU0TO1_MARK,
> -
> -       /* IPSR18 */
> -       GP6_30_IMARK,
> -       AUDIO_CLKOUT2_B_MARK,
> -       SSI_SCK9_B_MARK,
> -       TS_SDEN0_E_MARK,
> -       STP_ISEN_0_E_MARK,
> -       RIF2_D0_B_MARK,
> -       FSO_CFE_0_A_MARK,
> -       TPU0TO2_MARK,
> -       FMCLK_C_MARK,
> -       FMCLK_D_MARK,
> -
> -       GP6_31_IMARK,
> -       AUDIO_CLKOUT3_B_MARK,
> -       SSI_WS9_B_MARK,
> -       TS_SPSYNC0_E_MARK,
> -       STP_ISSYNC_0_E_MARK,
> -       RIF2_D1_B_MARK,
> -       TPU0TO3_MARK,
> -       FMIN_C_MARK,
> -       FMIN_D_MARK,
> -
> -       PINMUX_MARK_END,
> -};
> -
> -static pinmux_enum_t pinmux_data[] = {
> -       PINMUX_DATA_GP_ALL(), /* PINMUX_DATA(GP_M_N_DATA, GP_M_N_FN...), */
> -
> -       /* GPSR0 */
> -       PINMUX_DATA(D15_GMARK, GFN_D15),
> -       PINMUX_DATA(D14_GMARK, GFN_D14),
> -       PINMUX_DATA(D13_GMARK, GFN_D13),
> -       PINMUX_DATA(D12_GMARK, GFN_D12),
> -       PINMUX_DATA(D11_GMARK, GFN_D11),
> -       PINMUX_DATA(D10_GMARK, GFN_D10),
> -       PINMUX_DATA(D9_GMARK, GFN_D9),
> -       PINMUX_DATA(D8_GMARK, GFN_D8),
> -       PINMUX_DATA(D7_GMARK, GFN_D7),
> -       PINMUX_DATA(D6_GMARK, GFN_D6),
> -       PINMUX_DATA(D5_GMARK, GFN_D5),
> -       PINMUX_DATA(D4_GMARK, GFN_D4),
> -       PINMUX_DATA(D3_GMARK, GFN_D3),
> -       PINMUX_DATA(D2_GMARK, GFN_D2),
> -       PINMUX_DATA(D1_GMARK, GFN_D1),
> -       PINMUX_DATA(D0_GMARK, GFN_D0),
> -
> -       /* GPSR1 */
> -       PINMUX_DATA(CLKOUT_GMARK, GFN_CLKOUT),
> -       PINMUX_DATA(EX_WAIT0_A_GMARK, GFN_EX_WAIT0_A),
> -       PINMUX_DATA(WE1x_GMARK, GFN_WE1x),
> -       PINMUX_DATA(WE0x_GMARK, GFN_WE0x),
> -       PINMUX_DATA(RD_WRx_GMARK, GFN_RD_WRx),
> -       PINMUX_DATA(RDx_GMARK, GFN_RDx),
> -       PINMUX_DATA(BSx_GMARK, GFN_BSx),
> -       PINMUX_DATA(CS1x_A26_GMARK, GFN_CS1x_A26),
> -       PINMUX_DATA(CS0x_GMARK, GFN_CS0x),
> -       PINMUX_DATA(A19_GMARK, GFN_A19),
> -       PINMUX_DATA(A18_GMARK, GFN_A18),
> -       PINMUX_DATA(A17_GMARK, GFN_A17),
> -       PINMUX_DATA(A16_GMARK, GFN_A16),
> -       PINMUX_DATA(A15_GMARK, GFN_A15),
> -       PINMUX_DATA(A14_GMARK, GFN_A14),
> -       PINMUX_DATA(A13_GMARK, GFN_A13),
> -       PINMUX_DATA(A12_GMARK, GFN_A12),
> -       PINMUX_DATA(A11_GMARK, GFN_A11),
> -       PINMUX_DATA(A10_GMARK, GFN_A10),
> -       PINMUX_DATA(A9_GMARK, GFN_A9),
> -       PINMUX_DATA(A8_GMARK, GFN_A8),
> -       PINMUX_DATA(A7_GMARK, GFN_A7),
> -       PINMUX_DATA(A6_GMARK, GFN_A6),
> -       PINMUX_DATA(A5_GMARK, GFN_A5),
> -       PINMUX_DATA(A4_GMARK, GFN_A4),
> -       PINMUX_DATA(A3_GMARK, GFN_A3),
> -       PINMUX_DATA(A2_GMARK, GFN_A2),
> -       PINMUX_DATA(A1_GMARK, GFN_A1),
> -       PINMUX_DATA(A0_GMARK, GFN_A0),
> -
> -       /* GPSR2 */
> -       PINMUX_DATA(AVB_AVTP_CAPTURE_A_GMARK, GFN_AVB_AVTP_CAPTURE_A),
> -       PINMUX_DATA(AVB_AVTP_MATCH_A_GMARK, GFN_AVB_AVTP_MATCH_A),
> -       PINMUX_DATA(AVB_LINK_GMARK, GFN_AVB_LINK),
> -       PINMUX_DATA(AVB_PHY_INT_GMARK, GFN_AVB_PHY_INT),
> -       PINMUX_DATA(AVB_MAGIC_GMARK, GFN_AVB_MAGIC),
> -       PINMUX_DATA(AVB_MDC_GMARK, GFN_AVB_MDC),
> -       PINMUX_DATA(PWM2_A_GMARK, GFN_PWM2_A),
> -       PINMUX_DATA(PWM1_A_GMARK, GFN_PWM1_A),
> -       PINMUX_DATA(PWM0_GMARK, GFN_PWM0),
> -       PINMUX_DATA(IRQ5_GMARK, GFN_IRQ5),
> -       PINMUX_DATA(IRQ4_GMARK, GFN_IRQ4),
> -       PINMUX_DATA(IRQ3_GMARK, GFN_IRQ3),
> -       PINMUX_DATA(IRQ2_GMARK, GFN_IRQ2),
> -       PINMUX_DATA(IRQ1_GMARK, GFN_IRQ1),
> -       PINMUX_DATA(IRQ0_GMARK, GFN_IRQ0),
> -
> -       /* GPSR3 */
> -       PINMUX_DATA(SD1_WP_GMARK, GFN_SD1_WP),
> -       PINMUX_DATA(SD1_CD_GMARK, GFN_SD1_CD),
> -       PINMUX_DATA(SD0_WP_GMARK, GFN_SD0_WP),
> -       PINMUX_DATA(SD0_CD_GMARK, GFN_SD0_CD),
> -       PINMUX_DATA(SD1_DAT3_GMARK, GFN_SD1_DAT3),
> -       PINMUX_DATA(SD1_DAT2_GMARK, GFN_SD1_DAT2),
> -       PINMUX_DATA(SD1_DAT1_GMARK, GFN_SD1_DAT1),
> -       PINMUX_DATA(SD1_DAT0_GMARK, GFN_SD1_DAT0),
> -       PINMUX_DATA(SD1_CMD_GMARK, GFN_SD1_CMD),
> -       PINMUX_DATA(SD1_CLK_GMARK, GFN_SD1_CLK),
> -       PINMUX_DATA(SD0_DAT3_GMARK, GFN_SD0_DAT3),
> -       PINMUX_DATA(SD0_DAT2_GMARK, GFN_SD0_DAT2),
> -       PINMUX_DATA(SD0_DAT1_GMARK, GFN_SD0_DAT1),
> -       PINMUX_DATA(SD0_DAT0_GMARK, GFN_SD0_DAT0),
> -       PINMUX_DATA(SD0_CMD_GMARK, GFN_SD0_CMD),
> -       PINMUX_DATA(SD0_CLK_GMARK, GFN_SD0_CLK),
> -
> -       /* GPSR4 */
> -       PINMUX_DATA(SD3_DS_GMARK, GFN_SD3_DS),
> -       PINMUX_DATA(SD3_DAT7_GMARK, GFN_SD3_DAT7),
> -       PINMUX_DATA(SD3_DAT6_GMARK, GFN_SD3_DAT6),
> -       PINMUX_DATA(SD3_DAT5_GMARK, GFN_SD3_DAT5),
> -       PINMUX_DATA(SD3_DAT4_GMARK, GFN_SD3_DAT4),
> -       PINMUX_DATA(SD3_DAT3_MARK, FN_SD3_DAT3),
> -       PINMUX_DATA(SD3_DAT2_MARK, FN_SD3_DAT2),
> -       PINMUX_DATA(SD3_DAT1_MARK, FN_SD3_DAT1),
> -       PINMUX_DATA(SD3_DAT0_MARK, FN_SD3_DAT0),
> -       PINMUX_DATA(SD3_CMD_MARK, FN_SD3_CMD),
> -       PINMUX_DATA(SD3_CLK_MARK, FN_SD3_CLK),
> -       PINMUX_DATA(SD2_DS_GMARK, GFN_SD2_DS),
> -       PINMUX_DATA(SD2_DAT3_GMARK, GFN_SD2_DAT3),
> -       PINMUX_DATA(SD2_DAT2_GMARK, GFN_SD2_DAT2),
> -       PINMUX_DATA(SD2_DAT1_GMARK, GFN_SD2_DAT1),
> -       PINMUX_DATA(SD2_DAT0_GMARK, GFN_SD2_DAT0),
> -       PINMUX_DATA(SD2_CMD_MARK, FN_SD2_CMD),
> -       PINMUX_DATA(SD2_CLK_GMARK, GFN_SD2_CLK),
> -
> -       /* GPSR5 */
> -       PINMUX_DATA(MLB_DAT_GMARK, GFN_MLB_DAT),
> -       PINMUX_DATA(MLB_SIG_GMARK, GFN_MLB_SIG),
> -       PINMUX_DATA(MLB_CLK_GMARK, GFN_MLB_CLK),
> -       PINMUX_DATA(MSIOF0_RXD_MARK, FN_MSIOF0_RXD),
> -       PINMUX_DATA(MSIOF0_SS2_GMARK, GFN_MSIOF0_SS2),
> -       PINMUX_DATA(MSIOF0_TXD_MARK, FN_MSIOF0_TXD),
> -       PINMUX_DATA(MSIOF0_SS1_GMARK, GFN_MSIOF0_SS1),
> -       PINMUX_DATA(MSIOF0_SYNC_GMARK, GFN_MSIOF0_SYNC),
> -       PINMUX_DATA(MSIOF0_SCK_MARK, FN_MSIOF0_SCK),
> -       PINMUX_DATA(HRTS0x_GMARK, GFN_HRTS0x),
> -       PINMUX_DATA(HCTS0x_GMARK, GFN_HCTS0x),
> -       PINMUX_DATA(HTX0_GMARK, GFN_HTX0),
> -       PINMUX_DATA(HRX0_GMARK, GFN_HRX0),
> -       PINMUX_DATA(HSCK0_GMARK, GFN_HSCK0),
> -       PINMUX_DATA(RX2_A_GMARK, GFN_RX2_A),
> -       PINMUX_DATA(TX2_A_GMARK, GFN_TX2_A),
> -       PINMUX_DATA(SCK2_GMARK, GFN_SCK2),
> -       PINMUX_DATA(RTS1x_TANS_GMARK, GFN_RTS1x_TANS),
> -       PINMUX_DATA(CTS1x_GMARK, GFN_CTS1x),
> -       PINMUX_DATA(TX1_A_GMARK, GFN_TX1_A),
> -       PINMUX_DATA(RX1_A_GMARK, GFN_RX1_A),
> -       PINMUX_DATA(RTS0x_TANS_GMARK, GFN_RTS0x_TANS),
> -       PINMUX_DATA(CTS0x_GMARK, GFN_CTS0x),
> -       PINMUX_DATA(TX0_GMARK, GFN_TX0),
> -       PINMUX_DATA(RX0_GMARK, GFN_RX0),
> -       PINMUX_DATA(SCK0_GMARK, GFN_SCK0),
> -
> -       /* GPSR6 */
> -       PINMUX_DATA(GP6_30_GMARK, GFN_GP6_30),
> -       PINMUX_DATA(GP6_31_GMARK, GFN_GP6_31),
> -       PINMUX_DATA(USB30_OVC_GMARK, GFN_USB30_OVC),
> -       PINMUX_DATA(USB30_PWEN_GMARK, GFN_USB30_PWEN),
> -       PINMUX_DATA(USB1_OVC_GMARK, GFN_USB1_OVC),
> -       PINMUX_DATA(USB1_PWEN_GMARK, GFN_USB1_PWEN),
> -       PINMUX_DATA(USB0_OVC_GMARK, GFN_USB0_OVC),
> -       PINMUX_DATA(USB0_PWEN_GMARK, GFN_USB0_PWEN),
> -       PINMUX_DATA(AUDIO_CLKB_B_GMARK, GFN_AUDIO_CLKB_B),
> -       PINMUX_DATA(AUDIO_CLKA_A_GMARK, GFN_AUDIO_CLKA_A),
> -       PINMUX_DATA(SSI_SDATA9_A_GMARK, GFN_SSI_SDATA9_A),
> -       PINMUX_DATA(SSI_SDATA8_GMARK, GFN_SSI_SDATA8),
> -       PINMUX_DATA(SSI_SDATA7_GMARK, GFN_SSI_SDATA7),
> -       PINMUX_DATA(SSI_WS78_GMARK, GFN_SSI_WS78),
> -       PINMUX_DATA(SSI_SCK78_GMARK, GFN_SSI_SCK78),
> -       PINMUX_DATA(SSI_SDATA6_GMARK, GFN_SSI_SDATA6),
> -       PINMUX_DATA(SSI_WS6_GMARK, GFN_SSI_WS6),
> -       PINMUX_DATA(SSI_SCK6_GMARK, GFN_SSI_SCK6),
> -       PINMUX_DATA(SSI_SDATA5_MARK, FN_SSI_SDATA5),
> -       PINMUX_DATA(SSI_WS5_MARK, FN_SSI_WS5),
> -       PINMUX_DATA(SSI_SCK5_MARK, FN_SSI_SCK5),
> -       PINMUX_DATA(SSI_SDATA4_GMARK, GFN_SSI_SDATA4),
> -       PINMUX_DATA(SSI_WS4_GMARK, GFN_SSI_WS4),
> -       PINMUX_DATA(SSI_SCK4_GMARK, GFN_SSI_SCK4),
> -       PINMUX_DATA(SSI_SDATA3_GMARK, GFN_SSI_SDATA3),
> -       PINMUX_DATA(SSI_WS34_GMARK, GFN_SSI_WS34),
> -       PINMUX_DATA(SSI_SCK34_GMARK, GFN_SSI_SCK34),
> -       PINMUX_DATA(SSI_SDATA2_A_GMARK, GFN_SSI_SDATA2_A),
> -       PINMUX_DATA(SSI_SDATA1_A_GMARK, GFN_SSI_SDATA1_A),
> -       PINMUX_DATA(SSI_SDATA0_GMARK, GFN_SSI_SDATA0),
> -       PINMUX_DATA(SSI_WS01239_GMARK, GFN_SSI_WS01239),
> -       PINMUX_DATA(SSI_SCK01239_GMARK, GFN_SSI_SCK01239),
> -
> -       /* GPSR7 */
> -       PINMUX_DATA(HDMI1_CEC_MARK, FN_HDMI1_CEC),
> -       PINMUX_DATA(HDMI0_CEC_MARK, FN_HDMI0_CEC),
> -       PINMUX_DATA(AVS2_MARK, FN_AVS2),
> -       PINMUX_DATA(AVS1_MARK, FN_AVS1),
> -
> -       /* ipsr setting .. underconstruction */
> -};
> -
> -static struct pinmux_gpio pinmux_gpios[] = {
> -       PINMUX_GPIO_GP_ALL(),
> -       /* GPSR0 */
> -       GPIO_GFN(D15),
> -       GPIO_GFN(D14),
> -       GPIO_GFN(D13),
> -       GPIO_GFN(D12),
> -       GPIO_GFN(D11),
> -       GPIO_GFN(D10),
> -       GPIO_GFN(D9),
> -       GPIO_GFN(D8),
> -       GPIO_GFN(D7),
> -       GPIO_GFN(D6),
> -       GPIO_GFN(D5),
> -       GPIO_GFN(D4),
> -       GPIO_GFN(D3),
> -       GPIO_GFN(D2),
> -       GPIO_GFN(D1),
> -       GPIO_GFN(D0),
> -       /* GPSR1 */
> -       GPIO_GFN(CLKOUT),
> -       GPIO_GFN(EX_WAIT0_A),
> -       GPIO_GFN(WE1x),
> -       GPIO_GFN(WE0x),
> -       GPIO_GFN(RD_WRx),
> -       GPIO_GFN(RDx),
> -       GPIO_GFN(BSx),
> -       GPIO_GFN(CS1x_A26),
> -       GPIO_GFN(CS0x),
> -       GPIO_GFN(A19),
> -       GPIO_GFN(A18),
> -       GPIO_GFN(A17),
> -       GPIO_GFN(A16),
> -       GPIO_GFN(A15),
> -       GPIO_GFN(A14),
> -       GPIO_GFN(A13),
> -       GPIO_GFN(A12),
> -       GPIO_GFN(A11),
> -       GPIO_GFN(A10),
> -       GPIO_GFN(A9),
> -       GPIO_GFN(A8),
> -       GPIO_GFN(A7),
> -       GPIO_GFN(A6),
> -       GPIO_GFN(A5),
> -       GPIO_GFN(A4),
> -       GPIO_GFN(A3),
> -       GPIO_GFN(A2),
> -       GPIO_GFN(A1),
> -       GPIO_GFN(A0),
> -
> -       /* GPSR2 */
> -       GPIO_GFN(AVB_AVTP_CAPTURE_A),
> -       GPIO_GFN(AVB_AVTP_MATCH_A),
> -       GPIO_GFN(AVB_LINK),
> -       GPIO_GFN(AVB_PHY_INT),
> -       GPIO_GFN(AVB_MAGIC),
> -       GPIO_GFN(AVB_MDC),
> -       GPIO_GFN(PWM2_A),
> -       GPIO_GFN(PWM1_A),
> -       GPIO_GFN(PWM0),
> -       GPIO_GFN(IRQ5),
> -       GPIO_GFN(IRQ4),
> -       GPIO_GFN(IRQ3),
> -       GPIO_GFN(IRQ2),
> -       GPIO_GFN(IRQ1),
> -       GPIO_GFN(IRQ0),
> -
> -       /* GPSR3 */
> -       GPIO_GFN(SD1_WP),
> -       GPIO_GFN(SD1_CD),
> -       GPIO_GFN(SD0_WP),
> -       GPIO_GFN(SD0_CD),
> -       GPIO_GFN(SD1_DAT3),
> -       GPIO_GFN(SD1_DAT2),
> -       GPIO_GFN(SD1_DAT1),
> -       GPIO_GFN(SD1_DAT0),
> -       GPIO_GFN(SD1_CMD),
> -       GPIO_GFN(SD1_CLK),
> -       GPIO_GFN(SD0_DAT3),
> -       GPIO_GFN(SD0_DAT2),
> -       GPIO_GFN(SD0_DAT1),
> -       GPIO_GFN(SD0_DAT0),
> -       GPIO_GFN(SD0_CMD),
> -       GPIO_GFN(SD0_CLK),
> -
> -       /* GPSR4 */
> -       GPIO_GFN(SD3_DS),
> -       GPIO_GFN(SD3_DAT7),
> -       GPIO_GFN(SD3_DAT6),
> -       GPIO_GFN(SD3_DAT5),
> -       GPIO_GFN(SD3_DAT4),
> -       GPIO_FN(SD3_DAT3),
> -       GPIO_FN(SD3_DAT2),
> -       GPIO_FN(SD3_DAT1),
> -       GPIO_FN(SD3_DAT0),
> -       GPIO_FN(SD3_CMD),
> -       GPIO_FN(SD3_CLK),
> -       GPIO_GFN(SD2_DS),
> -       GPIO_GFN(SD2_DAT3),
> -       GPIO_GFN(SD2_DAT2),
> -       GPIO_GFN(SD2_DAT1),
> -       GPIO_GFN(SD2_DAT0),
> -       GPIO_FN(SD2_CMD),
> -       GPIO_GFN(SD2_CLK),
> -
> -       /* GPSR5 */
> -       GPIO_GFN(MLB_DAT),
> -       GPIO_GFN(MLB_SIG),
> -       GPIO_GFN(MLB_CLK),
> -       GPIO_FN(MSIOF0_RXD),
> -       GPIO_GFN(MSIOF0_SS2),
> -       GPIO_FN(MSIOF0_TXD),
> -       GPIO_GFN(MSIOF0_SS1),
> -       GPIO_GFN(MSIOF0_SYNC),
> -       GPIO_FN(MSIOF0_SCK),
> -       GPIO_GFN(HRTS0x),
> -       GPIO_GFN(HCTS0x),
> -       GPIO_GFN(HTX0),
> -       GPIO_GFN(HRX0),
> -       GPIO_GFN(HSCK0),
> -       GPIO_GFN(RX2_A),
> -       GPIO_GFN(TX2_A),
> -       GPIO_GFN(SCK2),
> -       GPIO_GFN(RTS1x_TANS),
> -       GPIO_GFN(CTS1x),
> -       GPIO_GFN(TX1_A),
> -       GPIO_GFN(RX1_A),
> -       GPIO_GFN(RTS0x_TANS),
> -       GPIO_GFN(CTS0x),
> -       GPIO_GFN(TX0),
> -       GPIO_GFN(RX0),
> -       GPIO_GFN(SCK0),
> -
> -       /* GPSR6 */
> -       GPIO_GFN(GP6_30),
> -       GPIO_GFN(GP6_31),
> -       GPIO_GFN(USB30_OVC),
> -       GPIO_GFN(USB30_PWEN),
> -       GPIO_GFN(USB1_OVC),
> -       GPIO_GFN(USB1_PWEN),
> -       GPIO_GFN(USB0_OVC),
> -       GPIO_GFN(USB0_PWEN),
> -       GPIO_GFN(AUDIO_CLKB_B),
> -       GPIO_GFN(AUDIO_CLKA_A),
> -       GPIO_GFN(SSI_SDATA9_A),
> -       GPIO_GFN(SSI_SDATA8),
> -       GPIO_GFN(SSI_SDATA7),
> -       GPIO_GFN(SSI_WS78),
> -       GPIO_GFN(SSI_SCK78),
> -       GPIO_GFN(SSI_SDATA6),
> -       GPIO_GFN(SSI_WS6),
> -       GPIO_GFN(SSI_SCK6),
> -       GPIO_FN(SSI_SDATA5),
> -       GPIO_FN(SSI_WS5),
> -       GPIO_FN(SSI_SCK5),
> -       GPIO_GFN(SSI_SDATA4),
> -       GPIO_GFN(SSI_WS4),
> -       GPIO_GFN(SSI_SCK4),
> -       GPIO_GFN(SSI_SDATA3),
> -       GPIO_GFN(SSI_WS34),
> -       GPIO_GFN(SSI_SCK34),
> -       GPIO_GFN(SSI_SDATA2_A),
> -       GPIO_GFN(SSI_SDATA1_A),
> -       GPIO_GFN(SSI_SDATA0),
> -       GPIO_GFN(SSI_WS01239),
> -       GPIO_GFN(SSI_SCK01239),
> -
> -       /* GPSR7 */
> -       GPIO_FN(HDMI1_CEC),
> -       GPIO_FN(HDMI0_CEC),
> -       GPIO_FN(AVS2),
> -       GPIO_FN(AVS1),
> -
> -       /* IPSR0 */
> -       GPIO_IFN(AVB_MDC),
> -       GPIO_FN(MSIOF2_SS2_C),
> -       GPIO_IFN(AVB_MAGIC),
> -       GPIO_FN(MSIOF2_SS1_C),
> -       GPIO_FN(SCK4_A),
> -       GPIO_IFN(AVB_PHY_INT),
> -       GPIO_FN(MSIOF2_SYNC_C),
> -       GPIO_FN(RX4_A),
> -       GPIO_IFN(AVB_LINK),
> -       GPIO_FN(MSIOF2_SCK_C),
> -       GPIO_FN(TX4_A),
> -       GPIO_IFN(AVB_AVTP_MATCH_A),
> -       GPIO_FN(MSIOF2_RXD_C),
> -       GPIO_FN(CTS4x_A),
> -       GPIO_IFN(AVB_AVTP_CAPTURE_A),
> -       GPIO_FN(MSIOF2_TXD_C),
> -       GPIO_FN(RTS4x_TANS_A),
> -       GPIO_IFN(IRQ0),
> -       GPIO_FN(QPOLB),
> -       GPIO_FN(DU_CDE),
> -       GPIO_FN(VI4_DATA0_B),
> -       GPIO_FN(CAN0_TX_B),
> -       GPIO_FN(CANFD0_TX_B),
> -       GPIO_FN(MSIOF3_SS2_E),
> -       GPIO_IFN(IRQ1),
> -       GPIO_FN(QPOLA),
> -       GPIO_FN(DU_DISP),
> -       GPIO_FN(VI4_DATA1_B),
> -       GPIO_FN(CAN0_RX_B),
> -       GPIO_FN(CANFD0_RX_B),
> -       GPIO_FN(MSIOF3_SS1_E),
> -
> -       /* IPSR1 */
> -       GPIO_IFN(IRQ2),
> -       GPIO_FN(QCPV_QDE),
> -       GPIO_FN(DU_EXODDF_DU_ODDF_DISP_CDE),
> -       GPIO_FN(VI4_DATA2_B),
> -       GPIO_FN(MSIOF3_SYNC_E),
> -       GPIO_FN(PWM3_B),
> -       GPIO_IFN(IRQ3),
> -       GPIO_FN(QSTVB_QVE),
> -       GPIO_FN(DU_DOTCLKOUT1),
> -       GPIO_FN(VI4_DATA3_B),
> -       GPIO_FN(MSIOF3_SCK_E),
> -       GPIO_FN(PWM4_B),
> -       GPIO_IFN(IRQ4),
> -       GPIO_FN(QSTH_QHS),
> -       GPIO_FN(DU_EXHSYNC_DU_HSYNC),
> -       GPIO_FN(VI4_DATA4_B),
> -       GPIO_FN(MSIOF3_RXD_E),
> -       GPIO_FN(PWM5_B),
> -       GPIO_IFN(IRQ5),
> -       GPIO_FN(QSTB_QHE),
> -       GPIO_FN(DU_EXVSYNC_DU_VSYNC),
> -       GPIO_FN(VI4_DATA5_B),
> -       GPIO_FN(MSIOF3_TXD_E),
> -       GPIO_FN(PWM6_B),
> -       GPIO_IFN(PWM0),
> -       GPIO_FN(AVB_AVTP_PPS),
> -       GPIO_FN(VI4_DATA6_B),
> -       GPIO_FN(IECLK_B),
> -       GPIO_IFN(PWM1_A),
> -       GPIO_FN(HRX3_D),
> -       GPIO_FN(VI4_DATA7_B),
> -       GPIO_FN(IERX_B),
> -       GPIO_IFN(PWM2_A),
> -       GPIO_FN(PWMFSW0),
> -       GPIO_FN(HTX3_D),
> -       GPIO_FN(IETX_B),
> -       GPIO_IFN(A0),
> -       GPIO_FN(LCDOUT16),
> -       GPIO_FN(MSIOF3_SYNC_B),
> -       GPIO_FN(VI4_DATA8),
> -       GPIO_FN(DU_DB0),
> -       GPIO_FN(PWM3_A),
> -
> -       /* IPSR2 */
> -       GPIO_IFN(A1),
> -       GPIO_FN(LCDOUT17),
> -       GPIO_FN(MSIOF3_TXD_B),
> -       GPIO_FN(VI4_DATA9),
> -       GPIO_FN(DU_DB1),
> -       GPIO_FN(PWM4_A),
> -       GPIO_IFN(A2),
> -       GPIO_FN(LCDOUT18),
> -       GPIO_FN(MSIOF3_SCK_B),
> -       GPIO_FN(VI4_DATA10),
> -       GPIO_FN(DU_DB2),
> -       GPIO_FN(PWM5_A),
> -       GPIO_IFN(A3),
> -       GPIO_FN(LCDOUT19),
> -       GPIO_FN(MSIOF3_RXD_B),
> -       GPIO_FN(VI4_DATA11),
> -       GPIO_FN(DU_DB3),
> -       GPIO_FN(PWM6_A),
> -       GPIO_IFN(A4),
> -       GPIO_FN(LCDOUT20),
> -       GPIO_FN(MSIOF3_SS1_B),
> -       GPIO_FN(VI4_DATA12),
> -       GPIO_FN(VI5_DATA12),
> -       GPIO_FN(DU_DB4),
> -       GPIO_IFN(A5),
> -       GPIO_FN(LCDOUT21),
> -       GPIO_FN(MSIOF3_SS2_B),
> -       GPIO_FN(SCK4_B),
> -       GPIO_FN(VI4_DATA13),
> -       GPIO_FN(VI5_DATA13),
> -       GPIO_FN(DU_DB5),
> -       GPIO_IFN(A6),
> -       GPIO_FN(LCDOUT22),
> -       GPIO_FN(MSIOF2_SS1_A),
> -       GPIO_FN(RX4_B),
> -       GPIO_FN(VI4_DATA14),
> -       GPIO_FN(VI5_DATA14),
> -       GPIO_FN(DU_DB6),
> -       GPIO_IFN(A7),
> -       GPIO_FN(LCDOUT23),
> -       GPIO_FN(MSIOF2_SS2_A),
> -       GPIO_FN(TX4_B),
> -       GPIO_FN(VI4_DATA15),
> -       GPIO_FN(V15_DATA15),
> -       GPIO_FN(DU_DB7),
> -       GPIO_IFN(A8),
> -       GPIO_FN(RX3_B),
> -       GPIO_FN(MSIOF2_SYNC_A),
> -       GPIO_FN(HRX4_B),
> -       GPIO_FN(SDA6_A),
> -       GPIO_FN(AVB_AVTP_MATCH_B),
> -       GPIO_FN(PWM1_B),
> -
> -       /* IPSR3 */
> -       GPIO_IFN(A9),
> -       GPIO_FN(MSIOF2_SCK_A),
> -       GPIO_FN(CTS4x_B),
> -       GPIO_FN(VI5_VSYNCx),
> -       GPIO_IFN(A10),
> -       GPIO_FN(MSIOF2_RXD_A),
> -       GPIO_FN(RTS4n_TANS_B),
> -       GPIO_FN(VI5_HSYNCx),
> -       GPIO_IFN(A11),
> -       GPIO_FN(TX3_B),
> -       GPIO_FN(MSIOF2_TXD_A),
> -       GPIO_FN(HTX4_B),
> -       GPIO_FN(HSCK4),
> -       GPIO_FN(VI5_FIELD),
> -       GPIO_FN(SCL6_A),
> -       GPIO_FN(AVB_AVTP_CAPTURE_B),
> -       GPIO_FN(PWM2_B),
> -       GPIO_FN(SPV_EVEN),
> -       GPIO_IFN(A12),
> -       GPIO_FN(LCDOUT12),
> -       GPIO_FN(MSIOF3_SCK_C),
> -       GPIO_FN(HRX4_A),
> -       GPIO_FN(VI5_DATA8),
> -       GPIO_FN(DU_DG4),
> -       GPIO_IFN(A13),
> -       GPIO_FN(LCDOUT13),
> -       GPIO_FN(MSIOF3_SYNC_C),
> -       GPIO_FN(HTX4_A),
> -       GPIO_FN(VI5_DATA9),
> -       GPIO_FN(DU_DG5),
> -       GPIO_IFN(A14),
> -       GPIO_FN(LCDOUT14),
> -       GPIO_FN(MSIOF3_RXD_C),
> -       GPIO_FN(HCTS4x),
> -       GPIO_FN(VI5_DATA10),
> -       GPIO_FN(DU_DG6),
> -       GPIO_IFN(A15),
> -       GPIO_FN(LCDOUT15),
> -       GPIO_FN(MSIOF3_TXD_C),
> -       GPIO_FN(HRTS4x),
> -       GPIO_FN(VI5_DATA11),
> -       GPIO_FN(DU_DG7),
> -       GPIO_IFN(A16),
> -       GPIO_FN(LCDOUT8),
> -       GPIO_FN(VI4_FIELD),
> -       GPIO_FN(DU_DG0),
> -
> -       /* IPSR4 */
> -       GPIO_IFN(A17),
> -       GPIO_FN(LCDOUT9),
> -       GPIO_FN(VI4_VSYNCx),
> -       GPIO_FN(DU_DG1),
> -       GPIO_IFN(A18),
> -       GPIO_FN(LCDOUT10),
> -       GPIO_FN(VI4_HSYNCx),
> -       GPIO_FN(DU_DG2),
> -       GPIO_IFN(A19),
> -       GPIO_FN(LCDOUT11),
> -       GPIO_FN(VI4_CLKENB),
> -       GPIO_FN(DU_DG3),
> -       GPIO_IFN(CS0x),
> -       GPIO_FN(VI5_CLKENB),
> -       GPIO_IFN(CS1x_A26),
> -       GPIO_FN(VI5_CLK),
> -       GPIO_FN(EX_WAIT0_B),
> -       GPIO_IFN(BSx),
> -       GPIO_FN(QSTVA_QVS),
> -       GPIO_FN(MSIOF3_SCK_D),
> -       GPIO_FN(SCK3),
> -       GPIO_FN(HSCK3),
> -       GPIO_FN(CAN1_TX),
> -       GPIO_FN(CANFD1_TX),
> -       GPIO_FN(IETX_A),
> -       GPIO_IFN(RDx),
> -       GPIO_FN(MSIOF3_SYNC_D),
> -       GPIO_FN(RX3_A),
> -       GPIO_FN(HRX3_A),
> -       GPIO_FN(CAN0_TX_A),
> -       GPIO_FN(CANFD0_TX_A),
> -       GPIO_IFN(RD_WRx),
> -       GPIO_FN(MSIOF3_RXD_D),
> -       GPIO_FN(TX3_A),
> -       GPIO_FN(HTX3_A),
> -       GPIO_FN(CAN0_RX_A),
> -       GPIO_FN(CANFD0_RX_A),
> -
> -       /* IPSR5 */
> -       GPIO_IFN(WE0x),
> -       GPIO_FN(MSIIOF3_TXD_D),
> -       GPIO_FN(CTS3x),
> -       GPIO_FN(HCTS3x),
> -       GPIO_FN(SCL6_B),
> -       GPIO_FN(CAN_CLK),
> -       GPIO_FN(IECLK_A),
> -       GPIO_IFN(WE1x),
> -       GPIO_FN(MSIOF3_SS1_D),
> -       GPIO_FN(RTS3x_TANS),
> -       GPIO_FN(HRTS3x),
> -       GPIO_FN(SDA6_B),
> -       GPIO_FN(CAN1_RX),
> -       GPIO_FN(CANFD1_RX),
> -       GPIO_FN(IERX_A),
> -       GPIO_IFN(EX_WAIT0_A),
> -       GPIO_FN(QCLK),
> -       GPIO_FN(VI4_CLK),
> -       GPIO_FN(DU_DOTCLKOUT0),
> -       GPIO_IFN(D0),
> -       GPIO_FN(MSIOF2_SS1_B),
> -       GPIO_FN(MSIOF3_SCK_A),
> -       GPIO_FN(VI4_DATA16),
> -       GPIO_FN(VI5_DATA0),
> -       GPIO_IFN(D1),
> -       GPIO_FN(MSIOF2_SS2_B),
> -       GPIO_FN(MSIOF3_SYNC_A),
> -       GPIO_FN(VI4_DATA17),
> -       GPIO_FN(VI5_DATA1),
> -       GPIO_IFN(D2),
> -       GPIO_FN(MSIOF3_RXD_A),
> -       GPIO_FN(VI4_DATA18),
> -       GPIO_FN(VI5_DATA2),
> -       GPIO_IFN(D3),
> -       GPIO_FN(MSIOF3_TXD_A),
> -       GPIO_FN(VI4_DATA19),
> -       GPIO_FN(VI5_DATA3),
> -       GPIO_IFN(D4),
> -       GPIO_FN(MSIOF2_SCK_B),
> -       GPIO_FN(VI4_DATA20),
> -       GPIO_FN(VI5_DATA4),
> -
> -       /* IPSR6 */
> -       GPIO_IFN(D5),
> -       GPIO_FN(MSIOF2_SYNC_B),
> -       GPIO_FN(VI4_DATA21),
> -       GPIO_FN(VI5_DATA5),
> -       GPIO_IFN(D6),
> -       GPIO_FN(MSIOF2_RXD_B),
> -       GPIO_FN(VI4_DATA22),
> -       GPIO_FN(VI5_DATA6),
> -       GPIO_IFN(D7),
> -       GPIO_FN(MSIOF2_TXD_B),
> -       GPIO_FN(VI4_DATA23),
> -       GPIO_FN(VI5_DATA7),
> -       GPIO_IFN(D8),
> -       GPIO_FN(LCDOUT0),
> -       GPIO_FN(MSIOF2_SCK_D),
> -       GPIO_FN(SCK4_C),
> -       GPIO_FN(VI4_DATA0_A),
> -       GPIO_FN(DU_DR0),
> -       GPIO_IFN(D9),
> -       GPIO_FN(LCDOUT1),
> -       GPIO_FN(MSIOF2_SYNC_D),
> -       GPIO_FN(VI4_DATA1_A),
> -       GPIO_FN(DU_DR1),
> -       GPIO_IFN(D10),
> -       GPIO_FN(LCDOUT2),
> -       GPIO_FN(MSIOF2_RXD_D),
> -       GPIO_FN(HRX3_B),
> -       GPIO_FN(VI4_DATA2_A),
> -       GPIO_FN(CTS4x_C),
> -       GPIO_FN(DU_DR2),
> -       GPIO_IFN(D11),
> -       GPIO_FN(LCDOUT3),
> -       GPIO_FN(MSIOF2_TXD_D),
> -       GPIO_FN(HTX3_B),
> -       GPIO_FN(VI4_DATA3_A),
> -       GPIO_FN(RTS4x_TANS_C),
> -       GPIO_FN(DU_DR3),
> -       GPIO_IFN(D12),
> -       GPIO_FN(LCDOUT4),
> -       GPIO_FN(MSIOF2_SS1_D),
> -       GPIO_FN(RX4_C),
> -       GPIO_FN(VI4_DATA4_A),
> -       GPIO_FN(DU_DR4),
> -
> -       /* IPSR7 */
> -       GPIO_IFN(D13),
> -       GPIO_FN(LCDOUT5),
> -       GPIO_FN(MSIOF2_SS2_D),
> -       GPIO_FN(TX4_C),
> -       GPIO_FN(VI4_DATA5_A),
> -       GPIO_FN(DU_DR5),
> -       GPIO_IFN(D14),
> -       GPIO_FN(LCDOUT6),
> -       GPIO_FN(MSIOF3_SS1_A),
> -       GPIO_FN(HRX3_C),
> -       GPIO_FN(VI4_DATA6_A),
> -       GPIO_FN(DU_DR6),
> -       GPIO_FN(SCL6_C),
> -       GPIO_IFN(D15),
> -       GPIO_FN(LCDOUT7),
> -       GPIO_FN(MSIOF3_SS2_A),
> -       GPIO_FN(HTX3_C),
> -       GPIO_FN(VI4_DATA7_A),
> -       GPIO_FN(DU_DR7),
> -       GPIO_FN(SDA6_C),
> -       GPIO_FN(FSCLKST),
> -       GPIO_IFN(SD0_CLK),
> -       GPIO_FN(MSIOF1_SCK_E),
> -       GPIO_FN(STP_OPWM_0_B),
> -       GPIO_IFN(SD0_CMD),
> -       GPIO_FN(MSIOF1_SYNC_E),
> -       GPIO_FN(STP_IVCXO27_0_B),
> -       GPIO_IFN(SD0_DAT0),
> -       GPIO_FN(MSIOF1_RXD_E),
> -       GPIO_FN(TS_SCK0_B),
> -       GPIO_FN(STP_ISCLK_0_B),
> -       GPIO_IFN(SD0_DAT1),
> -       GPIO_FN(MSIOF1_TXD_E),
> -       GPIO_FN(TS_SPSYNC0_B),
> -       GPIO_FN(STP_ISSYNC_0_B),
> -
> -       /* IPSR8 */
> -       GPIO_IFN(SD0_DAT2),
> -       GPIO_FN(MSIOF1_SS1_E),
> -       GPIO_FN(TS_SDAT0_B),
> -       GPIO_FN(STP_ISD_0_B),
> -
> -       GPIO_IFN(SD0_DAT3),
> -       GPIO_FN(MSIOF1_SS2_E),
> -       GPIO_FN(TS_SDEN0_B),
> -       GPIO_FN(STP_ISEN_0_B),
> -
> -       GPIO_IFN(SD1_CLK),
> -       GPIO_FN(MSIOF1_SCK_G),
> -       GPIO_FN(SIM0_CLK_A),
> -
> -       GPIO_IFN(SD1_CMD),
> -       GPIO_FN(MSIOF1_SYNC_G),
> -       GPIO_FN(NFCEx_B),
> -       GPIO_FN(SIM0_D_A),
> -       GPIO_FN(STP_IVCXO27_1_B),
> -
> -       GPIO_IFN(SD1_DAT0),
> -       GPIO_FN(SD2_DAT4),
> -       GPIO_FN(MSIOF1_RXD_G),
> -       GPIO_FN(NFWPx_B),
> -       GPIO_FN(TS_SCK1_B),
> -       GPIO_FN(STP_ISCLK_1_B),
> -
> -       GPIO_IFN(SD1_DAT1),
> -       GPIO_FN(SD2_DAT5),
> -       GPIO_FN(MSIOF1_TXD_G),
> -       GPIO_FN(NFDATA14_B),
> -       GPIO_FN(TS_SPSYNC1_B),
> -       GPIO_FN(STP_ISSYNC_1_B),
> -
> -       GPIO_IFN(SD1_DAT2),
> -       GPIO_FN(SD2_DAT6),
> -       GPIO_FN(MSIOF1_SS1_G),
> -       GPIO_FN(NFDATA15_B),
> -       GPIO_FN(TS_SDAT1_B),
> -       GPIO_FN(STP_IOD_1_B),
> -
> -       GPIO_IFN(SD1_DAT3),
> -       GPIO_FN(SD2_DAT7),
> -       GPIO_FN(MSIOF1_SS2_G),
> -       GPIO_FN(NFRBx_B),
> -       GPIO_FN(TS_SDEN1_B),
> -       GPIO_FN(STP_ISEN_1_B),
> -
> -       /* IPSR9 */
> -       GPIO_IFN(SD2_CLK),
> -       GPIO_FN(NFDATA8),
> -
> -       GPIO_IFN(SD2_CMD),
> -       GPIO_FN(NFDATA9),
> -
> -       GPIO_IFN(SD2_DAT0),
> -       GPIO_FN(NFDATA10),
> -
> -       GPIO_IFN(SD2_DAT1),
> -       GPIO_FN(NFDATA11),
> -
> -       GPIO_IFN(SD2_DAT2),
> -       GPIO_FN(NFDATA12),
> -
> -       GPIO_IFN(SD2_DAT3),
> -       GPIO_FN(NFDATA13),
> -
> -       GPIO_IFN(SD2_DS),
> -       GPIO_FN(NFALE),
> -
> -       GPIO_IFN(SD3_CLK),
> -       GPIO_FN(NFWEx),
> -
> -       /* IPSR10 */
> -       GPIO_IFN(SD3_CMD),
> -       GPIO_FN(NFREx),
> -
> -       GPIO_IFN(SD3_DAT0),
> -       GPIO_FN(NFDATA0),
> -
> -       GPIO_IFN(SD3_DAT1),
> -       GPIO_FN(NFDATA1),
> -
> -       GPIO_IFN(SD3_DAT2),
> -       GPIO_FN(NFDATA2),
> -
> -       GPIO_IFN(SD3_DAT3),
> -       GPIO_FN(NFDATA3),
> -
> -       GPIO_IFN(SD3_DAT4),
> -       GPIO_FN(SD2_CD_A),
> -       GPIO_FN(NFDATA4),
> -
> -       GPIO_IFN(SD3_DAT5),
> -       GPIO_FN(SD2_WP_A),
> -       GPIO_FN(NFDATA5),
> -
> -       GPIO_IFN(SD3_DAT6),
> -       GPIO_FN(SD3_CD),
> -       GPIO_FN(NFDATA6),
> -
> -       /* IPSR11 */
> -       GPIO_IFN(SD3_DAT7),
> -       GPIO_FN(SD3_WP),
> -       GPIO_FN(NFDATA7),
> -
> -       GPIO_IFN(SD3_DS),
> -       GPIO_FN(NFCLE),
> -
> -       GPIO_IFN(SD0_CD),
> -       GPIO_FN(NFDATA14_A),
> -       GPIO_FN(SCL2_B),
> -       GPIO_FN(SIM0_RST_A),
> -
> -       GPIO_IFN(SD0_WP),
> -       GPIO_FN(NFDATA15_A),
> -       GPIO_FN(SDA2_B),
> -
> -       GPIO_IFN(SD1_CD),
> -       GPIO_FN(NFRBx_A),
> -       GPIO_FN(SIM0_CLK_B),
> -
> -       GPIO_IFN(SD1_WP),
> -       GPIO_FN(NFCEx_A),
> -       GPIO_FN(SIM0_D_B),
> -
> -       GPIO_IFN(SCK0),
> -       GPIO_FN(HSCK1_B),
> -       GPIO_FN(MSIOF1_SS2_B),
> -       GPIO_FN(AUDIO_CLKC_B),
> -       GPIO_FN(SDA2_A),
> -       GPIO_FN(SIM0_RST_B),
> -       GPIO_FN(STP_OPWM_0_C),
> -       GPIO_FN(RIF0_CLK_B),
> -       GPIO_FN(ADICHS2),
> -       GPIO_FN(SCK5_B),
> -
> -       GPIO_IFN(RX0),
> -       GPIO_FN(HRX1_B),
> -       GPIO_FN(TS_SCK0_C),
> -       GPIO_FN(STP_ISCLK_0_C),
> -       GPIO_FN(RIF0_D0_B),
> -
> -       /* IPSR12 */
> -       GPIO_IFN(TX0),
> -       GPIO_FN(HTX1_B),
> -       GPIO_FN(TS_SPSYNC0_C),
> -       GPIO_FN(STP_ISSYNC_0_C),
> -       GPIO_FN(RIF0_D1_B),
> -
> -       GPIO_IFN(CTS0x),
> -       GPIO_FN(HCTS1x_B),
> -       GPIO_FN(MSIOF1_SYNC_B),
> -       GPIO_FN(TS_SPSYNC1_C),
> -       GPIO_FN(STP_ISSYNC_1_C),
> -       GPIO_FN(RIF1_SYNC_B),
> -       GPIO_FN(AUDIO_CLKOUT_C),
> -       GPIO_FN(ADICS_SAMP),
> -
> -       GPIO_IFN(RTS0x_TANS),
> -       GPIO_FN(HRTS1x_B),
> -       GPIO_FN(MSIOF1_SS1_B),
> -       GPIO_FN(AUDIO_CLKA_B),
> -       GPIO_FN(SCL2_A),
> -       GPIO_FN(STP_IVCXO27_1_C),
> -       GPIO_FN(RIF0_SYNC_B),
> -       GPIO_FN(ADICHS1),
> -
> -       GPIO_IFN(RX1_A),
> -       GPIO_FN(HRX1_A),
> -       GPIO_FN(TS_SDAT0_C),
> -       GPIO_FN(STP_ISD_0_C),
> -       GPIO_FN(RIF1_CLK_C),
> -
> -       GPIO_IFN(TX1_A),
> -       GPIO_FN(HTX1_A),
> -       GPIO_FN(TS_SDEN0_C),
> -       GPIO_FN(STP_ISEN_0_C),
> -       GPIO_FN(RIF1_D0_C),
> -
> -       GPIO_IFN(CTS1x),
> -       GPIO_FN(HCTS1x_A),
> -       GPIO_FN(MSIOF1_RXD_B),
> -       GPIO_FN(TS_SDEN1_C),
> -       GPIO_FN(STP_ISEN_1_C),
> -       GPIO_FN(RIF1_D0_B),
> -       GPIO_FN(ADIDATA),
> -
> -       GPIO_IFN(RTS1x_TANS),
> -       GPIO_FN(HRTS1x_A),
> -       GPIO_FN(MSIOF1_TXD_B),
> -       GPIO_FN(TS_SDAT1_C),
> -       GPIO_FN(STP_ISD_1_C),
> -       GPIO_FN(RIF1_D1_B),
> -       GPIO_FN(ADICHS0),
> -
> -       GPIO_IFN(SCK2),
> -       GPIO_FN(SCIF_CLK_B),
> -       GPIO_FN(MSIOF1_SCK_B),
> -       GPIO_FN(TS_SCK1_C),
> -       GPIO_FN(STP_ISCLK_1_C),
> -       GPIO_FN(RIF1_CLK_B),
> -       GPIO_FN(ADICLK),
> -
> -       /* IPSR13 */
> -       GPIO_IFN(TX2_A),
> -       GPIO_FN(SD2_CD_B),
> -       GPIO_FN(SCL1_A),
> -       GPIO_FN(FMCLK_A),
> -       GPIO_FN(RIF1_D1_C),
> -       GPIO_FN(FSO_CFE_0_B),
> -
> -       GPIO_IFN(RX2_A),
> -       GPIO_FN(SD2_WP_B),
> -       GPIO_FN(SDA1_A),
> -       GPIO_FN(FMIN_A),
> -       GPIO_FN(RIF1_SYNC_C),
> -       GPIO_FN(FSO_CEF_1_B),
> -
> -       GPIO_IFN(HSCK0),
> -       GPIO_FN(MSIOF1_SCK_D),
> -       GPIO_FN(AUDIO_CLKB_A),
> -       GPIO_FN(SSI_SDATA1_B),
> -       GPIO_FN(TS_SCK0_D),
> -       GPIO_FN(STP_ISCLK_0_D),
> -       GPIO_FN(RIF0_CLK_C),
> -       GPIO_FN(RX5_B),
> -
> -       GPIO_IFN(HRX0),
> -       GPIO_FN(MSIOF1_RXD_D),
> -       GPIO_FN(SS1_SDATA2_B),
> -       GPIO_FN(TS_SDEN0_D),
> -       GPIO_FN(STP_ISEN_0_D),
> -       GPIO_FN(RIF0_D0_C),
> -
> -       GPIO_IFN(HTX0),
> -       GPIO_FN(MSIOF1_TXD_D),
> -       GPIO_FN(SSI_SDATA9_B),
> -       GPIO_FN(TS_SDAT0_D),
> -       GPIO_FN(STP_ISD_0_D),
> -       GPIO_FN(RIF0_D1_C),
> -
> -       GPIO_IFN(HCTS0x),
> -       GPIO_FN(RX2_B),
> -       GPIO_FN(MSIOF1_SYNC_D),
> -       GPIO_FN(SSI_SCK9_A),
> -       GPIO_FN(TS_SPSYNC0_D),
> -       GPIO_FN(STP_ISSYNC_0_D),
> -       GPIO_FN(RIF0_SYNC_C),
> -       GPIO_FN(AUDIO_CLKOUT1_A),
> -
> -       GPIO_IFN(HRTS0x),
> -       GPIO_FN(TX2_B),
> -       GPIO_FN(MSIOF1_SS1_D),
> -       GPIO_FN(SSI_WS9_A),
> -       GPIO_FN(STP_IVCXO27_0_D),
> -       GPIO_FN(BPFCLK_A),
> -       GPIO_FN(AUDIO_CLKOUT2_A),
> -
> -       GPIO_IFN(MSIOF0_SYNC),
> -       GPIO_FN(AUDIO_CLKOUT_A),
> -       GPIO_FN(TX5_B),
> -       GPIO_FN(BPFCLK_D),
> -
> -       /* IPSR14 */
> -       GPIO_IFN(MSIOF0_SS1),
> -       GPIO_FN(RX5_A),
> -       GPIO_FN(NFWPx_A),
> -       GPIO_FN(AUDIO_CLKA_C),
> -       GPIO_FN(SSI_SCK2_A),
> -       GPIO_FN(STP_IVCXO27_0_C),
> -       GPIO_FN(AUDIO_CLKOUT3_A),
> -       GPIO_FN(TCLK1_B),
> -
> -       GPIO_IFN(MSIOF0_SS2),
> -       GPIO_FN(TX5_A),
> -       GPIO_FN(MSIOF1_SS2_D),
> -       GPIO_FN(AUDIO_CLKC_A),
> -       GPIO_FN(SSI_WS2_A),
> -       GPIO_FN(STP_OPWM_0_D),
> -       GPIO_FN(AUDIO_CLKOUT_D),
> -       GPIO_FN(SPEEDIN_B),
> -
> -       GPIO_IFN(MLB_CLK),
> -       GPIO_FN(MSIOF1_SCK_F),
> -       GPIO_FN(SCL1_B),
> -
> -       GPIO_IFN(MLB_SIG),
> -       GPIO_FN(RX1_B),
> -       GPIO_FN(MSIOF1_SYNC_F),
> -       GPIO_FN(SDA1_B),
> -
> -       GPIO_IFN(MLB_DAT),
> -       GPIO_FN(TX1_B),
> -       GPIO_FN(MSIOF1_RXD_F),
> -
> -       GPIO_IFN(SSI_SCK0129),
> -       GPIO_FN(MSIOF1_TXD_F),
> -       GPIO_FN(MOUT0),
> -
> -       GPIO_IFN(SSI_WS0129),
> -       GPIO_FN(MSIOF1_SS1_F),
> -       GPIO_FN(MOUT1),
> -
> -       GPIO_IFN(SSI_SDATA0),
> -       GPIO_FN(MSIOF1_SS2_F),
> -       GPIO_FN(MOUT2),
> -
> -       /* IPSR15 */
> -       GPIO_IFN(SSI_SDATA1_A),
> -       GPIO_FN(MOUT5),
> -
> -       GPIO_IFN(SSI_SDATA2_A),
> -       GPIO_FN(SSI_SCK1_B),
> -       GPIO_FN(MOUT6),
> -
> -       GPIO_IFN(SSI_SCK34),
> -       GPIO_FN(MSIOF1_SS1_A),
> -       GPIO_FN(STP_OPWM_0_A),
> -
> -       GPIO_IFN(SSI_WS34),
> -       GPIO_FN(HCTS2x_A),
> -       GPIO_FN(MSIOF1_SS2_A),
> -       GPIO_FN(STP_IVCXO27_0_A),
> -
> -       GPIO_IFN(SSI_SDATA3),
> -       GPIO_FN(HRTS2x_A),
> -       GPIO_FN(MSIOF1_TXD_A),
> -       GPIO_FN(TS_SCK0_A),
> -       GPIO_FN(STP_ISCLK_0_A),
> -       GPIO_FN(RIF0_D1_A),
> -       GPIO_FN(RIF2_D0_A),
> -
> -       GPIO_IFN(SSI_SCK4),
> -       GPIO_FN(HRX2_A),
> -       GPIO_FN(MSIOF1_SCK_A),
> -       GPIO_FN(TS_SDAT0_A),
> -       GPIO_FN(STP_ISD_0_A),
> -       GPIO_FN(RIF0_CLK_A),
> -       GPIO_FN(RIF2_CLK_A),
> -
> -       GPIO_IFN(SSI_WS4),
> -       GPIO_FN(HTX2_A),
> -       GPIO_FN(MSIOF1_SYNC_A),
> -       GPIO_FN(TS_SDEN0_A),
> -       GPIO_FN(STP_ISEN_0_A),
> -       GPIO_FN(RIF0_SYNC_A),
> -       GPIO_FN(RIF2_SYNC_A),
> -
> -       GPIO_IFN(SSI_SDATA4),
> -       GPIO_FN(HSCK2_A),
> -       GPIO_FN(MSIOF1_RXD_A),
> -       GPIO_FN(TS_SPSYNC0_A),
> -       GPIO_FN(STP_ISSYNC_0_A),
> -       GPIO_FN(RIF0_D0_A),
> -       GPIO_FN(RIF2_D1_A),
> -
> -       /* IPSR16 */
> -       GPIO_IFN(SSI_SCK6),
> -       GPIO_FN(SIM0_RST_D),
> -       GPIO_FN(FSO_TOE_A),
> -
> -       GPIO_IFN(SSI_WS6),
> -       GPIO_FN(SIM0_D_D),
> -
> -       GPIO_IFN(SSI_SDATA6),
> -       GPIO_FN(SIM0_CLK_D),
> -
> -       GPIO_IFN(SSI_SCK78),
> -       GPIO_FN(HRX2_B),
> -       GPIO_FN(MSIOF1_SCK_C),
> -       GPIO_FN(TS_SCK1_A),
> -       GPIO_FN(STP_ISCLK_1_A),
> -       GPIO_FN(RIF1_CLK_A),
> -       GPIO_FN(RIF3_CLK_A),
> -
> -       GPIO_IFN(SSI_WS78),
> -       GPIO_FN(HTX2_B),
> -       GPIO_FN(MSIOF1_SYNC_C),
> -       GPIO_FN(TS_SDAT1_A),
> -       GPIO_FN(STP_ISD_1_A),
> -       GPIO_FN(RIF1_SYNC_A),
> -       GPIO_FN(RIF3_SYNC_A),
> -
> -       GPIO_IFN(SSI_SDATA7),
> -       GPIO_FN(HCTS2x_B),
> -       GPIO_FN(MSIOF1_RXD_C),
> -       GPIO_FN(TS_SDEN1_A),
> -       GPIO_FN(STP_IEN_1_A),
> -       GPIO_FN(RIF1_D0_A),
> -       GPIO_FN(RIF3_D0_A),
> -       GPIO_FN(TCLK2_A),
> -
> -       GPIO_IFN(SSI_SDATA8),
> -       GPIO_FN(HRTS2x_B),
> -       GPIO_FN(MSIOF1_TXD_C),
> -       GPIO_FN(TS_SPSYNC1_A),
> -       GPIO_FN(STP_ISSYNC_1_A),
> -       GPIO_FN(RIF1_D1_A),
> -       GPIO_FN(EIF3_D1_A),
> -
> -       GPIO_IFN(SSI_SDATA9_A),
> -       GPIO_FN(HSCK2_B),
> -       GPIO_FN(MSIOF1_SS1_C),
> -       GPIO_FN(HSCK1_A),
> -       GPIO_FN(SSI_WS1_B),
> -       GPIO_FN(SCK1),
> -       GPIO_FN(STP_IVCXO27_1_A),
> -       GPIO_FN(SCK5),
> -
> -       /* IPSR17 */
> -       GPIO_IFN(AUDIO_CLKA_A),
> -       GPIO_FN(CC5_OSCOUT),
> -
> -       GPIO_IFN(AUDIO_CLKB_B),
> -       GPIO_FN(SCIF_CLK_A),
> -       GPIO_FN(STP_IVCXO27_1_D),
> -       GPIO_FN(REMOCON_A),
> -       GPIO_FN(TCLK1_A),
> -
> -       GPIO_IFN(USB0_PWEN),
> -       GPIO_FN(SIM0_RST_C),
> -       GPIO_FN(TS_SCK1_D),
> -       GPIO_FN(STP_ISCLK_1_D),
> -       GPIO_FN(BPFCLK_B),
> -       GPIO_FN(RIF3_CLK_B),
> -       GPIO_FN(FSO_CFE_1_A),
> -       GPIO_FN(HSCK2_C),
> -
> -       GPIO_IFN(USB0_OVC),
> -       GPIO_FN(SIM0_D_C),
> -       GPIO_FN(TS_SDAT1_D),
> -       GPIO_FN(STP_ISD_1_D),
> -       GPIO_FN(RIF3_SYNC_B),
> -       GPIO_FN(HRX2_C),
> -
> -       GPIO_IFN(USB1_PWEN),
> -       GPIO_FN(SIM0_CLK_C),
> -       GPIO_FN(SSI_SCK1_A),
> -       GPIO_FN(TS_SCK0_E),
> -       GPIO_FN(STP_ISCLK_0_E),
> -       GPIO_FN(FMCLK_B),
> -       GPIO_FN(RIF2_CLK_B),
> -       GPIO_FN(SPEEDIN_A),
> -       GPIO_FN(HTX2_C),
> -
> -       GPIO_IFN(USB1_OVC),
> -       GPIO_FN(MSIOF1_SS2_C),
> -       GPIO_FN(SSI_WS1_A),
> -       GPIO_FN(TS_SDAT0_E),
> -       GPIO_FN(STP_ISD_0_E),
> -       GPIO_FN(FMIN_B),
> -       GPIO_FN(RIF2_SYNC_B),
> -       GPIO_FN(REMOCON_B),
> -       GPIO_FN(HCTS2x_C),
> -
> -       GPIO_IFN(USB30_PWEN),
> -       GPIO_FN(AUDIO_CLKOUT_B),
> -       GPIO_FN(SSI_SCK2_B),
> -       GPIO_FN(TS_SDEN1_D),
> -       GPIO_FN(STP_ISEN_1_D),
> -       GPIO_FN(STP_OPWM_0_E),
> -       GPIO_FN(RIF3_D0_B),
> -       GPIO_FN(TCLK2_B),
> -       GPIO_FN(TPU0TO0),
> -       GPIO_FN(BPFCLK_C),
> -       GPIO_FN(HRTS2x_C),
> -
> -       GPIO_IFN(USB30_OVC),
> -       GPIO_FN(AUDIO_CLKOUT1_B),
> -       GPIO_FN(SSI_WS2_B),
> -       GPIO_FN(TS_SPSYNC1_D),
> -       GPIO_FN(STP_ISSYNC_1_D),
> -       GPIO_FN(STP_IVCXO27_0_E),
> -       GPIO_FN(RIF3_D1_B),
> -       GPIO_FN(FSO_TOE_B),
> -       GPIO_FN(TPU0TO1),
> -
> -       /* IPSR18 */
> -       GPIO_IFN(GP6_30),
> -       GPIO_FN(AUDIO_CLKOUT2_B),
> -       GPIO_FN(SSI_SCK9_B),
> -       GPIO_FN(TS_SDEN0_E),
> -       GPIO_FN(STP_ISEN_0_E),
> -       GPIO_FN(RIF2_D0_B),
> -       GPIO_FN(FSO_CFE_0_A),
> -       GPIO_FN(TPU0TO2),
> -       GPIO_FN(FMCLK_C),
> -       GPIO_FN(FMCLK_D),
> -
> -       GPIO_IFN(GP6_31),
> -       GPIO_FN(AUDIO_CLKOUT3_B),
> -       GPIO_FN(SSI_WS9_B),
> -       GPIO_FN(TS_SPSYNC0_E),
> -       GPIO_FN(STP_ISSYNC_0_E),
> -       GPIO_FN(RIF2_D1_B),
> -       GPIO_FN(TPU0TO3),
> -       GPIO_FN(FMIN_C),
> -       GPIO_FN(FMIN_D),
> -};
> -
> -static struct pinmux_cfg_reg pinmux_config_regs[] = {
> -       /* GPSR0(0xE6060100) md[3:1] controls initial value */
> -       /*   md[3:1] .. 0     : 0x0000FFFF                  */
> -       /*           .. other : 0x00000000                  */
> -       { PINMUX_CFG_REG("GPSR0", 0xE6060100, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_0_15_FN, GFN_D15,
> -               GP_0_14_FN, GFN_D14,
> -               GP_0_13_FN, GFN_D13,
> -               GP_0_12_FN, GFN_D12,
> -               GP_0_11_FN, GFN_D11,
> -               GP_0_10_FN, GFN_D10,
> -               GP_0_9_FN, GFN_D9,
> -               GP_0_8_FN, GFN_D8,
> -               GP_0_7_FN, GFN_D7,
> -               GP_0_6_FN, GFN_D6,
> -               GP_0_5_FN, GFN_D5,
> -               GP_0_4_FN, GFN_D4,
> -               GP_0_3_FN, GFN_D3,
> -               GP_0_2_FN, GFN_D2,
> -               GP_0_1_FN, GFN_D1,
> -               GP_0_0_FN, GFN_D0 }
> -       },
> -       /* GPSR1(0xE6060104) is md[3:1] controls initial value */
> -       /*   md[3:1] .. 0     : 0x0EFFFFFF                     */
> -       /*           .. other : 0x00000000                     */
> -       { PINMUX_CFG_REG("GPSR1", 0xE6060104, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_1_28_FN, GFN_CLKOUT,
> -               GP_1_27_FN, GFN_EX_WAIT0_A,
> -               GP_1_26_FN, GFN_WE1x,
> -               GP_1_25_FN, GFN_WE0x,
> -               GP_1_24_FN, GFN_RD_WRx,
> -               GP_1_23_FN, GFN_RDx,
> -               GP_1_22_FN, GFN_BSx,
> -               GP_1_21_FN, GFN_CS1x_A26,
> -               GP_1_20_FN, GFN_CS0x,
> -               GP_1_19_FN, GFN_A19,
> -               GP_1_18_FN, GFN_A18,
> -               GP_1_17_FN, GFN_A17,
> -               GP_1_16_FN, GFN_A16,
> -               GP_1_15_FN, GFN_A15,
> -               GP_1_14_FN, GFN_A14,
> -               GP_1_13_FN, GFN_A13,
> -               GP_1_12_FN, GFN_A12,
> -               GP_1_11_FN, GFN_A11,
> -               GP_1_10_FN, GFN_A10,
> -               GP_1_9_FN, GFN_A9,
> -               GP_1_8_FN, GFN_A8,
> -               GP_1_7_FN, GFN_A7,
> -               GP_1_6_FN, GFN_A6,
> -               GP_1_5_FN, GFN_A5,
> -               GP_1_4_FN, GFN_A4,
> -               GP_1_3_FN, GFN_A3,
> -               GP_1_2_FN, GFN_A2,
> -               GP_1_1_FN, GFN_A1,
> -               GP_1_0_FN, GFN_A0 }
> -       },
> -       /* GPSR2(0xE6060108) is md[3:1] controls               */
> -       /*   md[3:1] .. 0     : 0x000003C0                     */
> -       /*           .. other : 0x00000200                     */
> -       { PINMUX_CFG_REG("GPSR2", 0xE6060108, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               GP_2_14_FN, GFN_AVB_AVTP_CAPTURE_A,
> -               GP_2_13_FN, GFN_AVB_AVTP_MATCH_A,
> -               GP_2_12_FN, GFN_AVB_LINK,
> -               GP_2_11_FN, GFN_AVB_PHY_INT,
> -               GP_2_10_FN, GFN_AVB_MAGIC,
> -               GP_2_9_FN, GFN_AVB_MDC,
> -               GP_2_8_FN, GFN_PWM2_A,
> -               GP_2_7_FN, GFN_PWM1_A,
> -               GP_2_6_FN, GFN_PWM0,
> -               GP_2_5_FN, GFN_IRQ5,
> -               GP_2_4_FN, GFN_IRQ4,
> -               GP_2_3_FN, GFN_IRQ3,
> -               GP_2_2_FN, GFN_IRQ2,
> -               GP_2_1_FN, GFN_IRQ1,
> -               GP_2_0_FN, GFN_IRQ0 }
> -       },
> -
> -       /* GPSR3 */
> -       { PINMUX_CFG_REG("GPSR3", 0xE606010C, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_3_15_FN, GFN_SD1_WP,
> -               GP_3_14_FN, GFN_SD1_CD,
> -               GP_3_13_FN, GFN_SD0_WP,
> -               GP_3_12_FN, GFN_SD0_CD,
> -               GP_3_11_FN, GFN_SD1_DAT3,
> -               GP_3_10_FN, GFN_SD1_DAT2,
> -               GP_3_9_FN, GFN_SD1_DAT1,
> -               GP_3_8_FN, GFN_SD1_DAT0,
> -               GP_3_7_FN, GFN_SD1_CMD,
> -               GP_3_6_FN, GFN_SD1_CLK,
> -               GP_3_5_FN, GFN_SD0_DAT3,
> -               GP_3_4_FN, GFN_SD0_DAT2,
> -               GP_3_3_FN, GFN_SD0_DAT1,
> -               GP_3_2_FN, GFN_SD0_DAT0,
> -               GP_3_1_FN, GFN_SD0_CMD,
> -               GP_3_0_FN, GFN_SD0_CLK }
> -       },
> -       /* GPSR4 */
> -       { PINMUX_CFG_REG("GPSR4", 0xE6060110, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_4_17_FN, GFN_SD3_DS,
> -               GP_4_16_FN, GFN_SD3_DAT7,
> -
> -               GP_4_15_FN, GFN_SD3_DAT6,
> -               GP_4_14_FN, GFN_SD3_DAT5,
> -               GP_4_13_FN, GFN_SD3_DAT4,
> -               GP_4_12_FN, FN_SD3_DAT3,
> -               GP_4_11_FN, FN_SD3_DAT2,
> -               GP_4_10_FN, FN_SD3_DAT1,
> -               GP_4_9_FN, FN_SD3_DAT0,
> -               GP_4_8_FN, FN_SD3_CMD,
> -               GP_4_7_FN, FN_SD3_CLK,
> -               GP_4_6_FN, GFN_SD2_DS,
> -               GP_4_5_FN, GFN_SD2_DAT3,
> -               GP_4_4_FN, GFN_SD2_DAT2,
> -               GP_4_3_FN, GFN_SD2_DAT1,
> -               GP_4_2_FN, GFN_SD2_DAT0,
> -               GP_4_1_FN, FN_SD2_CMD,
> -               GP_4_0_FN, GFN_SD2_CLK }
> -       },
> -       /* GPSR5 */
> -       { PINMUX_CFG_REG("GPSR5", 0xE6060114, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_5_25_FN, GFN_MLB_DAT,
> -               GP_5_24_FN, GFN_MLB_SIG,
> -
> -               GP_5_23_FN, GFN_MLB_CLK,
> -               GP_5_22_FN, FN_MSIOF0_RXD,
> -               GP_5_21_FN, GFN_MSIOF0_SS2,
> -               GP_5_20_FN, FN_MSIOF0_TXD,
> -               GP_5_19_FN, GFN_MSIOF0_SS1,
> -               GP_5_18_FN, GFN_MSIOF0_SYNC,
> -               GP_5_17_FN, FN_MSIOF0_SCK,
> -               GP_5_16_FN, GFN_HRTS0x,
> -               GP_5_15_FN, GFN_HCTS0x,
> -               GP_5_14_FN, GFN_HTX0,
> -               GP_5_13_FN, GFN_HRX0,
> -               GP_5_12_FN, GFN_HSCK0,
> -               GP_5_11_FN, GFN_RX2_A,
> -               GP_5_10_FN, GFN_TX2_A,
> -               GP_5_9_FN, GFN_SCK2,
> -               GP_5_8_FN, GFN_RTS1x_TANS,
> -               GP_5_7_FN, GFN_CTS1x,
> -               GP_5_6_FN, GFN_TX1_A,
> -               GP_5_5_FN, GFN_RX1_A,
> -               GP_5_4_FN, GFN_RTS0x_TANS,
> -               GP_5_3_FN, GFN_CTS0x,
> -               GP_5_2_FN, GFN_TX0,
> -               GP_5_1_FN, GFN_RX0,
> -               GP_5_0_FN, GFN_SCK0 }
> -       },
> -       /* GPSR6 */
> -       { PINMUX_CFG_REG("GPSR6", 0xE6060118, 32, 1) {
> -               GP_6_31_FN, GFN_GP6_31,
> -               GP_6_30_FN, GFN_GP6_30,
> -               GP_6_29_FN, GFN_USB30_OVC,
> -               GP_6_28_FN, GFN_USB30_PWEN,
> -               GP_6_27_FN, GFN_USB1_OVC,
> -               GP_6_26_FN, GFN_USB1_PWEN,
> -               GP_6_25_FN, GFN_USB0_OVC,
> -               GP_6_24_FN, GFN_USB0_PWEN,
> -               GP_6_23_FN, GFN_AUDIO_CLKB_B,
> -               GP_6_22_FN, GFN_AUDIO_CLKA_A,
> -               GP_6_21_FN, GFN_SSI_SDATA9_A,
> -               GP_6_20_FN, GFN_SSI_SDATA8,
> -               GP_6_19_FN, GFN_SSI_SDATA7,
> -               GP_6_18_FN, GFN_SSI_WS78,
> -               GP_6_17_FN, GFN_SSI_SCK78,
> -               GP_6_16_FN, GFN_SSI_SDATA6,
> -               GP_6_15_FN, GFN_SSI_WS6,
> -               GP_6_14_FN, GFN_SSI_SCK6,
> -               GP_6_13_FN, FN_SSI_SDATA5,
> -               GP_6_12_FN, FN_SSI_WS5,
> -               GP_6_11_FN, FN_SSI_SCK5,
> -               GP_6_10_FN, GFN_SSI_SDATA4,
> -               GP_6_9_FN, GFN_SSI_WS4,
> -               GP_6_8_FN, GFN_SSI_SCK4,
> -               GP_6_7_FN, GFN_SSI_SDATA3,
> -               GP_6_6_FN, GFN_SSI_WS34,
> -               GP_6_5_FN, GFN_SSI_SCK34,
> -               GP_6_4_FN, GFN_SSI_SDATA2_A,
> -               GP_6_3_FN, GFN_SSI_SDATA1_A,
> -               GP_6_2_FN, GFN_SSI_SDATA0,
> -               GP_6_1_FN, GFN_SSI_WS01239,
> -               GP_6_0_FN, GFN_SSI_SCK01239 }
> -       },
> -       /* GPSR7 */
> -       { PINMUX_CFG_REG("GPSR7", 0xE606011C, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_7_3_FN, FN_HDMI1_CEC,
> -               GP_7_2_FN, FN_HDMI0_CEC,
> -               GP_7_1_FN, FN_AVS2,
> -               GP_7_0_FN, FN_AVS1 }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR0", 0xE6060200, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR0_31_28 [4] */
> -               IFN_IRQ1, FN_QPOLA, 0, FN_DU_DISP,
> -               FN_VI4_DATA1_B, FN_CAN0_RX_B, FN_CANFD0_RX_B,
> -               FN_MSIOF3_SS1_E,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_27_24 [4] */
> -               IFN_IRQ0, FN_QPOLB, 0, FN_DU_CDE,
> -               FN_VI4_DATA0_B, FN_CAN0_TX_B, FN_CANFD0_TX_B,
> -               FN_MSIOF3_SS2_E,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_23_20 [4] */
> -               IFN_AVB_AVTP_CAPTURE_A, 0, FN_MSIOF2_TXD_C, FN_RTS4x_TANS_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_19_16 [4] */
> -               IFN_AVB_AVTP_MATCH_A, 0, FN_MSIOF2_RXD_C, FN_CTS4x_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_15_12 [4] */
> -               IFN_AVB_LINK, 0, FN_MSIOF2_SCK_C, FN_TX4_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_11_8 [4] */
> -               IFN_AVB_PHY_INT, 0, FN_MSIOF2_SYNC_C, FN_RX4_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_7_4 [4] */
> -               IFN_AVB_MAGIC, 0, FN_MSIOF2_SS1_C, FN_SCK4_A,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR0_3_0 [4] */
> -               IFN_AVB_MDC, 0, FN_MSIOF2_SS2_C, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR1", 0xE6060204, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR1_31_28 [4] */
> -               IFN_A0, FN_LCDOUT16, FN_MSIOF3_SYNC_B, 0,
> -               FN_VI4_DATA8, 0, FN_DU_DB0, 0,
> -               0, FN_PWM3_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_27_24 [4] */
> -               IFN_PWM2_A, FN_PWMFSW0, 0, FN_HTX3_D,
> -               0, 0, 0, 0,
> -               0, FN_IETX_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_23_20 [4] */
> -               IFN_PWM1_A, 0, 0, FN_HRX3_D,
> -               FN_VI4_DATA7_B, 0, 0, 0,
> -               0, FN_IERX_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_19_16 [4] */
> -               IFN_PWM0, FN_AVB_AVTP_PPS, 0, 0,
> -               FN_VI4_DATA6_B, 0, 0, 0,
> -               0, FN_IECLK_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_15_12 [4] */
> -               IFN_IRQ5, FN_QSTB_QHE, 0, FN_DU_EXVSYNC_DU_VSYNC,
> -               FN_VI4_DATA5_B, 0, 0, FN_MSIOF3_TXD_E,
> -               0, FN_PWM6_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_11_8 [4] */
> -               IFN_IRQ4, FN_QSTH_QHS, 0, FN_DU_EXHSYNC_DU_HSYNC,
> -               FN_VI4_DATA4_B, 0, 0, FN_MSIOF3_RXD_E,
> -               0, FN_PWM5_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_7_4 [4] */
> -               IFN_IRQ3, FN_QSTVB_QVE, 0, FN_DU_DOTCLKOUT1,
> -               FN_VI4_DATA3_B, 0, 0, FN_MSIOF3_SCK_E,
> -               0, FN_PWM4_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR1_3_0 [4] */
> -               IFN_IRQ2, FN_QCPV_QDE, 0, FN_DU_EXODDF_DU_ODDF_DISP_CDE,
> -               FN_VI4_DATA2_B, 0, 0, FN_MSIOF3_SYNC_E,
> -               0, FN_PWM3_B, 0, 0,
> -               0, 0, 0, 0
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR2", 0xE6060208, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR2_31_28 [4] */
> -               IFN_A8, FN_RX3_B, FN_MSIOF2_SYNC_A, FN_HRX4_B,
> -               0, 0, 0, FN_SDA6_A,
> -               FN_AVB_AVTP_MATCH_B, FN_PWM1_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_27_24 [4] */
> -               IFN_A7, FN_LCDOUT23, FN_MSIOF2_SS2_A, FN_TX4_B,
> -               FN_VI4_DATA15, FN_V15_DATA15, FN_DU_DB7, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_23_20 [4] */
> -               IFN_A6, FN_LCDOUT22, FN_MSIOF2_SS1_A, FN_RX4_B,
> -               FN_VI4_DATA14, FN_VI5_DATA14, FN_DU_DB6, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_19_16 [4] */
> -               IFN_A5, FN_LCDOUT21, FN_MSIOF3_SS2_B, FN_SCK4_B,
> -               FN_VI4_DATA13, FN_VI5_DATA13, FN_DU_DB5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_15_12 [4] */
> -               IFN_A4, FN_LCDOUT20, FN_MSIOF3_SS1_B, 0,
> -               FN_VI4_DATA12, FN_VI5_DATA12, FN_DU_DB4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_11_8 [4] */
> -               IFN_A3, FN_LCDOUT19, FN_MSIOF3_RXD_B, 0,
> -               FN_VI4_DATA11, 0, FN_DU_DB3, 0,
> -               0, FN_PWM6_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_7_4 [4] */
> -               IFN_A2, FN_LCDOUT18, FN_MSIOF3_SCK_B, 0,
> -               FN_VI4_DATA10, 0, FN_DU_DB2, 0,
> -               0, FN_PWM5_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR2_3_0 [4] */
> -               IFN_A1, FN_LCDOUT17, FN_MSIOF3_TXD_B, 0,
> -               FN_VI4_DATA9, 0, FN_DU_DB1, 0,
> -               0, FN_PWM4_A, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR3", 0xE606020C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR3_31_28 [4] */
> -               IFN_A16, FN_LCDOUT8, 0, 0,
> -               FN_VI4_FIELD, 0, FN_DU_DG0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_27_24 [4] */
> -               IFN_A15, FN_LCDOUT15, FN_MSIOF3_TXD_C, 0,
> -               FN_HRTS4x, FN_VI5_DATA11, FN_DU_DG7, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_23_20 [4] */
> -               IFN_A14, FN_LCDOUT14, FN_MSIOF3_RXD_C, 0,
> -               FN_HCTS4x, FN_VI5_DATA10, FN_DU_DG6, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_19_16 [4] */
> -               IFN_A13, FN_LCDOUT13, FN_MSIOF3_SYNC_C, 0,
> -               FN_HTX4_A, FN_VI5_DATA9, FN_DU_DG5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_15_12 [4] */
> -               IFN_A12, FN_LCDOUT12, FN_MSIOF3_SCK_C, 0,
> -               FN_HRX4_A, FN_VI5_DATA8, FN_DU_DG4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_11_8 [4] */
> -               IFN_A11, FN_TX3_B, FN_MSIOF2_TXD_A, FN_HTX4_B,
> -               FN_HSCK4, FN_VI5_FIELD, 0, FN_SCL6_A,
> -               FN_AVB_AVTP_CAPTURE_B, FN_PWM2_B, FN_SPV_EVEN, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_7_4 [4] */
> -               IFN_A10, 0, FN_MSIOF2_RXD_A, FN_RTS4n_TANS_B,
> -               0, FN_VI5_HSYNCx, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR3_3_0 [4] */
> -               IFN_A9, 0, FN_MSIOF2_SCK_A, FN_CTS4x_B,
> -               0, FN_VI5_VSYNCx, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR4", 0xE6060210, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR4_31_28 [4] */
> -               IFN_RD_WRx, 0, FN_MSIOF3_RXD_D, FN_TX3_A,
> -               FN_HTX3_A, 0, 0, 0,
> -               FN_CAN0_RX_A, FN_CANFD0_RX_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_27_24 [4] */
> -               IFN_RDx, 0, FN_MSIOF3_SYNC_D, FN_RX3_A,
> -               FN_HRX3_A, 0, 0, 0,
> -               FN_CAN0_TX_A, FN_CANFD0_TX_A, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_23_20 [4] */
> -               IFN_BSx, FN_QSTVA_QVS, FN_MSIOF3_SCK_D, FN_SCK3,
> -               FN_HSCK3, 0, 0, 0,
> -               FN_CAN1_TX, FN_CANFD1_TX, FN_IETX_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_19_16 [4] */
> -               IFN_CS1x_A26, 0, 0, 0,
> -               0, FN_VI5_CLK, 0, FN_EX_WAIT0_B,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_15_12 [4] */
> -               IFN_CS0x, 0, 0, 0,
> -               0, FN_VI5_CLKENB, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_11_8 [4] */
> -               IFN_A19, FN_LCDOUT11, 0, 0,
> -               FN_VI4_CLKENB, 0, FN_DU_DG3, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_7_4 [4] */
> -               IFN_A18, FN_LCDOUT10, 0, 0,
> -               FN_VI4_HSYNCx, 0, FN_DU_DG2, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR4_3_0 [4] */
> -               IFN_A17, FN_LCDOUT9, 0, 0,
> -               FN_VI4_VSYNCx, 0, FN_DU_DG1, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR5", 0xE6060214, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR5_31_28 [4] */
> -               IFN_D4, FN_MSIOF2_SCK_B, 0, 0,
> -               FN_VI4_DATA20, FN_VI5_DATA4, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_27_24 [4] */
> -               IFN_D3, 0, FN_MSIOF3_TXD_A, 0,
> -               FN_VI4_DATA19, FN_VI5_DATA3, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_23_20 [4] */
> -               IFN_D2, 0, FN_MSIOF3_RXD_A, 0,
> -               FN_VI4_DATA18, FN_VI5_DATA2, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_19_16 [4] */
> -               IFN_D1, FN_MSIOF2_SS2_B, FN_MSIOF3_SYNC_A, 0,
> -               FN_VI4_DATA17, FN_VI5_DATA1, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_15_12 [4] */
> -               IFN_D0, FN_MSIOF2_SS1_B, FN_MSIOF3_SCK_A, 0,
> -               FN_VI4_DATA16, FN_VI5_DATA0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_11_8 [4] */
> -               IFN_EX_WAIT0_A, FN_QCLK, 0, 0,
> -               FN_VI4_CLK, 0, FN_DU_DOTCLKOUT0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_7_4 [4] */
> -               IFN_WE1x, 0, FN_MSIOF3_SS1_D, FN_RTS3x_TANS,
> -               FN_HRTS3x, 0, 0, FN_SDA6_B,
> -               FN_CAN1_RX, FN_CANFD1_RX, FN_IERX_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR5_3_0 [4] */
> -               IFN_WE0x, 0, FN_MSIIOF3_TXD_D, FN_CTS3x,
> -               FN_HCTS3x, 0, 0, FN_SCL6_B,
> -               FN_CAN_CLK, 0, FN_IECLK_A, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR6", 0xE6060218, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR6_31_28 [4] */
> -               IFN_D12, FN_LCDOUT4, FN_MSIOF2_SS1_D, FN_RX4_C,
> -               FN_VI4_DATA4_A, 0, FN_DU_DR4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_27_24 [4] */
> -               IFN_D11, FN_LCDOUT3, FN_MSIOF2_TXD_D, FN_HTX3_B,
> -               FN_VI4_DATA3_A, FN_RTS4x_TANS_C, FN_DU_DR3, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_23_20 [4] */
> -               IFN_D10, FN_LCDOUT2, FN_MSIOF2_RXD_D, FN_HRX3_B,
> -               FN_VI4_DATA2_A, FN_CTS4x_C, FN_DU_DR2, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_19_16 [4] */
> -               IFN_D9, FN_LCDOUT1, FN_MSIOF2_SYNC_D, 0,
> -               FN_VI4_DATA1_A, 0, FN_DU_DR1, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_15_12 [4] */
> -               IFN_D8, FN_LCDOUT0, FN_MSIOF2_SCK_D, FN_SCK4_C,
> -               FN_VI4_DATA0_A, 0, FN_DU_DR0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_11_8 [4] */
> -               IFN_D7, FN_MSIOF2_TXD_B, 0, 0,
> -               FN_VI4_DATA23, FN_VI5_DATA7, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_7_4 [4] */
> -               IFN_D6, FN_MSIOF2_RXD_B, 0, 0,
> -               FN_VI4_DATA22, FN_VI5_DATA6, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR6_3_0 [4] */
> -               IFN_D5, FN_MSIOF2_SYNC_B, 0, 0,
> -               FN_VI4_DATA21, FN_VI5_DATA5, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR7", 0xE606021C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR7_31_28 [4] */
> -               IFN_SD0_DAT1, 0, FN_MSIOF1_TXD_E, 0,
> -               0, FN_TS_SPSYNC0_B, FN_STP_ISSYNC_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_27_24 [4] */
> -               IFN_SD0_DAT0, 0, FN_MSIOF1_RXD_E, 0,
> -               0, FN_TS_SCK0_B, FN_STP_ISCLK_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_23_20 [4] */
> -               IFN_SD0_CMD, 0, FN_MSIOF1_SYNC_E, 0,
> -               0, 0, FN_STP_IVCXO27_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_19_16 [4] */
> -               IFN_SD0_CLK, 0, FN_MSIOF1_SCK_E, 0,
> -               0, 0, FN_STP_OPWM_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_15_12 [4] */
> -               FN_FSCLKST, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_11_8 [4] */
> -               IFN_D15, FN_LCDOUT7, FN_MSIOF3_SS2_A, FN_HTX3_C,
> -               FN_VI4_DATA7_A, 0, FN_DU_DR7, FN_SDA6_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_7_4 [4] */
> -               IFN_D14, FN_LCDOUT6, FN_MSIOF3_SS1_A, FN_HRX3_C,
> -               FN_VI4_DATA6_A, 0, FN_DU_DR6, FN_SCL6_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR7_3_0 [4] */
> -               IFN_D13, FN_LCDOUT5, FN_MSIOF2_SS2_D, FN_TX4_C,
> -               FN_VI4_DATA5_A, 0, FN_DU_DR5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR8", 0xE6060220, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR8_31_28 [4] */
> -               IFN_SD1_DAT3, FN_SD2_DAT7, FN_MSIOF1_SS2_G,
> -               FN_NFRBx_B,
> -               0, FN_TS_SDEN1_B, FN_STP_ISEN_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_27_24 [4] */
> -               IFN_SD1_DAT2, FN_SD2_DAT6, FN_MSIOF1_SS1_G,
> -               FN_NFDATA15_B,
> -               0, FN_TS_SDAT1_B, FN_STP_IOD_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_23_20 [4] */
> -               IFN_SD1_DAT1, FN_SD2_DAT5, FN_MSIOF1_TXD_G,
> -               FN_NFDATA14_B,
> -               0, FN_TS_SPSYNC1_B, FN_STP_ISSYNC_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_19_16 [4] */
> -               IFN_SD1_DAT0, FN_SD2_DAT4, FN_MSIOF1_RXD_G,
> -               FN_NFWPx_B,
> -               0, FN_TS_SCK1_B, FN_STP_ISCLK_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_15_12 [4] */
> -               IFN_SD1_CMD, 0, FN_MSIOF1_SYNC_G,
> -               FN_NFCEx_B,
> -               0, FN_SIM0_D_A, FN_STP_IVCXO27_1_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_11_8 [4] */
> -               IFN_SD1_CLK, 0, FN_MSIOF1_SCK_G, 0,
> -               0, FN_SIM0_CLK_A, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_7_4 [4] */
> -               IFN_SD0_DAT3, 0, FN_MSIOF1_SS2_E, 0,
> -               0, FN_TS_SDEN0_B, FN_STP_ISEN_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR8_3_0 [4] */
> -               IFN_SD0_DAT2, 0, FN_MSIOF1_SS1_E, 0,
> -               0, FN_TS_SDAT0_B, FN_STP_ISD_0_B, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR9", 0xE6060224, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR9_31_28 [4] */
> -               IFN_SD3_CLK, 0, FN_NFWEx, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_27_24 [4] */
> -               IFN_SD2_DS, 0, FN_NFALE, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_23_20 [4] */
> -               IFN_SD2_DAT3, 0, FN_NFDATA13, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_19_16 [4] */
> -               IFN_SD2_DAT2, 0, FN_NFDATA12, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_15_12 [4] */
> -               IFN_SD2_DAT1, 0, FN_NFDATA11, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_11_8 [4] */
> -               IFN_SD2_DAT0, 0, FN_NFDATA10, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_7_4 [4] */
> -               IFN_SD2_CMD, 0, FN_NFDATA9, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR9_3_0 [4] */
> -               IFN_SD3_CLK, 0, FN_NFDATA8, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR10", 0xE6060228, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR10_31_28 [4] */
> -               IFN_SD3_DAT6, FN_SD3_CD, FN_NFDATA6, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_27_24 [4] */
> -               IFN_SD3_DAT5, FN_SD2_WP_A, FN_NFDATA5, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_23_20 [4] */
> -               IFN_SD3_DAT4, FN_SD2_CD_A, FN_NFDATA4, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_19_16 [4] */
> -               IFN_SD3_DAT3, 0, FN_NFDATA3, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_15_12 [4] */
> -               IFN_SD3_DAT2, 0, FN_NFDATA2, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_11_8 [4] */
> -               IFN_SD3_DAT1, 0, FN_NFDATA1, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_7_4 [4] */
> -               IFN_SD3_DAT0, 0, FN_NFDATA0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR10_3_0 [4] */
> -               IFN_SD3_CMD, 0, FN_NFREx, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR11", 0xE606022C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR11_31_28 [4] */
> -               IFN_RX0, FN_HRX1_B, 0, 0,
> -               0, FN_TS_SCK0_C, FN_STP_ISCLK_0_C, FN_RIF0_D0_B,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_27_24 [4] */
> -               IFN_SCK0, FN_HSCK1_B, FN_MSIOF1_SS2_B, FN_AUDIO_CLKC_B,
> -               FN_SDA2_A, FN_SIM0_RST_B, FN_STP_OPWM_0_C,
> -               FN_RIF0_CLK_B,
> -               0, FN_ADICHS2, 0, FN_RIF0_CLK_B,
> -               0, 0, 0, 0,
> -               /* IPSR11_23_20 [4] */
> -               IFN_SD1_WP, 0, FN_NFCEx_A, 0,
> -               0, FN_SIM0_D_B, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_19_16 [4] */
> -               IFN_SD1_CD, 0, FN_NFRBx_A, 0,
> -               0, FN_SIM0_CLK_B, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_15_12 [4] */
> -               IFN_SD0_WP, 0, FN_NFDATA15_A, 0,
> -               FN_SDA2_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_11_8 [4] */
> -               IFN_SD0_CD, 0, FN_NFDATA14_A, 0,
> -               FN_SCL2_B, FN_SIM0_RST_A, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_7_4 [4] */
> -               IFN_SD3_DS, 0, FN_NFCLE, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR11_3_0 [4] */
> -               IFN_SD3_DAT7, FN_SD3_WP, FN_NFDATA7, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR12", 0xE6060230, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR12_31_28 [4] */
> -               IFN_SCK2, FN_SCIF_CLK_B, FN_MSIOF1_SCK_B, 0,
> -               0, FN_TS_SCK1_C, FN_STP_ISCLK_1_C, FN_RIF1_CLK_B,
> -               0, FN_ADICLK, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_27_24 [4] */
> -               IFN_RTS1x_TANS, FN_HRTS1x_A, FN_MSIOF1_TXD_B, 0,
> -               0, FN_TS_SDAT1_C, FN_STP_ISD_1_C, FN_RIF1_D1_B,
> -               0, FN_ADICHS0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_23_20 [4] */
> -               IFN_CTS1x, FN_HCTS1x_A, FN_MSIOF1_RXD_B, 0,
> -               0, FN_TS_SDEN1_C, FN_STP_ISEN_1_C, FN_RIF1_D0_B,
> -               0, FN_ADIDATA, 0, 0,
> -               /* IPSR12_19_16 [4] */
> -               IFN_TX1_A, FN_HTX1_A, 0, 0,
> -               0, FN_TS_SDEN0_C, FN_STP_ISEN_0_C, FN_RIF1_D0_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_15_12 [4] */
> -               IFN_RX1_A, FN_HRX1_A, 0, 0,
> -               0, FN_TS_SDAT0_C, FN_STP_ISD_0_C, FN_RIF1_CLK_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_11_8 [4] */
> -               IFN_RTS0x_TANS, FN_HRTS1x_B, FN_MSIOF1_SS1_B, FN_AUDIO_CLKA_B,
> -               FN_SCL2_A, 0, FN_STP_IVCXO27_1_C, FN_RIF0_SYNC_B,
> -               0, FN_ADICHS1, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_7_4 [4] */
> -               IFN_CTS0x, FN_HCTS1x_B, FN_MSIOF1_SYNC_B, 0,
> -               0, FN_TS_SPSYNC1_C, FN_STP_ISSYNC_1_C, FN_RIF1_SYNC_B,
> -               FN_AUDIO_CLKOUT_C, FN_ADICS_SAMP, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR12_3_0 [4] */
> -               IFN_TX0, FN_HTX1_B, 0, 0,
> -               0, FN_TS_SPSYNC0_C, FN_STP_ISSYNC_0_C, FN_RIF0_D1_B,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR13", 0xE6060234, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR13_31_28 [4] */
> -               IFN_MSIOF0_SYNC, 0, 0, 0,
> -               0, 0, 0, 0,
> -               FN_AUDIO_CLKOUT_A, 0, FN_TX5_B, 0,
> -               0, FN_BPFCLK_D, 0, 0,
> -               /* IPSR13_27_24 [4] */
> -               IFN_HRTS0x, FN_TX2_B, FN_MSIOF1_SS1_D, 0,
> -               FN_SSI_WS9_A, 0, FN_STP_IVCXO27_0_D, FN_BPFCLK_A,
> -               FN_AUDIO_CLKOUT2_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_23_20 [4] */
> -               IFN_HCTS0x, FN_RX2_B, FN_MSIOF1_SYNC_D, 0,
> -               FN_SSI_SCK9_A, FN_TS_SPSYNC0_D, FN_STP_ISSYNC_0_D,
> -               FN_RIF0_SYNC_C,
> -               FN_AUDIO_CLKOUT1_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_19_16 [4] */
> -               IFN_HTX0, 0, FN_MSIOF1_TXD_D, 0,
> -               FN_SSI_SDATA9_B, FN_TS_SDAT0_D, FN_STP_ISD_0_D, FN_RIF0_D1_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_15_12 [4] */
> -               IFN_HRX0, 0, FN_MSIOF1_RXD_D, 0,
> -               FN_SS1_SDATA2_B, FN_TS_SDEN0_D, FN_STP_ISEN_0_D, FN_RIF0_D0_C,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_11_8 [4] */
> -               IFN_HSCK0, 0, FN_MSIOF1_SCK_D, FN_AUDIO_CLKB_A,
> -               FN_SSI_SDATA1_B, FN_TS_SCK0_D, FN_STP_ISCLK_0_D, FN_RIF0_CLK_C,
> -               0, 0, FN_RX5_B, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_7_4 [4] */
> -               IFN_RX2_A, 0, 0, FN_SD2_WP_B,
> -               FN_SDA1_A, 0, FN_FMIN_A, FN_RIF1_SYNC_C,
> -               0, FN_FSO_CEF_1_B, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR13_3_0 [4] */
> -               IFN_TX2_A, 0, 0, FN_SD2_CD_B,
> -               FN_SCL1_A, 0, FN_FMCLK_A, FN_RIF1_D1_C,
> -               0, FN_FSO_CFE_0_B, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR14", 0xE6060238, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR14_31_28 [4] */
> -               IFN_SSI_SDATA0, 0, FN_MSIOF1_SS2_F, 0,
> -               0, 0, 0, FN_MOUT2,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_27_24 [4] */
> -               IFN_SSI_WS0129, 0, FN_MSIOF1_SS1_F, 0,
> -               0, 0, 0, FN_MOUT1,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_23_20 [4] */
> -               IFN_SSI_SCK0129, 0, FN_MSIOF1_TXD_F, 0,
> -               0, 0, 0, FN_MOUT0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_19_16 [4] */
> -               IFN_MLB_DAT, FN_TX1_B, FN_MSIOF1_RXD_F, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_15_12 [4] */
> -               IFN_MLB_SIG, FN_RX1_B, FN_MSIOF1_SYNC_F, 0,
> -               FN_SDA1_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_11_8 [4] */
> -               IFN_MLB_CLK, 0, FN_MSIOF1_SCK_F, 0,
> -               FN_SCL1_B, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR14_7_4 [4] */
> -               IFN_MSIOF0_SS2, FN_TX5_A, FN_MSIOF1_SS2_D, FN_AUDIO_CLKC_A,
> -               FN_SSI_WS2_A, 0, FN_STP_OPWM_0_D, 0,
> -               FN_AUDIO_CLKOUT_D, 0, FN_SPEEDIN_B, 0,
> -               /* IPSR14_3_0 [4] */
> -               IFN_MSIOF0_SS1, FN_RX5_A, 0, FN_AUDIO_CLKA_C,
> -               FN_SSI_SCK2_A, 0, FN_STP_IVCXO27_0_C, 0,
> -               FN_AUDIO_CLKOUT3_A, 0, FN_TCLK1_B, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR15", 0xE606023C, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR15_31_28 [4] */
> -               IFN_SSI_SDATA4, FN_HSCK2_A, FN_MSIOF1_RXD_A, 0,
> -               0, FN_TS_SPSYNC0_A, FN_STP_ISSYNC_0_A, FN_RIF0_D0_A,
> -               FN_RIF2_D1_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_27_24 [4] */
> -               IFN_SSI_WS4, FN_HTX2_A, FN_MSIOF1_SYNC_A, 0,
> -               0, FN_TS_SDEN0_A, FN_STP_ISEN_0_A, FN_RIF0_SYNC_A,
> -               FN_RIF2_SYNC_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_23_20 [4] */
> -               IFN_SSI_SCK4, FN_HRX2_A, FN_MSIOF1_SCK_A, 0,
> -               0, FN_TS_SDAT0_A, FN_STP_ISD_0_A, FN_RIF0_CLK_A,
> -               FN_RIF2_CLK_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_19_16 [4] */
> -               IFN_SSI_SDATA3, FN_HRTS2x_A, FN_MSIOF1_TXD_A, 0,
> -               0, FN_TS_SCK0_A, FN_STP_ISCLK_0_A, FN_RIF0_D1_A,
> -               FN_RIF2_D0_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_15_12 [4] */
> -               IFN_SSI_WS34, FN_HCTS2x_A, FN_MSIOF1_SS2_A, 0,
> -               0, 0, FN_STP_IVCXO27_0_A, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_11_8 [4] */
> -               IFN_SSI_SCK34, 0, FN_MSIOF1_SS1_A, 0,
> -               0, 0, FN_STP_OPWM_0_A, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_7_4 [4] */
> -               IFN_SSI_SDATA2_A, 0, 0, 0,
> -               FN_SSI_SCK1_B, 0, 0, FN_MOUT6,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR15_3_0 [4] */
> -               IFN_SSI_SDATA1_A, 0, 0, 0,
> -               0, 0, 0, FN_MOUT5,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR16", 0xE6060240, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR16_31_28 [4] */
> -               IFN_SSI_SDATA9_A, FN_HSCK2_B, FN_MSIOF1_SS1_C, FN_HSCK1_A,
> -               FN_SSI_WS1_B, FN_SCK1, FN_STP_IVCXO27_1_A, FN_SCK5,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_27_24 [4] */
> -               IFN_SSI_SDATA8, FN_HRTS2x_B, FN_MSIOF1_TXD_C, 0,
> -               0, FN_TS_SPSYNC1_A, FN_STP_ISSYNC_1_A, FN_RIF1_D1_A,
> -               FN_EIF3_D1_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_23_20 [4] */
> -               IFN_SSI_SDATA7, FN_HCTS2x_B, FN_MSIOF1_RXD_C, 0,
> -               0, FN_TS_SDEN1_A, FN_STP_IEN_1_A, FN_RIF1_D0_A,
> -               FN_RIF3_D0_A, 0, FN_TCLK2_A, 0,
> -               /* IPSR16_19_16 [4] */
> -               IFN_SSI_WS78, FN_HTX2_B, FN_MSIOF1_SYNC_C, 0,
> -               0, FN_TS_SDAT1_A, FN_STP_ISD_1_A, FN_RIF1_SYNC_A,
> -               FN_RIF3_SYNC_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_15_12 [4] */
> -               IFN_SSI_SCK78, FN_HRX2_B, FN_MSIOF1_SCK_C, 0,
> -               0, FN_TS_SCK1_A, FN_STP_ISCLK_1_A, FN_RIF1_CLK_A,
> -               FN_RIF3_CLK_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_11_8 [4] */
> -               IFN_SSI_SDATA6, 0, 0, FN_SIM0_CLK_D,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_7_4 [4] */
> -               IFN_SSI_WS6, 0, 0, FN_SIM0_D_D,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               /* IPSR16_3_0 [4] */
> -               IFN_SSI_SCK6, 0, 0, FN_SIM0_RST_D,
> -               0, 0, 0, 0,
> -               0, 0, FN_FSO_TOE_A, 0,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR17", 0xE6060244, 32,
> -                               4, 4, 4, 4, 4, 4, 4, 4) {
> -               /* IPSR17_31_28 [4] */
> -               IFN_USB30_OVC, 0, FN_AUDIO_CLKOUT1_B, 0,
> -               FN_SSI_WS2_B, FN_TS_SPSYNC1_D, FN_STP_ISSYNC_1_D,
> -               FN_STP_IVCXO27_0_E,
> -               FN_RIF3_D1_B, 0, FN_FSO_TOE_B, FN_TPU0TO1,
> -               0, 0, 0, 0,
> -               /* IPSR17_27_24 [4] */
> -               IFN_USB30_PWEN, 0, 0, FN_AUDIO_CLKOUT_B,
> -               FN_SSI_SCK2_B, FN_TS_SDEN1_D, FN_STP_ISEN_1_D, FN_STP_OPWM_0_E,
> -               FN_RIF3_D0_B, 0, FN_TCLK2_B, FN_TPU0TO0,
> -               FN_BPFCLK_C, FN_HRTS2x_C, 0, 0,
> -               /* IPSR17_23_20 [4] */
> -               IFN_USB1_OVC, 0, FN_MSIOF1_SS2_C, 0,
> -               FN_SSI_WS1_A, FN_TS_SDAT0_E, FN_STP_ISD_0_E, FN_FMIN_B,
> -               FN_RIF2_SYNC_B, 0, FN_REMOCON_B, 0,
> -               0, FN_HCTS2x_C, 0, 0,
> -               /* IPSR17_19_16 [4] */
> -               IFN_USB1_PWEN, 0, 0, FN_SIM0_CLK_C,
> -               FN_SSI_SCK1_A, FN_TS_SCK0_E, FN_STP_ISCLK_0_E, FN_FMCLK_B,
> -               FN_RIF2_CLK_B, 0, FN_SPEEDIN_A, 0,
> -               0, FN_HTX2_C, 0, 0,
> -               /* IPSR17_15_12 [4] */
> -               IFN_USB0_OVC, 0, 0, FN_SIM0_D_C,
> -               0, FN_TS_SDAT1_D, FN_STP_ISD_1_D, 0,
> -               FN_RIF3_SYNC_B, 0, 0, 0,
> -               0, FN_HRX2_C, 0, 0,
> -               /* IPSR17_11_8 [4] */
> -               IFN_USB0_PWEN, 0, 0, FN_SIM0_RST_C,
> -               0, FN_TS_SCK1_D, FN_STP_ISCLK_1_D, FN_BPFCLK_B,
> -               FN_RIF3_CLK_B, 0, FN_FSO_CFE_1_A, 0,
> -               0, FN_HSCK2_C, 0, 0,
> -               /* IPSR17_7_4 [4] */
> -               IFN_AUDIO_CLKB_B, FN_SCIF_CLK_A, 0, 0,
> -               0, 0, FN_STP_IVCXO27_1_D, FN_REMOCON_A,
> -               0, 0, FN_TCLK1_A, 0,
> -               0, 0, 0, 0,
> -               /* IPSR17_3_0 [4] */
> -               IFN_AUDIO_CLKA_A, 0, 0, 0,
> -               0, 0, 0, 0,
> -               0, 0, 0, FN_CC5_OSCOUT,
> -               0, 0, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("IPSR18", 0xE6060248, 32,
> -                               1, 1, 1, 1, 1, 1, 1, 1,
> -                               1, 1, 1, 1, 1, 1, 1, 1,
> -                               1, 1, 1, 1, 1, 1, 1, 1,
> -                               4, 4) {
> -               /* reserved [31..24] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* reserved [23..16] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* reserved [15..8] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               /* IPSR18_7_4 [4] */
> -               IFN_GP6_31, 0, 0, FN_AUDIO_CLKOUT3_B,
> -               FN_SSI_WS9_B, FN_TS_SPSYNC0_E, FN_STP_ISSYNC_0_E, 0,
> -               FN_RIF2_D1_B, 0, 0, FN_TPU0TO3,
> -               FN_FMIN_C, FN_FMIN_D, 0, 0,
> -               /* IPSR18_3_0 [4] */
> -               IFN_GP6_30, 0, 0, FN_AUDIO_CLKOUT2_B,
> -               FN_SSI_SCK9_B, FN_TS_SDEN0_E, FN_STP_ISEN_0_E, 0,
> -               FN_RIF2_D0_B, 0, FN_FSO_CFE_0_A, FN_TPU0TO2,
> -               FN_FMCLK_C, FN_FMCLK_D, 0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("MOD_SEL0", 0xE6060500, 32,
> -                               3, 2, 3,
> -                               1, 1, 1, 1, 1, 2, 1,
> -                               1, 2, 1, 1, 1, 2,
> -                               2, 1, 2, 1, 1, 1) {
> -               /* SEL_MSIOF3 [3] */
> -               FN_SEL_MSIOF3_0, FN_SEL_MSIOF3_1,
> -               FN_SEL_MSIOF3_2, FN_SEL_MSIOF3_3,
> -               FN_SEL_MSIOF3_4, FN_SEL_MSIOF3_5,
> -               FN_SEL_MSIOF3_6, 0,
> -               /* SEL_MSIOF2 [2] */
> -               FN_SEL_MSIOF2_0, FN_SEL_MSIOF2_1,
> -               FN_SEL_MSIOF2_2, FN_SEL_MSIOF2_3,
> -               /* SEL_MSIOF1 [3] */
> -               FN_SEL_MSIOF1_0, FN_SEL_MSIOF1_1,
> -               FN_SEL_MSIOF1_2, FN_SEL_MSIOF1_3,
> -               FN_SEL_MSIOF1_4, FN_SEL_MSIOF1_5,
> -               FN_SEL_MSIOF1_6, 0,
> -
> -               /* SEL_LBSC [1] */
> -               FN_SEL_LBSC_0, FN_SEL_LBSC_1,
> -               /* SEL_IEBUS [1] */
> -               FN_SEL_IEBUS_0, FN_SEL_IEBUS_1,
> -               /* SEL_I2C2 [1] */
> -               FN_SEL_I2C2_0, FN_SEL_I2C2_1,
> -               /* SEL_I2C1 [1] */
> -               FN_SEL_I2C1_0, FN_SEL_I2C1_1,
> -               /* SEL_HSCIF4 [1] */
> -               FN_SEL_HSCIF4_0, FN_SEL_HSCIF4_1,
> -               /* SEL_HSCIF3 [2] */
> -               FN_SEL_HSCIF3_0, FN_SEL_HSCIF3_1,
> -               FN_SEL_HSCIF3_2, FN_SEL_HSCIF3_3,
> -               /* SEL_HSCIF1 [1] */
> -               FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
> -
> -               /* SEL_FSO [1] */
> -               FN_SEL_FSO_0, FN_SEL_FSO_1,
> -               /* SEL_HSCIF2 [2] */
> -               FN_SEL_HSCIF2_0, FN_SEL_HSCIF2_1,
> -               FN_SEL_HSCIF2_2, 0,
> -               /* SEL_ETHERAVB [1] */
> -               FN_SEL_ETHERAVB_0, FN_SEL_ETHERAVB_1,
> -               /* SEL_DRIF3 [1] */
> -               FN_SEL_DRIF3_0, FN_SEL_DRIF3_1,
> -               /* SEL_DRIF2 [1] */
> -               FN_SEL_DRIF2_0, FN_SEL_DRIF2_1,
> -               /* SEL_DRIF1 [2] */
> -               FN_SEL_DRIF1_0, FN_SEL_DRIF1_1,
> -               FN_SEL_DRIF1_2, 0,
> -
> -               /* SEL_DRIF0 [2] */
> -               FN_SEL_DRIF0_0, FN_SEL_DRIF0_1,
> -               FN_SEL_DRIF0_2, 0,
> -               /* SEL_CANFD0 [1] */
> -               FN_SEL_CANFD_0, FN_SEL_CANFD_1,
> -               /* SEL_ADG [2] */
> -               FN_SEL_ADG_0, FN_SEL_ADG_1,
> -               FN_SEL_ADG_2, FN_SEL_ADG_3,
> -               /* reserved [3] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("MOD_SEL1", 0xE6060504, 32,
> -                               2, 3, 1, 2,
> -                               3, 1, 1, 2, 1,
> -                               2, 1, 1, 1, 1, 1, 1,
> -                               1, 1, 1, 1, 1, 1, 1, 1) {
> -               /* SEL_TSIF1 [2] */
> -               FN_SEL_TSIF1_0,
> -               FN_SEL_TSIF1_1,
> -               FN_SEL_TSIF1_2,
> -               FN_SEL_TSIF1_3,
> -               /* SEL_TSIF0 [3] */
> -               FN_SEL_TSIF0_0,
> -               FN_SEL_TSIF0_1,
> -               FN_SEL_TSIF0_2,
> -               FN_SEL_TSIF0_3,
> -               FN_SEL_TSIF0_4,
> -               0,
> -               0,
> -               0,
> -               /* SEL_TIMER_TMU [1] */
> -               FN_SEL_TIMER_TMU_0,
> -               FN_SEL_TIMER_TMU_1,
> -               /* SEL_SSP1_1 [2] */
> -               FN_SEL_SSP1_1_0,
> -               FN_SEL_SSP1_1_1,
> -               FN_SEL_SSP1_1_2,
> -               FN_SEL_SSP1_1_3,
> -
> -               /* SEL_SSP1_0 [3] */
> -               FN_SEL_SSP1_0_0,
> -               FN_SEL_SSP1_0_1,
> -               FN_SEL_SSP1_0_2,
> -               FN_SEL_SSP1_0_3,
> -               FN_SEL_SSP1_0_4,
> -               0,
> -               0,
> -               0,
> -               /* SEL_SSI [1] */
> -               FN_SEL_SSI_0,
> -               FN_SEL_SSI_1,
> -               /* SEL_SPEED_PULSE_IF [1] */
> -               FN_SEL_SPEED_PULSE_IF_0,
> -               FN_SEL_SPEED_PULSE_IF_1,
> -               /* SEL_SIMCARD [2] */
> -               FN_SEL_SIMCARD_0,
> -               FN_SEL_SIMCARD_1,
> -               FN_SEL_SIMCARD_2,
> -               FN_SEL_SIMCARD_3,
> -               /* SEL_SDHI2 [1] */
> -               FN_SEL_SDHI2_0,
> -               FN_SEL_SDHI2_1,
> -
> -               /* SEL_SCIF4 [2] */
> -               FN_SEL_SCIF4_0,
> -               FN_SEL_SCIF4_1,
> -               FN_SEL_SCIF4_2,
> -               0,
> -               /* SEL_SCIF3 [1] */
> -               FN_SEL_SCIF3_0,
> -               FN_SEL_SCIF3_1,
> -               /* SEL_SCIF2 [1] */
> -               FN_SEL_SCIF2_0,
> -               FN_SEL_SCIF2_1,
> -               /* SEL_SCIF1 [1] */
> -               FN_SEL_SCIF1_0,
> -               FN_SEL_SCIF1_1,
> -               /* SEL_SCIF [1] */
> -               FN_SEL_SCIF_0,
> -               FN_SEL_SCIF_1,
> -               /* SEL_REMOCON [1] */
> -               FN_SEL_REMOCON_0,
> -               FN_SEL_REMOCON_1,
> -               /* reserved [2] */
> -               0, 0,
> -
> -               0, 0,
> -               /* SEL_RCAN [1] */
> -               FN_SEL_RCAN_0,
> -               FN_SEL_RCAN_1,
> -               /* SEL_PWM6 [1] */
> -               FN_SEL_PWM6_0,
> -               FN_SEL_PWM6_1,
> -               /* SEL_PWM5 [1] */
> -               FN_SEL_PWM5_0,
> -               FN_SEL_PWM5_1,
> -               /* SEL_PWM4 [1] */
> -               FN_SEL_PWM4_0,
> -               FN_SEL_PWM4_1,
> -               /* SEL_PWM3 [1] */
> -               FN_SEL_PWM3_0,
> -               FN_SEL_PWM3_1,
> -               /* SEL_PWM2 [1] */
> -               FN_SEL_PWM2_0,
> -               FN_SEL_PWM2_1,
> -               /* SEL_PWM1 [1] */
> -               FN_SEL_PWM1_0,
> -               FN_SEL_PWM1_1,
> -               }
> -       },
> -       { PINMUX_CFG_REG_VAR("MOD_SEL2", 0xE6060508, 32,
> -                                               1, 1, 1, 2, 1,
> -                                               3, 1, 1, 1, 1, 1, 1,
> -                                               1, 1, 1, 1, 1, 1, 1, 1,
> -                                               1, 1, 1, 1, 1, 1, 1, 1,
> -                                               1) {
> -               /* I2C_SEL_5 [1] */
> -               FN_I2C_SEL_5_0,
> -               FN_I2C_SEL_5_1,
> -               /* I2C_SEL_3 [1] */
> -               FN_I2C_SEL_3_0,
> -               FN_I2C_SEL_3_1,
> -               /* I2C_SEL_0 [1] */
> -               FN_I2C_SEL_0_0,
> -               FN_I2C_SEL_0_1,
> -               /* SEL_FM [2] */
> -               FN_SEL_FM_0,
> -               FN_SEL_FM_1,
> -               FN_SEL_FM_2,
> -               FN_SEL_FM_3,
> -               /* SEL_SCIF5 [1] */
> -               FN_SEL_SCIF5_0,
> -               FN_SEL_SCIF5_1,
> -
> -               /* SEL_I2C6 [3] */
> -               FN_SEL_I2C6_0,
> -               FN_SEL_I2C6_1,
> -               FN_SEL_I2C6_2,
> -               0,
> -               0,
> -               0,
> -               0,
> -               0,
> -               /* SEL_NDF [1] */
> -               FN_SEL_NDF_0,
> -               FN_SEL_NDF_1,
> -               /* SEL_SSI2 [1] */
> -               FN_SEL_SSI2_0,
> -               FN_SEL_SSI2_1,
> -               /* SEL_SSI9 [1] */
> -               FN_SEL_SSI9_0,
> -               FN_SEL_SSI9_1,
> -               /* SEL_TIMER_TME2 [1] */
> -               FN_SEL_TIMER_TMU2_0,
> -               FN_SEL_TIMER_TMU2_1,
> -               /* SEL_ADG_B [1] */
> -               FN_SEL_ADG_B_0,
> -               FN_SEL_ADG_B_1,
> -
> -               /* SEL_ADG_C [1] */
> -               FN_SEL_ADG_C_0,
> -               FN_SEL_ADG_C_1,
> -               /* reserved [16] */
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               /* SEL_VIN4 [1] */
> -               FN_SEL_VIN4_0,
> -               FN_SEL_VIN4_1,
> -               }
> -       },
> -
> -       /* under construction */
> -       { PINMUX_CFG_REG("INOUTSEL0", 0xE6050004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_0_15_IN, GP_0_15_OUT,
> -               GP_0_14_IN, GP_0_14_OUT,
> -               GP_0_13_IN, GP_0_13_OUT,
> -               GP_0_12_IN, GP_0_12_OUT,
> -               GP_0_11_IN, GP_0_11_OUT,
> -               GP_0_10_IN, GP_0_10_OUT,
> -               GP_0_9_IN, GP_0_9_OUT,
> -               GP_0_8_IN, GP_0_8_OUT,
> -               GP_0_7_IN, GP_0_7_OUT,
> -               GP_0_6_IN, GP_0_6_OUT,
> -               GP_0_5_IN, GP_0_5_OUT,
> -               GP_0_4_IN, GP_0_4_OUT,
> -               GP_0_3_IN, GP_0_3_OUT,
> -               GP_0_2_IN, GP_0_2_OUT,
> -               GP_0_1_IN, GP_0_1_OUT,
> -               GP_0_0_IN, GP_0_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL1", 0xE6051004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_1_28_IN, GP_1_28_OUT,
> -               GP_1_27_IN, GP_1_27_OUT,
> -               GP_1_26_IN, GP_1_26_OUT,
> -               GP_1_25_IN, GP_1_25_OUT,
> -               GP_1_24_IN, GP_1_24_OUT,
> -               GP_1_23_IN, GP_1_23_OUT,
> -               GP_1_22_IN, GP_1_22_OUT,
> -               GP_1_21_IN, GP_1_21_OUT,
> -               GP_1_20_IN, GP_1_20_OUT,
> -               GP_1_19_IN, GP_1_19_OUT,
> -               GP_1_18_IN, GP_1_18_OUT,
> -               GP_1_17_IN, GP_1_17_OUT,
> -               GP_1_16_IN, GP_1_16_OUT,
> -               GP_1_15_IN, GP_1_15_OUT,
> -               GP_1_14_IN, GP_1_14_OUT,
> -               GP_1_13_IN, GP_1_13_OUT,
> -               GP_1_12_IN, GP_1_12_OUT,
> -               GP_1_11_IN, GP_1_11_OUT,
> -               GP_1_10_IN, GP_1_10_OUT,
> -               GP_1_9_IN, GP_1_9_OUT,
> -               GP_1_8_IN, GP_1_8_OUT,
> -               GP_1_7_IN, GP_1_7_OUT,
> -               GP_1_6_IN, GP_1_6_OUT,
> -               GP_1_5_IN, GP_1_5_OUT,
> -               GP_1_4_IN, GP_1_4_OUT,
> -               GP_1_3_IN, GP_1_3_OUT,
> -               GP_1_2_IN, GP_1_2_OUT,
> -               GP_1_1_IN, GP_1_1_OUT,
> -               GP_1_0_IN, GP_1_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL2", 0xE6052004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               GP_2_14_IN, GP_2_14_OUT,
> -               GP_2_13_IN, GP_2_13_OUT,
> -               GP_2_12_IN, GP_2_12_OUT,
> -               GP_2_11_IN, GP_2_11_OUT,
> -               GP_2_10_IN, GP_2_10_OUT,
> -               GP_2_9_IN, GP_2_9_OUT,
> -               GP_2_8_IN, GP_2_8_OUT,
> -               GP_2_7_IN, GP_2_7_OUT,
> -               GP_2_6_IN, GP_2_6_OUT,
> -               GP_2_5_IN, GP_2_5_OUT,
> -               GP_2_4_IN, GP_2_4_OUT,
> -               GP_2_3_IN, GP_2_3_OUT,
> -               GP_2_2_IN, GP_2_2_OUT,
> -               GP_2_1_IN, GP_2_1_OUT,
> -               GP_2_0_IN, GP_2_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL3", 0xE6053004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               GP_3_15_IN, GP_3_15_OUT,
> -               GP_3_14_IN, GP_3_14_OUT,
> -               GP_3_13_IN, GP_3_13_OUT,
> -               GP_3_12_IN, GP_3_12_OUT,
> -               GP_3_11_IN, GP_3_11_OUT,
> -               GP_3_10_IN, GP_3_10_OUT,
> -               GP_3_9_IN, GP_3_9_OUT,
> -               GP_3_8_IN, GP_3_8_OUT,
> -               GP_3_7_IN, GP_3_7_OUT,
> -               GP_3_6_IN, GP_3_6_OUT,
> -               GP_3_5_IN, GP_3_5_OUT,
> -               GP_3_4_IN, GP_3_4_OUT,
> -               GP_3_3_IN, GP_3_3_OUT,
> -               GP_3_2_IN, GP_3_2_OUT,
> -               GP_3_1_IN, GP_3_1_OUT,
> -               GP_3_0_IN, GP_3_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL4", 0xE6054004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_4_17_IN, GP_4_17_OUT,
> -               GP_4_16_IN, GP_4_16_OUT,
> -
> -               GP_4_15_IN, GP_4_15_OUT,
> -               GP_4_14_IN, GP_4_14_OUT,
> -               GP_4_13_IN, GP_4_13_OUT,
> -               GP_4_12_IN, GP_4_12_OUT,
> -               GP_4_11_IN, GP_4_11_OUT,
> -               GP_4_10_IN, GP_4_10_OUT,
> -               GP_4_9_IN, GP_4_9_OUT,
> -               GP_4_8_IN, GP_4_8_OUT,
> -               GP_4_7_IN, GP_4_7_OUT,
> -               GP_4_6_IN, GP_4_6_OUT,
> -               GP_4_5_IN, GP_4_5_OUT,
> -               GP_4_4_IN, GP_4_4_OUT,
> -               GP_4_3_IN, GP_4_3_OUT,
> -               GP_4_2_IN, GP_4_2_OUT,
> -               GP_4_1_IN, GP_4_1_OUT,
> -               GP_4_0_IN, GP_4_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL5", 0xE6055004, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_5_25_IN, GP_5_25_OUT,
> -               GP_5_24_IN, GP_5_24_OUT,
> -
> -               GP_5_23_IN, GP_5_23_OUT,
> -               GP_5_22_IN, GP_5_22_OUT,
> -               GP_5_21_IN, GP_5_21_OUT,
> -               GP_5_20_IN, GP_5_20_OUT,
> -               GP_5_19_IN, GP_5_19_OUT,
> -               GP_5_18_IN, GP_5_18_OUT,
> -               GP_5_17_IN, GP_5_17_OUT,
> -               GP_5_16_IN, GP_5_16_OUT,
> -
> -               GP_5_15_IN, GP_5_15_OUT,
> -               GP_5_14_IN, GP_5_14_OUT,
> -               GP_5_13_IN, GP_5_13_OUT,
> -               GP_5_12_IN, GP_5_12_OUT,
> -               GP_5_11_IN, GP_5_11_OUT,
> -               GP_5_10_IN, GP_5_10_OUT,
> -               GP_5_9_IN, GP_5_9_OUT,
> -               GP_5_8_IN, GP_5_8_OUT,
> -               GP_5_7_IN, GP_5_7_OUT,
> -               GP_5_6_IN, GP_5_6_OUT,
> -               GP_5_5_IN, GP_5_5_OUT,
> -               GP_5_4_IN, GP_5_4_OUT,
> -               GP_5_3_IN, GP_5_3_OUT,
> -               GP_5_2_IN, GP_5_2_OUT,
> -               GP_5_1_IN, GP_5_1_OUT,
> -               GP_5_0_IN, GP_5_0_OUT,
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL6", 0xE6055404, 32, 1) {
> -               GP_INOUTSEL(6)
> -               }
> -       },
> -       { PINMUX_CFG_REG("INOUTSEL7", 0xE6055804, 32, 1) {
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               0, 0,
> -               GP_6_3_IN, GP_6_3_OUT,
> -               GP_6_2_IN, GP_6_2_OUT,
> -               GP_6_1_IN, GP_6_1_OUT,
> -               GP_6_0_IN, GP_6_0_OUT,
> -               }
> -       },
> -       { },
> -};
> -
> -static struct pinmux_data_reg pinmux_data_regs[] = {
> -       /* use OUTDT registers? */
> -       { PINMUX_DATA_REG("INDT0", 0xE6050008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               GP_0_15_DATA, GP_0_14_DATA, GP_0_13_DATA, GP_0_12_DATA,
> -               GP_0_11_DATA, GP_0_10_DATA, GP_0_9_DATA, GP_0_8_DATA,
> -               GP_0_7_DATA, GP_0_6_DATA, GP_0_5_DATA, GP_0_4_DATA,
> -               GP_0_3_DATA, GP_0_2_DATA, GP_0_1_DATA, GP_0_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT1", 0xE6051008, 32) {
> -               0, 0, 0, GP_1_28_DATA,
> -               GP_1_27_DATA, GP_1_26_DATA, GP_1_25_DATA, GP_1_24_DATA,
> -               GP_1_23_DATA, GP_1_22_DATA, GP_1_21_DATA, GP_1_20_DATA,
> -               GP_1_19_DATA, GP_1_18_DATA, GP_1_17_DATA, GP_1_16_DATA,
> -               GP_1_15_DATA, GP_1_14_DATA, GP_1_13_DATA, GP_1_12_DATA,
> -               GP_1_11_DATA, GP_1_10_DATA, GP_1_9_DATA, GP_1_8_DATA,
> -               GP_1_7_DATA, GP_1_6_DATA, GP_1_5_DATA, GP_1_4_DATA,
> -               GP_1_3_DATA, GP_1_2_DATA, GP_1_1_DATA, GP_1_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT2", 0xE6052008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, GP_2_14_DATA, GP_2_13_DATA, GP_2_12_DATA,
> -               GP_2_11_DATA, GP_2_10_DATA, GP_2_9_DATA, GP_2_8_DATA,
> -               GP_2_7_DATA, GP_2_6_DATA, GP_2_5_DATA, GP_2_4_DATA,
> -               GP_2_3_DATA, GP_2_2_DATA, GP_2_1_DATA, GP_2_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT3", 0xE6053008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               GP_3_15_DATA, GP_3_14_DATA, GP_3_13_DATA, GP_3_12_DATA,
> -               GP_3_11_DATA, GP_3_10_DATA, GP_3_9_DATA, GP_3_8_DATA,
> -               GP_3_7_DATA, GP_3_6_DATA, GP_3_5_DATA, GP_3_4_DATA,
> -               GP_3_3_DATA, GP_3_2_DATA, GP_3_1_DATA, GP_3_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT4", 0xE6054008, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, GP_4_17_DATA, GP_4_16_DATA,
> -               GP_4_15_DATA, GP_4_14_DATA, GP_4_13_DATA, GP_4_12_DATA,
> -               GP_4_11_DATA, GP_4_10_DATA, GP_4_9_DATA, GP_4_8_DATA,
> -               GP_4_7_DATA, GP_4_6_DATA, GP_4_5_DATA, GP_4_4_DATA,
> -               GP_4_3_DATA, GP_4_2_DATA, GP_4_1_DATA, GP_4_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT5", 0xE6055008, 32) {
> -               0, 0, 0, 0,
> -               0, 0, GP_5_25_DATA, GP_5_24_DATA,
> -               GP_5_23_DATA, GP_5_22_DATA, GP_5_21_DATA, GP_5_20_DATA,
> -               GP_5_19_DATA, GP_5_18_DATA, GP_5_17_DATA, GP_5_16_DATA,
> -               GP_5_15_DATA, GP_5_14_DATA, GP_5_13_DATA, GP_5_12_DATA,
> -               GP_5_11_DATA, GP_5_10_DATA, GP_5_9_DATA, GP_5_8_DATA,
> -               GP_5_7_DATA, GP_5_6_DATA, GP_5_5_DATA, GP_5_4_DATA,
> -               GP_5_3_DATA, GP_5_2_DATA, GP_5_1_DATA, GP_5_0_DATA }
> -       },
> -       { PINMUX_DATA_REG("INDT6", 0xE6055408, 32) {
> -               GP_INDT(6) }
> -       },
> -       { PINMUX_DATA_REG("INDT7", 0xE6055808, 32) {
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0, 0, 0, 0, 0,
> -               0, 0, 0, 0,
> -               GP_7_3_DATA, GP_7_2_DATA, GP_7_1_DATA, GP_7_0_DATA }
> -       },
> -       { },
> -};
> -
> -static struct pinmux_info r8a7796_pinmux_info = {
> -       .name = "r8a7796_pfc",
> -
> -       .unlock_reg = 0xe6060000, /* PMMR */
> -
> -       .reserved_id = PINMUX_RESERVED,
> -       .data = { PINMUX_DATA_BEGIN, PINMUX_DATA_END },
> -       .input = { PINMUX_INPUT_BEGIN, PINMUX_INPUT_END },
> -       .output = { PINMUX_OUTPUT_BEGIN, PINMUX_OUTPUT_END },
> -       .mark = { PINMUX_MARK_BEGIN, PINMUX_MARK_END },
> -       .function = { PINMUX_FUNCTION_BEGIN, PINMUX_FUNCTION_END },
> -
> -       .first_gpio = GPIO_GP_0_0,
> -       .last_gpio = GPIO_FN_FMIN_D,
> -
> -       .gpios = pinmux_gpios,
> -       .cfg_regs = pinmux_config_regs,
> -       .data_regs = pinmux_data_regs,
> -
> -       .gpio_data = pinmux_data,
> -       .gpio_data_size = ARRAY_SIZE(pinmux_data),
> -};
> -
> -void r8a7796_pinmux_init(void)
> -{
> -       register_pinmux(&r8a7796_pinmux_info);
> -}
> --
> 2.11.0
>



-- 
Nobuhiro Iwamatsu
   iwamatsu at {nigauri.org / debian.org}
   GPG ID: 40AD1FA6

^ permalink raw reply	[flat|nested] 7+ messages in thread

* [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables
  2017-10-03 23:46   ` Nobuhiro Iwamatsu
@ 2017-10-04  1:05     ` Marek Vasut
  0 siblings, 0 replies; 7+ messages in thread
From: Marek Vasut @ 2017-10-04  1:05 UTC (permalink / raw)
  To: u-boot

On 10/04/2017 01:46 AM, Nobuhiro Iwamatsu wrote:
> Hi!
> 
> This patch breaks the compiling of board/renesas/ulcb/cpld.c
> ----
> board/renesas/ulcb/cpld.c: In function 'ulcb_softspi_sda':
> board/renesas/ulcb/cpld.c:17:16: error: 'GPIO_GP_6_7' undeclared
> (first use in this function)
>  #define MOSI   GPIO_GP_6_7
>                 ^
> board/renesas/ulcb/cpld.c:46:17: note: in expansion of macro 'MOSI'
>   gpio_set_value(MOSI, set);
>                  ^~~~
> 
> ----
> 
> Could you check about this?

Please pick:

[PATCH] ARM: rmobile: Fixup ULCB CPLD support after PFC rework

that fixes it until I obtain a physical ULCB and rewrite the CPLD
support to a proper DM/DT capable driver.

-- 
Best regards,
Marek Vasut

^ permalink raw reply	[flat|nested] 7+ messages in thread

end of thread, other threads:[~2017-10-04  1:05 UTC | newest]

Thread overview: 7+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2017-09-15 19:13 [U-Boot] [PATCH 1/5] pinctrl: rmobile: Add Renesas RCar pincontrol driver Marek Vasut
2017-09-15 19:13 ` [U-Boot] [PATCH 2/5] gpio: rmobile: Add Renesas RCar GPIO driver Marek Vasut
2017-09-15 19:13 ` [U-Boot] [PATCH 3/5] ARM: rmobile: Switch to DM PFC pinmux and " Marek Vasut
2017-09-15 19:13 ` [U-Boot] [PATCH 4/5] ARM: rmobile: Zap ad-hoc PFC and GPIO setup in board files Marek Vasut
2017-09-15 19:13 ` [U-Boot] [PATCH 5/5] ARM: rmobile: Zap Gen3 PFC tables Marek Vasut
2017-10-03 23:46   ` Nobuhiro Iwamatsu
2017-10-04  1:05     ` Marek Vasut

This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.