All of lore.kernel.org
 help / color / mirror / Atom feed
* [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test
@ 2018-07-11  7:10 Michael Ellerman
  2018-07-11  7:10 ` [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests Michael Ellerman
                   ` (3 more replies)
  0 siblings, 4 replies; 6+ messages in thread
From: Michael Ellerman @ 2018-07-11  7:10 UTC (permalink / raw)
  To: linuxppc-dev; +Cc: mikey, chris

This is a test of the ISA 3.0 "copy" instruction. That instruction has
an L field, which if set to 1 specifies that "the instruction
identifies the beginning of a move group" (pp 858). That's also
referred to as "copy first" vs "copy".

In ISA 3.0B the copy instruction does not have an L field, and the
corresponding bit in the instruction must be set to 1.

This test is generating a "copy" instruction, not a "copy first", and
so on Power9 (which implements 3.0B), this results in an illegal
instruction.

So just drop the test entirely. We still have copy_first_unaligned to
test the "copy first" behaviour.

Signed-off-by: Michael Ellerman <mpe@ellerman.id.au>
---
 .../testing/selftests/powerpc/alignment/.gitignore |  1 -
 tools/testing/selftests/powerpc/alignment/Makefile |  2 +-
 .../selftests/powerpc/alignment/copy_unaligned.c   | 41 ----------------------
 3 files changed, 1 insertion(+), 43 deletions(-)
 delete mode 100644 tools/testing/selftests/powerpc/alignment/copy_unaligned.c

diff --git a/tools/testing/selftests/powerpc/alignment/.gitignore b/tools/testing/selftests/powerpc/alignment/.gitignore
index 9d383073b7ad..78ac678b175b 100644
--- a/tools/testing/selftests/powerpc/alignment/.gitignore
+++ b/tools/testing/selftests/powerpc/alignment/.gitignore
@@ -1,4 +1,3 @@
-copy_unaligned
 copy_first_unaligned
 paste_unaligned
 paste_last_unaligned
diff --git a/tools/testing/selftests/powerpc/alignment/Makefile b/tools/testing/selftests/powerpc/alignment/Makefile
index 083a48a008b4..2d09d0e28b35 100644
--- a/tools/testing/selftests/powerpc/alignment/Makefile
+++ b/tools/testing/selftests/powerpc/alignment/Makefile
@@ -1,4 +1,4 @@
-TEST_GEN_PROGS := copy_unaligned copy_first_unaligned paste_unaligned \
+TEST_GEN_PROGS := copy_first_unaligned paste_unaligned \
 	paste_last_unaligned alignment_handler
 
 include ../../lib.mk
diff --git a/tools/testing/selftests/powerpc/alignment/copy_unaligned.c b/tools/testing/selftests/powerpc/alignment/copy_unaligned.c
deleted file mode 100644
index 3a4e26461554..000000000000
--- a/tools/testing/selftests/powerpc/alignment/copy_unaligned.c
+++ /dev/null
@@ -1,41 +0,0 @@
-/*
- * Copyright 2016, Chris Smart, IBM Corporation.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License
- * as published by the Free Software Foundation; either version
- * 2 of the License, or (at your option) any later version.
- *
- * Calls to copy which are not 128-byte aligned should be caught
- * and sent a SIGBUS.
- *
- */
-
-#include <string.h>
-#include <unistd.h>
-#include "utils.h"
-#include "instructions.h"
-#include "copy_paste_unaligned_common.h"
-
-unsigned int expected_instruction = PPC_INST_COPY;
-unsigned int instruction_mask = 0xfc0007fe;
-
-int test_copy_unaligned(void)
-{
-	/* Only run this test on a P9 or later */
-	SKIP_IF(!have_hwcap2(PPC_FEATURE2_ARCH_3_00));
-
-	/* Register our signal handler with SIGBUS */
-	setup_signal_handler();
-
-	/* +1 makes buf unaligned */
-	copy(cacheline_buf+1);
-
-	/* We should not get here */
-	return 1;
-}
-
-int main(int argc, char *argv[])
-{
-	return test_harness(test_copy_unaligned, "test_copy_unaligned");
-}
-- 
2.14.1

^ permalink raw reply related	[flat|nested] 6+ messages in thread

* [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests
  2018-07-11  7:10 [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Ellerman
@ 2018-07-11  7:10 ` Michael Ellerman
  2018-07-12  2:00   ` Michael Neuling
  2018-07-11  7:10 ` [PATCH 3/3] selftests/powerpc: Consolidate copy/paste test logic Michael Ellerman
                   ` (2 subsequent siblings)
  3 siblings, 1 reply; 6+ messages in thread
From: Michael Ellerman @ 2018-07-11  7:10 UTC (permalink / raw)
  To: linuxppc-dev; +Cc: mikey, chris

Paste on POWER9 only works to accelerators and not on real memory. So
these tests just generate a SIGILL.

So just delete them.

Signed-off-by: Michael Ellerman <mpe@ellerman.id.au>
---
 .../testing/selftests/powerpc/alignment/.gitignore |  2 -
 tools/testing/selftests/powerpc/alignment/Makefile |  3 +-
 .../powerpc/alignment/paste_last_unaligned.c       | 43 ----------------------
 .../selftests/powerpc/alignment/paste_unaligned.c  | 43 ----------------------
 4 files changed, 1 insertion(+), 90 deletions(-)
 delete mode 100644 tools/testing/selftests/powerpc/alignment/paste_last_unaligned.c
 delete mode 100644 tools/testing/selftests/powerpc/alignment/paste_unaligned.c

diff --git a/tools/testing/selftests/powerpc/alignment/.gitignore b/tools/testing/selftests/powerpc/alignment/.gitignore
index 78ac678b175b..9c9b2e9b11c4 100644
--- a/tools/testing/selftests/powerpc/alignment/.gitignore
+++ b/tools/testing/selftests/powerpc/alignment/.gitignore
@@ -1,5 +1,3 @@
 copy_first_unaligned
-paste_unaligned
-paste_last_unaligned
 copy_paste_unaligned_common
 alignment_handler
diff --git a/tools/testing/selftests/powerpc/alignment/Makefile b/tools/testing/selftests/powerpc/alignment/Makefile
index 2d09d0e28b35..58d0e0ed8ff5 100644
--- a/tools/testing/selftests/powerpc/alignment/Makefile
+++ b/tools/testing/selftests/powerpc/alignment/Makefile
@@ -1,5 +1,4 @@
-TEST_GEN_PROGS := copy_first_unaligned paste_unaligned \
-	paste_last_unaligned alignment_handler
+TEST_GEN_PROGS := copy_first_unaligned alignment_handler
 
 include ../../lib.mk
 
diff --git a/tools/testing/selftests/powerpc/alignment/paste_last_unaligned.c b/tools/testing/selftests/powerpc/alignment/paste_last_unaligned.c
deleted file mode 100644
index 6e0ad045fcc3..000000000000
--- a/tools/testing/selftests/powerpc/alignment/paste_last_unaligned.c
+++ /dev/null
@@ -1,43 +0,0 @@
-/*
- * Copyright 2016, Chris Smart, IBM Corporation.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License
- * as published by the Free Software Foundation; either version
- * 2 of the License, or (at your option) any later version.
- *
- * Calls to paste_last which are not 128-byte aligned should be
- * caught and sent a SIGBUS.
- *
- */
-
-#include <string.h>
-#include <unistd.h>
-#include "utils.h"
-#include "instructions.h"
-#include "copy_paste_unaligned_common.h"
-
-unsigned int expected_instruction = PPC_INST_PASTE_LAST;
-unsigned int instruction_mask = 0xfc2007ff;
-
-int test_paste_last_unaligned(void)
-{
-	/* Only run this test on a P9 or later */
-	SKIP_IF(!have_hwcap2(PPC_FEATURE2_ARCH_3_00));
-
-	/* Register our signal handler with SIGBUS */
-	setup_signal_handler();
-
-	copy(cacheline_buf);
-
-	/* +1 makes buf unaligned */
-	paste_last(cacheline_buf+1);
-
-	/* We should not get here */
-	return 1;
-}
-
-int main(int argc, char *argv[])
-{
-	return test_harness(test_paste_last_unaligned, "test_paste_last_unaligned");
-}
diff --git a/tools/testing/selftests/powerpc/alignment/paste_unaligned.c b/tools/testing/selftests/powerpc/alignment/paste_unaligned.c
deleted file mode 100644
index 6f982b45e4bd..000000000000
--- a/tools/testing/selftests/powerpc/alignment/paste_unaligned.c
+++ /dev/null
@@ -1,43 +0,0 @@
-/*
- * Copyright 2016, Chris Smart, IBM Corporation.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License
- * as published by the Free Software Foundation; either version
- * 2 of the License, or (at your option) any later version.
- *
- * Calls to paste which are not 128-byte aligned should be caught
- * and sent a SIGBUS.
- *
- */
-
-#include <string.h>
-#include <unistd.h>
-#include "utils.h"
-#include "instructions.h"
-#include "copy_paste_unaligned_common.h"
-
-unsigned int expected_instruction = PPC_INST_PASTE;
-unsigned int instruction_mask = 0xfc0007fe;
-
-int test_paste_unaligned(void)
-{
-	/* Only run this test on a P9 or later */
-	SKIP_IF(!have_hwcap2(PPC_FEATURE2_ARCH_3_00));
-
-	/* Register our signal handler with SIGBUS */
-	setup_signal_handler();
-
-	copy(cacheline_buf);
-
-	/* +1 makes buf unaligned */
-	paste(cacheline_buf+1);
-
-	/* We should not get here */
-	return 1;
-}
-
-int main(int argc, char *argv[])
-{
-	return test_harness(test_paste_unaligned, "test_paste_unaligned");
-}
-- 
2.14.1

^ permalink raw reply related	[flat|nested] 6+ messages in thread

* [PATCH 3/3] selftests/powerpc: Consolidate copy/paste test logic
  2018-07-11  7:10 [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Ellerman
  2018-07-11  7:10 ` [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests Michael Ellerman
@ 2018-07-11  7:10 ` Michael Ellerman
  2018-07-12  2:00 ` [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Neuling
  2018-07-23 15:11 ` [1/3] " Michael Ellerman
  3 siblings, 0 replies; 6+ messages in thread
From: Michael Ellerman @ 2018-07-11  7:10 UTC (permalink / raw)
  To: linuxppc-dev; +Cc: mikey, chris

This logic was shared between multiple tests, but now that we have
removed all but one of them we can just move it into that test.

Signed-off-by: Michael Ellerman <mpe@ellerman.id.au>
---
 .../testing/selftests/powerpc/alignment/.gitignore |  1 -
 tools/testing/selftests/powerpc/alignment/Makefile |  2 +-
 .../powerpc/alignment/copy_first_unaligned.c       | 33 +++++++++++++-
 .../alignment/copy_paste_unaligned_common.c        | 53 ----------------------
 .../alignment/copy_paste_unaligned_common.h        | 26 -----------
 5 files changed, 33 insertions(+), 82 deletions(-)
 delete mode 100644 tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.c
 delete mode 100644 tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.h

diff --git a/tools/testing/selftests/powerpc/alignment/.gitignore b/tools/testing/selftests/powerpc/alignment/.gitignore
index 9c9b2e9b11c4..6d4fd014511c 100644
--- a/tools/testing/selftests/powerpc/alignment/.gitignore
+++ b/tools/testing/selftests/powerpc/alignment/.gitignore
@@ -1,3 +1,2 @@
 copy_first_unaligned
-copy_paste_unaligned_common
 alignment_handler
diff --git a/tools/testing/selftests/powerpc/alignment/Makefile b/tools/testing/selftests/powerpc/alignment/Makefile
index 58d0e0ed8ff5..93baacab7693 100644
--- a/tools/testing/selftests/powerpc/alignment/Makefile
+++ b/tools/testing/selftests/powerpc/alignment/Makefile
@@ -2,4 +2,4 @@ TEST_GEN_PROGS := copy_first_unaligned alignment_handler
 
 include ../../lib.mk
 
-$(TEST_GEN_PROGS): ../harness.c ../utils.c copy_paste_unaligned_common.c
+$(TEST_GEN_PROGS): ../harness.c ../utils.c
diff --git a/tools/testing/selftests/powerpc/alignment/copy_first_unaligned.c b/tools/testing/selftests/powerpc/alignment/copy_first_unaligned.c
index 47b73b3a08bd..5a9589987702 100644
--- a/tools/testing/selftests/powerpc/alignment/copy_first_unaligned.c
+++ b/tools/testing/selftests/powerpc/alignment/copy_first_unaligned.c
@@ -11,15 +11,46 @@
  *
  */
 
+#include <signal.h>
 #include <string.h>
 #include <unistd.h>
 #include "utils.h"
 #include "instructions.h"
-#include "copy_paste_unaligned_common.h"
 
 unsigned int expected_instruction = PPC_INST_COPY_FIRST;
 unsigned int instruction_mask = 0xfc2007fe;
 
+void signal_action_handler(int signal_num, siginfo_t *info, void *ptr)
+{
+	ucontext_t *ctx = ptr;
+#ifdef __powerpc64__
+	unsigned int *pc = (unsigned int *)ctx->uc_mcontext.gp_regs[PT_NIP];
+#else
+	unsigned int *pc = (unsigned int *)ctx->uc_mcontext.uc_regs->gregs[PT_NIP];
+#endif
+
+	/*
+	 * Check that the signal was on the correct instruction, using a
+	 * mask because the compiler assigns the register at RB.
+	 */
+	if ((*pc & instruction_mask) == expected_instruction)
+		_exit(0); /* We hit the right instruction */
+
+	_exit(1);
+}
+
+void setup_signal_handler(void)
+{
+	struct sigaction signal_action;
+
+	memset(&signal_action, 0, sizeof(signal_action));
+	signal_action.sa_sigaction = signal_action_handler;
+	signal_action.sa_flags = SA_SIGINFO;
+	sigaction(SIGBUS, &signal_action, NULL);
+}
+
+char cacheline_buf[128] __cacheline_aligned;
+
 int test_copy_first_unaligned(void)
 {
 	/* Only run this test on a P9 or later */
diff --git a/tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.c b/tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.c
deleted file mode 100644
index d35fa5f5d2d3..000000000000
--- a/tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.c
+++ /dev/null
@@ -1,53 +0,0 @@
-/*
- * Copyright 2016, Chris Smart, IBM Corporation.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License
- * as published by the Free Software Foundation; either version
- * 2 of the License, or (at your option) any later version.
- *
- * Common code for copy, copy_first, paste and paste_last unaligned
- * tests.
- *
- */
-
-#include <signal.h>
-#include <string.h>
-#include <unistd.h>
-#include "utils.h"
-#include "instructions.h"
-#include "copy_paste_unaligned_common.h"
-
-unsigned int expected_instruction;
-unsigned int instruction_mask;
-
-char cacheline_buf[128] __cacheline_aligned;
-
-void signal_action_handler(int signal_num, siginfo_t *info, void *ptr)
-{
-	ucontext_t *ctx = ptr;
-#if defined(__powerpc64__)
-	unsigned int *pc = (unsigned int *)ctx->uc_mcontext.gp_regs[PT_NIP];
-#else
-	unsigned int *pc = (unsigned int *)ctx->uc_mcontext.uc_regs->gregs[PT_NIP];
-#endif
-
-	/*
-	 * Check that the signal was on the correct instruction, using a
-	 * mask because the compiler assigns the register at RB.
-	 */
-	if ((*pc & instruction_mask) == expected_instruction)
-		_exit(0); /* We hit the right instruction */
-
-	_exit(1);
-}
-
-void setup_signal_handler(void)
-{
-	struct sigaction signal_action;
-
-	memset(&signal_action, 0, sizeof(signal_action));
-	signal_action.sa_sigaction = signal_action_handler;
-	signal_action.sa_flags = SA_SIGINFO;
-	sigaction(SIGBUS, &signal_action, NULL);
-}
diff --git a/tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.h b/tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.h
deleted file mode 100644
index 053899fe506e..000000000000
--- a/tools/testing/selftests/powerpc/alignment/copy_paste_unaligned_common.h
+++ /dev/null
@@ -1,26 +0,0 @@
-/*
- * Copyright 2016, Chris Smart, IBM Corporation.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License
- * as published by the Free Software Foundation; either version
- * 2 of the License, or (at your option) any later version.
- *
- * Declarations for common code for copy, copy_first, paste and
- * paste_last unaligned tests.
- *
- */
-
-#ifndef _SELFTESTS_POWERPC_COPY_PASTE_H
-#define _SELFTESTS_POWERPC_COPY_PASTE_H
-
-#include <signal.h>
-
-int main(int argc, char *argv[]);
-void signal_action_handler(int signal_num, siginfo_t *info, void *ptr);
-void setup_signal_handler(void);
-extern char cacheline_buf[128] __cacheline_aligned;
-extern unsigned int expected_instruction;
-extern unsigned int instruction_mask;
-
-#endif /* _SELFTESTS_POWERPC_COPY_PASTE_H */
-- 
2.14.1

^ permalink raw reply related	[flat|nested] 6+ messages in thread

* Re: [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test
  2018-07-11  7:10 [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Ellerman
  2018-07-11  7:10 ` [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests Michael Ellerman
  2018-07-11  7:10 ` [PATCH 3/3] selftests/powerpc: Consolidate copy/paste test logic Michael Ellerman
@ 2018-07-12  2:00 ` Michael Neuling
  2018-07-23 15:11 ` [1/3] " Michael Ellerman
  3 siblings, 0 replies; 6+ messages in thread
From: Michael Neuling @ 2018-07-12  2:00 UTC (permalink / raw)
  To: Michael Ellerman, linuxppc-dev; +Cc: chris

On Wed, 2018-07-11 at 17:10 +1000, Michael Ellerman wrote:
> This is a test of the ISA 3.0 "copy" instruction. That instruction has
> an L field, which if set to 1 specifies that "the instruction
> identifies the beginning of a move group" (pp 858). That's also
> referred to as "copy first" vs "copy".
>=20
> In ISA 3.0B the copy instruction does not have an L field, and the
> corresponding bit in the instruction must be set to 1.
>=20
> This test is generating a "copy" instruction, not a "copy first", and
> so on Power9 (which implements 3.0B), this results in an illegal
> instruction.
>=20
> So just drop the test entirely. We still have copy_first_unaligned to
> test the "copy first" behaviour.
>=20
> Signed-off-by: Michael Ellerman <mpe@ellerman.id.au>

Acked-by: Michael Neuling <mikey@neuling.org>

^ permalink raw reply	[flat|nested] 6+ messages in thread

* Re: [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests
  2018-07-11  7:10 ` [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests Michael Ellerman
@ 2018-07-12  2:00   ` Michael Neuling
  0 siblings, 0 replies; 6+ messages in thread
From: Michael Neuling @ 2018-07-12  2:00 UTC (permalink / raw)
  To: Michael Ellerman, linuxppc-dev; +Cc: chris

On Wed, 2018-07-11 at 17:10 +1000, Michael Ellerman wrote:
> Paste on POWER9 only works to accelerators and not on real memory. So
> these tests just generate a SIGILL.
>=20
> So just delete them.
>=20
> Signed-off-by: Michael Ellerman <mpe@ellerman.id.au>

Acked-by: Michael Neuling <mikey@neuling.org>

^ permalink raw reply	[flat|nested] 6+ messages in thread

* Re: [1/3] selftests/powerpc: Remove Power9 copy_unaligned test
  2018-07-11  7:10 [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Ellerman
                   ` (2 preceding siblings ...)
  2018-07-12  2:00 ` [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Neuling
@ 2018-07-23 15:11 ` Michael Ellerman
  3 siblings, 0 replies; 6+ messages in thread
From: Michael Ellerman @ 2018-07-23 15:11 UTC (permalink / raw)
  To: Michael Ellerman, linuxppc-dev; +Cc: mikey, chris

On Wed, 2018-07-11 at 07:10:15 UTC, Michael Ellerman wrote:
> This is a test of the ISA 3.0 "copy" instruction. That instruction has
> an L field, which if set to 1 specifies that "the instruction
> identifies the beginning of a move group" (pp 858). That's also
> referred to as "copy first" vs "copy".
> 
> In ISA 3.0B the copy instruction does not have an L field, and the
> corresponding bit in the instruction must be set to 1.
> 
> This test is generating a "copy" instruction, not a "copy first", and
> so on Power9 (which implements 3.0B), this results in an illegal
> instruction.
> 
> So just drop the test entirely. We still have copy_first_unaligned to
> test the "copy first" behaviour.
> 
> Signed-off-by: Michael Ellerman <mpe@ellerman.id.au>
> Acked-by: Michael Neuling <mikey@neuling.org>

Series applied to powerpc next.

https://git.kernel.org/powerpc/c/83039f22ba2f6aff935a2acbb6bf67

cheers

^ permalink raw reply	[flat|nested] 6+ messages in thread

end of thread, other threads:[~2018-07-23 15:11 UTC | newest]

Thread overview: 6+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2018-07-11  7:10 [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Ellerman
2018-07-11  7:10 ` [PATCH 2/3] selftests/powerpc: Remove Power9 paste tests Michael Ellerman
2018-07-12  2:00   ` Michael Neuling
2018-07-11  7:10 ` [PATCH 3/3] selftests/powerpc: Consolidate copy/paste test logic Michael Ellerman
2018-07-12  2:00 ` [PATCH 1/3] selftests/powerpc: Remove Power9 copy_unaligned test Michael Neuling
2018-07-23 15:11 ` [1/3] " Michael Ellerman

This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.