devicetree.vger.kernel.org archive mirror
 help / color / mirror / Atom feed
* [PATCH v2 1/1] add missing UARTs pins and I2C entriesfor AllWinner H3 DTSI
@ 2016-04-19 19:50 Martin Ayotte
       [not found] ` <CAKQ8BtjPhfJC+njOUvo-4ZQ+Oj==LqQEhJ1LQROjY85hswE9Mg-JsoAwUIsXosN+BqQ9rBEUg@public.gmane.org>
  0 siblings, 1 reply; 3+ messages in thread
From: Martin Ayotte @ 2016-04-19 19:50 UTC (permalink / raw)
  To: linux-sunxi-/JYPxA39Uh5TLH3MbocFFw,
	linux-arm-kernel-IAPFreCvJWM7uuMidbF8XUB+6BGkLq7r,
	linux-i2c-u79uwXL29TY76Z2rM5mHXA,
	devicetree-u79uwXL29TY76Z2rM5mHXA,
	maxime.ripard-wi1+55ScJUtKEb57/3fJTNBPR1lH4CV8
  Cc: Hans De Goede, Chen-Yu Tsai, Jens Kuske, Jean-François Moine

Hi everyone,

This patch is submit to provide endusers access to additional UARTs on
AllWinner H3 SoC along with I2C ports.

Regards,
Martin.

---
 arch/arm/boot/dts/sun8i-h3.dtsi | 75 +++++++++++++++++++++++++++++++++++++++++
 1 file changed, 75 insertions(+)

diff --git a/arch/arm/boot/dts/sun8i-h3.dtsi b/arch/arm/boot/dts/sun8i-h3.dtsi
index 4a4926b..c947360d 100644
--- a/arch/arm/boot/dts/sun8i-h3.dtsi
+++ b/arch/arm/boot/dts/sun8i-h3.dtsi
@@ -508,6 +508,48 @@
                 allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
             };

+            uart1_pins_a: uart1@0 {
+                allwinner,pins = "PG6", "PG7";
+                allwinner,function = "uart1";
+                allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+            };
+
+            uart2_pins_a: uart2@0 {
+                allwinner,pins = "PA0", "PA1";
+                allwinner,function = "uart2";
+                allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+            };
+
+            uart3_pins_a: uart3@0 {
+                allwinner,pins = "PA13", "PA14";
+                allwinner,function = "uart3";
+                allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+            };
+
+            i2c0_pins_a: i2c0@0 {
+                allwinner,pins = "PA11", "PA12";
+                allwinner,function = "i2c0";
+                allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+            };
+
+            i2c1_pins_a: i2c1@0 {
+                allwinner,pins = "PA18", "PA19";
+                allwinner,function = "i2c1";
+                allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+            };
+
+            i2c2_pins_a: i2c2@0 {
+                allwinner,pins = "PE12", "PE13";
+                allwinner,function = "i2c2";
+                allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+            };
+
             mmc0_pins_a: mmc0@0 {
                 allwinner,pins = "PF0", "PF1", "PF2", "PF3",
                          "PF4", "PF5";
@@ -626,6 +668,39 @@
             status = "disabled";
         };

+        i2c0: i2c@01c2ac00 {
+            compatible = "allwinner,sun6i-a31-i2c";
+            reg = <0x01c2ac00 0x400>;
+            interrupts = <GIC_SPI 6 IRQ_TYPE_LEVEL_HIGH>;
+            clocks = <&bus_gates 96>;
+            resets = <&apb2_rst 0>;
+            status = "disabled";
+            #address-cells = <1>;
+            #size-cells = <0>;
+        };
+
+        i2c1: i2c@01c2b000 {
+            compatible = "allwinner,sun6i-a31-i2c";
+            reg = <0x01c2b000 0x400>;
+            interrupts = <GIC_SPI 7 IRQ_TYPE_LEVEL_HIGH>;
+            clocks = <&bus_gates 97>;
+            resets = <&apb2_rst 1>;
+            status = "disabled";
+            #address-cells = <1>;
+            #size-cells = <0>;
+        };
+
+        i2c2: i2c@01c2b400 {
+            compatible = "allwinner,sun6i-a31-i2c";
+            reg = <0x01c2b400 0x400>;
+            interrupts = <GIC_SPI 8 IRQ_TYPE_LEVEL_HIGH>;
+            clocks = <&bus_gates 98>;
+            resets = <&apb2_rst 2>;
+            status = "disabled";
+            #address-cells = <1>;
+            #size-cells = <0>;
+        };
+
         gic: interrupt-controller@01c81000 {
             compatible = "arm,cortex-a7-gic", "arm,cortex-a15-gic";
             reg = <0x01c81000 0x1000>,
-- 
2.7.4

^ permalink raw reply related	[flat|nested] 3+ messages in thread

* Re: [PATCH v2 1/1] add missing UARTs pins and I2C entriesfor AllWinner H3 DTSI
       [not found] ` <CAKQ8BtjPhfJC+njOUvo-4ZQ+Oj==LqQEhJ1LQROjY85hswE9Mg-JsoAwUIsXosN+BqQ9rBEUg@public.gmane.org>
@ 2016-05-02  6:46   ` Maxime Ripard
  2016-05-04 18:15     ` martinayotte-Re5JQEeQqe8AvxtiuMwx3w
  0 siblings, 1 reply; 3+ messages in thread
From: Maxime Ripard @ 2016-05-02  6:46 UTC (permalink / raw)
  To: Martin Ayotte
  Cc: linux-sunxi-/JYPxA39Uh5TLH3MbocFFw,
	linux-arm-kernel-IAPFreCvJWM7uuMidbF8XUB+6BGkLq7r,
	linux-i2c-u79uwXL29TY76Z2rM5mHXA,
	devicetree-u79uwXL29TY76Z2rM5mHXA, Hans De Goede, Chen-Yu Tsai,
	Jens Kuske, Jean-François Moine

[-- Attachment #1: Type: text/plain, Size: 2313 bytes --]

Hi,

On Tue, Apr 19, 2016 at 03:50:39PM -0400, Martin Ayotte wrote:
> Hi everyone,
> 
> This patch is submit to provide endusers access to additional UARTs on
> AllWinner H3 SoC along with I2C ports.

Unfortunately, your patch cannot be applied in its current form, both
because of process and technical reasons:

  * Every commits should have a commit title and log. While you do
    have a title, you used the log to store your cover letter. This is
    an issue, because that will be kept in the git history, which is
    obviously something we don't want.
    If you want to make a cover letter, you can either send it as a
    separate mail, or after the "---" below that will be ignored when
    applying the mails.
    On the other hand, the commit log should be used to say why ýour
    doing this patch and why it was needed.

  * You do not have a Signed-off-by tag in your commit log. This and
    the point above is documented in Documentation/SubmittingPatches,
    please make sure to read that first.

  * Your mailer completely corrupted the patch when you sent it,
    replacing all tabs by spaces, and wrapping the longer lines. That
    means that the patch cannot be applied anymore. Please fix your
    mailer, or use one that just works, like git send-email.

  * Finally, like Chen-Yu already told you, you're doing several
    different things here in a single patch, while you should have
    done separate patches. From what I can see, you're adding pinctrl
    groups for the uart and i2c pins, and adding the i2c controller
    nodes. That should have been ideally 3 patches: 1 for the uart
    pinctrl groups, 1 for the i2c pinctrl groups, 1 for the i2c
    controller nodes. We also don't take pinctrl groups that are not
    enabled on any boards to keep the DT size as small as possible.

Thanks,
Maxime

-- 
Maxime Ripard, Free Electrons
Embedded Linux, Kernel and Android engineering
http://free-electrons.com

-- 
You received this message because you are subscribed to the Google Groups "linux-sunxi" group.
To unsubscribe from this group and stop receiving emails from it, send an email to linux-sunxi+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/Ez6ZCGd0@public.gmane.org
For more options, visit https://groups.google.com/d/optout.

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 819 bytes --]

^ permalink raw reply	[flat|nested] 3+ messages in thread

* Re: [PATCH v2 1/1] add missing UARTs pins and I2C entriesfor AllWinner H3 DTSI
  2016-05-02  6:46   ` Maxime Ripard
@ 2016-05-04 18:15     ` martinayotte-Re5JQEeQqe8AvxtiuMwx3w
  0 siblings, 0 replies; 3+ messages in thread
From: martinayotte-Re5JQEeQqe8AvxtiuMwx3w @ 2016-05-04 18:15 UTC (permalink / raw)
  To: linux-sunxi
  Cc: martinayotte-Re5JQEeQqe8AvxtiuMwx3w,
	linux-arm-kernel-IAPFreCvJWM7uuMidbF8XUB+6BGkLq7r,
	linux-i2c-u79uwXL29TY76Z2rM5mHXA,
	devicetree-u79uwXL29TY76Z2rM5mHXA,
	jwrdegoede-rxtnV0ftBwyoClj4AeEUq9i2O/JbrIOy, wens-jdAy2FN1RRM,
	jenskuske-Re5JQEeQqe8AvxtiuMwx3w, moinejf-GANU6spQydw

[-- Attachment #1: Type: text/plain, Size: 2741 bytes --]

Bonjour Maxime,

On Monday, May 2, 2016 at 2:46:57 AM UTC-4, Maxime Ripard wrote:
> Hi,
> 
> On Tue, Apr 19, 2016 at 03:50:39PM -0400, Martin Ayotte wrote:
> > Hi everyone,
> > 
> > This patch is submit to provide endusers access to additional UARTs on
> > AllWinner H3 SoC along with I2C ports.
> 
> Unfortunately, your patch cannot be applied in its current form, both
> because of process and technical reasons:
> 
>   * Every commits should have a commit title and log. While you do
>     have a title, you used the log to store your cover letter. This is
>     an issue, because that will be kept in the git history, which is
>     obviously something we don't want.
>     If you want to make a cover letter, you can either send it as a
>     separate mail, or after the "---" below that will be ignored when
>     applying the mails.
>     On the other hand, the commit log should be used to say why ýour
>     doing this patch and why it was needed.
> 
>   * You do not have a Signed-off-by tag in your commit log. This and
>     the point above is documented in Documentation/SubmittingPatches,
>     please make sure to read that first.
> 
>   * Your mailer completely corrupted the patch when you sent it,
>     replacing all tabs by spaces, and wrapping the longer lines. That
>     means that the patch cannot be applied anymore. Please fix your
>     mailer, or use one that just works, like git send-email.
> 
>   * Finally, like Chen-Yu already told you, you're doing several
>     different things here in a single patch, while you should have
>     done separate patches. From what I can see, you're adding pinctrl
>     groups for the uart and i2c pins, and adding the i2c controller
>     nodes. That should have been ideally 3 patches: 1 for the uart
>     pinctrl groups, 1 for the i2c pinctrl groups, 1 for the i2c
>     controller nodes. We also don't take pinctrl groups that are not
>     enabled on any boards to keep the DT size as small as possible.
> 
> Thanks,
> Maxime
> 
> -- 
> Maxime Ripard, Free Electrons
> Embedded Linux, Kernel and Android engineering
> http://free-electrons.com

Thanks for your help and make me understanding more the process.

I've now prepared a new v3 for that, and just send it.
Strangely, it seems that the 3 patches been sent separately. 
I will try to figure out what happened.

Regards,
Martin.

-- 
You received this message because you are subscribed to the Google Groups "linux-sunxi" group.
To unsubscribe from this group and stop receiving emails from it, send an email to linux-sunxi+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/Ez6ZCGd0@public.gmane.org
For more options, visit https://groups.google.com/d/optout.

^ permalink raw reply	[flat|nested] 3+ messages in thread

end of thread, other threads:[~2016-05-04 18:15 UTC | newest]

Thread overview: 3+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2016-04-19 19:50 [PATCH v2 1/1] add missing UARTs pins and I2C entriesfor AllWinner H3 DTSI Martin Ayotte
     [not found] ` <CAKQ8BtjPhfJC+njOUvo-4ZQ+Oj==LqQEhJ1LQROjY85hswE9Mg-JsoAwUIsXosN+BqQ9rBEUg@public.gmane.org>
2016-05-02  6:46   ` Maxime Ripard
2016-05-04 18:15     ` martinayotte-Re5JQEeQqe8AvxtiuMwx3w

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for NNTP newsgroup(s).