All of lore.kernel.org
 help / color / mirror / Atom feed
 messages from 2020-12-01 15:09:12 to 2020-12-01 15:57:57 UTC [more...]

[PATCH v4 00/14] An alternative series for asymmetric AArch32 systems
 2020-12-01 15:56 UTC  (9+ messages)
` [PATCH v4 09/14] cpuset: Don't use the cpu_possible_mask as a last resort for cgroup v1

[PATCH 00/18] Add functionality to ipu3-cio2 driver allowing software_node connections to sensors on platforms designed for Windows
 2020-12-01 15:55 UTC  (10+ messages)
` [PATCH 14/18] acpi: utils: Add function to fetch dependent acpi_devices
` [PATCH 18/18] ipu3: Add driver for dummy INT3472 ACPI device

[PATCH net v3 0/2] ibmvnic: Bug fixes for queue descriptor processing
 2020-12-01 15:52 UTC  (5+ messages)
` [PATCH net v3 1/2] ibmvnic: Ensure that SCRQ entry reads are correctly ordered
` [PATCH net v3 2/2] ibmvnic: Fix TX completion error handling

[PATCH for-6.0 00/11] target/arm: enforce alignment
 2020-12-01 15:55 UTC  (2+ messages)

[PATCH v2 1/5] mbox: add polarfire soc system controller mailbox
 2020-12-01 15:54 UTC  (4+ messages)

[PATCH 00/15] qla2xxx bug fixes
 2020-12-01 15:54 UTC  (15+ messages)
` [PATCH 01/15] scsi: qla2xxx: Return EBUSY on fcport deletion
` [PATCH 02/15] qla2xxx: Change post del message from debug level to log level
` [PATCH 03/15] qla2xxx: limit interrupt vectors to number of cpu
` [PATCH 04/15] qla2xxx: tear down session if FW say its down
` [PATCH 05/15] qla2xxx: Don't check for fw_started while posting nvme command
` [PATCH 06/15] qla2xxx: Fix compilation issue in PPC systems
` [PATCH 07/15] qla2xxx: Fix crash during driver load on big endian machines

[PATCH v2 00/28] target/arm: Implement v8.1M and Cortex-M55
 2020-12-01 15:53 UTC  (10+ messages)
` [PATCH v2 20/28] target/arm: Implement new v8.1M VLLDM and VLSTM encodings
` [PATCH v2 21/28] hw/intc/armv7m_nvic: Correct handling of CCR.BFHFNMIGN
` [PATCH v2 22/28] hw/intc/armv7m_nvic: Support v8.1M CCR.TRD bit
` [PATCH v2 23/28] target/arm: Implement CCR_S.TRD behaviour for SG insns

[PATCH 0/5] irq: Reorder time handling against HARDIRQ_OFFSET on IRQ entry v2
 2020-12-01 15:53 UTC  (9+ messages)
` [PATCH 4/5] irqtime: Move irqtime entry accounting after irq offset incrementation

[PATCH 4.19 00/57] 4.19.161-rc1 review
 2020-12-01 15:52 UTC  (8+ messages)
` [PATCH 4.19 08/57] KVM: x86: Fix split-irqchip vs interrupt injection window request
` [PATCH 4.19 11/57] drm/atomic_helper: Stop modesets on unregistered connectors harder
` [PATCH 4.19 27/57] xtensa: uaccess: Add missing __user to strncpy_from_user() prototype

[PATCH v3 0/6] drm/tidss: Use new connector model for tidss
 2020-12-01 15:53 UTC  (6+ messages)
` [PATCH v3 4/6] drm/tidss: Set bus_format correctly from bridge/connector

[PATCH 0/5] drop unused BACKLIGHT_GENERIC option
 2020-12-01 15:50 UTC  (11+ messages)
` [PATCH 1/5] ARM: configs: "

[BUG] SPI broken for SPI based panel drivers
 2020-12-01 15:52 UTC  (12+ messages)

[Linux-kernel-mentees] [PATCH RFC] checkpatch: add warning for lines starting with a '#' in commit log
 2020-12-01 15:52 UTC 

[PATCH] fanotify: Fix sys_fanotify_mark() on native x86-32
 2020-12-01 15:51 UTC  (3+ messages)

[PATCH 0/3] firmware: xilinx: Clean up series
 2020-12-01 15:50 UTC  (8+ messages)
` [PATCH 1/3] firmware: xilinx: Remove additional newline
` [PATCH 2/3] firmware: xilinx: Add a blank line after function declaration
` [PATCH 3/3] firmware: xilinx: Properly align function parameter

[PATCH v5 0/3] Add support for MaxLinear/Exar USB to serial converters
 2020-12-01 15:51 UTC  (4+ messages)
` [PATCH v5 2/3] usb: serial: xr_serial: Add gpiochip support

[PATCH 0/6] Patches to support NFS re-exporting
 2020-12-01 15:50 UTC  (11+ messages)
` [PATCH 1/6] nfsd: add a new EXPORT_OP_NOWCC flag to struct export_operations

[Intel-gfx] [v4 0/2] Re-enable FBC on TGL
 2020-12-01 15:51 UTC  (3+ messages)
` [Intel-gfx] [v4 1/2] drm/i915/display/tgl: Disable FBC with PSR2

[PATCH v2 00/13] arch, mm: deprecate DISCONTIGMEM
 2020-12-01 15:33 UTC  (16+ messages)

[PATCH 0/3] bootconfig: Make size and checksum fields le32
 2020-12-01 15:48 UTC  (2+ messages)

[PATCH] drm/amd/pm: invalidate hdp before CPU access the memory written by GPU
 2020-12-01 15:47 UTC  (2+ messages)

[PATCH 0/3] arm64:msr: Add MSR driver
 2020-12-01 15:37 UTC  (18+ messages)

[PULL] u-boot-mips fixes for v2021.01
 2020-12-01 15:46 UTC  (2+ messages)

[PATCH] fs: 9p: add generic splice_read file operations
 2020-12-01 15:44 UTC  (6+ messages)
  ` [V9fs-developer] "
    ` [PATCH] fs: 9p: add generic splice_write file operation

[PATCH 1/6] ldconfig-native: don't write auxiliary cache
 2020-12-01 15:45 UTC  (7+ messages)
` [PATCH 2/6] image_types: remove obsolete tar comment
` [PATCH 3/6] image_types: sort tarball file listings
` [PATCH 4/6] reproducible_build: clamp the rootfs tarball mtime to the epoch
  ` [OE-core] "
` [PATCH 5/6] package_manager/ipk: neaten OPKGLIBDIR logic
` [PATCH 6/6] package_manager/ipk: improve remove_packaging_data

[PATCH] iio: sx9310: Off by one in sx9310_read_thresh()
 2020-12-01 15:43 UTC  (3+ messages)

b4 ty thanking for everything
 2020-12-01 15:43 UTC  (3+ messages)
  ` [tools] "

[PATCH] ide:atapi: check io_buffer_index in ide_atapi_cmd_reply_end
 2020-12-01 15:42 UTC  (9+ messages)

drivers/media/test-drivers/vidtv/vidtv_psi.c:432:62: warning: Same expression on both sides of '-' because 'sizeof(struct vidtv_psi_desc_service_list_entry)' and 'sizeof(struct represent the same value. [knownCondition
 2020-12-01 15:43 UTC 

Yocto Project Status WW48'20
 2020-12-01 15:43 UTC 

[Intel-gfx] [PATCH 0/9] drm/i915: Add the missing wakeref tracking for display power references
 2020-12-01 15:42 UTC  (2+ messages)
` [Intel-gfx] ✓ Fi.CI.IGT: success for "

[PATCH v3 0/2] Add drivers for Intel Keem Bay SoC watchdog
 2020-12-01 15:41 UTC  (4+ messages)
` [PATCH v3 1/2] watchdog: Add watchdog driver for Intel Keembay Soc
` [PATCH v3 2/2] dt-bindings: watchdog: Add bindings for Intel Keem Bay SoC

[PATCH] doc: make HTML manual reproducible
 2020-12-01 15:41 UTC  (2+ messages)

[PATCH v6 0/5] PCI: Unify ECAM constants in native PCI Express drivers
 2020-12-01 15:34 UTC  (5+ messages)

[PATCH 0/3] arm64: dts: rockchip: miscellaneous fixes for rk3399-rock-pi-4c
 2020-12-01 15:41 UTC  (4+ messages)
` [PATCH 1/3] arm64: dts: rockchip: fix supplies on rk3399-rock-pi-4
` [PATCH 2/3] arm64: dts: rockchip: fix I2S conflict "
` [PATCH 3/3] arm64: dts: rockchip: use USB host by default "

[PATCH 0/4] Modify bitmap_set_value() to suppress compiler warning
 2020-12-01 15:33 UTC  (4+ messages)
` [PATCH 3/4] gpio: xilinx: Modify bitmap_set_value() calls
  ` [RESEND PATCH "

[PATCH] sysvinit: Add bootlogd patch restoring console detection
 2020-12-01 15:40 UTC 

Clarification of statx->attributes_mask meaning?
 2020-12-01 15:39 UTC  (7+ messages)

[PATCH 0/6] arm64: dts: qcom: qrb5165-rb5 audio support
 2020-12-01 15:37 UTC  (7+ messages)
` [PATCH 1/6] arm64: dts: qcom: sm8250: add apr and its services
` [PATCH 2/6] arm64: dts: qcom: sm8250: add audio clock controllers
` [PATCH 3/6] arm64: dts: qcom: sm8250: add lpass lpi pin controller node
` [PATCH 4/6] arm64: dts: qcom: sm8250: add wsa and va codec macros
` [PATCH 5/6] arm64: dts: qcom: sm8250: add mi2s pinconfs
` [PATCH 6/6] arm64: dts: qcom: qrb5165-rb5: Add Audio support

Asoc: Intel: SST (CHT) regression in asoc/for-5.11
 2020-12-01 15:37 UTC  (5+ messages)

[RESEND PATCH v3 0/4] iommu/iova: Solve longterm IOVA issue
 2020-12-01 15:35 UTC  (3+ messages)

stable-rc/linux-4.9.y baseline: 125 runs, 6 regressions (v4.9.246-43-gc2b4ff37ba1a5)
 2020-12-01 15:34 UTC 

[PATCH 1/5] PCI/DPC: Ignore devices with no AER Capability
 2020-12-01 15:34 UTC  (2+ messages)

[igt-dev] [PATCH i-g-t 1/2] tests/kms_plane: optimize pixel format tests
 2020-12-01 15:34 UTC  (2+ messages)
` [igt-dev] ✗ Fi.CI.BUILD: failure for series starting with [i-g-t,1/2] tests/kms_plane: optimize pixel format tests (rev2)

[linux-next:master 1048/9613] drivers/gpu/drm/amd/amdgpu/amdgpu_fw_attestation.c:115:37: warning: unused variable 'amdgpu_fw_attestation_debugfs_ops'
 2020-12-01 15:33 UTC  (3+ messages)

[Intel-wired-lan] [net 1/6] ice: fix FDir IPv6 flexbyte
 2020-12-01 15:31 UTC  (4+ messages)
` [Intel-wired-lan] [net 3/6] ice: update the number of available RSS queues

[PATCH v1 bpf-next 00/11] Socket migration for SO_REUSEPORT
 2020-12-01 15:30 UTC  (7+ messages)
` [PATCH v1 bpf-next 03/11] tcp: Migrate TCP_ESTABLISHED/TCP_SYN_RECV sockets in accept queues
` [PATCH v1 bpf-next 04/11] tcp: Migrate TFO requests causing RST during TCP_SYN_RECV
` [PATCH v1 bpf-next 05/11] tcp: Migrate TCP_NEW_SYN_RECV requests

[Intel-gfx] [PATCH] drm/i915: refactor panel backlight control functions. (v2)
 2020-12-01 15:28 UTC  (2+ messages)
` [Intel-gfx] ✓ Fi.CI.IGT: success for drm/i915: refactor panel backlight control functions. (rev2)

RT long hang during boot on ARM64 SynQuacer™ 96Boards Box, v5.10-rc6-rt13
 2020-12-01 15:27 UTC 

[PATCH 1/2] nfsd: Avoid /* Fallthrough */
 2020-12-01 15:24 UTC  (2+ messages)

[PATCH 0/1] xfs: faster unaligned copy_file_range
 2020-12-01 15:25 UTC  (3+ messages)
` [PATCH 1/1] xfs: use reflink to assist unaligned copy_file_range calls

[PATCH v13 07/15] iommu/smmuv3: Allow stage 1 invalidation with unmanaged ASIDs
 2020-12-01 12:54 UTC 

[PATCH v13 07/15] iommu/smmuv3: Allow stage 1 invalidation with unmanaged ASIDs
 2020-12-01 13:33 UTC  (2+ messages)

[PATCH v5 16/16] scripts: kernel-doc: validate kernel-doc markup with the actual names
 2020-12-01 15:25 UTC  (3+ messages)

[PATCH net-next v1 0/3] vsock: Add flag field in the vsock address
 2020-12-01 15:25 UTC  (4+ messages)
` [PATCH net-next v1 1/3] vm_sockets: Include flag field in the vsock address data structure
` [PATCH net-next v1 2/3] virtio_transport_common: Set sibling VMs flag on the receive path
` [PATCH net-next v1 3/3] af_vsock: Assign the vsock transport considering the vsock address flag

[PATCH v2 0/4] Make overlayfs volatile mounts reusable
 2020-12-01 15:24 UTC  (11+ messages)
` [PATCH v2 2/4] overlay: Document current outstanding shortcoming of volatile

provisioning clients in teuthology with an extra local filesystem
 2020-12-01 15:24 UTC  (3+ messages)

[PATCH v4 00/23] xl / libxl: named PCI pass-through devices
 2020-12-01 15:25 UTC  (9+ messages)
` [PATCH v4 11/23] libxl: make sure callers of libxl_device_pci_list() free the list after use
` [PATCH v4 12/23] libxl: add libxl_device_pci_assignable_list_free()
` [PATCH v4 13/23] libxl: use COMPARE_PCI() macro is_pci_in_array()
` [PATCH v4 14/23] docs/man: extract documentation of PCI_SPEC_STRING from the xl.cfg manpage

Is git-am expected to honor core.sharedRepository?
 2020-12-01 15:23 UTC 

[PATCH v4 0/5] Support managed interrupts for platform devices
 2020-12-01 15:22 UTC  (4+ messages)
` [PATCH v4 1/5] genirq/affinity: Add irq_update_affinity_desc()

[PATCH for-4.14] Fix spelling errors
 2020-12-01 15:23 UTC  (3+ messages)

[PATCH] cpuidle: Select polling interval based on a c-state with a longer target residency
 2020-12-01 15:22 UTC  (5+ messages)

stable-rc/queue/4.9 baseline: 125 runs, 6 regressions (v4.9.246-42-gee84e658960f)
 2020-12-01 15:22 UTC 

[PATCH net v2] net/x25: prevent a couple of overflows
 2020-12-01 15:15 UTC  (2+ messages)

[GIT FIXES FOR v5.10] v2: Two pulse8-cec fixes
 2020-12-01 15:24 UTC  (2+ messages)
` [GIT FIXES FOR v5.10] v2: Two pulse8-cec fixes (#69574)

[PATCH] genksyms: Ignore module scoped _Static_assert()
 2020-12-01 15:20 UTC 

[git:media_tree/fixes] media: pulse8-cec: fix duplicate free at disconnect or probe error
 2020-12-01 15:19 UTC 

[git:media_tree/fixes] media: pulse8-cec: add support for FW v10 and up
 2020-12-01 15:20 UTC 

[PATCH] qmp-shell: Sort by key when pretty-printing
 2020-12-01 15:17 UTC  (4+ messages)

[PATCH] hwmon: corsair-psu: update supported devices
 2020-12-01 15:20 UTC  (8+ messages)

[RFC PATCH] ide: atapi: assert that the buffer pointer is in range
 2020-12-01 15:17 UTC  (2+ messages)

[RFC] ASoC: Add compatible for mt6359-sound device
 2020-12-01 15:16 UTC  (4+ messages)

[PATCH 0/3] hw/scsi/megasas: Avoid buffer overrun in megasas_handle_scsi()
 2020-12-01 15:13 UTC  (4+ messages)
` [PATCH 1/3] tests/qtest/fuzz-test: Quit test_lp1878642 once done
` [PATCH 2/3] hw/scsi/megasas: Assert cdb_len is valid in megasas_handle_scsi()
` [RFC PATCH 3/3] hw/scsi/megasas: Have incorrect cdb return MFI_STAT_ABORT_NOT_POSSIBLE

[RFC PATCH 0/2] add simple copy support
 2020-12-01 15:16 UTC  (4+ messages)
  ` [RFC PATCH 2/2] nvme: "

crypto: sun4i-ss: error with kmap
 2020-12-01 15:15 UTC  (7+ messages)

[PATCH v3] PCI: cadence: Retrain Link to work around Gen2 training defect
 2020-12-01 15:15 UTC  (7+ messages)

[RFC PATCH 000/162] DG1 + LMEM enabling
 2020-12-01 15:06 UTC  (4+ messages)
` [RFC PATCH 092/162] drm/i915/uapi: introduce drm_i915_gem_create_ext
  ` [Intel-gfx] "

[PATCH 0/1] [PULL REQUEST] iommu/vt-d: patches for v5.11
 2020-12-01 15:14 UTC  (2+ messages)

[PATCH] ext4: Don't leak old mountpoint samples
 2020-12-01 15:13 UTC 

[PATCH 0/2] gpio: tegra: Convert to gpio_irq_chip
 2020-12-01 15:08 UTC  (3+ messages)
` [PATCH 2/2] "

[Cluster-devel] [PATCHv2 dlm/next 00/17] fs: dlm: introduce dlm re-transmission layer
 2020-12-01 15:09 UTC  (18+ messages)
` [Cluster-devel] [PATCHv2 dlm/next 01/17] fs: dlm: set connected bit after accept
` [Cluster-devel] [PATCHv2 dlm/next 02/17] fs: dlm: set subclass for othercon sock_mutex
` [Cluster-devel] [PATCHv2 dlm/next 03/17] fs: dlm: add errno handling to check callback
` [Cluster-devel] [PATCHv2 dlm/next 04/17] fs: dlm: add check if dlm is currently running
` [Cluster-devel] [PATCHv2 dlm/next 05/17] fs: dlm: change allocation limits
` [Cluster-devel] [PATCHv2 dlm/next 06/17] fs: dlm: public header in out utility
` [Cluster-devel] [PATCHv2 dlm/next 07/17] fs: dlm: use GFP_ZERO for page buffer
` [Cluster-devel] [PATCHv2 dlm/next 08/17] fs: dlm: simplify writequeue handling
` [Cluster-devel] [PATCHv2 dlm/next 09/17] fs: dlm: add more midcomms hooks
` [Cluster-devel] [PATCHv2 dlm/next 10/17] fs: dlm: make buffer handling per msg
` [Cluster-devel] [PATCHv2 dlm/next 11/17] fs: dlm: make new buffer handling softirq ready
` [Cluster-devel] [PATCHv2 dlm/next 12/17] fs: dlm: add functionality to re-transmit a message
` [Cluster-devel] [PATCHv2 dlm/next 13/17] fs: dlm: move out some hash functionality
` [Cluster-devel] [PATCHv2 dlm/next 14/17] fs: dlm: remove unaligned memory access handling
` [Cluster-devel] [PATCHv2 dlm/next 15/17] fs: dlm: add union in dlm header for lockspace id
` [Cluster-devel] [PATCHv2 dlm/next 16/17] fs: dlm: add reliable connection if reconnect
` [Cluster-devel] [PATCHv2 dlm/next 17/17] fs: dlm: don't allow half transmitted messages

[kvm-unit-tests PATCH 00/10] GIC fixes and improvements
 2020-12-01 15:09 UTC  (7+ messages)
` [kvm-unit-tests PATCH 10/10] arm64: gic: Use IPI test checking for the LPI tests


This is an external index of several public inboxes,
see mirroring instructions on how to clone and mirror
all data and code used by this external index.