linux-kernel.vger.kernel.org archive mirror
 help / color / mirror / Atom feed
 messages from 2018-07-21 12:58:03 to 2018-07-21 22:28:57 UTC [more...]

[PATCH] genhd: register_disk: inform about dev_set_name() failure
 2018-07-21 22:28 UTC 

[GIT PULL] ARM: at91: DT for 4.19
 2018-07-21 21:31 UTC  (2+ messages)

[GIT PULL 2/3] arm64: dts: uniphier: UniPhier DT updates for v4.19
 2018-07-21 21:26 UTC  (2+ messages)

[GIT PULL 1/3] ARM: dts: uniphier: UniPhier DT updates for v4.19
 2018-07-21 21:26 UTC  (2+ messages)

[GIT PULL 3/3] ARM: uniphier: UniPhier SoC updates for v4.19
 2018-07-21 21:24 UTC  (2+ messages)

[GIT PULL] ARM: at91: SoC for 4.19
 2018-07-21 21:18 UTC  (2+ messages)

[PATCH] drivers/memory/Kconfig: Add CONFIG_OF dependency
 2018-07-21 21:53 UTC  (2+ messages)

[PATCH] drivers/pci/probe: Move variable bridge inside ifdef
 2018-07-21 21:45 UTC 

[PATCH 1/2] x86/entry/64: Do not clear %rbx under Xen
 2018-07-21 21:45 UTC  (5+ messages)
` [PATCH 2/2] xen/pv: Call get_cpu_address_sizes to set x86_virt/phys_bits

[PATCH 0/6] vt: no blinking on console, 256/24-bit color improvements
 2018-07-21 21:38 UTC  (3+ messages)

[PATCH 0/3] clk: meson: clk-pll driver update
 2018-07-21 21:37 UTC  (15+ messages)
` [PATCH 1/3] clk: meson: clk-pll: add enable bit
` [PATCH 2/3] clk: meson: clk-pll: remove od parameters
` [PATCH 3/3] clk: meson: clk-pll: drop hard-coded rates from pll tables

[PATCH 00/14] crypto: inside-secure - EIP197d support
 2018-07-21 21:35 UTC  (3+ messages)
` [PATCH 13/14] arm64: dts: marvell: armada-cp110: update the crypto engine compatible

[PATCH 1/1] x86/apic: Future-proof TSC_DEADLINE quirk for SKX
 2018-07-21 21:19 UTC 

[PATCH 1/3] iio: adc: add support for mcp3911
 2018-07-21 21:19 UTC  (4+ messages)
` [PATCH 2/3] dt-bindings: iio: adc: add bindings "
` [PATCH 3/3] MAINTAINERS: Add entry for mcp3911 ADC driver

[GIT PULL] ARM: SoC fixes
 2018-07-21 21:13 UTC 

[PATCH 0/3] PTI for x86-32 Fixes and Updates
 2018-07-21 21:06 UTC  (10+ messages)
` [PATCH 1/3] perf/core: Make sure the ring-buffer is mapped in all page-tables
` [PATCH 2/3] x86/entry/32: Check for VM86 mode in slow-path check

[RFC PATCH ghak90 (was ghak32) V3 00/10] audit: implement container identifier
 2018-07-21 20:29 UTC  (9+ messages)
` [RFC PATCH ghak90 (was ghak32) V3 02/10] audit: log container info of syscalls
` [RFC PATCH ghak90 (was ghak32) V3 08/10] audit: NETFILTER_PKT: record each container ID associated with a netNS
` [RFC PATCH ghak90 (was ghak32) V3 09/10] debug audit: read container ID of a process

UBSAN: Undefined behaviour in arch/x86/events/amd/ibs.c:582:24: member access within null pointer of type 'struct perf_event'
 2018-07-21 19:38 UTC  (3+ messages)

[PATCH v2 0/2] iio: adc: ti-ads7950: allow simultaneous use of buffer and direct mode
 2018-07-21 19:37 UTC  (3+ messages)
` [PATCH v2 1/2] iio: adc: ti-ads7950: use SPDX-License-Identifier
` [PATCH v2 2/2] iio: adc: ti-ads7950: allow simultaneous use of buffer and direct mode

[PATCH] mtd: solutionengine.c: fix printk format warnings
 2018-07-21 19:37 UTC 

[PATCH v2 00/10] staging:rtl8192u: Coding style changes
 2018-07-21 19:25 UTC  (11+ messages)
` [PATCH v2 01/10] staging:rtl8192u: Rename TClasProc > t_clas_proc - Style
` [PATCH v2 02/10] staging:rtl8192u: Rename TClasNum > t_clas_num "
` [PATCH v2 03/10] staging:rtl8192u: Remove typedef and rename struct RT_DOT11D_INFO "
` [PATCH v2 04/10] staging:rtl8192u: Rename bEnabled > enabled "
` [PATCH v2 05/10] staging:rtl8192u: Rename CountryIeLen > country_ie_len "
` [PATCH v2 06/10] staging:rtl8192u: Rename CountryIeBuf to country_ie_buf "
` [PATCH v2 07/10] staging:rtl8192u: Rename variable CountryIeSrcAddr "
` [PATCH v2 08/10] staging:rtl8192u: Rename CountryIeWatchdog > country_ie_watchdog "
` [PATCH v2 09/10] staging:rtl8192u: Rename MaxTxPwrDbmList > max_tx_pwr_dbm_list "
` [PATCH v2 10/10] staging:rtl8192u: Rename variable State > state "

[PATCH v8 0/7] thermal: tsens: Refactoring for TSENSv2 IP
 2018-07-21 19:16 UTC  (2+ messages)

[PATCH 02/11] touchscreen: ad7877: Use octal permissions
 2018-07-21 19:09 UTC  (11+ messages)
` [PATCH 03/11] touchscreen: ad7846: "
` [PATCH 04/11] touchscreen: amtel: "
` [PATCH 05/11] touchscreen: elants: "
` [PATCH 06/11] touchscreen: ili210x: "
` [PATCH 07/11] touchscreen: mc13783: "
` [PATCH 08/11] touchscreen: melfas: "
` [PATCH 09/11] touchscreen: raydium_ts: "
` [PATCH 10/11] touchscreen: sun4i_ts: "
` [PATCH 11/11] touchscreen: tsc200x: "
` [PATCH 01/11] touchscreen: ft5x06: "

[PATCH 0/4] spi: introduce SPI_CS_WORD mode flag
 2018-07-21 19:05 UTC  (4+ messages)
` [PATCH 4/4] iio: adc: ti-ads7950: use SPI_CS_WORD to reduce CPU usage

[PATCH 1/8] staging: rtl8188eu: remove blank lines
 2018-07-21 18:57 UTC  (8+ messages)
` [PATCH 2/8] staging: rtl8188eu: refactor rtw_is_cckrates_included()
` [PATCH 3/8] staging: rtl8188eu: refactor rtw_is_cckratesonly_included()
` [PATCH 4/8] staging: rtl8188eu: change return type to bool
` [PATCH 5/8] staging: rtl8188eu: fix comparsions to true
` [PATCH 6/8] staging: rtl8188eu: remove unnecessary parentheses
` [PATCH 7/8] staging: rtl8188eu: replace tabs with spaces
` [PATCH 8/8] staging: rtl8188eu: fix lines over 80 characters

[PATCH 00/15] arm: dts: Fix OPP and cooling device properties
 2018-07-21 18:56 UTC  (4+ messages)
` [PATCH 14/15] arm: dts: qcom: Add missing OPP properties for CPUs

[PATCH] arm64: dts: msm8916: drop legacy suffix for clocks used by MSM DRM driver
 2018-07-21 18:55 UTC  (4+ messages)

[PATCH -next 0/2] fs/epoll: loosen irq safety when possible
 2018-07-21 18:31 UTC  (7+ messages)

KASAN: stack-out-of-bounds Read in locks_remove_posix
 2018-07-21 18:29 UTC 

[PATCH v8 00/11] Introduce the Counter subsystem
 2018-07-21 18:23 UTC  (3+ messages)

[PATCH] fs/buffer.c: Optimize grow_buffer function
 2018-07-21 18:21 UTC  (2+ messages)

[RFC PATCH 0/4] clk: qcom: Add support to vote to genpd
 2018-07-21 18:15 UTC  (5+ messages)
` [RFC PATCH 1/4] clk: qcom: Add support to request power domain state
` [RFC PATCH 2/4] clk: qcom: Initialize the power domain class for each clock
` [RFC PATCH 3/4] clk: qcom: Add prepare/unprepare clock ops for PLL/RCG
` [RFC PATCH 4/4] clk: qcom: sdm845: Add Power Domain to RCGs and PLL

[PATCH 0/5] ti_am335x_tsc: Enable wakeup capability
 2018-07-21 18:09 UTC  (5+ messages)

[PATCH v4 00/35] Allow dynamic allocations during NAND chip identification phase
 2018-07-21 18:05 UTC  (34+ messages)
` [PATCH v4 10/35] mtd: rawnand: jz4780: convert driver to nand_scan()
` [PATCH v4 11/35] mtd: rawnand: lpc32xx_mlc: "
` [PATCH v4 12/35] mtd: rawnand: lpc32xx_slc: "
` [PATCH v4 13/35] mtd: rawnand: marvell: "
` [PATCH v4 14/35] mtd: rawnand: mtk: "
` [PATCH v4 15/35] mtd: rawnand: mxc: "
` [PATCH v4 16/35] mtd: rawnand: nandsim: "
` [PATCH v4 17/35] mtd: rawnand: omap2: "
` [PATCH v4 18/35] mtd: rawnand: s3c2410: "
` [PATCH v4 19/35] mtd: rawnand: sh_flctl: move all NAND chip related setup in one function
` [PATCH v4 20/35] mtd: rawnand: sh_flctl: convert driver to nand_scan()
` [PATCH v4 21/35] mtd: rawnand: sunxi: "
` [PATCH v4 22/35] mtd: rawnand: tango: "
` [PATCH v4 23/35] mtd: rawnand: txx9ndfmc: rename nand controller internal structure
` [PATCH v4 24/35] mtd: rawnand: txx9ndfmc: convert driver to nand_scan()
` [PATCH v4 25/35] mtd: rawnand: vf610: "

[PATCH] iio: adc: ti-ads7950: allow simultaneous use of buffer and direct mode
 2018-07-21 17:58 UTC  (2+ messages)

[PATCH v2 0/7] ARM: dts: qcom: msm8974-hammerhead: add more sensors
 2018-07-21 17:45 UTC  (9+ messages)
` [PATCH v2 1/7] iio: imu: mpu6050: add support for regulator framework
` [PATCH v2 3/7] iio: tsl2772: add support for reading power settings from device tree
` [PATCH v2 5/7] iio: tsl2772: add support for regulator framework

[PATCH v4] iio: chemical: Add support for Bosch BME680 sensor
 2018-07-21 17:45 UTC  (8+ messages)

[PATCH V4 net-next 0/3] tcp: improve setsockopt() TCP_USER_TIMEOUT accuracy
 2018-07-21 17:29 UTC  (2+ messages)

[PATCH net] bonding: set default miimon value for non-arp modes if not set
 2018-07-21 17:26 UTC  (2+ messages)

[PATCH net-next 0/9] Misc. cleanups for HNS3 ethernet driver
 2018-07-21 17:17 UTC  (2+ messages)

[PATCH 0/3] Add driver for Synopsys DesignWare I3C master IP
 2018-07-21 17:15 UTC  (5+ messages)
` [PATCH 1/3] i3c: master: Add driver for Synopsys DesignWare IP

[PATCH v4 net-next 0/6] net: ethernet: ti: cpsw: add MQPRIO and CBS Qdisc offload
 2018-07-21 16:46 UTC  (5+ messages)
` [PATCH v4 net-next 6/6] Documentation: networking: cpsw: add MQPRIO & CBS offload examples

[PATCH 0/4] iio: adc: xilinx: XADC driver enhancements and bug fixes
 2018-07-21 16:33 UTC  (12+ messages)
` [PATCH 1/4] iio: adc: xilinx: Rename 'channels' variable name to 'iio_xadc_channels'
` [PATCH 2/4] iio: adc: xilinx: Remove dead code from xadc_zynq_setup
` [PATCH 3/4] iio: adc: xilinx: Check for return values in clk related functions

[PATCH v7 00/10] Introduce the Counter subsystem
 2018-07-21 16:26 UTC  (4+ messages)
` [PATCH v7 01/10] counter: Introduce the Generic Counter interface

[PATCH] dt-bindings: iio: sigma-delta-modulator: fix unit-address in example
 2018-07-21 16:16 UTC  (2+ messages)

[PATCH] mtd: spi-nor: cadence-quadspi: make return type fit wait_for_completion_timeout
 2018-07-21 16:08 UTC 

[PATCH 1/2] mtd: spi-nor: cadence-quadspi: fix timeout handling in wait_for_completion_timeout
 2018-07-21 15:52 UTC  (4+ messages)
` [PATCH 2/2] mtd: spi-nor: cadence-quadspi: fix return type to fit wait_for_completion_timeout

[PATCH v3 00/18] JZ4780 DMA patchset v3
 2018-07-21 15:10 UTC  (3+ messages)
` [PATCH v3 13/18] dmaengine: dma-jz4780: Set DTCn register explicitly

linux-next: Signed-off-by missing for commit in the kvm-arm tree
 2018-07-21 15:05 UTC  (2+ messages)

linux-next: Signed-off-by missing for commits in the nvdimm tree
 2018-07-21 15:00 UTC  (2+ messages)

[PATCH 1/2] security/keys/secure_key: Adds the secure key support based on CAAM
 2018-07-21 14:44 UTC  (3+ messages)

[PATCH v7 0/2] hwmon/powernv: Add attributes to enable/disable sensors
 2018-07-21 14:40 UTC  (3+ messages)
` [PATCH v7 2/2] hwmon: ibmpowernv: Add attributes to enable/disable sensor groups

[RFC PATCH v3] watchdog: sp805: Add clock-frequency property
 2018-07-21 14:38 UTC  (2+ messages)

[PATCH v3 0/2] Panasonic AN30259A support
 2018-07-21 14:12 UTC  (3+ messages)
` [PATCH v3 1/2] dt-bindings: leds: document Panasonic AN30259A bindings
` [PATCH v3 2/2] leds: add Panasonic AN30259A support

[PATCH 00/14] staging: gasket: more assorted cleanups
 2018-07-21 13:45 UTC  (16+ messages)
` [PATCH 01/14] staging: gasket: fix check_and_invoke_callback log param
` [PATCH 02/14] staging: gasket: remove duplicate call to retrieve device callback
` [PATCH 03/14] staging: gasket: gasket_handle_ioctl fix ioctl exit trace param
` [PATCH 04/14] staging: gasket: avoid copy to user on error in coherent alloc config
` [PATCH 05/14] staging: gasket: print mmap starting address as unsigned long
` [PATCH 06/14] staging: gasket: remove unnecessary NULL checks on calls from VFS
` [PATCH 07/14] staging: gasket: gasket_get_device drop check for NULL pci_dev
` [PATCH 08/14] staging: gasket: apex return error on sysfs show of missing attribute
` [PATCH 09/14] staging: gasket: core: convert various logs to debug level
` [PATCH 10/14] staging: gasket: interrupts: "
` [PATCH 11/14] staging: gasket: ioctl common: "
` [PATCH 12/14] staging: gasket: page table: "
` [PATCH 13/14] staging: gasket: page table: remove unnecessary logs
` [PATCH 14/14] staging: gasket: apex: convert various logs to debug level

[PATCH 4.17 000/101] 4.17.9-stable review
 2018-07-21 13:42 UTC  (2+ messages)

[PATCH 4.14 00/92] 4.14.57-stable review
 2018-07-21 13:41 UTC  (2+ messages)

[PATCH 4.9 00/66] 4.9.114-stable review
 2018-07-21 13:40 UTC  (2+ messages)

[PATCH 00/14] staging: gasket: assorted cleanups
 2018-07-21 13:40 UTC  (26+ messages)
` [PATCH 02/20] staging: gasket: gasket_enable_dev remove unnecessary variable
` [PATCH 02/14] staging: gasket: remove duplicate call to retrieve device callback
` [PATCH 03/14] staging: gasket: gasket_handle_ioctl fix ioctl exit trace param
` [PATCH 04/20] staging: gasket: fix class create bug handling
` [PATCH 05/14] staging: gasket: print mmap starting address as unsigned long
` [PATCH 05/20] staging: gasket: remove unnecessary code in coherent allocator
` [PATCH 06/14] staging: gasket: remove unnecessary NULL checks on calls from VFS
` [PATCH 07/20] staging: gasket: gasket_mmap return error instead of valid BAR index
` [PATCH 08/14] staging: gasket: apex return error on sysfs show of missing attribute
` [PATCH 09/14] staging: gasket: core: convert various logs to debug level
` [PATCH 10/20] staging: gasket: remove else clause after return in if clause
` [PATCH 12/14] staging: gasket: page table: convert various logs to debug level
` [PATCH 13/14] staging: gasket: page table: remove unnecessary logs
` [PATCH 14/14] staging: gasket: apex: convert various logs to debug level
` [PATCH 14/20] staging: gasket: remove extra parens in gasket_write_mappable_regions
` [PATCH 15/20] staging: gasket: fix multi-line comment syntax in gasket_core.h
` [PATCH 16/20] staging: gasket: always allow root open for write
` [PATCH 17/20] staging: gasket: top ioctl handler add __user annotations
` [PATCH 18/20] staging: gasket: apex ioctl "
` [PATCH 19/20] staging: gasket: common ioctl dispatcher "
` [PATCH 20/20] staging: gasket: common ioctls "

[PATCH 4.4 00/31] 4.4.143-stable review
 2018-07-21 13:39 UTC  (2+ messages)

[PATCH 3.18 00/29] 3.18.116-stable review
 2018-07-21 13:38 UTC  (2+ messages)

[PATCH] [v3] infiniband: i40iw, nes: don't use wall time for TCP sequence numbers
 2018-07-21 13:29 UTC  (2+ messages)

[PATCH] staging: bcm2835-camera: fix timeout handling in wait_for_completion_timeout
 2018-07-21 13:20 UTC 


This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for NNTP newsgroup(s).