dri-devel.lists.freedesktop.org archive mirror
 help / color / mirror / Atom feed
* [PULL] drm-intel-next
@ 2021-11-30 15:04 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2021-11-30 15:04 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

drm-intel-next-2021-11-30:
drm/i915 feature pull for v5.17:

Features and functionality:
- Implement per-lane DP drive settings for ICL+ (Ville)
- Enable runtime pm autosuspend by default (Tilak Tangudu)
- ADL-P DSI support (Vandita)
- Add support for pipe C and D DMC firmware (Anusha)
- Implement (near)atomic gamma LUT updates via vblank workers (Ville)
- Split plane updates to noarm+arm phases (Ville)
- Remove the CCS FB stride restrictions on ADL-P (Imre)
- Add PSR selective fetch support for biplanar formats (Jouni)
- Add support for display audio codec keepalive (Kai)
- VRR platform support for display 11 (Manasi)

Refactoring and cleanups:
- FBC refactoring and cleanups preparing for multiple FBC instances (Ville)
- PCH modeset refactoring, move to its own file (Ville)
- Refactor and simplify handling of modifiers (Imre)
- PXP cleanups (Ville)
- Display header and include refactoring (Jani)
- Some register macro cleanups (Ville)
- Refactor DP HDMI DFP limit code (Ville)

Fixes:
- Disable DSB usage for now due to incorrect gamma LUT updates (Ville)
- Check async flip state of every crtc and plane only once (José)
- Fix DPT FB suspend/resume (Imre)
- Fix black screen on reboot due to disabled DP++ TMDS output buffers (Ville)
- Don't request GMBUS to generate irqs when called while irqs are off (Ville)
- Fix type1 DVI DP dual mode adapter heuristics for modern platforms (Ville)
- Fix fix integer overflow in 128b/132b data rate calculation (Jani)
- Fix bigjoiner state readout (Ville)
- Build fix for non-x86 (Siva)
- PSR fixes (José, Jouni, Ville)
- Disable ADL-P underrun recovery (José)
- Fix DP link parameter usage before valid DPCD (Imre)
- VRR vblank and frame counter fixes (Ville)
- Fix fastsets on TypeC ports following a non-blocking modeset (Imre)
- Compiler warning fixes (Nathan Chancellor)
- Fix DSI HS mode commands (William Tseng)
- Error return fixes (Dan Carpenter)
- Update memory bandwidth calculations (Radhakrishna)
- Implement WM0 cursor WA for DG2 (Stan)
- Fix DSI Double pixelclock on read-back for dual-link panels (Hans de Goede)
- HDMI 2.1 PCON FRL configuration fixes (Ankit)

Merges:
- DP link training delay helpers, via topic branch (Jani)
- Backmerge drm-next (Jani)

BR,
Jani.

The following changes since commit 136057256686de39cc3a07c2e39ef6bc43003ff6:

  Linux 5.16-rc2 (2021-11-21 13:47:39 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-11-30

for you to fetch changes up to 74ba89c08e309bfeb2b2f401bf588ab54a1542fe:

  drm/i915: Fix DPT suspend/resume on !HAS_DISPLAY platforms (2021-11-29 22:21:29 +0200)

----------------------------------------------------------------
drm/i915 feature pull for v5.17:

Features and functionality:
- Implement per-lane DP drive settings for ICL+ (Ville)
- Enable runtime pm autosuspend by default (Tilak Tangudu)
- ADL-P DSI support (Vandita)
- Add support for pipe C and D DMC firmware (Anusha)
- Implement (near)atomic gamma LUT updates via vblank workers (Ville)
- Split plane updates to noarm+arm phases (Ville)
- Remove the CCS FB stride restrictions on ADL-P (Imre)
- Add PSR selective fetch support for biplanar formats (Jouni)
- Add support for display audio codec keepalive (Kai)
- VRR platform support for display 11 (Manasi)

Refactoring and cleanups:
- FBC refactoring and cleanups preparing for multiple FBC instances (Ville)
- PCH modeset refactoring, move to its own file (Ville)
- Refactor and simplify handling of modifiers (Imre)
- PXP cleanups (Ville)
- Display header and include refactoring (Jani)
- Some register macro cleanups (Ville)
- Refactor DP HDMI DFP limit code (Ville)

Fixes:
- Disable DSB usage for now due to incorrect gamma LUT updates (Ville)
- Check async flip state of every crtc and plane only once (José)
- Fix DPT FB suspend/resume (Imre)
- Fix black screen on reboot due to disabled DP++ TMDS output buffers (Ville)
- Don't request GMBUS to generate irqs when called while irqs are off (Ville)
- Fix type1 DVI DP dual mode adapter heuristics for modern platforms (Ville)
- Fix fix integer overflow in 128b/132b data rate calculation (Jani)
- Fix bigjoiner state readout (Ville)
- Build fix for non-x86 (Siva)
- PSR fixes (José, Jouni, Ville)
- Disable ADL-P underrun recovery (José)
- Fix DP link parameter usage before valid DPCD (Imre)
- VRR vblank and frame counter fixes (Ville)
- Fix fastsets on TypeC ports following a non-blocking modeset (Imre)
- Compiler warning fixes (Nathan Chancellor)
- Fix DSI HS mode commands (William Tseng)
- Error return fixes (Dan Carpenter)
- Update memory bandwidth calculations (Radhakrishna)
- Implement WM0 cursor WA for DG2 (Stan)
- Fix DSI Double pixelclock on read-back for dual-link panels (Hans de Goede)
- HDMI 2.1 PCON FRL configuration fixes (Ankit)

Merges:
- DP link training delay helpers, via topic branch (Jani)
- Backmerge drm-next (Jani)

----------------------------------------------------------------
Andy Shevchenko (1):
      agp/intel-gtt: Replace kernel.h with the necessary inclusions

Ankit Nautiyal (2):
      drm/i915/dp: Optimize the FRL configuration for HDMI2.1 PCON
      drm/i915/dp: For PCON TMDS mode set only the relavant bits in config DPCD

Anusha Srivatsa (1):
      i915/display/dmc: Add Support for PipeC and PipeD DMC

Colin Ian King (1):
      drm/i915: make array states static const

Dan Carpenter (2):
      drm/i915: pin: delete duplicate check in intel_pin_and_fence_fb_obj()
      drm/i915/guc: fix NULL vs IS_ERR() checking

Hans de Goede (1):
      drm/i915/vlv_dsi: Double pixelclock on read-back for dual-link panels

He Ying (1):
      drm: Small optimization to intel_dp_mst_atomic_master_trans_check

Imre Deak (31):
      drm/i915/dp: Skip the HW readout of DPCD on disabled encoders
      drm/i915/dp: Ensure sink rate values are always valid
      drm/i915/dp: Ensure max link params are always valid
      drm/i915/dp: Ensure sink/link max lane count values are always valid
      drm/i915/dp: Sanitize sink rate DPCD register values
      drm/i915/dp: Sanitize link common rate array lookups
      drm/i915: Add a table with a descriptor for all i915 modifiers
      drm/i915: Move intel_get_format_info() to intel_fb.c
      drm/i915: Add tiling attribute to the modifier descriptor
      drm/i915: Simplify the modifier check for interlaced scanout support
      drm/i915: Unexport is_semiplanar_uv_plane()
      drm/i915: Move intel_format_info_is_yuv_semiplanar() to intel_fb.c
      drm/i915: Add a platform independent way to get the RC CCS CC plane
      drm/i915: Handle CCS CC planes separately from CCS AUX planes
      drm/i915: Add a platform independent way to check for CCS AUX planes
      drm/i915: Move is_ccs_modifier() to intel_fb.c
      drm/i915: Add functions to check for RC CCS CC and MC CCS modifiers
      drm/i915/fb: Don't report MC CCS plane capability on GEN<12
      drm/i915/fb: Don't store bitmasks in the intel_plane_caps enum
      drm/i915/fb: Fold modifier CCS type/tiling attribute to plane caps
      drm/i915/fb: Fix rounding error in subsampled plane size calculation
      drm/i915/adlp/fb: Prevent the mapping of redundant trailing padding NULL pages
      drm/i915/fb: Factor out functions to remap contiguous FB obj pages
      drm/i915/adlp/fb: Fix remapping of linear CCS AUX surfaces
      drm/i915/fb: Rename i915_color_plane_view::stride to mapping_stride
      drm/i915/adlp/fb: Remove restriction on semiplanar UV plane offset
      drm/i915/adlp/fb: Remove restriction on CCS AUX plane strides
      drm/i915: Factor out i915_ggtt_suspend_vm/i915_ggtt_resume_vm()
      drm/i915: Restore memory mapping for DPT FBs across system suspend/resume
      drm/i915: Fix fastsets on TypeC ports following a non-blocking modeset
      drm/i915: Fix DPT suspend/resume on !HAS_DISPLAY platforms

Jani Nikula (29):
      Merge tag 'topic/drm-dp-training-delay-helpers-2021-10-19' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next
      drm/i915/dp: use new link training delay helpers
      Revert "drm/i915/bios: gracefully disable dual eDP for now"
      drm/i915/cdclk: put the cdclk vtables in const data
      drm/i915/dp: fix integer overflow in 128b/132b data rate calculation
      drm/i915/dsc: demote noisy drm_info() to drm_kms_dbg()
      Merge drm/drm-next into drm-intel-next
      drm/i915/audio: group audio under anonymous struct in drm_i915_private
      drm/i915/audio: name the audio sub-struct in drm_i915_private
      drm/i915/audio: define the audio struct separately from drm_i915_private
      drm/i915/audio: move intel_audio_funcs internal to intel_audio.c
      drm/i915/audio: rename intel_init_audio_hooks to intel_audio_hooks_init
      drm/i915: include intel-gtt.h only where needed
      agp/intel-gtt: reduce intel-gtt dependencies more
      drm/i915/fbc: fix the FBC kernel-doc warnings
      drm/i915/driver: rename i915_drv.c to i915_driver.c
      drm/i915/driver: rename driver to i915_drm_driver
      drm/i915/driver: add i915_driver_ prefix to functions
      drm/i915: drop intel_display.h include from intel_ddi.h
      drm/i915: drop intel_display.h include from intel_dpll_mgr.h
      drm/i915/debugfs: move debug printing to intel_display_power.c
      drm/i915: move structs from intel_display_power.h to .c
      drm/i915: drop intel_display.h include from intel_display_power.h
      drm/i915/pxp: fix includes for headers in include/drm
      Merge drm/drm-next into drm-intel-next
      drm/i915/dsi: split out intel_dsi_vbt.h
      drm/i915/dsi: split out vlv_dsi_pll.h
      drm/i915/dsi: split out vlv_dsi.h
      drm/i915/dsi: split out icl_dsi.h

Javier Martinez Canillas (1):
      drm/i915: Fix comment about modeset parameters

José Roberto de Souza (8):
      drm/i915/display: Rename POWER_DOMAIN_DPLL_DC_OFF to POWER_DOMAIN_DC_OFF
      drm/i915/display: Add warn_on in intel_psr_pause()
      drm/i915/display: Wait PSR2 get out of deep sleep to update pipe
      drm/i915/adlp: Extend PSR2 support in transcoder B
      drm/i915/adlp: Implement workaround 16013190616
      drm/i915/display: Check async flip state of every crtc and plane once
      drm/i915/display/adlp: Disable underrun recovery
      drm/i915/psr: Fix PSR2 handling of multiplanar format

Jouni Högander (2):
      drm/i915/display: Add initial selective fetch support for biplanar formats
      Revert "drm/i915/display/psr: Do full fetch when handling multi-planar formats"

Kai Vehmanen (1):
      drm/i915/display: program audio CDCLK-TS for keepalives

Lucas De Marchi (1):
      drm/i915: remove CNL leftover

Manasi Navare (1):
      drm/i915/: Extend VRR platform support to Gen 11

Mullati, Siva (1):
      drm/i915: abstraction for iosf to compile on all archs

Nathan Chancellor (1):
      drm/i915: Avoid bitwise vs logical OR warning in snb_wm_latency_quirk()

Radhakrishna Sripada (2):
      drm/i915: Update memory bandwidth formulae
      drm/i915: Fix Memory BW formulae for ADL-P

Rodrigo Vivi (2):
      drm/i915: Clean-up bonding debug message.
      drm/i915: Don't propagate the gen split confusion further

Stanislav Lisovskiy (3):
      drm/i915/dg2: Implement WM0 cursor WA for DG2
      drm/i915/dg2: Tile 4 plane format support
      Revert "drm/i915/dg2: Tile 4 plane format support"

Tilak Tangudu (2):
      drm/i915: Disable D3Cold in s2idle and runtime pm
      drm/i915/rpm: Enable runtime pm autosuspend by default

Vandita Kulkarni (4):
      Revert "drm/i915/tgl/dsi: Gate the ddi clocks after pll mapping"
      drm/i915/dsi/xelpd: Fix the bit mask for wakeup GB
      drm/i915/dsi/xelpd: Add DSI transcoder support
      drm/i915/dsi/xelpd: Disable DC states in Video mode

Ville Syrjälä (79):
      drm/i915: Move the pxp plane state computation
      drm/i915: Fix up skl_program_plane() pxp stuff
      drm/i915: Remove the drm_dbg() from the vblank evade critical section
      drm/i915: Rename intel_load_plane_csc_black()
      drm/i915: Move PCH refclock stuff into its own file
      drm/i915: Move PCH modeset code to its own file
      drm/i915: Clean up the {ilk,lpt}_pch_enable() calling convention
      drm/i915: Move LPT PCH readout code
      drm/i915: Extract ilk_pch_get_config()
      drm/i915: Move iCLKIP readout to the pch code
      drm/i915: Introduce ilk_pch_disable() and ilk_pch_post_disable()
      drm/i915: Move intel_ddi_fdi_post_disable() to fdi code
      drm/i915: Introduce lpt_pch_disable()
      drm/i915: Move function prototypes to the correct header
      drm/i915/hdmi: Split intel_hdmi_bpc_possible() to source vs. sink pair
      drm/i915/hdmi: Introduce intel_hdmi_is_ycbr420()
      drm/i915/hdmi: Introduce intel_hdmi_tmds_clock()
      drm/i915/hdmi: Unify "4:2:0 also" logic between .mode_valid() and .compute_config()
      drm/i915/hdmi: Extract intel_hdmi_output_format()
      drm/i915/psr: Disable PSR before modesets turn off all planes
      Revert "drm/i915/display: Disable audio, DRRS and PSR before planes"
      drm/i915: Disable all planes before modesetting any pipes
      drm/i915: Introduce intel_master_crtc()
      drm/i915: Simplify intel_crtc_copy_uapi_to_hw_state_nomodeset()
      drm/i915: Split PPS write from DSC enable
      drm/i915: Perform correct cpu_transcoder readout for bigjoiner
      drm/i915: Reduce bigjoiner special casing
      drm/i915: Nuke PIPE_CONFIG_QUIRK_BIGJOINER_SLAVE
      drm/i915: Fix type1 DVI DP dual mode adapter heuristic for modern platforms
      drm/i915: Fix icl+ combo phy static lane power down setup
      drm/i915: Don't request GMBUS to generate irqs when called while irqs are off
      drm/i915/hdmi: Turn DP++ TMDS output buffers back on in encoder->shutdown()
      drm/i915: Stop using group access when progrmming icl combo phy TX
      drm/i915: Query the vswing levels per-lane for icl combo phy
      drm/i915: Query the vswing levels per-lane for icl mg phy
      drm/i915: Query the vswing levels per-lane for tgl dkl phy
      drm/i915: Query the vswing levels per-lane for snps phy
      drm/i915: Enable per-lane drive settings for icl+
      drm/i915: Use intel_de_rmw() for tgl dkl phy programming
      drm/i915: Use intel_de_rmw() for icl mg phy programming
      drm/i915: Use intel_de_rmw() for icl combo phy programming
      drm/i915: Reject planar formats when doing async flips
      drm/i915: Fix async flip with decryption and/or DPT
      drm/i915: Fix up the sprite namespacing
      drm/i915: Split update_plane() into update_noarm() + update_arm()
      drm/i915: Split skl+ plane update into noarm+arm pair
      drm/i915: Split pre-skl primary plane update into noarm+arm pair
      drm/i915: Split g4x+ sprite plane update into noarm+arm pair
      drm/i915: Split ivb+ sprite plane update into noarm+arm pair
      drm/i915: Split vlv/chv sprite plane update into noarm+arm pair
      drm/i915: Do vrr push before sampling the frame counter
      drm/i915: Use vblank workers for gamma updates
      drm/i915: Use unlocked register accesses for LUT loads
      drm/i915: Call intel_update_active_dpll() for both bigjoiner pipes
      drm/i915/fbc: Extract snb_fbc_program_fence()
      drm/i915/fbc: Extract {skl,glk}_fbc_program_cfb_stride()
      drm/i915/fbc: Just use params->fence_y_offset always
      drm/i915/fbc: Introduce intel_fbc_is_compressing()
      drm/i915/fbc: Extract helpers to compute FBC control register values
      drm/i915/fbc: Introduce intel_fbc_funcs
      drm/i915/fbc: Introduce .nuke() vfunc
      drm/i915/fbc: s/gen7/ivb/
      drm/i915/fbc: Introduce .program_cfb() vfunc
      drm/i915/fbc: Introduce intel_fbc_set_false_color()
      drm/i915/fbc: Nuke BDW_FBC_COMP_SEG_MASK
      drm/i915/fbc: Clean up all register defines
      drm/i915/fbc: Finish polishing FBC1 registers
      drm/i915: Relocate FBC_LLC_READ_CTRL
      drm/i915/fbc: s/dev_priv/i915/
      drm/i915/fbc: Start passing around intel_fbc
      drm/1915/fbc: Replace plane->has_fbc with a pointer to the fbc instance
      drm/i915: Move vrr push after the frame counter sampling again
      drm/i915: Do vblank evasion correctly if vrr push has already been sent
      drm/i915: Fix framestart_delay commens in VRR code
      drm/i915: Declare .(de)gamma_lut_tests for icl+
      drm/i915: Disable DSB usage for now
      drm/i915: Clean up FPGA_DBG/CLAIM_ER bits
      drm/i915: Clean up DPINVGTT/VLV_DPFLIPSTAT bits
      drm/i915: Clean up CRC register defines

William Tseng (2):
      drm/i915/dsi: disable lpdt if it is not enabled
      drm/i915/dsi: transmit brightness command in HS state

 drivers/char/agp/intel-gtt.c                       |    1 +
 drivers/gpu/drm/drm_dp_helper.c                    |  153 +-
 drivers/gpu/drm/i915/Kconfig                       |    2 +-
 drivers/gpu/drm/i915/Makefile                      |    4 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |  134 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   10 +-
 drivers/gpu/drm/i915/display/icl_dsi.h             |   15 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   89 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   23 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  140 +-
 drivers/gpu/drm/i915/display/intel_audio.h         |    4 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |  213 ++-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |    5 +
 drivers/gpu/drm/i915/display/intel_color.c         |  130 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |    2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   13 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |  107 +-
 drivers/gpu/drm/i915/display/intel_crtc.h          |    7 +
 drivers/gpu/drm/i915/display/intel_cursor.c        |   69 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  348 ++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |    5 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1920 ++++----------------
 drivers/gpu/drm/i915/display/intel_display.h       |   17 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   69 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  132 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |  102 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   51 +-
 drivers/gpu/drm/i915/display/intel_dmc.h           |    2 +
 drivers/gpu/drm/i915/display/intel_dp.c            |  161 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   43 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   32 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |    7 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    2 +-
 drivers/gpu/drm/i915/display/intel_dpt.c           |   58 +
 drivers/gpu/drm/i915/display/intel_dpt.h           |    4 +
 drivers/gpu/drm/i915/display/intel_dsb.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |   42 -
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    4 +
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +
 drivers/gpu/drm/i915/display/intel_dsi_vbt.h       |   22 +
 drivers/gpu/drm/i915/display/intel_fb.c            |  706 +++++--
 drivers/gpu/drm/i915/display/intel_fb.h            |   31 +-
 drivers/gpu/drm/i915/display/intel_fb_pin.c        |   12 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           | 1000 +++++-----
 drivers/gpu/drm/i915/display/intel_fbc.h           |   11 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |  136 +-
 drivers/gpu/drm/i915/display/intel_fdi.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |    2 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   13 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  117 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   42 +-
 drivers/gpu/drm/i915/display/intel_pch_display.c   |  501 +++++
 drivers/gpu/drm/i915/display/intel_pch_display.h   |   27 +
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |  648 +++++++
 drivers/gpu/drm/i915/display/intel_pch_refclk.h    |   21 +
 drivers/gpu/drm/i915/display/intel_psr.c           |  125 +-
 drivers/gpu/drm/i915/display/intel_psr.h           |    3 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  257 +--
 drivers/gpu/drm/i915/display/intel_sprite.h        |    4 -
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   65 +-
 drivers/gpu/drm/i915/display/intel_vdsc.h          |   10 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |   18 +-
 drivers/gpu/drm/i915/display/intel_vrr.h           |    1 +
 drivers/gpu/drm/i915/display/skl_scaler.c          |    1 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  462 +++--
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    8 +
 drivers/gpu/drm/i915/display/vlv_dsi.h             |   19 +
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |    1 +
 drivers/gpu/drm/i915/display/vlv_dsi_pll.h         |   38 +
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |    2 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |  266 ++-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |    2 +
 drivers/gpu/drm/i915/gt/intel_gtt.h                |    2 +
 drivers/gpu/drm/i915/{i915_drv.c => i915_driver.c} |   43 +-
 drivers/gpu/drm/i915/i915_driver.h                 |   24 +
 drivers/gpu/drm/i915/i915_drv.h                    |   75 +-
 drivers/gpu/drm/i915/i915_iosf_mbi.h               |   42 +
 drivers/gpu/drm/i915/i915_irq.c                    |    2 +-
 drivers/gpu/drm/i915/i915_module.c                 |    4 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   22 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  358 ++--
 drivers/gpu/drm/i915/i915_switcheroo.c             |    5 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   75 +-
 drivers/gpu/drm/i915/i915_vma_types.h              |   19 +-
 drivers/gpu/drm/i915/intel_pm.c                    |   41 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    3 +
 drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_session.c       |    3 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |    6 +-
 drivers/gpu/drm/i915/vlv_sideband.c                |    3 +-
 include/drm/drm_dp_helper.h                        |   21 +-
 include/drm/intel-gtt.h                            |    8 +-
 93 files changed, 5549 insertions(+), 3910 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/icl_dsi.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dsi_vbt.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_pch_display.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_pch_display.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_pch_refclk.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_pch_refclk.h
 create mode 100644 drivers/gpu/drm/i915/display/vlv_dsi.h
 create mode 100644 drivers/gpu/drm/i915/display/vlv_dsi_pll.h
 rename drivers/gpu/drm/i915/{i915_drv.c => i915_driver.c} (97%)
 create mode 100644 drivers/gpu/drm/i915/i915_driver.h
 create mode 100644 drivers/gpu/drm/i915/i915_iosf_mbi.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2024-04-24 16:32 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2024-04-24 16:32 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Tvrtko Ursulin, Rodrigo Vivi,
	Thomas Zimmermann, Maarten Lankhorst, Maxime Ripard,
	Thomas Hellström, Oded Gabbay, Lucas De Marchi, dri-devel,
	intel-gfx, intel-xe, dim-tools

Hi Sima and Dave,

Here goes our last pull request towards 6.10.

drm-intel-next-2024-04-24:
Core Changes:
- Some DP/DP_MST DRM helpers (Imre)

Driver Changes (i915 Display):
- PLL refactoring (Ville)
- Limit eDP MSO pipe only for display version 20 (Luca)
- More display refactor towards independence from i915 dev_priv (Jani)
- QGV/SAGV related refactor (Stanislav)
- Few MTL/DSC and a UHBR monitor fix (Imre)
- BXT/GLK per-lane vswing and PHY reg cleanup (Ville)
The following changes since commit 700c34019555392a348f8c03237c1ebb5bf53eb4:

  drm/i915/display: tie DMC wakelock to DC5/6 state transitions (2024-04-17 11:41:23 +0300)

are available in the Git repository at:

  https://anongit.freedesktop.org/git/drm/drm-intel tags/drm-intel-next-2024-04-24

for you to fetch changes up to 6068bc209ac8d07a5d04e93f168465195e22a4cc:

  drm/i915/dsi: pass display to register macros instead of implicit variable (2024-04-23 17:00:14 +0300)

----------------------------------------------------------------
Core Changes:
- Some DP/DP_MST DRM helpers (Imre)

Driver Changes (i915 Display):
- PLL refactoring (Ville)
- Limit eDP MSO pipe only for display version 20 (Luca)
- More display refactor towards independence from i915 dev_priv (Jani)
- QGV/SAGV related refactor (Stanislav)
- Few MTL/DSC and a UHBR monitor fix (Imre)
- BXT/GLK per-lane vswing and PHY reg cleanup (Ville)

----------------------------------------------------------------
Imre Deak (11):
      drm/i915/dp: Fix DSC line buffer depth programming
      drm/i915/dp_mst: Fix symbol clock when calculating the DSC DPT bpp limit
      drm/i915/dp_mst: Fix BW limit check when calculating DSC DPT bpp
      drm/i915/dp_mst: Account for channel coding efficiency in the DSC DPT bpp limit
      drm/i915/dp_mst: Account with the DSC DPT bpp limit on MTL
      drm/i915/dp_mst: Sanitize calculating the DSC DPT bpp limit
      drm/dp: Add drm_dp_128b132b_supported()
      drm/dp_mst: Factor out drm_dp_mst_port_is_logical()
      drm/dp_mst: Add drm_dp_mst_aux_for_parent()
      drm/i915/dp_mst: Make HBLANK expansion quirk work for logical ports
      drm/i915/dp_mst: Enable HBLANK expansion quirk for UHBR rates

Jani Nikula (19):
      drm/i915: use system include for drm headers
      drm/i915/display: add intel_display -> drm_device backpointer
      drm/i915/display: add generic to_intel_display() macro
      drm/i915: add generic __to_intel_display()
      drm/i915/display: accept either i915 or display for feature tests
      drm/i915/quirks: convert struct drm_i915_private to struct intel_display
      drm/i915/display: rename __intel_wait_for_register_nowl() to indicate intel_de_
      drm/i915/dmc: convert dmc wakelock interface to struct intel_display
      drm/i915/de: allow intel_display and drm_i915_private for de functions
      drm/i915/dmc: use struct intel_display more
      drm/i915/dmc: handle request_firmware() errors separately
      drm/i915/dmc: improve firmware parse failure propagation
      drm/i915/dmc: split out per-platform firmware path selection
      drm/i915/dmc: change how to disable DMC firmware using module param
      drm/i915/display: move dmc_firmware_path to display params
      drm/i915/dsi: remove unused _MIPIA_AUTOPWG register definition
      drm/i915/dsi: add VLV_ prefix to VLV only register macros
      drm/i915/dsi: unify connector/encoder type and name usage
      drm/i915/dsi: pass display to register macros instead of implicit variable

Luca Coelho (1):
      drm/i915: limit eDP MSO pipe only for display version 20 and below

Stanislav Lisovskiy (4):
      drm/i915/display: Add meaningful traces for QGV point info error handling
      drm/i915/display: Extract code required to calculate max qgv/psf gv point
      drm/i915/display: Disable SAGV on bw init, to force QGV point recalculation
      drm/i915/display: handle systems with duplicate psf gv points

Ville Syrjälä (26):
      drm/i915: Replace hand rolled PLL state dump with intel_dpll_dump_hw_state()
      drm/i915: Use printer for the rest of PLL debugfs dump
      drm/i915: Rename PLL hw_state variables/arguments
      drm/i915: Introduce some local PLL state variables
      drm/i915: Extract ilk_fb_cb_factor()
      drm/i915: Extract ilk_dpll_compute_fp()
      drm/i915: Extract i9xx_dpll_get_hw_state()
      drm/i915: Pass the PLL hw_state to pll->enable()
      drm/i915: Extract i965_dpll_md()
      drm/i915: Extract {i9xx,i8xx,ilk,vlv,chv}_dpll()
      drm/i915: Inline {i9xx,ilk}_update_pll_dividers()
      drm/i915: Modernize i9xx_pll_refclk()
      drm/i915: Drop pointless 'crtc' argument from *_crtc_clock_get()
      drm/i915: s/pipe_config/crtc_state/ in legacy PLL code
      drm/i915: Add local DPLL 'hw_state' variables
      drm/i915: Carve up struct intel_dpll_hw_state
      drm/i915: Unionize dpll_hw_state
      drm/i915: Suck snps/cx0 PLL states into dpll_hw_state
      drm/i915/dpio: Clean up bxt/glk PHY registers
      drm/i915/dpio: Add per-lane PHY TX register definitons for bxt/glk
      drm/i915/dpio: Extract bxt_dpio_phy_regs.h
      drm/i915/dpio: Introdude bxt_ddi_phy_rmw_grp()
      drm/i915/dpio: Use intel_de_rmw() for BXT DPIO latency optim setup
      drm/i915/dpio: s/ddi/dpio/ for bxt/glk PHY stuff
      drm/i915/dpio: Program bxt/glk PHY TX registers per-lane
      drm/i915: Enable per-lane DP drive settings for bxt/glk

Vinod Govindapillai (2):
      drm/i915/display: extract code to prepare qgv points mask
      drm/i915/display: force qgv check after the hw state readout

 drivers/gpu/drm/display/drm_dp_helper.c            |   2 +
 drivers/gpu/drm/display/drm_dp_mst_topology.c      |  22 +-
 drivers/gpu/drm/i915/display/bxt_dpio_phy_regs.h   | 273 ++++++++++++
 drivers/gpu/drm/i915/display/intel_backlight.c     |  40 +-
 drivers/gpu/drm/i915/display/intel_bw.c            | 160 +++++--
 drivers/gpu/drm/i915/display/intel_bw.h            |   6 +
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       |  20 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  33 +-
 drivers/gpu/drm/i915/display/intel_de.h            | 157 ++++---
 drivers/gpu/drm/i915/display/intel_display.c       |  38 +-
 .../drm/i915/display/intel_display_conversion.h    |  22 +
 drivers/gpu/drm/i915/display/intel_display_core.h  |   3 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  39 +-
 .../gpu/drm/i915/display/intel_display_device.c    |   3 +
 .../gpu/drm/i915/display/intel_display_device.h    |   5 +-
 .../gpu/drm/i915/display/intel_display_driver.c    |   5 +-
 .../gpu/drm/i915/display/intel_display_params.c    |   4 +
 .../gpu/drm/i915/display/intel_display_params.h    |   1 +
 .../drm/i915/display/intel_display_power_well.c    |  24 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  80 ++--
 drivers/gpu/drm/i915/display/intel_dmc.c           | 179 +++++---
 drivers/gpu/drm/i915/display/intel_dmc_wl.c        |  72 +--
 drivers/gpu/drm/i915/display/intel_dmc_wl.h        |  12 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  18 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   2 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 106 +++--
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      | 213 +++++----
 drivers/gpu/drm/i915/display/intel_dpio_phy.h      |  48 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          | 328 ++++++++------
 drivers/gpu/drm/i915/display/intel_dpll.h          |  12 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 488 ++++++++++++---------
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |  80 +++-
 drivers/gpu/drm/i915/display/intel_panel.c         |  10 +-
 drivers/gpu/drm/i915/display/intel_pch_display.c   |   4 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |   6 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |  56 +--
 drivers/gpu/drm/i915/display/intel_quirks.h        |   6 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   6 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |   2 +-
 drivers/gpu/drm/i915/display/skl_watermark.h       |   1 +
 drivers/gpu/drm/i915/display/vlv_dsi.c             | 467 ++++++++++----------
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |  22 +-
 drivers/gpu/drm/i915/display/vlv_dsi_regs.h        | 327 +++++++-------
 drivers/gpu/drm/i915/gvt/display.c                 |   1 +
 drivers/gpu/drm/i915/gvt/handlers.c                |   7 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |   1 +
 drivers/gpu/drm/i915/i915_params.c                 |   3 -
 drivers/gpu/drm/i915/i915_params.h                 |   1 -
 drivers/gpu/drm/i915/i915_reg.h                    | 262 -----------
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |  19 +-
 drivers/gpu/drm/i915/soc/intel_dram.c              |   2 +
 drivers/gpu/drm/xe/xe_device_types.h               |   3 -
 include/drm/display/drm_dp_helper.h                |   6 +
 include/drm/display/drm_dp_mst_helper.h            |   7 +
 include/drm/display/drm_dsc.h                      |   3 -
 include/drm/i915_component.h                       |   2 +-
 56 files changed, 2067 insertions(+), 1652 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/bxt_dpio_phy_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_conversion.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2024-04-17 13:38 Rodrigo Vivi
@ 2024-04-17 14:05 ` Maxime Ripard
  0 siblings, 0 replies; 265+ messages in thread
From: Maxime Ripard @ 2024-04-17 14:05 UTC (permalink / raw)
  To: Rodrigo Vivi
  Cc: Dave Airlie, Daniel Vetter, Jani Nikula, Joonas Lahtinen,
	Tvrtko Ursulin, Thomas Zimmermann, Maarten Lankhorst,
	Thomas Hellström, Oded Gabbay, Lucas De Marchi, dri-devel,
	intel-gfx, intel-xe, dim-tools

[-- Attachment #1: Type: text/plain, Size: 588 bytes --]

Hi,

On Wed, Apr 17, 2024 at 09:38:55AM -0400, Rodrigo Vivi wrote:
> Another thing that it is important to highlight is that we have 3 drm level
> patches in this pull request where I didn't see any explicit recorded
> ack from you (drm maintainers) nor from drm-misc maintainers.
> The patches looks good to me and shouldn't cause conflict, so I hope it
> is okay to continue with them here instead of a big removal at this
> point.

Sigh...

I guess it's a good occasion to test
https://gitlab.freedesktop.org/drm/maintainer-tools/-/merge_requests/40

And merge it if it works.

Maxime

[-- Attachment #2: signature.asc --]
[-- Type: application/pgp-signature, Size: 273 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2024-04-17 13:38 Rodrigo Vivi
  2024-04-17 14:05 ` Maxime Ripard
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2024-04-17 13:38 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Tvrtko Ursulin, Rodrigo Vivi,
	Thomas Zimmermann, Maarten Lankhorst, Maxime Ripard,
	Thomas Hellström, Oded Gabbay, Lucas De Marchi, dri-devel,
	intel-gfx, intel-xe, dim-tools

Hi Sima and Dave,

Here goes our biggest pull request of this round.
Likely a small pull request coming end of next week as well.

I had to bypass dim on missed link tag in a patch that was a urgent revert
and ended up without the patchwork link.
(Which btw I'm proposing an option to dim for making that easier for us:
https://gitlab.freedesktop.org/drm/maintainer-tools/-/merge_requests/52
)

Another thing that it is important to highlight is that we have 3 drm level
patches in this pull request where I didn't see any explicit recorded
ack from you (drm maintainers) nor from drm-misc maintainers.
The patches looks good to me and shouldn't cause conflict, so I hope it
is okay to continue with them here instead of a big removal at this
point.

Thanks,
Rodrigo.

drm-intel-next-2024-04-17-1:
Core Changes (DRM):

- Fix documentation of DP tunnel functions (Imre)
- DP MST read sideband messaging cap (Jani)
- Preparation patches for Adaptive Sync SDP Support for DP (Mitul)

Driver Changes:

i915 core (non-display):
- Documentation improvements (Nirmoy)
- Add includes for BUG_ON/BUILD_BUG_ON in i915_memcpy.c (Joonas)
- Do not print 'pxp init failed with 0' when it succeed (Jose)
- Clean-up, including removal of dead code for unsupported platforms (Lucas)
- Adding new DG2 PCI ID (Ravi)

{i915,xe} display:
- Spelling fix (Colin Ian)
- Document CDCLK components (Gustavo)
- Lunar Lake display enabling, including cdclk and other refactors (Gustavo, Bala)
- BIOS/VBT/opregion related refactor (Jani, Ville, RK)
- Save a few bytes of memory using {kstrdup,kfree}_const variant (Christophe)
- Digital port related refactor/clean-up (Ville)
- Fix 2s boot time regression on DP panel replay init (Animesh)
- Remove redundant drm_rect_visible() overlay use (Arthur)
- DSC HW state readout fixes (Imre)
- Remove duplication on audio enable/disable on SDVO and g4x+ DP (Ville)
- Disable AuxCCS framebuffers if built for Xe (Juha-Pekka)
- Fix DSI init order (Ville)
- DRRS related refactor and fixes (Bhanuprakash)
- Fix DSB vblank waits with VRR (Ville)
- General improvements on register name and use of REG_BIT (Ville)
- Some display power well related improvements (Ville)
- FBC changes for better w/a handling (Ville)
- Make crtc disable more atomic (Ville)
- Fix hwmon locking inversion in sysfs getter (Janusz)
- Increase DP idle pattern wait timeout to 2ms (Shekhar)
- PSR related fixes and improvents (Jouni)
- Start using container_of_const() for some extra const safety (Ville)
- Use drm_printer more on display code (Ville)
- Fix Jasper Lake boot freeze (Jonathon)
- Update Pipe src size check in skl_update_scaler (Ankit)
- Enable MST mode for 128b/132b single-stream sideband (Jani)
- Pass encoder around more for port/phy checks (Jani)
- Some initial work to make display code more independent from i915 (Jani)
- Pre-populate the cursor physical dma address (Ville)
- Do not bump min backlight brightness to max on enable (Gareth)
- Fix MTL supported DP rates - removal of UHBR13.5 (Arun)
- Fix the computation for compressed_bpp for DISPLAY < 1 (Ankit)
- Bigjoiner modeset sequence redesign and MST support (Ville)
- Enable Adaptive Sync SDP Support for DP (Mitul)
- Implemnt vblank sycnhronized mbus joining changes (Ville, Stanislav)
- HDCP related fixes (Suraj)
- Fix i915_display_info debugfs when connectors are not active (Ville)
- Clean up on Xe compat layer (Jani)
- Add jitter WAs for MST/FEC/DSC links (Imre)
- DMC wakelock implementation (Luca)
The following changes since commit 39cd87c4eb2b893354f3b850f916353f2658ae6f:

  Linux 6.9-rc2 (2024-03-31 14:32:39 -0700)

are available in the Git repository at:

  https://anongit.freedesktop.org/git/drm/drm-intel tags/drm-intel-next-2024-04-17-1

for you to fetch changes up to 700c34019555392a348f8c03237c1ebb5bf53eb4:

  drm/i915/display: tie DMC wakelock to DC5/6 state transitions (2024-04-17 11:41:23 +0300)

----------------------------------------------------------------
Core Changes (DRM):

- Fix documentation of DP tunnel functions (Imre)
- DP MST read sideband messaging cap (Jani)
- Preparation patches for Adaptive Sync SDP Support for DP (Mitul)

Driver Changes:

i915 core (non-display):
- Documentation improvements (Nirmoy)
- Add includes for BUG_ON/BUILD_BUG_ON in i915_memcpy.c (Joonas)
- Do not print 'pxp init failed with 0' when it succeed (Jose)
- Clean-up, including removal of dead code for unsupported platforms (Lucas)
- Adding new DG2 PCI ID (Ravi)

{i915,xe} display:
- Spelling fix (Colin Ian)
- Document CDCLK components (Gustavo)
- Lunar Lake display enabling, including cdclk and other refactors (Gustavo, Bala)
- BIOS/VBT/opregion related refactor (Jani, Ville, RK)
- Save a few bytes of memory using {kstrdup,kfree}_const variant (Christophe)
- Digital port related refactor/clean-up (Ville)
- Fix 2s boot time regression on DP panel replay init (Animesh)
- Remove redundant drm_rect_visible() overlay use (Arthur)
- DSC HW state readout fixes (Imre)
- Remove duplication on audio enable/disable on SDVO and g4x+ DP (Ville)
- Disable AuxCCS framebuffers if built for Xe (Juha-Pekka)
- Fix DSI init order (Ville)
- DRRS related refactor and fixes (Bhanuprakash)
- Fix DSB vblank waits with VRR (Ville)
- General improvements on register name and use of REG_BIT (Ville)
- Some display power well related improvements (Ville)
- FBC changes for better w/a handling (Ville)
- Make crtc disable more atomic (Ville)
- Fix hwmon locking inversion in sysfs getter (Janusz)
- Increase DP idle pattern wait timeout to 2ms (Shekhar)
- PSR related fixes and improvents (Jouni)
- Start using container_of_const() for some extra const safety (Ville)
- Use drm_printer more on display code (Ville)
- Fix Jasper Lake boot freeze (Jonathon)
- Update Pipe src size check in skl_update_scaler (Ankit)
- Enable MST mode for 128b/132b single-stream sideband (Jani)
- Pass encoder around more for port/phy checks (Jani)
- Some initial work to make display code more independent from i915 (Jani)
- Pre-populate the cursor physical dma address (Ville)
- Do not bump min backlight brightness to max on enable (Gareth)
- Fix MTL supported DP rates - removal of UHBR13.5 (Arun)
- Fix the computation for compressed_bpp for DISPLAY < 1 (Ankit)
- Bigjoiner modeset sequence redesign and MST support (Ville)
- Enable Adaptive Sync SDP Support for DP (Mitul)
- Implemnt vblank sycnhronized mbus joining changes (Ville, Stanislav)
- HDCP related fixes (Suraj)
- Fix i915_display_info debugfs when connectors are not active (Ville)
- Clean up on Xe compat layer (Jani)
- Add jitter WAs for MST/FEC/DSC links (Imre)
- DMC wakelock implementation (Luca)

----------------------------------------------------------------
Animesh Manna (1):
      drm/i915/panelreplay: Move out psr_init_dpcd() from init_connector()

Ankit Nautiyal (2):
      drm/i915/scaler: Update Pipe src size check in skl_update_scaler
      drm/i915/dp: Fix the computation for compressed_bpp for DISPLAY < 13

Arthur Grillo (1):
      drm/i915/overlay: Remove redundant drm_rect_visible() use

Arun R Murthy (1):
      drm/i915/dp: Remove support for UHBR13.5

Balasubramani Vivekanandan (2):
      drm/i915/xe2lpd: Load DMC
      drm/xe/lnl: Enable display support

Bhanuprakash Modem (2):
      drm/i915/drrs: Refactor CPU transcoder DRRS check
      drm/i915/display/debugfs: Fix duplicate checks in i915_drrs_status

Christophe JAILLET (1):
      drm/i915/display: Save a few bytes of memory in intel_backlight_device_register()

Colin Ian King (1):
      drm/i915/dp: Fix spelling mistake "redect" -> "reject"

Gareth Yu (1):
      drm/i915/backlight: Do not bump min brightness to max on enable

Gustavo Sousa (8):
      drm/i915/cdclk: Rename intel_cdclk_needs_modeset to intel_cdclk_clock_changed
      drm/i915/cdclk: Document CDCLK components
      drm/i915/cdclk: Rename lnl_cdclk_table to xe2lpd_cdclk_table
      drm/i915/cdclk: Add and use mdclk_source_is_cdclk_pll()
      drm/i915/cdclk: Only compute squash waveform when necessary
      drm/i915: Extract intel_dbuf_mdclk_cdclk_ratio_update()
      drm/i915: Add mdclk_cdclk_ratio to intel_dbuf_state
      drm/i915/xe2lpd: Support MDCLK:CDCLK ratio changes

Imre Deak (9):
      drm/dp: Fix documentation of DP tunnel functions
      drm/i915/dp: Fix connector DSC HW state readout
      drm/i915/dp: Fix DSC state HW readout for SST connectors
      drm/i915/adlp: Add MST FEC BS jitter WA (Wa_14013163432)
      drm/i915/adlp: Add MST short HBlank WA (Wa_14014143976)
      drm/i915/adlp: Add DP MST DPT/DPTP alignment WA (Wa_14014143976)
      drm/i915/adlp+: Add DSC early pixel count scaling WA (Wa_1409098942)
      drm/i915/mtl+: Disable DP/DSC SF insertion at EOL WA
      drm/i915/mtl: Add DP FEC BS jitter WA

Jani Nikula (38):
      Merge drm/drm-next into drm-intel-next
      drm/i915/bios: bump expected child device size
      drm/i915/bios: abstract child device size check
      drm/i915/bios: abstract child device expected size
      drm/i915/opregion: add intel_opregion_vbt_present() stub for ACPI=n
      drm/mst: read sideband messaging cap
      drm/i915/mst: improve debug logging of DP MST mode detect
      drm/i915/mst: abstract choosing the MST mode to use
      drm/i915/mst: use the MST mode detected previously
      drm/i915/mst: add intel_dp_mst_disconnect()
      drm/i915/mst: enable MST mode for 128b/132b single-stream sideband
      drm/i915/hdmi: convert *_port_to_ddc_pin() to *_encoder_to_ddc_pin()
      drm/i915/ddi: pass encoder to intel_wait_ddi_buf_active()
      drm/i915/snps: pass encoder to intel_snps_phy_update_psr_power_state()
      drm/i915/display: add intel_encoder_is_*() and _to_*() functions
      drm/i915/display: use intel_encoder_is/to_* functions
      drm/i915/cx0: remove the unused intel_is_c10phy()
      drm/i915/cx0: pass encoder instead of i915 and port around
      drm/i915/de: register wait function renames
      drm/i915/display: prefer intel_de_wait*() functions over uncore ones
      drm/i915: use fine grained -Woverride-init disable
      drm/i915/display: move dmc_firmware_path to display params
      Revert "drm/i915/display: move dmc_firmware_path to display params"
      drm/i915: use IS_JASPERLAKE()/IS_ELKHARTLAKE() instead of IS_PLATFORM()
      drm/i915/dmc: define firmware URL locally
      drm/i915: move i915_fixed.h to display/intel_fixed.h
      drm/xe/display: clean up a lot of cruft from compat i915_drv.h
      drm/xe/display: remove compat i915_gem.h
      drm/xe/display: clean up compat i915_vgpu.h
      drm/i915/gt: drop display clock info from gt debugfs
      drm/i915: move skl_preferred_vco_freq to display substruct
      drm/i915: move max_dotclk_freq to display substruct
      drm/i915: move vblank_enabled to display substruct
      drm/i915: move display_irqs_enabled to display substruct
      drm/i915: move de_irq_mask to display substruct
      drm/i915: move pipestat_irq_mask to display substruct
      drm/i915: use check_add_overflow() and drop local variants
      drm/i915/pps: move pps debugfs file to intel_pps.c

Janusz Krzysztofik (1):
      drm/i915/hwmon: Fix locking inversion in sysfs getter

Jonathon Hall (1):
      drm/i915: Do not match JSL in ehl_combo_pll_div_frac_wa_needed()

Joonas Lahtinen (1):
      drm/i915: Add includes for BUG_ON/BUILD_BUG_ON in i915_memcpy.c

José Roberto de Souza (1):
      drm/i915: Do not print 'pxp init failed with 0' when it succeed

Jouni Högander (23):
      drm/i915/display: Make intel_dp_aux_fw_sync_len available for PSR code
      drm/i915/psr: Improve fast and IO wake lines calculation
      drm/i915/psr: Calculate IO wake and fast wake lines for DISPLAY_VER < 12
      drm/i915/display: Increase number of fast wake precharge pulses
      drm/i915/psr: Calculate PIPE_SRCSZ_ERLY_TPT value
      drm/i915/psr: Move writing early transport pipe src
      drm/i915/psr: Fix intel_psr2_sel_fetch_et_alignment usage
      drm/i915/display: Add definition for MCURSOR_MODE_64_2B
      drm/i915/display: Implement Wa_16021440873
      drm/i915/psr: Add missing ALPM AUX-Less register definitions
      drm/i915/psr: Calculate aux less wake time
      drm/i915/psr: Silence period and lfps half cycle
      drm/i915/psr: Enable ALPM on source side for eDP Panel replay
      drm/i915/psr: Do not write ALPM configuration for PSR1 or DP2.0 Panel Replay
      drm/i915/psr: Add some documentation of variables used in psr code
      drm/i915/psr: Set intel_crtc_state->has_psr on panel replay as well
      drm/i915/psr: Intel_psr_pause/resume needs to support panel replay
      drm/i915/psr: Do not update phy power state in case of non-eDP panel replay
      drm/i915/psr: Check possible errors for panel replay as well
      drm/i915/psr: Do not write registers/bits not applicable for panel replay
      drm/i915/psr: Unify panel replay enable/disable sink
      drm/i915/psr: Panel replay has to be enabled before link training
      drm/i915/psr: Use crtc_state->port_clock instead of intel_dp->link_rate

Juha-Pekka Heikkila (1):
      drm/i915/display: Disable AuxCCS framebuffers if built for Xe

Luca Coelho (4):
      drm/i915/display: add support for DMC wakelocks
      drm/i915/display: don't allow DMC wakelock on older hardware
      drm/i915/display: add module parameter to enable DMC wakelock
      drm/i915/display: tie DMC wakelock to DC5/6 state transitions

Lucas De Marchi (7):
      drm/i915: Drop dead code for xehpsdv
      drm/i915: Remove XEHP_FWRANGES()
      drm/i915: Stop inheriting IP_VER(12, 50)
      drm/i915: Update IP_VER(12, 50)
      drm/i915: Drop dead code for pvc
      drm/i915: Remove special handling for !RCS_MASK()
      drm/i915: Delete stray .rej file

Mitul Golani (9):
      drm/dp: Add support to indicate if sink supports AS SDP
      drm/dp: Add Adaptive Sync SDP logging
      drm/i915/display: Add crtc state dump for Adaptive Sync SDP
      drm/i915/dp: Add Read/Write support for Adaptive Sync SDP
      drm/i915/dp: Add wrapper function to check AS SDP
      drm/i915/display: Compute AS SDP parameters
      drm/i915/display: Add state checker for Adaptive Sync SDP
      drm/i915/display: Compute vrr_vsync params
      drm/i915/display: Read/Write Adaptive Sync SDP

Nirmoy Das (1):
      drm/i915: Add missing doc for drm_i915_reset_stats

Radhakrishna Sripada (7):
      drm/i915: Pass size to oprom_get_vbt
      drm/i915: Pass size to spi_oprom_get_vbt
      drm/i915: Move vbt read from firmware to intel_bios.c
      drm/i915: Extract opregion vbt presence check
      drm/i915: Duplicate opregion vbt memory
      drm/i915: Show bios vbt when read from firmware/spi/oprom
      drm/i915: Reuse RPLU cdclk fns for MTL+

Ravi Kumar Vodapalli (1):
      drm/i915: Add new PCI IDs to DG2 platform in driver

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Shekhar Chauhan (1):
      drm/i915/dp: Increase idle pattern wait timeout to 2ms

Stanislav Lisovskiy (4):
      drm/i915: Loop over all active pipes in intel_mbus_dbox_update
      drm/i915: Use old mbus_join value when increasing CDCLK
      drm/i915: Implement vblank synchronized MBUS join changes
      drm/i915: Handle joined pipes inside hsw_crtc_enable()

Suraj Kandpal (2):
      drm/i915/display: Initialize capability variables
      drm/i915/hdcp: Fix get remote hdcp capability function

Vidya Srinivas (1):
      drm/i915: Allow bigjoiner for MST

Ville Syrjälä (75):
      drm/i915: Don't explode when the dig port we don't have an AUX CH
      drm/i915: Simplify aux_ch_to_digital_port()
      drm/i915: Stop doing double audio enable/disable on SDVO and g4x+ DP
      drm/i915/dsi: Go back to the previous INIT_OTP/DISPLAY_ON order, mostly
      drm/i915/vrr: Generate VRR "safe window" for DSB
      drm/i915/dsb: Fix DSB vblank waits when using VRR
      drm/i915/dsb: Always set DSB_SKIP_WAITS_EN
      drm/i915: Rename ICL_AUX_ANAOVRD1 to ICL_PORT_TX_DW6_AUX
      drm/i915: Use REG_BIT() & co. in intel_combo_phy_regs.h
      drm/i915: Use pw_idx to derive PHY for ICL_LANE_ENABLE_AUX override
      drm/i915: Streamline eDP handling in icl_combo_phy_aux_power_well_enable()
      drm/i915/fbc: Don't use a fence for a plane if FBC is not possible
      drm/i915/fbc: Move DPFC_CHICKEN programming into intel_fbc_program_workarounds()
      drm/i915: Precompute disable_pipes bitmask in intel_commit_modeset_disables()
      drm/i915: Disable planes more atomically during modesets
      drm/i915: Simplify intel_old_crtc_state_disables() calling convention
      drm/i915/dsi: Use enc_to_intel_dsi()
      drm/i915: Don't cast away const
      drm/i915: Use container_of_const() for states
      drm/i915: Drop pointless (void*) cast
      drm/i915: Indicate which pipe failed the fastset check overall
      drm/i915: Include CRTC info in infoframe mismatch prints
      drm/i915: Include CRTC info in VSC SDP mismatch prints
      drm/i915: Convert pipe_config_infoframe_mismatch() to drm_printer
      drm/i915: Convert pipe_config_buffer_mismatch() to drm_printer
      drm/i915: Convert intel_dpll_dump_hw_state() to drm_printer
      drm/i915: Use drm_printer more extensively in intel_crtc_state_dump()
      drm/i915: Convert the remaining state dump to drm_printer
      drm/i915: Skip intel_crtc_state_dump() if debugs aren't enabled
      drm/i915: Relocate pipe_config_mismatch()
      drm/i915: Reuse pipe_config_mismatch() more
      drm/i915: Create the printer only once in intel_pipe_config_compare()
      drm/i915: Rename ICL_PORT_TX_DW6 bits
      drm/i915/bios: s/dpfs/dfps/
      drm/i915/bios: Update VBT driver feature block version numbers
      drm/i915/bios: Add the old DPST field into VBT LFP power block
      drm/i915/bios: Define the (obsolete) backlight i2c VBT stuff
      drm/i915/bios: Pimp the VBT backlight data BDB version comments
      drm/i915: Pre-populate the cursor physical dma address
      drm/i915/bios: Tolerate devdata==NULL in intel_bios_encoder_supports_dp_dual_mode()
      drm/i915/bios: Use the platform's port_mask when there is no VBT
      drm/i915: Remove DRM_MODE_FLAG_DBLSCAN checks from .mode_valid() hooks
      drm/i915: Shuffle DP .mode_valid() checks
      drm/i915: Clean up glk_pipe_scaler_clock_gating_wa()
      drm/i915: Extract glk_need_scaler_clock_gating_wa()
      drm/i915/mst: Limit MST+DSC to TGL+
      drm/i915/mst: Reject FEC+MST on ICL
      drm/i915: Use debugfs_create_bool() for "i915_bigjoiner_force_enable"
      drm/i915/cdclk: Fix CDCLK programming order when pipes are active
      drm/i915/cdclk: Fix voltage_level programming edge case
      drm/i915/cdclk: Drop tgl/dg2 cdclk bump hacks
      drm/i915/cdclk: Indicate whether CDCLK change happens during pre or post plane update
      drm/i915: Relocate intel_mbus_dbox_update()
      drm/i915: Extract intel_dbuf_mbus_join_update()
      drm/i915: Extract intel_dbuf_mdclk_min_tracker_update()
      drm/i915: Add debugs for mbus joining and dbuf ratio programming
      drm/i915: Use the correct mdclk/cdclk ratio in MBUS updates
      drm/i915: Use a plain old int for the cdclk/mdclk ratio
      drm/i915: Optimize out redundant dbuf slice updates
      drm/i915: Fix i915_display_info output when connectors are not active
      drm/i915/psr: Disable PSR when bigjoiner is used
      drm/i915: Disable port sync when bigjoiner is used
      drm/i915: Disable live M/N updates when using bigjoiner
      drm/i915/vrr: Disable VRR when using bigjoiner
      drm/i915: Fix intel_modeset_pipe_config_late() for bigjoiner
      drm/i915: Update pipes in reverse order for bigjoiner
      drm/i915: s/intel_dp_can_bigjoiner()/intel_dp_has_bigjoiner()/
      drm/i915: Extract intel_dp_joiner_needs_dsc()
      drm/i915/mst: Check intel_dp_joiner_needs_dsc()
      drm/i915: Pass connector to intel_dp_need_bigjoiner()
      drm/i915: Introduce intel_crtc_joined_pipe_mask()
      drm/i915: Extract intel_ddi_post_disable_hdmi_or_sst()
      drm/i915: Utilize intel_crtc_joined_pipe_mask() more
      drm/i915: Handle joined pipes inside hsw_crtc_disable()
      drm/i915/mst: Add bigjoiner handling to MST modeset sequence

 Documentation/gpu/i915.rst                         |   9 +
 Documentation/gpu/rfc/i915_vm_bind.h               |  11 +-
 drivers/gpu/drm/display/drm_dp_helper.c            |  37 ++
 drivers/gpu/drm/display/drm_dp_mst_topology.c      |  20 +-
 drivers/gpu/drm/display/drm_dp_tunnel.c            |   7 +-
 drivers/gpu/drm/i915/Makefile                      |   6 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   3 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   2 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |  10 +-
 drivers/gpu/drm/i915/display/intel_bios.c          | 198 ++++--
 drivers/gpu/drm/i915/display/intel_bw.h            |   3 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 242 +++++---
 drivers/gpu/drm/i915/display/intel_cdclk.h         |  15 +-
 .../gpu/drm/i915/display/intel_combo_phy_regs.h    | 117 ++--
 drivers/gpu/drm/i915/display/intel_crt.c           |   5 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   | 353 +++++------
 drivers/gpu/drm/i915/display/intel_cursor.c        |  24 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 369 +++++------
 drivers/gpu/drm/i915/display/intel_cx0_phy.h       |   3 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 224 ++++---
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |  18 +-
 drivers/gpu/drm/i915/display/intel_de.h            | 117 +++-
 drivers/gpu/drm/i915/display/intel_display.c       | 673 +++++++++++++--------
 drivers/gpu/drm/i915/display/intel_display.h       |  22 +
 drivers/gpu/drm/i915/display/intel_display_core.h  |  14 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  87 +--
 .../gpu/drm/i915/display/intel_display_device.c    |   5 +
 .../gpu/drm/i915/display/intel_display_device.h    |   2 +
 .../gpu/drm/i915/display/intel_display_driver.c    |   1 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   |  57 +-
 .../gpu/drm/i915/display/intel_display_params.c    |   5 +
 .../gpu/drm/i915/display/intel_display_params.h    |   1 +
 .../drm/i915/display/intel_display_power_well.c    |  73 +--
 drivers/gpu/drm/i915/display/intel_display_types.h |  21 +-
 drivers/gpu/drm/i915/display/intel_display_wa.c    |   8 -
 drivers/gpu/drm/i915/display/intel_dmc.c           |  17 +-
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |   6 +
 drivers/gpu/drm/i915/display/intel_dmc_wl.c        | 262 ++++++++
 drivers/gpu/drm/i915/display/intel_dmc_wl.h        |  31 +
 drivers/gpu/drm/i915/display/intel_dp.c            | 310 ++++++++--
 drivers/gpu/drm/i915/display/intel_dp.h            |   5 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  15 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.h        |   1 +
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  22 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 142 +++--
 drivers/gpu/drm/i915/display/intel_dp_tunnel.c     |   2 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   7 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 127 ++--
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   2 +
 drivers/gpu/drm/i915/display/intel_dsb.c           |   5 +-
 drivers/gpu/drm/i915/display/intel_dsi.c           |   5 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   5 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |   6 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  32 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   5 +
 .../i915/{i915_fixed.h => display/intel_fixed.h}   |   0
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   6 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  96 +--
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |   2 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |   5 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |  58 +-
 drivers/gpu/drm/i915/display/intel_opregion.h      |   6 +
 drivers/gpu/drm/i915/display/intel_overlay.c       |   7 +-
 drivers/gpu/drm/i915/display/intel_pmdemand.c      |  14 +-
 drivers/gpu/drm/i915/display/intel_pmdemand.h      |   5 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |  34 +-
 drivers/gpu/drm/i915/display/intel_pps.h           |   2 +
 drivers/gpu/drm/i915/display/intel_psr.c           | 533 ++++++++++++----
 drivers/gpu/drm/i915/display/intel_psr.h           |   5 +
 drivers/gpu/drm/i915/display/intel_psr_regs.h      |  12 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   9 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |  16 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.h      |   4 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |  33 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   8 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |  36 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |  40 +-
 drivers/gpu/drm/i915/display/skl_scaler.c          |   7 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       | 320 +++++++---
 drivers/gpu/drm/i915/display/skl_watermark.h       |  13 +-
 drivers/gpu/drm/i915/display/skl_watermark_regs.h  |  18 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   2 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   4 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   8 +-
 drivers/gpu/drm/i915/gt/gen8_engine_cs.c           |   5 +-
 drivers/gpu/drm/i915/gt/gen8_ppgtt.c               |  40 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  43 +-
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |  10 +-
 drivers/gpu/drm/i915/gt/intel_gsc.c                |  15 -
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   4 +-
 drivers/gpu/drm/i915/gt/intel_gt_mcr.c             |  52 +-
 drivers/gpu/drm/i915/gt/intel_gt_mcr.h             |   2 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |   4 -
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            |  59 --
 drivers/gpu/drm/i915/gt/intel_gt_sysfs_pm.c        |  21 +-
 drivers/gpu/drm/i915/gt/intel_gtt.c                |   2 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  51 +-
 drivers/gpu/drm/i915/gt/intel_migrate.c            |  22 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |  52 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   6 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |  13 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        | 183 +-----
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |   8 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |   4 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |   2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |   2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |   4 -
 drivers/gpu/drm/i915/i915_debugfs.c                |  12 -
 drivers/gpu/drm/i915/i915_drv.h                    |  26 +-
 drivers/gpu/drm/i915/i915_getparam.c               |   4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   5 +-
 drivers/gpu/drm/i915/i915_hwmon.c                  |   6 -
 drivers/gpu/drm/i915/i915_irq.c                    |   8 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  66 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  19 +-
 drivers/gpu/drm/i915/i915_query.c                  |   2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  43 +-
 drivers/gpu/drm/i915/i915_utils.h                  |  14 -
 drivers/gpu/drm/i915/intel_clock_gating.c          |  59 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   2 -
 drivers/gpu/drm/i915/intel_device_info.h           |   2 -
 drivers/gpu/drm/i915/intel_step.c                  |  80 +--
 drivers/gpu/drm/i915/intel_uncore.c                | 380 ++++--------
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   3 -
 drivers/gpu/drm/nouveau/nouveau_dp.c               |   2 +-
 drivers/gpu/drm/xe/Makefile                        |   4 +-
 drivers/gpu/drm/xe/compat-i915-headers/i915_drv.h  |  40 --
 .../gpu/drm/xe/compat-i915-headers/i915_fixed.h    |   6 -
 drivers/gpu/drm/xe/compat-i915-headers/i915_gem.h  |   9 -
 drivers/gpu/drm/xe/compat-i915-headers/i915_vgpu.h |  26 -
 .../gpu/drm/xe/compat-i915-headers/intel_uc_fw.h   |  11 -
 drivers/gpu/drm/xe/xe_device_types.h               |  16 +-
 drivers/gpu/drm/xe/xe_pci.c                        |   1 +
 include/drm/display/drm_dp.h                       |  11 +
 include/drm/display/drm_dp_helper.h                |  30 +
 include/drm/display/drm_dp_mst_helper.h            |  23 +-
 include/drm/i915_pciids.h                          |   4 +-
 include/uapi/drm/i915_drm.h                        |  16 +-
 140 files changed, 3622 insertions(+), 2989 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dmc_wl.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dmc_wl.h
 rename drivers/gpu/drm/i915/{i915_fixed.h => display/intel_fixed.h} (100%)
 delete mode 100644 drivers/gpu/drm/xe/compat-i915-headers/i915_fixed.h
 delete mode 100644 drivers/gpu/drm/xe/compat-i915-headers/i915_gem.h
 delete mode 100644 drivers/gpu/drm/xe/compat-i915-headers/intel_uc_fw.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2024-02-27 16:16 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2024-02-27 16:16 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Tvrtko Ursulin, Rodrigo Vivi,
	Thomas Zimmermann, Maarten Lankhorst, Maxime Ripard,
	Thomas Hellström, Oded Gabbay, Lucas De Marchi, dri-devel,
	intel-gfx, intel-xe, dim-tools


Hi Dave & Sima -

Final i915 feature pull for v6.9.

drm-intel-next-2024-02-27-1:
drm/i915 feature pull #2 for v6.9:

Features and functionality:
- DP tunneling and bandwidth allocation support (Imre)
- Add more ADL-N PCI IDs (Gustavo)
- Enable fastboot also on older platforms (Ville)
- Bigjoiner force enable debugfs option for testing (Stan)

Refactoring and cleanups:
- Remove unused structs and struct members (Jiri Slaby)
- Use per-device debug logging (Ville)
- State check improvements (Ville)
- Hardcoded cd2x divider cleanups (Ville)
- CDCLK documentation updates (Ville, Rodrigo)

Fixes:
- HDCP MST Type1 fixes (Suraj)
- Fix MTL C20 PHY PLL values (Ravi)
- More hardware access prevention during init (Imre)
- Always enable decompression with tile4 on Xe2 (Juha-Pekka)
- Improve LNL package C residency (Suraj)

drm core changes:
- DP tunneling and bandwidth allocation helpers (Imre)

BR,
Jani.

The following changes since commit 449c2d5948ba8c784dcbc5c67df1d8c54748caa4:

  drm/i915/alpm: Alpm aux wake configuration for lnl (2024-02-07 09:58:04 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2024-02-27-1

for you to fetch changes up to e60cff453b82789a652239c6200bd90d5178d2a0:

  drm/i915/dp: Enable DP tunnel BW allocation mode (2024-02-27 17:35:14 +0200)

----------------------------------------------------------------
drm/i915 feature pull #2 for v6.9:

Features and functionality:
- DP tunneling and bandwidth allocation support (Imre)
- Add more ADL-N PCI IDs (Gustavo)
- Enable fastboot also on older platforms (Ville)
- Bigjoiner force enable debugfs option for testing (Stan)

Refactoring and cleanups:
- Remove unused structs and struct members (Jiri Slaby)
- Use per-device debug logging (Ville)
- State check improvements (Ville)
- Hardcoded cd2x divider cleanups (Ville)
- CDCLK documentation updates (Ville, Rodrigo)

Fixes:
- HDCP MST Type1 fixes (Suraj)
- Fix MTL C20 PHY PLL values (Ravi)
- More hardware access prevention during init (Imre)
- Always enable decompression with tile4 on Xe2 (Juha-Pekka)
- Improve LNL package C residency (Suraj)

drm core changes:
- DP tunneling and bandwidth allocation helpers (Imre)

----------------------------------------------------------------
Bhanuprakash Modem (1):
      drm/i915/display/debugfs: New entry "DRRS capable" to i915_drrs_status

Gustavo Sousa (1):
      drm/i915: Update ADL-N PCI IDs

Imre Deak (23):
      drm/i915: Prevent HW access during init from SDVO TV get_modes hook
      drm/i915: Prevent HW access during init from connector get_modes hooks
      drm/dp: Add drm_dp_max_dprx_data_rate()
      drm/dp: Add support for DP tunneling
      drm/i915: Fix display bpp limit computation during system resume
      drm/i915/dp: Add support to notify MST connectors to retry modesets
      drm/i915/dp: Use drm_dp_max_dprx_data_rate()
      drm/i915/dp: Factor out intel_dp_config_required_rate()
      drm/i915/dp: Export intel_dp_max_common_rate/lane_count()
      drm/i915/dp: Factor out intel_dp_update_sink_caps()
      drm/i915/dp: Factor out intel_dp_read_dprx_caps()
      drm/i915/dp: Add intel_dp_max_link_data_rate()
      drm/i915/dp: Sync instead of try-sync commits when getting active pipes
      drm/i915/dp: Add support for DP tunnel BW allocation
      drm/i915/dp: Add DP tunnel atomic state and check BW limit
      drm/i915/dp: Account for tunnel BW limit in intel_dp_max_link_data_rate()
      drm/i915/dp: Compute DP tunnel BW during encoder state computation
      drm/i915/dp: Allocate/free DP tunnel BW during modeset
      drm/i915/dp: Handle DP tunnel IRQs
      drm/i915/dp: Call intel_dp_sync_state() always for DDI DP encoders
      drm/i915/dp: Suspend/resume DP tunnels
      drm/i915/dp: Read DPRX for all long HPD pulses
      drm/i915/dp: Enable DP tunnel BW allocation mode

Jiri Slaby (SUSE) (21):
      drm/i915: remove unused intel_dvo_dev_ops hooks
      drm/i915: remove structs intel_vgpu_pipe_format and intel_vgpu_fb_format
      drm/i915: remove intel_dsi::{port_bits,hs}
      drm/i915: remove intel_gvt_gtt::{mm_alloc_page_table, mm_free_page_table}
      drm/i915: remove intel_gvt_mmio_info::{device, addr_range}
      drm/i915: remove intel_vgpu_workload::{ring_context, restore_inhibit}
      drm/i915: remove intel_vbt_panel_data::edp::initialized
      drm/i915: remove intel_guc::ads_engine_usage_size
      drm/i915: remove i915_drm_client::id
      drm/i915: remove i915_perf_stream::size_exponent
      drm/i915: remove intel_vgpu_gtt::active_ppgtt_mm_bitmap
      drm/i915: remove intel_vgpu_fence::base
      drm/i915: remove intel_vgpu_opregion::mapped
      drm/i915: remove intel_vgpu::intx_trigger
      drm/i915: remove gvt_mmio_block::device
      drm/i915: remove intel_gvt_irq_info::warned
      drm/i915: remove intel_gvt_event_info::policy
      drm/i915: remove intel_gvt_irq::pending_events
      drm/i915: remove execute_cb::signal
      drm/i915: remove i915_vma::obj_hash
      drm/i915: remove intel_memory_region_ops::flags

Juha-Pekka Heikkila (1):
      drm/i915/display: On Xe2 always enable decompression with tile4

Manasi Navare (1):
      drm/i915/dsc: Fix the macro that calculates DSCC_/DSCA_ PPS reg address

Maxime Ripard (1):
      drm/i915/tv: Fix TV mode

Ravi Kumar Vodapalli (1):
      drm/i915/display: update pll values in sync with Bspec for MTL

Rodrigo Vivi (1):
      drm/i915: Fix doc build issue on intel_cdclk.c

Stanislav Lisovskiy (1):
      drm/i915: Add bigjoiner force enable option to debugfs

Suraj Kandpal (15):
      drm/i915/lnl: Add pkgc related register
      drm/i915/lnl: Program PKGC_LATENCY register
      drm/i915/hdcp: Move to direct reads for HDCP
      drm/i915/hdcp: Move source hdcp2 checks into its own function
      drm/i915/hdcp: Refactor intel_dp_hdcp2_capable
      drm/i915/hdcp: Pass drm_dp_aux to read_bcaps function
      drm/i915/hdcp: Rename hdcp capable functions
      drm/i915/hdcp: Add new remote capability check shim function
      drm/i915/hdcp: HDCP Capability for the downstream device
      drm/i915/hdcp: Remove additional timing for reading mst hdcp message
      drm/i915/hdcp: Extract hdcp structure from correct connector
      drm/i915/hdcp: Don't enable HDCP2.2 directly from check_link
      drm/i915/hdcp: Don't enable HDCP1.4 directly from check_link
      drm/i915/hdcp: Allocate stream id after HDCP AKE stage
      drm/i915/hdcp: Read Rxcaps for robustibility

Ville Syrjälä (23):
      drm/i915/dp: Limit SST link rate to <=8.1Gbps
      drm/i915: Correct for_each_old_global_obj_in_state() arguments
      drm/i915/sdvo: Convert to per-device debugs
      drm/i915/sdvo: Fix up code alignment
      drm/i915/color: Use per-device debugs
      drm/i915/fb: Use per-device debugs
      drm/i915/bios: Switch to kms debugs
      drm/i915/bios: Use per-device debugs for VBT related stuff
      drm/i915/hdcp: Use per-device debugs
      drm/i915/wm: Pass the whole i915 to intel_get_cxsr_latency()
      drm/i915/wm: Use per-device debugs in pre-ilk wm code
      drm/i915/wm: Use per-device debugs ilk wm code
      drm/i915/dvo/ns2501: Nuke pointless casts
      drm/i915/dvo: Use sizeof(*variable) instead of sizeof(type)
      drm/i915: Fix PLL state check for gmch platforms
      drm/i915: Include the CRTC name in the ELD buffer mismatch
      drm/i915: Reuse ibx_dump_hw_state() for gmch platforms
      drm/i915: Add PLL .compare_hw_state() vfunc
      drm/i915: Enable fastboot across the board
      drm/i915/cdclk: Extract cdclk_divider()
      drm/i915/cdclk: Squash waveform is 16 bits
      drm/i915/cdclk: Remove the hardcoded divider from cdclk_compute_crawl_and_squash_midpoint()
      drm/i915/cdclk: Document CDCLK update methods

 drivers/gpu/drm/display/Kconfig                    |   21 +
 drivers/gpu/drm/display/Makefile                   |    2 +
 drivers/gpu/drm/display/drm_dp_helper.c            |   30 +
 drivers/gpu/drm/display/drm_dp_tunnel.c            | 1949 ++++++++++++++++++++
 drivers/gpu/drm/i915/Kconfig                       |   14 +
 drivers/gpu/drm/i915/Kconfig.debug                 |    1 +
 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/display/dvo_ch7017.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_ch7xxx.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_ivch.c            |    2 +-
 drivers/gpu/drm/i915/display/dvo_ns2501.c          |    6 +-
 drivers/gpu/drm/i915/display/dvo_sil164.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_tfp410.c          |    2 +-
 drivers/gpu/drm/i915/display/i9xx_wm.c             |   81 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   10 +
 drivers/gpu/drm/i915/display/intel_bios.c          |   73 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |    3 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   61 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   11 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |    3 +
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       |   32 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |    3 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  132 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |    1 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   68 +-
 .../gpu/drm/i915/display/intel_display_driver.c    |   20 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   24 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  300 ++-
 drivers/gpu/drm/i915/display/intel_dp.h            |   13 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  149 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   33 +-
 .../gpu/drm/i915/display/intel_dp_link_training.h  |    1 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   22 +-
 drivers/gpu/drm/i915/display/intel_dp_tunnel.c     |  811 ++++++++
 drivers/gpu/drm/i915/display/intel_dp_tunnel.h     |  133 ++
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  103 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    3 +
 drivers/gpu/drm/i915/display/intel_drrs.c          |    6 +
 drivers/gpu/drm/i915/display/intel_dsb.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |    4 -
 drivers/gpu/drm/i915/display/intel_dvo.c           |    5 +
 drivers/gpu/drm/i915/display/intel_dvo_dev.h       |   25 -
 drivers/gpu/drm/i915/display/intel_fb.c            |    7 +-
 drivers/gpu/drm/i915/display/intel_global_state.h  |    4 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  226 ++-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |    7 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |    6 +-
 drivers/gpu/drm/i915/display/intel_link_bw.c       |   27 +-
 drivers/gpu/drm/i915/display/intel_link_bw.h       |    2 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    6 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  234 +--
 drivers/gpu/drm/i915/display/intel_tv.c            |   10 +-
 drivers/gpu/drm/i915/display/intel_vdsc_regs.h     |    4 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |    5 +
 drivers/gpu/drm/i915/display/skl_watermark.c       |   54 +-
 drivers/gpu/drm/i915/display/skl_watermark.h       |    4 +-
 drivers/gpu/drm/i915/display/skl_watermark_regs.h  |    4 +
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |    2 -
 drivers/gpu/drm/i915/gvt/fb_decoder.h              |   11 -
 drivers/gpu/drm/i915/gvt/gtt.h                     |    3 -
 drivers/gpu/drm/i915/gvt/gvt.h                     |    5 -
 drivers/gpu/drm/i915/gvt/interrupt.c               |    1 -
 drivers/gpu/drm/i915/gvt/interrupt.h               |    2 -
 drivers/gpu/drm/i915/gvt/mmio.h                    |    2 -
 drivers/gpu/drm/i915/gvt/scheduler.h               |    2 -
 drivers/gpu/drm/i915/i915_drm_client.h             |    2 -
 drivers/gpu/drm/i915/i915_perf_types.h             |    1 -
 drivers/gpu/drm/i915/i915_request.c                |    1 -
 drivers/gpu/drm/i915/i915_vma_types.h              |    1 -
 drivers/gpu/drm/i915/intel_memory_region.h         |    2 -
 include/drm/display/drm_dp.h                       |   61 +
 include/drm/display/drm_dp_helper.h                |    1 +
 include/drm/display/drm_dp_tunnel.h                |  248 +++
 include/drm/i915_pciids.h                          |    4 +-
 74 files changed, 4434 insertions(+), 683 deletions(-)
 create mode 100644 drivers/gpu/drm/display/drm_dp_tunnel.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_tunnel.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_tunnel.h
 create mode 100644 include/drm/display/drm_dp_tunnel.h

-- 
Jani Nikula, Intel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2024-02-07 11:35 Jani Nikula
@ 2024-02-14 11:01 ` Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2024-02-14 11:01 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Joonas Lahtinen, Tvrtko Ursulin, Rodrigo Vivi, Thomas Zimmermann,
	Maarten Lankhorst, Maxime Ripard, Thomas Hellström,
	Oded Gabbay, Lucas De Marchi, dri-devel, intel-gfx, dim-tools

On Wed, 07 Feb 2024, Jani Nikula <jani.nikula@intel.com> wrote:
> Hi Dave & Sima -
>
> drm-intel-next-2024-02-07:
> drm/i915 feature pull for v6.9:

Ping, this hasn't been merged yet.

BR,
Jani.

-- 
Jani Nikula, Intel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2024-02-07 11:35 Jani Nikula
  2024-02-14 11:01 ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2024-02-07 11:35 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Tvrtko Ursulin, Rodrigo Vivi,
	Thomas Zimmermann, Maarten Lankhorst, Maxime Ripard,
	Thomas Hellström, Oded Gabbay, Lucas De Marchi, dri-devel,
	intel-gfx, dim-tools


Hi Dave & Sima -

drm-intel-next-2024-02-07:
drm/i915 feature pull for v6.9:

Features and functionality:
- Early transport for panel replay and PSR (Jouni)
- New ARL PCI IDs (Matt)
- DP TPS4 PHY test pattern support (Khaled)

Refactoring and cleanups:
- Unify and improve VSC SDP for PSR and non-PSR cases (Jouni)
- Refactor memory regions and improve debug logging (Ville)
- Rework global state serialization (Ville)
- Remove unused CDCLK divider fields (Gustavo)
- Unify HDCP connector logging format (Jani)
- Use display instead of graphics version in display code (Jani)
- Move VBT and opregion debugfs next to the implementation (Jani)
- Abstract opregion interface, use opaque type (Jani)

Fixes:
- Fix MTL stolen memory access (Ville)
- Fix initial display plane readout for MTL (Ville)
- Fix HPD handling during driver init/shutdown (Imre)
- Cursor vblank evasion fixes (Ville)
- Various VSC SDP fixes (Jouni)
- Allow PSR mode changes without full modeset (Jouni)
- Fix CDCLK sanitization on module load for Xe2_LPD (Gustavo)
- Fix the max DSC bpc supported by the source (Ankit)
- Add missing LNL ALPM AUX wake configuration (Jouni)
- Cx0 PHY state readout and verify fixes (Mika)
- Fix PSR (panel replay) debugfs for MST connectors (Imre)
- Fail HDCP repeater authentication if Type1 device not present (Suraj)
- Ratelimit debug logging in vm_fault_ttm (Nirmoy)
- Use a fake PCH for MTL because south display is not on the PCH (Haridhar)
- Disable DSB for Xe driver for now (José)
- Fix some LNL display register changes (Lucas)
- Fix build on ChromeOS (Paz Zcharya)
- Preserve current shared DPLL for fastsets on Type-C ports (Ville)
- Fix state checker warnings for MG/TC/TBT PLLs (Ville)
- Fix HDCP repeater ctl register value on errors (Jani)
- Allow FBC with CCS modifiers on SKL+ (Ville)
- Fix HDCP GGTT pinning (Ville)

DRM core changes:
- Add ratelimited drm dbg print (Nirmoy)
- DPCD PSR early transport macro (Jouni)

Merges:
- Backmerge drm-next to bring Xe driver to drm-intel-next (Jani)

BR,
Jani.

The following changes since commit 205e18c13545ab43cc4fe4930732b4feef551198:

  nouveau/gsp: handle engines in runl without nonstall interrupts. (2024-01-15 16:04:48 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2024-02-07

for you to fetch changes up to 449c2d5948ba8c784dcbc5c67df1d8c54748caa4:

  drm/i915/alpm: Alpm aux wake configuration for lnl (2024-02-07 09:58:04 +0200)

----------------------------------------------------------------
drm/i915 feature pull for v6.9:

Features and functionality:
- Early transport for panel replay and PSR (Jouni)
- New ARL PCI IDs (Matt)
- DP TPS4 PHY test pattern support (Khaled)

Refactoring and cleanups:
- Unify and improve VSC SDP for PSR and non-PSR cases (Jouni)
- Refactor memory regions and improve debug logging (Ville)
- Rework global state serialization (Ville)
- Remove unused CDCLK divider fields (Gustavo)
- Unify HDCP connector logging format (Jani)
- Use display instead of graphics version in display code (Jani)
- Move VBT and opregion debugfs next to the implementation (Jani)
- Abstract opregion interface, use opaque type (Jani)

Fixes:
- Fix MTL stolen memory access (Ville)
- Fix initial display plane readout for MTL (Ville)
- Fix HPD handling during driver init/shutdown (Imre)
- Cursor vblank evasion fixes (Ville)
- Various VSC SDP fixes (Jouni)
- Allow PSR mode changes without full modeset (Jouni)
- Fix CDCLK sanitization on module load for Xe2_LPD (Gustavo)
- Fix the max DSC bpc supported by the source (Ankit)
- Add missing LNL ALPM AUX wake configuration (Jouni)
- Cx0 PHY state readout and verify fixes (Mika)
- Fix PSR (panel replay) debugfs for MST connectors (Imre)
- Fail HDCP repeater authentication if Type1 device not present (Suraj)
- Ratelimit debug logging in vm_fault_ttm (Nirmoy)
- Use a fake PCH for MTL because south display is not on the PCH (Haridhar)
- Disable DSB for Xe driver for now (José)
- Fix some LNL display register changes (Lucas)
- Fix build on ChromeOS (Paz Zcharya)
- Preserve current shared DPLL for fastsets on Type-C ports (Ville)
- Fix state checker warnings for MG/TC/TBT PLLs (Ville)
- Fix HDCP repeater ctl register value on errors (Jani)
- Allow FBC with CCS modifiers on SKL+ (Ville)
- Fix HDCP GGTT pinning (Ville)

DRM core changes:
- Add ratelimited drm dbg print (Nirmoy)
- DPCD PSR early transport macro (Jouni)

Merges:
- Backmerge drm-next to bring Xe driver to drm-intel-next (Jani)

----------------------------------------------------------------
Ankit Nautiyal (1):
      drm/i915/dp: Fix the max DSC bpc supported by source

Gustavo Sousa (5):
      drm/i915/cdclk: Remove divider field from tables
      drm/i915/xe2lpd: Update bxt_sanitize_cdclk()
      drm/i915/cdclk: Extract bxt_cdclk_ctl()
      drm/i915/cdclk: Reorder bxt_sanitize_cdclk()
      drm/i915/cdclk: Re-use bxt_cdclk_ctl() when sanitizing

Haridhar Kalvala (1):
      drm/i915/mtl: Add fake PCH for Meteor Lake

Imre Deak (13):
      drm/i915/dp: Fix the PSR debugfs entries wrt. MST connectors
      drm/i915: Init DRM connector polled field early
      drm/i915: Keep the connector polled state disabled after storm
      drm/i915: Move audio deinit after disabling polling
      drm/i915: Disable intel HPD poll after DRM poll init/enable
      drm/i915: Suspend the framebuffer console during driver shutdown
      drm/i915: Suspend the framebuffer console earlier during system suspend
      drm/i915: Prevent modesets during driver init/shutdown
      drm/i915: Disable hotplug detection works during driver init/shutdown
      drm/i915: Disable hotplug detection handlers during driver init/shutdown
      drm/i915: Add intel_digital_port lock/unlock hooks
      drm/i915: Filter out glitches on HPD lines during hotplug detection
      drm/i915/dp: Abort AUX on disconnected native DP ports

Jani Nikula (16):
      drm/i915/hdcp: unify connector logging format
      drm/i915/hdcp: fix intel_hdcp_get_repeater_ctl() error return value
      drm/i915/bios: remove some unused leftover declarations
      drm/i915/irq: use DISPLAY_VER instead of GRAPHICS_VER
      drm/i915/dmc: use DISPLAY_VER instead of GRAPHICS_VER
      drm/i915/hdcp: use DISPLAY_VER instead of GRAPHICS_VER
      drm/i915/display: use IS_DISPLAY_VER instead of IS_GRAPHICS_VER
      drm/i915/tv: use DISPLAY_VER instead of GRAPHICS_VER
      Merge drm/drm-next into drm-intel-next
      drm/i915/bios: move i915_vbt debugfs to intel_bios.c
      drm/i915/opregion: move i915_opregion debugfs to intel_opregion.c
      drm/i915/opregion: abstract getting the opregion VBT
      drm/i915/opregion: abstract ASLE presence check
      drm/i915/gvt: use local INTEL_GVT_OPREGION_SIZE
      drm/i915/opregion: make struct intel_opregion opaque
      drm/i915/opregion: remove unused lid_state

José Roberto de Souza (1):
      drm/i915: Disable DSB in Xe KMD

Jouni Högander (20):
      drm/i915/display: Remove intel_crtc_state->psr_vsc
      drm/i915/display: Move colorimetry_support from intel_psr to intel_dp
      drm/i915/display: Unify VSC SPD preparation
      drm/i915/display: Fix vsc_sdp computation
      drm/i915/display: Ignore only psr specific part of vsc sdp
      drm/i915/display: Read PSR configuration before VSC SDP
      drm/i915/display: Take care of VSC select field in video dip ctl register
      drm: Add eDP 1.5 early transport definition
      drm/i915/psr: Extend SU area to cover cursor fully if needed
      drm/i915/psr: Carry su area in crtc_state
      drm/i915/psr: Calculate and configure CUR_POS_ERLY_TPT
      drm/i915/psr: Configure PIPE_SRCSZ_ERLY_TPT for psr2 early transport
      drm/i915/psr: Enable psr2 early transport as possible
      drm/i915/psr: Disable early transport by default
      drm/i915/display: No need for full modeset due to psr
      drm/i915/psr: CAN_PSR and CAN_PANEL_REPLAY can be now local defines
      drm/i915/alpm: Add ALPM register definitions
      drm/i915/psr: Add alpm_parameters struct
      drm/i915/alpm: Calculate ALPM Entry check
      drm/i915/alpm: Alpm aux wake configuration for lnl

Khaled Almahallawy (3):
      drm/i915/dp: Use LINK_QUAL_PATTERN_* Phy test pattern names
      drm/i915/dp: Add TPS4 PHY test pattern support
      drm/i915/dp: Fix passing the correct DPCD_REV for drm_dp_set_phy_test_pattern

Lucas De Marchi (3):
      drm/i915: Drop -Wstringop-overflow
      drm/i915/xe2lpd: Move D2D enable/disable
      drm/i915/xe2lpd: Move registers to PICA

Matt Roper (1):
      drm/i915: Add additional ARL PCI IDs

Mika Kahola (5):
      drm/i915/display: Fix C20 pll selection for state verification
      drm/i915/display: Store hw clock for C20
      drm/i915/display: Cleanup mplla/mpllb selection
      drm/i915/display: Skip C10 state verification in case of fastset
      drm/i915/display: Use helper to select C20 MPLLA/B

Nirmoy Das (2):
      drm/print: Add drm_dbg_ratelimited
      drm/i915: Ratelimit debug log in vm_fault_ttm

Paz Zcharya (1):
      drm/i915/display: Include debugfs.h in intel_display_debugfs_params.c

Suraj Kandpal (1):
      drm/i915/hdcp: Fail Repeater authentication if Type1 device not present

Ville Syrjälä (38):
      Revert "drm/i915/dsi: Do display on sequence later on icl+"
      drm/i915/psr: Only allow PSR in LPSP mode on HSW non-ULT
      drm/i915: Replace a memset() with zero initialization
      drm/i915: Decouple intel_crtc_vblank_evade_scanlines() from atomic commits
      drm/i915: Reorder drm_vblank_put() vs. need_vlv_dsi_wa
      drm/i915: Introduce struct intel_vblank_evade_ctx
      drm/i915: Include need_vlv_dsi_wa in intel_vblank_evade_ctx
      drm/i915: Extract intel_vblank_evade()
      drm/i915: Move the min/max scanline sanity check into intel_vblank_evade()
      drm/i915: Move intel_vblank_evade() & co. into intel_vblank.c
      drm/i915: Perform vblank evasion around legacy cursor updates
      Revert "drm/i915/xe2lpd: Treat cursor plane as regular plane for DDB allocation"
      drm/i915: Try to preserve the current shared_dpll for fastset on type-c ports
      drm/i915: Include the PLL name in the debug messages
      drm/i915: Suppress old PLL pipe_mask checks for MG/TC/TBT PLLs
      drm/i915: Convert PLL flags to booleans
      drm/i915: Compute use_sagv_wm differently
      drm/i915: Rework global state serializaiton
      drm/i915: Extract intel_atomic_swap_state()
      drm/i915/fbc: Allow FBC with CCS modifiers on SKL+
      drm/i915/hdcp: Do intel_hdcp_component_init() much later during init
      drm/i915/hdcp: Pin the hdcp gsc message high in ggtt
      drm/i915: Use struct resource for memory region IO as well
      drm/i915: Print memory region info during probe
      drm/i915: Remove ad-hoc lmem/stolen debugs
      drm/i915: Bypass LMEMBAR/GTTMMADR for MTL stolen memory access
      drm/i915: Disable the "binder"
      drm/i915: Rename the DSM/GSM registers
      drm/i915: Fix PTE decode during initial plane readout
      drm/i915: Fix region start during initial plane readout
      drm/i915: Fix MTL initial plane readout
      drm/i915: s/phys_base/dma_addr/
      drm/i915: Split the smem and lmem plane readout apart
      drm/i915: Simplify intel_initial_plane_config() calling convention
      drm/i915/fbdev: Fix smem_start for LMEMBAR stolen objects
      drm/i915: Tweak BIOS fb reuse check
      drm/i915: Try to relocate the BIOS fb to the start of ggtt
      drm/i915: Annotate more of the BIOS fb takeover failure paths

 drivers/gpu/drm/i915/Makefile                      |   1 -
 drivers/gpu/drm/i915/display/i9xx_plane.c          |  30 ++
 drivers/gpu/drm/i915/display/i9xx_plane.h          |   7 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |   3 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   6 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |   2 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  36 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |   5 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 375 ++++++++++-----------
 drivers/gpu/drm/i915/display/intel_crt.c           |   5 +
 drivers/gpu/drm/i915/display/intel_crtc.c          | 128 +------
 drivers/gpu/drm/i915/display/intel_cursor.c        |  63 +++-
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 229 +++++++------
 drivers/gpu/drm/i915/display/intel_cx0_phy_regs.h  |  63 +++-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  67 ++--
 drivers/gpu/drm/i915/display/intel_display.c       |  76 ++++-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  18 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  26 +-
 .../i915/display/intel_display_debugfs_params.c    |   1 +
 .../gpu/drm/i915/display/intel_display_device.c    |   2 +-
 .../gpu/drm/i915/display/intel_display_driver.c    | 165 ++++++++-
 .../gpu/drm/i915/display/intel_display_driver.h    |   6 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   |  10 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  38 ++-
 drivers/gpu/drm/i915/display/intel_dmc.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 194 ++++++-----
 drivers/gpu/drm/i915/display/intel_dp.h            |  10 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  29 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   4 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  83 +++--
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |  18 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   4 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |   5 +
 drivers/gpu/drm/i915/display/intel_fbc.c           |  13 +-
 drivers/gpu/drm/i915/display/intel_fbdev_fb.c      |   5 +-
 drivers/gpu/drm/i915/display/intel_global_state.c  | 137 +++++++-
 drivers/gpu/drm/i915/display/intel_global_state.h  |   9 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   5 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  78 +++--
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_hdcp_regs.h     |  28 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  16 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       | 165 +++++++--
 drivers/gpu/drm/i915/display/intel_hotplug.h       |   4 +
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |   6 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      | 176 +++++++---
 drivers/gpu/drm/i915/display/intel_opregion.h      |  47 ++-
 drivers/gpu/drm/i915/display/intel_panel.c         |   4 +
 drivers/gpu/drm/i915/display/intel_plane_initial.c | 255 ++++++++++----
 drivers/gpu/drm/i915/display/intel_plane_initial.h |   4 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           | 216 +++++++++---
 drivers/gpu/drm/i915/display/intel_psr.h           |   6 -
 drivers/gpu/drm/i915/display/intel_psr_regs.h      |  63 ++++
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   6 +
 drivers/gpu/drm/i915/display/intel_tc.c            |  40 +--
 drivers/gpu/drm/i915/display/intel_tc.h            |   2 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   7 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        | 130 +++++++
 drivers/gpu/drm/i915/display/intel_vblank.h        |  12 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  28 ++
 drivers/gpu/drm/i915/display/skl_universal_plane.h |   2 +
 drivers/gpu/drm/i915/display/skl_watermark.c       |  54 +--
 drivers/gpu/drm/i915/gem/i915_gem_region.c         |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |  25 +-
 drivers/gpu/drm/i915/gem/i915_gem_ttm.c            |  13 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |  18 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |  10 +-
 drivers/gpu/drm/i915/gt/intel_gtt.c                |   3 +-
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |  14 +-
 drivers/gpu/drm/i915/gt/selftest_tlb.c             |   4 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   2 +-
 drivers/gpu/drm/i915/i915_driver.c                 |  25 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   2 +-
 drivers/gpu/drm/i915/i915_query.c                  |   2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  18 +-
 drivers/gpu/drm/i915/i915_utils.c                  |  17 +
 drivers/gpu/drm/i915/i915_utils.h                  |   2 +
 drivers/gpu/drm/i915/intel_memory_region.c         |  33 +-
 drivers/gpu/drm/i915/intel_memory_region.h         |   3 +-
 drivers/gpu/drm/i915/intel_region_ttm.c            |   8 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |   4 +-
 drivers/gpu/drm/i915/soc/intel_pch.c               |  16 +-
 drivers/gpu/drm/i915/soc/intel_pch.h               |   6 +-
 drivers/gpu/drm/xe/display/xe_plane_initial.c      |  67 ++--
 include/drm/display/drm_dp.h                       |   1 +
 include/drm/drm_print.h                            |   3 +
 include/drm/i915_pciids.h                          |   3 +
 88 files changed, 2374 insertions(+), 1160 deletions(-)

-- 
Jani Nikula, Intel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-12-18 16:54 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2023-12-18 16:54 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx

Hi Dave and Sima,

Here goes our latest drm-intel-next pull-request towards 6.8.

drm-intel-next-2023-12-18:

- Drop pointless null checks and fix a scaler bug (Ville)
- Meteor Lake display fixes and clean-ups (RK, Jani, Andrzej, Mika, Imre)
- Clean-up around flip done IRQ (Ville)
- Fix eDP Meteor Lake bug (Jani)
- Bigjoiner fixes (Ankit, Ville)
- Cdclk/voltage_level cleanups and fixes (Ville)
- DMC event stuff (Ville)
- Remove dead code around intel_atomic_helper->free_list (Jouni)

Thanks,
Rodrigo.

The following changes since commit 10690b8a49bceafb1badf0ad91842a359e796d8b:

  drm/i915/display: Add intel_fb_bo_framebuffer_fini (2023-12-07 17:31:02 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-12-18

for you to fetch changes up to 716c3cf21784479a1934b670ec67f320cbb5d308:

  drm/i915/display: Remove dead code around intel_atomic_helper->free_list (2023-12-18 13:37:01 +0200)

----------------------------------------------------------------
- Drop pointless null checks and fix a scaler bug (Ville)
- Meteor Lake display fixes and clean-ups (RK, Jani, Andrzej, Mika, Imre)
- Clean-up around flip done IRQ (Ville)
- Fix eDP Meteor Lake bug (Jani)
- Bigjoiner fixes (Ankit, Ville)
- Cdclk/voltage_level cleanups and fixes (Ville)
- DMC event stuff (Ville)
- Remove dead code around intel_atomic_helper->free_list (Jouni)

----------------------------------------------------------------
Andrzej Hajda (1):
      drm/i915/display: do not use cursor size reduction on MTL

Ankit Nautiyal (1):
      drm/i915/display: Get bigjoiner config before dsc config during readout

Imre Deak (1):
      drm/i915/mtl: Fix HDMI/DP PLL clock selection

Jani Nikula (1):
      drm/i915/edp: don't write to DP_LINK_BW_SET when using rate select

Jouni Högander (1):
      drm/i915/display: Remove dead code around intel_atomic_helper->free_list

Mika Kahola (1):
      drm/i915/display: Wait for PHY readiness not needed for disabling sequence

Radhakrishna Sripada (3):
      drm/i915/mtl: Use port clock compatible numbers for C20 phy
      drm/i915/mtl: Remove misleading "clock" field from C20 pll_state
      drm/i915/mtl: Rename the link_bit_rate to clock in C20 pll_state

Ville Syrjälä (24):
      drm/i915: Fix remapped stride with CCS on ADL+
      drm/i915: Fix intel_atomic_setup_scalers() plane_state handling
      drm/i915: Streamline intel_dsc_pps_read()
      drm/i915: Drop redundant NULL check
      drm/i915: Drop crtc NULL check from intel_crtc_active()
      drm/i915: Drop NULL fb check from intel_fb_uses_dpt()
      drm/i915: Drop redunant null check from intel_get_frame_time_us()
      drm/i915: s/cstate/crtc_state/ in intel_get_frame_time_us()
      drm/i915/tv: Drop redundant null checks
      drm/i915: Stop accessing crtc->state from the flip done irq
      drm/i915: Drop irqsave/restore for flip_done_handler()
      drm/i915: Reject async flips with bigjoiner
      drm/i915/cdclk: s/-1/~0/ when dealing with unsigned values
      drm/i915/cdclk: Give the squash waveform length a name
      drm/i915/cdclk: Remove the assumption that cdclk divider==2 when using squashing
      drm/i915/cdclk: Rewrite cdclk->voltage_level selection to use tables
      drm/i915/mtl: Fix voltage_level for cdclk==480MHz
      drm/i915: Split intel_ddi_compute_min_voltage_level() into platform variants
      drm/i915/mtl: Calculate the correct voltage level from port_clock
      drm/i915: Simplify intel_ddi_compute_min_voltage_level()
      drm/i915/dmc: Don't enable any pipe DMC events
      drm/i915/dmc: Also disable the flip queue event on TGL main DMC
      drm/i915/dmc: Also disable HRR event on TGL/ADLS main DMC
      drm/i915/dmc: Print out the DMC mmio register list at fw load time

 drivers/gpu/drm/i915/display/i9xx_wm.c             |   2 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   3 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 111 ++++++++++------
 drivers/gpu/drm/i915/display/intel_crtc.c          |   9 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       |  82 +++++-------
 drivers/gpu/drm/i915/display/intel_ddi.c           |  48 +++++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |   3 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  33 ++---
 drivers/gpu/drm/i915/display/intel_display_core.h  |   6 -
 .../gpu/drm/i915/display/intel_display_device.h    |   2 +-
 .../gpu/drm/i915/display/intel_display_driver.c    |   7 -
 drivers/gpu/drm/i915/display/intel_display_irq.c   |  15 +--
 drivers/gpu/drm/i915/display/intel_display_types.h |   6 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           | 147 ++++++++++-----------
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |   1 +
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  31 +++--
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   2 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |  18 ++-
 drivers/gpu/drm/i915/display/intel_psr.c           |   6 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |  25 ++--
 drivers/gpu/drm/i915/display/intel_tv.c            |   6 -
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  21 +--
 drivers/gpu/drm/i915/display/skl_scaler.c          |   2 +-
 23 files changed, 305 insertions(+), 281 deletions(-)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-12-07 18:59 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2023-12-07 18:59 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes another pull-request towards 6.8.
We are likely going to send another one in 2 weeks,
but I'd like to get this in right now so we can
get a clean drm-xe-next on top of drm-next for our
first Xe pull request.

Thanks,
Rodrigo.

drm-intel-next-2023-12-07:
- Improve display debug msgs and other general clean-ups (Ville, Rahuul)
- PSR fixes and improvements around selective fetch (Jouni, Ville)
- Remove FBC restrictions for Xe2LPD displays (Vinod)
- Skip some timing checks on BXT/GLK DSI transcoders (Ville)
- DP MST Fixes (Ville)
- Correct the input parameter on _intel_dsb_commit (heminhong)
- Fix IP version of the display WAs (Bala)
- DGFX uses direct VBT pin mapping (Clint)
- Proper handling of bool on PIPE_CONF_CHECK macros (Jani)
- Skip state verification with TBT-ALT mod (Mika Kahona)
- General organization of display code for reusage with Xe
  (Jouni, Luca, Jani, Maarten)
- Squelch a sparse warning (Jani)
- Don't use "proxy" headers (Andy Shevchenko)
- Use devm_gpiod_get() for all GPIOs (Hans)
- Fix ADL+ tiled plane stride (Ville)
- Use octal permissions in display debugfs (Jani)

Thanks,
Rodrigo.

The following changes since commit deac453244d309ad7a94d0501eb5e0f9d8d1f1df:

  drm/i915: Fix glk+ degamma LUT conversions (2023-11-23 15:11:47 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-12-07

for you to fetch changes up to 10690b8a49bceafb1badf0ad91842a359e796d8b:

  drm/i915/display: Add intel_fb_bo_framebuffer_fini (2023-12-07 17:31:02 +0200)

----------------------------------------------------------------
- Improve display debug msgs and other general clean-ups (Ville, Rahuul)
- PSR fixes and improvements around selective fetch (Jouni, Ville)
- Remove FBC restrictions for Xe2LPD displays (Vinod)
- Skip some timing checks on BXT/GLK DSI transcoders (Ville)
- DP MST Fixes (Ville)
- Correct the input parameter on _intel_dsb_commit (heminhong)
- Fix IP version of the display WAs (Bala)
- DGFX uses direct VBT pin mapping (Clint)
- Proper handling of bool on PIPE_CONF_CHECK macros (Jani)
- Skip state verification with TBT-ALT mod (Mika Kahona)
- General organization of display code for reusage with Xe
  (Jouni, Luca, Jani, Maarten)
- Squelch a sparse warning (Jani)
- Don't use "proxy" headers (Andy Shevchenko)
- Use devm_gpiod_get() for all GPIOs (Hans)
- Fix ADL+ tiled plane stride (Ville)
- Use octal permissions in display debugfs (Jani)

----------------------------------------------------------------
Andy Shevchenko (1):
      drm/i915/display: Don't use "proxy" headers

Balasubramani Vivekanandan (1):
      drm/i915/display: Fix IP version of the WAs

Clint Taylor (1):
      drm/i915/dgfx: DGFX uses direct VBT pin mapping

Hans de Goede (1):
      drm/i915/dsi: Use devm_gpiod_get() for all GPIOs

Jani Nikula (7):
      drm/i915: use PIPE_CONF_CHECK_BOOL() for bool members
      drm/i915: add bool type checks in PIPE_CONF_CHECK_*
      drm/i915/syncmap: squelch a sparse warning
      drm/i915/rpm: add rpm_to_i915() helper around container_of()
      drm/i915: use intel_connector in intel_connector_debugfs_add()
      drm/i915: pass struct intel_connector to connector debugfs fops
      drm/i915: use octal permissions in display debugfs

Jouni Högander (9):
      drm/i915/psr: Move plane sel fetch configuration into plane source files
      drm/i915/psr: Add proper handling for disabling sel fetch for planes
      drm/i915/display: split i915 specific code from intel_fbdev
      drm/i915/display: use intel_bo_to_drm_bo in intel_fbdev
      drm/i915/display: use intel_bo_to_drm_bo in intel_fb.c
      drm/i915/display: Convert intel_fb_modifier_to_tiling as non-static
      drm/i915/display: Handle invalid fb_modifier in intel_fb_modifier_to_tiling
      drm/i915/display: Split i915 specific code away from intel_fb.c
      drm/i915/display: Add intel_fb_bo_framebuffer_fini

Luca Coelho (1):
      drm/i915: handle uncore spinlock when not available

Maarten Lankhorst (1):
      drm/i915/display: Use i915_gem_object_get_dma_address to get dma address

Mika Kahola (1):
      drm/i915/display: Skip state verification with TBT-ALT mode

Rahul Rameshbabu (1):
      drm/i915/irq: Improve error logging for unexpected DE Misc interrupts

Ville Syrjälä (8):
      drm/i915: Stop printing pipe name as hex
      drm/i915: Move the SDP split debug spew to the correct place
      drm/i915/psr: Include some basic PSR information in the state dump
      drm/i915: Skip some timing checks on BXT/GLK DSI transcoders
      drm/i915/mst: Fix .mode_valid_ctx() return values
      drm/i915/mst: Reject modes that require the bigjoiner
      drm/i915: Clean up some DISPLAY_VER checks
      drm/i915: Fix ADL+ tiled plane stride when the POT stride is smaller than the original

Vinod Govindapillai (1):
      drm/i915/xe2lpd: remove the FBC restriction if PSR2 is enabled

heminhong (1):
      drm/i915: correct the input parameter on _intel_dsb_commit()

 drivers/gpu/drm/i915/Makefile                      |   4 +-
 drivers/gpu/drm/i915/display/i9xx_wm.c             |   8 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   7 +
 drivers/gpu/drm/i915/display/intel_bios.c          |   5 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   7 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   5 +
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |   9 ++
 drivers/gpu/drm/i915/display/intel_cursor.c        |  42 +++++-
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       |  11 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  34 ++++-
 drivers/gpu/drm/i915/display/intel_display.h       |   3 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   | 165 ++++++++++-----------
 .../gpu/drm/i915/display/intel_display_device.h    |   2 +-
 drivers/gpu/drm/i915/display/intel_display_irq.c   |   4 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   6 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  13 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  22 ++-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |  17 +--
 drivers/gpu/drm/i915/display/intel_dsi_vbt.h       |   1 -
 drivers/gpu/drm/i915/display/intel_dvo.c           |   6 +
 drivers/gpu/drm/i915/display/intel_fb.c            | 163 ++++++++------------
 drivers/gpu/drm/i915/display/intel_fb.h            |   2 +
 drivers/gpu/drm/i915/display/intel_fb_bo.c         |  97 ++++++++++++
 drivers/gpu/drm/i915/display/intel_fb_bo.h         |  26 ++++
 drivers/gpu/drm/i915/display/intel_fbc.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         | 112 ++------------
 drivers/gpu/drm/i915/display/intel_fbdev_fb.c      | 115 ++++++++++++++
 drivers/gpu/drm/i915/display/intel_fbdev_fb.h      |  21 +++
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   4 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |   7 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  96 ++----------
 drivers/gpu/drm/i915/display/intel_psr.h           |  10 --
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   8 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   8 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        |  51 +++++--
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  78 +++++++++-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  26 ++--
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  24 ++-
 drivers/gpu/drm/i915/selftests/i915_syncmap.c      |   2 +-
 43 files changed, 750 insertions(+), 481 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_fb_bo.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_fb_bo.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_fbdev_fb.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_fbdev_fb.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2023-11-23 19:03 Jani Nikula
@ 2023-11-23 19:39 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2023-11-23 19:39 UTC (permalink / raw)
  To: Jani Nikula
  Cc: Tvrtko Ursulin, dim-tools, Daniel Vetter, dri-devel,
	Maxime Ripard, Thomas Zimmermann, Rodrigo Vivi, intel-gfx

On Thu, Nov 23, 2023 at 09:03:59PM +0200, Jani Nikula wrote:
> 
> Hi Dave & Sima -
> 
> The first i915 feature pull towards v6.8.
> 
> The one thing to single out are the major DP MST, UHBR, and DSC
> bandwidth management improvements from Imre.
> 
> Alas, they also need to be singled out because there are a number of
> updates in drm core and other drivers merged via drm-intel-next that
> will conflict with drm-next. Nothing that wasn't acked or discussed
> before, but maybe this time we erred a bit on the side of too much.
> 
> BR,
> Jani.
> 
> 
> drm-intel-next-2023-11-23:
> drm/i915 feature pull for v6.8:
> 
> Features and functionality:
> - Major DP MST improvements on bandwidth management, DSC (Imre, Stan, Ville)
> - DP panel replay enabling (Animesh, Jouni)
> - MTL C20 phy state verification (Mika)
> - MTL DP DSC fractional bpp support (Ankit, Vandita, Swati, Imre)
> - Audio fastset support (Ville)
> 
> Refactoring and cleanups:
> - Use dma fence interfaces instead of i915_sw_fence (Jouni)
> - Separate gem and display code (Jouni, Juha-Pekka)
> - AUX register macro refactoring (Jani)
> - Separate display module/device parameters from the rest (Jouni)
> - Move display capabilities debugfs under display (Vinod)
> - Makefile cleanup (Jani)
> - Register cleanups (Ville)
> - Enginer iterator cleanups (Tvrtko)
> - Move display lock inits under display/ (Jani)
> - VLV/CHV DPIO PHY register and interface refactoring (Jani)
> - DSI VBT sequence refactoring (Jani, Andy Shevchenko)
> - C10/C20 PHY PLL hardware readout and calculation abstractions (Lucas)
> - DPLL code cleanups (Ville)
> - Cleanup PXP plane protection checks (Jani)
> 
> Fixes:
> - Replace VLV/CHV DSI GPIO direct access with proper GPIO API usage (Andy Shevchenko)
> - Fix VLV/CHV DSI GPIO wrong initial value (Hans de Goede)
> - Fix UHBR data, link M/N/TU and PBN values (Imre)
> - Fix HDCP state on an enable/disable cycle (Suraj)
> - Fix DP MST modeset sequence to be according to spec (Ville)
> - Improved atomicity for multi-pipe commits (Ville)
> - Update URLs in i915 MAINTAINERS entry and code (Jani)
> - Check for VGA converter presence in eDP probe (Ville)
> - Fix surface size checks (Ville)
> - Fix LNL port/phy assignment (Lucas)
> - Reset C10/C20 message bus harder to avoid sporadic failures (Mika)
> - Fix bogus VBT HDMI level shift on BDW (Ville)
> - Add workaround for LNL underruns when enabling FBC (Vinod)
> - DSB refactoring (Animesh)
> - DPT refactoring (Juha-Pekka)
> - Disable DSC on DP MST on ICL (Imre)
> - Fix PSR VSC packet setup timing (Mika)
> - Fix LUT rounding and conversions (Ville)
> 
> DRM core display changes:
> - DP MST fixes, helpers, refactoring to support bandwidth management (Imre)
> - DP MST PBN divider value refactoring and fixes (Imre)
> - DPCD register definitions (Ankit, Imre)
> - Add helper to get DSC bpp precision (Ankit)
> - Fix color LUT rounding (Ville)
> 
> BR,
> Jani.
> 
> The following changes since commit 213c43676beb5f5a63cb27a0c8e8e71035b08445:
> 
>   drm/i915/mtl: Remove the 'force_probe' requirement for Meteor Lake (2023-10-18 06:23:41 +0200)
> 
> are available in the Git repository at:
> 
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-11-23

Merged to drm-next, thanks.
-Sima

> 
> for you to fetch changes up to deac453244d309ad7a94d0501eb5e0f9d8d1f1df:
> 
>   drm/i915: Fix glk+ degamma LUT conversions (2023-11-23 15:11:47 +0200)
> 
> ----------------------------------------------------------------
> drm/i915 feature pull for v6.8:
> 
> Features and functionality:
> - Major DP MST improvements on bandwidth management, DSC (Imre, Stan, Ville)
> - DP panel replay enabling (Animesh, Jouni)
> - MTL C20 phy state verification (Mika)
> - MTL DP DSC fractional bpp support (Ankit, Vandita, Swati, Imre)
> - Audio fastset support (Ville)
> 
> Refactoring and cleanups:
> - Use dma fence interfaces instead of i915_sw_fence (Jouni)
> - Separate gem and display code (Jouni, Juha-Pekka)
> - AUX register macro refactoring (Jani)
> - Separate display module/device parameters from the rest (Jouni)
> - Move display capabilities debugfs under display (Vinod)
> - Makefile cleanup (Jani)
> - Register cleanups (Ville)
> - Enginer iterator cleanups (Tvrtko)
> - Move display lock inits under display/ (Jani)
> - VLV/CHV DPIO PHY register and interface refactoring (Jani)
> - DSI VBT sequence refactoring (Jani, Andy Shevchenko)
> - C10/C20 PHY PLL hardware readout and calculation abstractions (Lucas)
> - DPLL code cleanups (Ville)
> - Cleanup PXP plane protection checks (Jani)
> 
> Fixes:
> - Replace VLV/CHV DSI GPIO direct access with proper GPIO API usage (Andy Shevchenko)
> - Fix VLV/CHV DSI GPIO wrong initial value (Hans de Goede)
> - Fix UHBR data, link M/N/TU and PBN values (Imre)
> - Fix HDCP state on an enable/disable cycle (Suraj)
> - Fix DP MST modeset sequence to be according to spec (Ville)
> - Improved atomicity for multi-pipe commits (Ville)
> - Update URLs in i915 MAINTAINERS entry and code (Jani)
> - Check for VGA converter presence in eDP probe (Ville)
> - Fix surface size checks (Ville)
> - Fix LNL port/phy assignment (Lucas)
> - Reset C10/C20 message bus harder to avoid sporadic failures (Mika)
> - Fix bogus VBT HDMI level shift on BDW (Ville)
> - Add workaround for LNL underruns when enabling FBC (Vinod)
> - DSB refactoring (Animesh)
> - DPT refactoring (Juha-Pekka)
> - Disable DSC on DP MST on ICL (Imre)
> - Fix PSR VSC packet setup timing (Mika)
> - Fix LUT rounding and conversions (Ville)
> 
> DRM core display changes:
> - DP MST fixes, helpers, refactoring to support bandwidth management (Imre)
> - DP MST PBN divider value refactoring and fixes (Imre)
> - DPCD register definitions (Ankit, Imre)
> - Add helper to get DSC bpp precision (Ankit)
> - Fix color LUT rounding (Ville)
> 
> ----------------------------------------------------------------
> Andy Shevchenko (9):
>       drm/i915/dsi: Replace while(1) with one with clear exit condition
>       drm/i915/dsi: Get rid of redundant 'else'
>       drm/i915/dsi: Replace check with a (missing) MIPI sequence name
>       drm/i915/dsi: Extract common soc_gpio_set_value() helper
>       drm/i915/dsi: Replace poking of VLV GPIOs behind the driver's back
>       drm/i915/dsi: Prepare soc_gpio_set_value() to distinguish GPIO communities
>       drm/i915/dsi: Replace poking of CHV GPIOs behind the driver's back
>       drm/i915/dsi: Combine checks in mipi_exec_gpio()
>       drm/i915/iosf: Drop unused APIs
> 
> Animesh Manna (6):
>       drm/panelreplay: dpcd register definition for panelreplay
>       drm/i915/panelreplay: Initializaton and compute config for panel replay
>       drm/i915/panelreplay: Enable panel replay dpcd initialization for DP
>       drm/i915/panelreplay: enable/disable panel replay
>       drm/i915/panelreplay: Debugfs support for panel replay
>       drm/i915/dsb: DSB code refactoring
> 
> Ankit Nautiyal (5):
>       drm/display/dp: Add helper function to get DSC bpp precision
>       drm/i915/display: Store compressed bpp in U6.4 format
>       drm/i915/display: Consider fractional vdsc bpp while computing m_n values
>       drm/i915/audio: Consider fractional vdsc bpp while computing tu_data
>       drm/i915/dp: Iterate over output bpp with fractional step size
> 
> Chaitanya Kumar Borah (1):
>       drm/i915/mtl: Support HBR3 rate with C10 phy and eDP in MTL
> 
> Hans de Goede (2):
>       drm/i915/dsi: Remove GPIO lookup table at the end of intel_dsi_vbt_gpio_init()
>       drm/i915/dsi: Fix wrong initial value for GPIOs in bxt_gpio_set_value()
> 
> Imre Deak (42):
>       drm/i915/dp_mst: Disable DSC on ICL MST outputs
>       drm/i915/dp_mst: Fix race between connector registration and setup
>       drm/dp_mst: Add helper to determine if an MST port is downstream of another port
>       drm/dp_mst: Factor out a helper to check the atomic state of a topology manager
>       drm/dp_mst: Swap the order of checking root vs. non-root port BW limitations
>       drm/dp_mst: Allow DSC in any Synaptics last branch device
>       drm/dp: Add DP_HBLANK_EXPANSION_CAPABLE and DSC_PASSTHROUGH_EN DPCD flags
>       drm/dp_mst: Add HBLANK expansion quirk for Synaptics MST hubs
>       drm/dp: Add helpers to calculate the link BW overhead
>       drm/i915/dp_mst: Enable FEC early once it's known DSC is needed
>       drm/i915/dp: Specify the FEC overhead as an increment vs. a remainder
>       drm/i915/dp: Pass actual BW overhead to m_n calculation
>       drm/i915/dp_mst: Account for FEC and DSC overhead during BW allocation
>       drm/i915/dp_mst: Add atomic state for all streams on pre-tgl platforms
>       drm/i915/dp_mst: Program the DSC PPS SDP for each stream
>       drm/i915/dp: Make sure the DSC PPS SDP is disabled whenever DSC is disabled
>       drm/i915/dp_mst: Add missing DSC compression disabling
>       drm/i915/dp: Rename intel_ddi_disable_fec_state() to intel_ddi_disable_fec()
>       drm/i915/dp: Wait for FEC detected status in the sink
>       drm/i915/dp: Disable FEC ready flag in the sink
>       drm/i915/dp_mst: Handle the Synaptics HBlank expansion quirk
>       drm/i915/dp_mst: Enable decompression in the sink from the MST encoder hooks
>       drm/i915/dp: Enable DSC via the connector decompression AUX
>       drm/i915/dp_mst: Enable DSC passthrough
>       drm/i915/dp_mst: Enable MST DSC decompression for all streams
>       drm/i915: Factor out function to clear pipe update flags
>       drm/i915/dp_mst: Force modeset CRTC if DSC toggling requires it
>       drm/i915/dp_mst: Improve BW sharing between MST streams
>       drm/i915/dp_mst: Check BW limitations only after all streams are computed
>       drm/i915/dp: Tune down FEC detection timeout error message
>       drm/i915: Fix fractional bpp handling in intel_link_bw_reduce_bpp()
>       drm/dp_mst: Store the MST PBN divider value in fixed point format
>       drm/dp_mst: Fix PBN divider calculation for UHBR rates
>       drm/dp_mst: Add kunit tests for drm_dp_get_vc_payload_bw()
>       drm/i915/dp: Replace intel_dp_is_uhbr_rate() with drm_dp_is_uhbr_rate()
>       drm/i915/dp: Account for channel coding efficiency on UHBR links
>       drm/i915/dp: Fix UHBR link M/N values
>       drm/i915/dp_mst: Calculate the BW overhead in intel_dp_mst_find_vcpi_slots_for_bpp()
>       drm/i915/dp_mst: Fix PBN / MTP_TU size calculation for UHBR rates
>       drm/i915/dp: Report a rounded-down value as the maximum data rate
>       drm/i915/dp: Simplify intel_dp_max_data_rate()
>       drm/i915/dp: Reuse intel_dp_{max,effective}_data_rate in intel_link_compute_m_n()
> 
> Jani Nikula (22):
>       drm/i915: drop gt/intel_gt.h include from skl_universal_plane.c
>       drm/i915/aux: add separate register macros and functions for VLV/CHV
>       drm/i915/aux: rename dev_priv to i915
>       drm/i915: stop including i915_utils.h from intel_runtime_pm.h
>       drm/i915/sprite: move sprite_name() to intel_sprite.c
>       drm/i915: fix Makefile sort and indent
>       drm/i915: move Makefile display debugfs files next to display
>       drm/i915: move display mutex inits to display code
>       drm/i915: move display spinlock init to display code
>       drm/i915: abstract plane protection check
>       drm/i915: remove excess functions from plane protection check
>       MAINTAINERS: update drm/i915 W: and B: entries
>       drm/i915: update in-source bug filing URLs
>       drm/i915/display: keep struct intel_display members sorted
>       drm/i915: move *_crtc_clock_get() to intel_dpll.c
>       drm/i915: add vlv_pipe_to_phy() helper to replace DPIO_PHY()
>       drm/i915: convert vlv_dpio_read()/write() from pipe to phy
>       drm/i915/dsi: assume BXT gpio works for non-native GPIO
>       drm/i915/dsi: switch mipi_exec_gpio() from dev_priv to i915
>       drm/i915/dsi: clarify GPIO exec sequence
>       drm/i915/dsi: rename platform specific *_exec_gpio() to *_gpio_set_value()
>       drm/i915/dsi: bxt/icl GPIO set value do not need gpio source
> 
> Jouni Högander (29):
>       drm/i915/display: Move releasing gem object away from fb tracking
>       drm/i915/display: Use intel_bo_to_drm_bo instead of obj->base
>       drm/i915/display: Add framework to add parameters specific to display
>       drm/i915/display: Dump also display parameters
>       drm/i915/display: Move enable_fbc module parameter under display
>       drm/i915/display: Move psr related module parameters under display
>       drm/i915/display: Move vbt_firmware module parameter under display
>       drm/i915/display: Move lvds_channel_mode module parameter under display
>       drm/i915/display: Move panel_use_ssc module parameter under display
>       drm/i915/display: Move vbt_sdvo_panel_type module parameter under display
>       drm/i915/display: Move enable_dc module parameter under display
>       drm/i915/display: Move enable_dpt module parameter under display
>       drm/i915/display: Move enable_sagv module parameter under display
>       drm/i915/display: Move disable_power_well module parameter under display
>       drm/i915/display: Move enable_ips module parameter under display
>       drm/i915/display: Move invert_brightness module parameter under display
>       drm/i915/display: Move edp_vswing module parameter under display
>       drm/i915/display: Move enable_dpcd_backlight module parameter under display
>       drm/i915/display: Move load_detect_test parameter under display
>       drm/i915/display: Move force_reset_modeset_test parameter under display
>       drm/i915/display: Move disable_display parameter under display
>       drm/i915/display: Use device parameters instead of module in I915_STATE_WARN
>       drm/i915/display: Move verbose_state_checks under display
>       drm/i915/display: Move nuclear_pageflip under display
>       drm/i915/display: Move enable_dp_mst under display
>       drm/i915/display: Use dma_fence interfaces instead of i915_sw_fence
>       drm/i915/display: Use intel_bo_to_drm_bo instead of obj->base
>       drm/i915/psr: Move psr specific dpcd init into own function
>       drm/i915/display: Do not check psr2 if psr/panel replay is not supported
> 
> Juha-Pekka Heikkila (3):
>       drm/i915/display: Separate xe and i915 common dpt code into own file
>       drm/i915/display: in skl_surf_address check for dpt-vma
>       drm/i915/display: In intel_framebuffer_init switch to use intel_bo_to_drm_bo
> 
> Lucas De Marchi (4):
>       drm/i915/lnl: Extend C10/C20 phy
>       drm/i915/lnl: Fix check for TC phy
>       drm/i915/display: Abstract C10/C20 pll hw readout
>       drm/i915/display: Abstract C10/C20 pll calculation
> 
> Mika Kahola (5):
>       drm/i915/display: Reset message bus after each read/write operation
>       drm/i915/display: Support PSR entry VSC packet to be transmitted one frame earlier
>       drm/i915/mtl: C20 state verification
>       drm/i915/display: Use int for entry setup frames
>       drm/i915/display: Use int type for entry_setup_frames
> 
> Nirmoy Das (2):
>       drm/i915/mtl: Apply notify_guc to all GTs
>       drm/i915/tc: Fix -Wformat-truncation in intel_tc_port_init
> 
> Stanislav Lisovskiy (1):
>       drm/i915: Query compressed bpp properly using correct DPCD and DP Spec info
> 
> Suraj Kandpal (3):
>       drm/i915/hdcp: Rename HCDP 1.4 enablement function
>       drm/i915/hdcp: Convert intel_hdcp_enable to a blanket function
>       drm/i915/hdcp: Add more conditions to enable hdcp
> 
> Swati Sharma (2):
>       drm/i915/dsc: Add debugfs entry to validate DSC fractional bpp
>       drm/i915/dsc: Allow DSC only with fractional bpp when forced from debugfs
> 
> Tvrtko Ursulin (2):
>       drm/i915: Remove unused for_each_uabi_class_engine
>       drm/i915: Move for_each_engine* out of i915_drv.h
> 
> Vandita Kulkarni (1):
>       drm/i915/dsc/mtl: Add support for fractional bpp
> 
> Ville Syrjälä (40):
>       drm/i915/bios: Clamp VBT HDMI level shift on BDW
>       drm/i915: Use named initializers for DPLL info
>       drm/i915: Abstract the extra JSL/EHL DPLL4 power domain better
>       drm/i915: Move the DPLL extra power domain handling up one level
>       drm/i915: Extract _intel_{enable,disable}_shared_dpll()
>       drm/i915: Move the g45 PEG band gap HPD workaround to the HPD code
>       drm/i915/mst: Swap TRANSCONF vs. FECSTALL_DIS_DPTSTREAM_DPTTG disable
>       drm/i915/mst: Disable transcoder before deleting the payload
>       drm/i915/mst: Clear ACT just before triggering payload allocation
>       drm/i915/mst: Always write CHICKEN_TRANS
>       drm/i915: Bump GLK CDCLK frequency when driving multiple pipes
>       drm/i915: Extract hsw_chicken_trans_reg()
>       drm/i915: Stop using a 'reg' variable
>       drm/i915: Extract mchbar_reg()
>       drm/i915/dsi: Remove dead GLK checks
>       drm/i915/dsi: Extract port_ctrl_reg()
>       drm/dp_mst: Fix fractional DSC bpp handling
>       drm/i915: Drop redundant !modeset check
>       drm/i915: Split intel_update_crtc() into two parts
>       drm/i915: Do plane/etc. updates more atomically across pipes
>       drm/i915/gvt: Clean up zero initializers
>       drm/i915: Also check for VGA converter in eDP probe
>       drm/i915/fbc: Split plane size vs. surface size checks apart
>       drm/i915/fbc: Bump max surface size to 8kx4k on icl+
>       drm/i915/fbc: Bump ivb FBC max surface size to 4kx4k
>       drm/i915: Check pipe active state in {planes,vrr}_{enabling,disabling}()
>       drm/i915: Call intel_pre_plane_updates() also for pipes getting enabled
>       drm/i915: Polish some RMWs
>       drm/i915: Push audio enable/disable further out
>       drm/i915: Wrap g4x+ DP/HDMI audio enable/disable
>       drm/i915: Split g4x+ DP audio presence detect from port enable
>       drm/i915: Split g4x+ HDMI audio presence detect from port enable
>       drm/i915: Convert audio enable/disable into encoder vfuncs
>       drm/i915: Hoist the encoder->audio_{enable,disable}() calls higher up
>       drm/i915: Push audio_{enable,disable}() to the pre/post pane update stage
>       drm/i915: Implement audio fastset
>       drm: Fix color LUT rounding
>       drm/i915: Adjust LUT rounding rules
>       drm/i915: s/clamp()/min()/ in i965_lut_11p6_max_pack()
>       drm/i915: Fix glk+ degamma LUT conversions
> 
> Vinod Govindapillai (3):
>       drm/i915/display: debugfs entry to list display capabilities
>       drm/i915: remove display device info from i915 capabilities
>       drm/i915/xe2lpd: implement WA for underruns while enabling FBC
> 
>  MAINTAINERS                                        |   4 +-
>  drivers/gpu/drm/amd/display/amdgpu_dm/amdgpu_dm.c  |   7 +-
>  .../drm/amd/display/amdgpu_dm/amdgpu_dm_helpers.c  |   4 +-
>  .../amd/display/amdgpu_dm/amdgpu_dm_mst_types.c    |   7 +-
>  drivers/gpu/drm/display/drm_dp_helper.c            | 161 +++++
>  drivers/gpu/drm/display/drm_dp_mst_topology.c      | 234 ++++++--
>  drivers/gpu/drm/i915/Kconfig                       |   2 +-
>  drivers/gpu/drm/i915/Makefile                      | 180 +++---
>  drivers/gpu/drm/i915/display/g4x_dp.c              |  46 +-
>  drivers/gpu/drm/i915/display/g4x_hdmi.c            |  66 +-
>  drivers/gpu/drm/i915/display/hsw_ips.c             |   4 +-
>  drivers/gpu/drm/i915/display/i9xx_wm.c             |   2 +-
>  drivers/gpu/drm/i915/display/icl_dsi.c             |  10 +-
>  drivers/gpu/drm/i915/display/intel_atomic.c        |   3 -
>  drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  83 +--
>  drivers/gpu/drm/i915/display/intel_audio.c         |  16 +-
>  drivers/gpu/drm/i915/display/intel_backlight.c     |   9 +-
>  drivers/gpu/drm/i915/display/intel_bios.c          |  32 +-
>  drivers/gpu/drm/i915/display/intel_cdclk.c         |  17 +-
>  drivers/gpu/drm/i915/display/intel_color.c         |  70 +--
>  drivers/gpu/drm/i915/display/intel_crt.c           |   4 +-
>  drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 160 +++--
>  drivers/gpu/drm/i915/display/intel_cx0_phy.h       |  16 +-
>  drivers/gpu/drm/i915/display/intel_ddi.c           | 177 ++++--
>  drivers/gpu/drm/i915/display/intel_ddi.h           |   5 +
>  drivers/gpu/drm/i915/display/intel_display.c       | 560 +++++++----------
>  drivers/gpu/drm/i915/display/intel_display.h       |   9 +-
>  drivers/gpu/drm/i915/display/intel_display_core.h  |  20 +-
>  .../gpu/drm/i915/display/intel_display_debugfs.c   |  98 +++
>  .../i915/display/intel_display_debugfs_params.c    | 176 ++++++
>  .../i915/display/intel_display_debugfs_params.h    |  13 +
>  .../gpu/drm/i915/display/intel_display_device.c    |  13 +-
>  .../gpu/drm/i915/display/intel_display_device.h    |   1 +
>  .../gpu/drm/i915/display/intel_display_driver.c    |   7 +
>  .../gpu/drm/i915/display/intel_display_params.c    | 217 +++++++
>  .../gpu/drm/i915/display/intel_display_params.h    |  61 ++
>  drivers/gpu/drm/i915/display/intel_display_power.c |  14 +-
>  .../drm/i915/display/intel_display_power_well.c    |  23 +-
>  drivers/gpu/drm/i915/display/intel_display_reset.c |   2 +-
>  drivers/gpu/drm/i915/display/intel_display_types.h |  31 +-
>  drivers/gpu/drm/i915/display/intel_dp.c            | 504 ++++++++++++----
>  drivers/gpu/drm/i915/display/intel_dp.h            |  26 +-
>  drivers/gpu/drm/i915/display/intel_dp_aux.c        |  99 +--
>  .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   4 +-
>  drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |  14 +-
>  drivers/gpu/drm/i915/display/intel_dp_mst.c        | 662 +++++++++++++++++----
>  drivers/gpu/drm/i915/display/intel_dp_mst.h        |   5 +
>  drivers/gpu/drm/i915/display/intel_dpio_phy.c      | 171 +++---
>  drivers/gpu/drm/i915/display/intel_dpio_phy.h      |   5 +
>  drivers/gpu/drm/i915/display/intel_dpll.c          | 270 +++++++--
>  drivers/gpu/drm/i915/display/intel_dpll.h          |   9 +-
>  drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 187 +++---
>  drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   6 +
>  drivers/gpu/drm/i915/display/intel_dpt.c           |  24 -
>  drivers/gpu/drm/i915/display/intel_dpt.h           |   2 -
>  drivers/gpu/drm/i915/display/intel_dpt_common.c    |  34 ++
>  drivers/gpu/drm/i915/display/intel_dpt_common.h    |  13 +
>  drivers/gpu/drm/i915/display/intel_dsb.c           |  98 ++-
>  drivers/gpu/drm/i915/display/intel_dsb_buffer.c    |  82 +++
>  drivers/gpu/drm/i915/display/intel_dsb_buffer.h    |  29 +
>  drivers/gpu/drm/i915/display/intel_dsi_vbt.c       | 353 +++++------
>  drivers/gpu/drm/i915/display/intel_fb.c            |   8 +-
>  drivers/gpu/drm/i915/display/intel_fbc.c           |  57 +-
>  drivers/gpu/drm/i915/display/intel_fdi.c           |   8 +-
>  drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   2 -
>  drivers/gpu/drm/i915/display/intel_hdcp.c          |  37 +-
>  drivers/gpu/drm/i915/display/intel_hdcp.h          |   8 +-
>  drivers/gpu/drm/i915/display/intel_hdmi.c          |  10 -
>  drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |  16 +
>  drivers/gpu/drm/i915/display/intel_link_bw.c       |  30 +-
>  drivers/gpu/drm/i915/display/intel_link_bw.h       |   1 +
>  drivers/gpu/drm/i915/display/intel_lvds.c          |   4 +-
>  drivers/gpu/drm/i915/display/intel_modeset_setup.c |   6 +
>  .../gpu/drm/i915/display/intel_modeset_verify.c    |   2 +-
>  drivers/gpu/drm/i915/display/intel_opregion.c      |   2 +-
>  drivers/gpu/drm/i915/display/intel_panel.c         |   4 +-
>  drivers/gpu/drm/i915/display/intel_pch_display.c   |   1 +
>  drivers/gpu/drm/i915/display/intel_pps.c           |   2 +-
>  drivers/gpu/drm/i915/display/intel_psr.c           | 369 +++++++++---
>  drivers/gpu/drm/i915/display/intel_psr.h           |   7 +
>  drivers/gpu/drm/i915/display/intel_psr_regs.h      |   2 +
>  drivers/gpu/drm/i915/display/intel_qp_tables.c     |   3 -
>  drivers/gpu/drm/i915/display/intel_sdvo.c          |  23 +-
>  drivers/gpu/drm/i915/display/intel_sprite.c        |   7 +-
>  drivers/gpu/drm/i915/display/intel_tc.c            |  11 +-
>  drivers/gpu/drm/i915/display/intel_vdsc.c          |  29 +-
>  drivers/gpu/drm/i915/display/skl_universal_plane.c |  28 +-
>  drivers/gpu/drm/i915/display/skl_watermark.c       |   5 +-
>  drivers/gpu/drm/i915/display/vlv_dsi.c             |  21 +-
>  .../gpu/drm/i915/gem/i915_gem_object_frontbuffer.h |   1 +
>  drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   1 +
>  drivers/gpu/drm/i915/gt/intel_gt.h                 |  14 +
>  drivers/gpu/drm/i915/gt/intel_gt_engines_debugfs.c |   2 +-
>  drivers/gpu/drm/i915/gvt/cmd_parser.c              |   2 +-
>  drivers/gpu/drm/i915/gvt/fb_decoder.c              |   6 +-
>  drivers/gpu/drm/i915/gvt/handlers.c                |   3 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                |   4 +-
>  drivers/gpu/drm/i915/i915_debugfs_params.c         |   9 +-
>  drivers/gpu/drm/i915/i915_driver.c                 |   8 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |  20 +-
>  drivers/gpu/drm/i915/i915_gem.c                    |   2 -
>  drivers/gpu/drm/i915/i915_gpu_error.c              |   5 +-
>  drivers/gpu/drm/i915/i915_gpu_error.h              |   2 +
>  drivers/gpu/drm/i915/i915_params.c                 |  89 ---
>  drivers/gpu/drm/i915/i915_params.h                 |  22 -
>  drivers/gpu/drm/i915/i915_reg.h                    |   2 -
>  drivers/gpu/drm/i915/i915_utils.h                  |   2 +-
>  drivers/gpu/drm/i915/intel_runtime_pm.h            |   2 -
>  drivers/gpu/drm/i915/selftests/intel_uncore.c      |   2 +
>  drivers/gpu/drm/i915/soc/intel_gmch.c              |  27 +-
>  drivers/gpu/drm/i915/vlv_sideband.c                |  29 +-
>  drivers/gpu/drm/i915/vlv_sideband.h                |   9 +-
>  drivers/gpu/drm/nouveau/dispnv50/disp.c            |   9 +-
>  drivers/gpu/drm/tests/drm_dp_mst_helper_test.c     | 166 +++++-
>  include/drm/display/drm_dp.h                       |  25 +
>  include/drm/display/drm_dp_helper.h                |  32 +
>  include/drm/display/drm_dp_mst_helper.h            |  16 +-
>  include/drm/drm_color_mgmt.h                       |  19 +-
>  118 files changed, 4425 insertions(+), 1969 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/display/intel_display_debugfs_params.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_display_debugfs_params.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_display_params.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_display_params.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_dpt_common.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_dpt_common.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_dsb_buffer.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_dsb_buffer.h
> 
> -- 
> Jani Nikula, Intel

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-11-23 19:03 Jani Nikula
  2023-11-23 19:39 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2023-11-23 19:03 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx


Hi Dave & Sima -

The first i915 feature pull towards v6.8.

The one thing to single out are the major DP MST, UHBR, and DSC
bandwidth management improvements from Imre.

Alas, they also need to be singled out because there are a number of
updates in drm core and other drivers merged via drm-intel-next that
will conflict with drm-next. Nothing that wasn't acked or discussed
before, but maybe this time we erred a bit on the side of too much.

BR,
Jani.


drm-intel-next-2023-11-23:
drm/i915 feature pull for v6.8:

Features and functionality:
- Major DP MST improvements on bandwidth management, DSC (Imre, Stan, Ville)
- DP panel replay enabling (Animesh, Jouni)
- MTL C20 phy state verification (Mika)
- MTL DP DSC fractional bpp support (Ankit, Vandita, Swati, Imre)
- Audio fastset support (Ville)

Refactoring and cleanups:
- Use dma fence interfaces instead of i915_sw_fence (Jouni)
- Separate gem and display code (Jouni, Juha-Pekka)
- AUX register macro refactoring (Jani)
- Separate display module/device parameters from the rest (Jouni)
- Move display capabilities debugfs under display (Vinod)
- Makefile cleanup (Jani)
- Register cleanups (Ville)
- Enginer iterator cleanups (Tvrtko)
- Move display lock inits under display/ (Jani)
- VLV/CHV DPIO PHY register and interface refactoring (Jani)
- DSI VBT sequence refactoring (Jani, Andy Shevchenko)
- C10/C20 PHY PLL hardware readout and calculation abstractions (Lucas)
- DPLL code cleanups (Ville)
- Cleanup PXP plane protection checks (Jani)

Fixes:
- Replace VLV/CHV DSI GPIO direct access with proper GPIO API usage (Andy Shevchenko)
- Fix VLV/CHV DSI GPIO wrong initial value (Hans de Goede)
- Fix UHBR data, link M/N/TU and PBN values (Imre)
- Fix HDCP state on an enable/disable cycle (Suraj)
- Fix DP MST modeset sequence to be according to spec (Ville)
- Improved atomicity for multi-pipe commits (Ville)
- Update URLs in i915 MAINTAINERS entry and code (Jani)
- Check for VGA converter presence in eDP probe (Ville)
- Fix surface size checks (Ville)
- Fix LNL port/phy assignment (Lucas)
- Reset C10/C20 message bus harder to avoid sporadic failures (Mika)
- Fix bogus VBT HDMI level shift on BDW (Ville)
- Add workaround for LNL underruns when enabling FBC (Vinod)
- DSB refactoring (Animesh)
- DPT refactoring (Juha-Pekka)
- Disable DSC on DP MST on ICL (Imre)
- Fix PSR VSC packet setup timing (Mika)
- Fix LUT rounding and conversions (Ville)

DRM core display changes:
- DP MST fixes, helpers, refactoring to support bandwidth management (Imre)
- DP MST PBN divider value refactoring and fixes (Imre)
- DPCD register definitions (Ankit, Imre)
- Add helper to get DSC bpp precision (Ankit)
- Fix color LUT rounding (Ville)

BR,
Jani.

The following changes since commit 213c43676beb5f5a63cb27a0c8e8e71035b08445:

  drm/i915/mtl: Remove the 'force_probe' requirement for Meteor Lake (2023-10-18 06:23:41 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-11-23

for you to fetch changes up to deac453244d309ad7a94d0501eb5e0f9d8d1f1df:

  drm/i915: Fix glk+ degamma LUT conversions (2023-11-23 15:11:47 +0200)

----------------------------------------------------------------
drm/i915 feature pull for v6.8:

Features and functionality:
- Major DP MST improvements on bandwidth management, DSC (Imre, Stan, Ville)
- DP panel replay enabling (Animesh, Jouni)
- MTL C20 phy state verification (Mika)
- MTL DP DSC fractional bpp support (Ankit, Vandita, Swati, Imre)
- Audio fastset support (Ville)

Refactoring and cleanups:
- Use dma fence interfaces instead of i915_sw_fence (Jouni)
- Separate gem and display code (Jouni, Juha-Pekka)
- AUX register macro refactoring (Jani)
- Separate display module/device parameters from the rest (Jouni)
- Move display capabilities debugfs under display (Vinod)
- Makefile cleanup (Jani)
- Register cleanups (Ville)
- Enginer iterator cleanups (Tvrtko)
- Move display lock inits under display/ (Jani)
- VLV/CHV DPIO PHY register and interface refactoring (Jani)
- DSI VBT sequence refactoring (Jani, Andy Shevchenko)
- C10/C20 PHY PLL hardware readout and calculation abstractions (Lucas)
- DPLL code cleanups (Ville)
- Cleanup PXP plane protection checks (Jani)

Fixes:
- Replace VLV/CHV DSI GPIO direct access with proper GPIO API usage (Andy Shevchenko)
- Fix VLV/CHV DSI GPIO wrong initial value (Hans de Goede)
- Fix UHBR data, link M/N/TU and PBN values (Imre)
- Fix HDCP state on an enable/disable cycle (Suraj)
- Fix DP MST modeset sequence to be according to spec (Ville)
- Improved atomicity for multi-pipe commits (Ville)
- Update URLs in i915 MAINTAINERS entry and code (Jani)
- Check for VGA converter presence in eDP probe (Ville)
- Fix surface size checks (Ville)
- Fix LNL port/phy assignment (Lucas)
- Reset C10/C20 message bus harder to avoid sporadic failures (Mika)
- Fix bogus VBT HDMI level shift on BDW (Ville)
- Add workaround for LNL underruns when enabling FBC (Vinod)
- DSB refactoring (Animesh)
- DPT refactoring (Juha-Pekka)
- Disable DSC on DP MST on ICL (Imre)
- Fix PSR VSC packet setup timing (Mika)
- Fix LUT rounding and conversions (Ville)

DRM core display changes:
- DP MST fixes, helpers, refactoring to support bandwidth management (Imre)
- DP MST PBN divider value refactoring and fixes (Imre)
- DPCD register definitions (Ankit, Imre)
- Add helper to get DSC bpp precision (Ankit)
- Fix color LUT rounding (Ville)

----------------------------------------------------------------
Andy Shevchenko (9):
      drm/i915/dsi: Replace while(1) with one with clear exit condition
      drm/i915/dsi: Get rid of redundant 'else'
      drm/i915/dsi: Replace check with a (missing) MIPI sequence name
      drm/i915/dsi: Extract common soc_gpio_set_value() helper
      drm/i915/dsi: Replace poking of VLV GPIOs behind the driver's back
      drm/i915/dsi: Prepare soc_gpio_set_value() to distinguish GPIO communities
      drm/i915/dsi: Replace poking of CHV GPIOs behind the driver's back
      drm/i915/dsi: Combine checks in mipi_exec_gpio()
      drm/i915/iosf: Drop unused APIs

Animesh Manna (6):
      drm/panelreplay: dpcd register definition for panelreplay
      drm/i915/panelreplay: Initializaton and compute config for panel replay
      drm/i915/panelreplay: Enable panel replay dpcd initialization for DP
      drm/i915/panelreplay: enable/disable panel replay
      drm/i915/panelreplay: Debugfs support for panel replay
      drm/i915/dsb: DSB code refactoring

Ankit Nautiyal (5):
      drm/display/dp: Add helper function to get DSC bpp precision
      drm/i915/display: Store compressed bpp in U6.4 format
      drm/i915/display: Consider fractional vdsc bpp while computing m_n values
      drm/i915/audio: Consider fractional vdsc bpp while computing tu_data
      drm/i915/dp: Iterate over output bpp with fractional step size

Chaitanya Kumar Borah (1):
      drm/i915/mtl: Support HBR3 rate with C10 phy and eDP in MTL

Hans de Goede (2):
      drm/i915/dsi: Remove GPIO lookup table at the end of intel_dsi_vbt_gpio_init()
      drm/i915/dsi: Fix wrong initial value for GPIOs in bxt_gpio_set_value()

Imre Deak (42):
      drm/i915/dp_mst: Disable DSC on ICL MST outputs
      drm/i915/dp_mst: Fix race between connector registration and setup
      drm/dp_mst: Add helper to determine if an MST port is downstream of another port
      drm/dp_mst: Factor out a helper to check the atomic state of a topology manager
      drm/dp_mst: Swap the order of checking root vs. non-root port BW limitations
      drm/dp_mst: Allow DSC in any Synaptics last branch device
      drm/dp: Add DP_HBLANK_EXPANSION_CAPABLE and DSC_PASSTHROUGH_EN DPCD flags
      drm/dp_mst: Add HBLANK expansion quirk for Synaptics MST hubs
      drm/dp: Add helpers to calculate the link BW overhead
      drm/i915/dp_mst: Enable FEC early once it's known DSC is needed
      drm/i915/dp: Specify the FEC overhead as an increment vs. a remainder
      drm/i915/dp: Pass actual BW overhead to m_n calculation
      drm/i915/dp_mst: Account for FEC and DSC overhead during BW allocation
      drm/i915/dp_mst: Add atomic state for all streams on pre-tgl platforms
      drm/i915/dp_mst: Program the DSC PPS SDP for each stream
      drm/i915/dp: Make sure the DSC PPS SDP is disabled whenever DSC is disabled
      drm/i915/dp_mst: Add missing DSC compression disabling
      drm/i915/dp: Rename intel_ddi_disable_fec_state() to intel_ddi_disable_fec()
      drm/i915/dp: Wait for FEC detected status in the sink
      drm/i915/dp: Disable FEC ready flag in the sink
      drm/i915/dp_mst: Handle the Synaptics HBlank expansion quirk
      drm/i915/dp_mst: Enable decompression in the sink from the MST encoder hooks
      drm/i915/dp: Enable DSC via the connector decompression AUX
      drm/i915/dp_mst: Enable DSC passthrough
      drm/i915/dp_mst: Enable MST DSC decompression for all streams
      drm/i915: Factor out function to clear pipe update flags
      drm/i915/dp_mst: Force modeset CRTC if DSC toggling requires it
      drm/i915/dp_mst: Improve BW sharing between MST streams
      drm/i915/dp_mst: Check BW limitations only after all streams are computed
      drm/i915/dp: Tune down FEC detection timeout error message
      drm/i915: Fix fractional bpp handling in intel_link_bw_reduce_bpp()
      drm/dp_mst: Store the MST PBN divider value in fixed point format
      drm/dp_mst: Fix PBN divider calculation for UHBR rates
      drm/dp_mst: Add kunit tests for drm_dp_get_vc_payload_bw()
      drm/i915/dp: Replace intel_dp_is_uhbr_rate() with drm_dp_is_uhbr_rate()
      drm/i915/dp: Account for channel coding efficiency on UHBR links
      drm/i915/dp: Fix UHBR link M/N values
      drm/i915/dp_mst: Calculate the BW overhead in intel_dp_mst_find_vcpi_slots_for_bpp()
      drm/i915/dp_mst: Fix PBN / MTP_TU size calculation for UHBR rates
      drm/i915/dp: Report a rounded-down value as the maximum data rate
      drm/i915/dp: Simplify intel_dp_max_data_rate()
      drm/i915/dp: Reuse intel_dp_{max,effective}_data_rate in intel_link_compute_m_n()

Jani Nikula (22):
      drm/i915: drop gt/intel_gt.h include from skl_universal_plane.c
      drm/i915/aux: add separate register macros and functions for VLV/CHV
      drm/i915/aux: rename dev_priv to i915
      drm/i915: stop including i915_utils.h from intel_runtime_pm.h
      drm/i915/sprite: move sprite_name() to intel_sprite.c
      drm/i915: fix Makefile sort and indent
      drm/i915: move Makefile display debugfs files next to display
      drm/i915: move display mutex inits to display code
      drm/i915: move display spinlock init to display code
      drm/i915: abstract plane protection check
      drm/i915: remove excess functions from plane protection check
      MAINTAINERS: update drm/i915 W: and B: entries
      drm/i915: update in-source bug filing URLs
      drm/i915/display: keep struct intel_display members sorted
      drm/i915: move *_crtc_clock_get() to intel_dpll.c
      drm/i915: add vlv_pipe_to_phy() helper to replace DPIO_PHY()
      drm/i915: convert vlv_dpio_read()/write() from pipe to phy
      drm/i915/dsi: assume BXT gpio works for non-native GPIO
      drm/i915/dsi: switch mipi_exec_gpio() from dev_priv to i915
      drm/i915/dsi: clarify GPIO exec sequence
      drm/i915/dsi: rename platform specific *_exec_gpio() to *_gpio_set_value()
      drm/i915/dsi: bxt/icl GPIO set value do not need gpio source

Jouni Högander (29):
      drm/i915/display: Move releasing gem object away from fb tracking
      drm/i915/display: Use intel_bo_to_drm_bo instead of obj->base
      drm/i915/display: Add framework to add parameters specific to display
      drm/i915/display: Dump also display parameters
      drm/i915/display: Move enable_fbc module parameter under display
      drm/i915/display: Move psr related module parameters under display
      drm/i915/display: Move vbt_firmware module parameter under display
      drm/i915/display: Move lvds_channel_mode module parameter under display
      drm/i915/display: Move panel_use_ssc module parameter under display
      drm/i915/display: Move vbt_sdvo_panel_type module parameter under display
      drm/i915/display: Move enable_dc module parameter under display
      drm/i915/display: Move enable_dpt module parameter under display
      drm/i915/display: Move enable_sagv module parameter under display
      drm/i915/display: Move disable_power_well module parameter under display
      drm/i915/display: Move enable_ips module parameter under display
      drm/i915/display: Move invert_brightness module parameter under display
      drm/i915/display: Move edp_vswing module parameter under display
      drm/i915/display: Move enable_dpcd_backlight module parameter under display
      drm/i915/display: Move load_detect_test parameter under display
      drm/i915/display: Move force_reset_modeset_test parameter under display
      drm/i915/display: Move disable_display parameter under display
      drm/i915/display: Use device parameters instead of module in I915_STATE_WARN
      drm/i915/display: Move verbose_state_checks under display
      drm/i915/display: Move nuclear_pageflip under display
      drm/i915/display: Move enable_dp_mst under display
      drm/i915/display: Use dma_fence interfaces instead of i915_sw_fence
      drm/i915/display: Use intel_bo_to_drm_bo instead of obj->base
      drm/i915/psr: Move psr specific dpcd init into own function
      drm/i915/display: Do not check psr2 if psr/panel replay is not supported

Juha-Pekka Heikkila (3):
      drm/i915/display: Separate xe and i915 common dpt code into own file
      drm/i915/display: in skl_surf_address check for dpt-vma
      drm/i915/display: In intel_framebuffer_init switch to use intel_bo_to_drm_bo

Lucas De Marchi (4):
      drm/i915/lnl: Extend C10/C20 phy
      drm/i915/lnl: Fix check for TC phy
      drm/i915/display: Abstract C10/C20 pll hw readout
      drm/i915/display: Abstract C10/C20 pll calculation

Mika Kahola (5):
      drm/i915/display: Reset message bus after each read/write operation
      drm/i915/display: Support PSR entry VSC packet to be transmitted one frame earlier
      drm/i915/mtl: C20 state verification
      drm/i915/display: Use int for entry setup frames
      drm/i915/display: Use int type for entry_setup_frames

Nirmoy Das (2):
      drm/i915/mtl: Apply notify_guc to all GTs
      drm/i915/tc: Fix -Wformat-truncation in intel_tc_port_init

Stanislav Lisovskiy (1):
      drm/i915: Query compressed bpp properly using correct DPCD and DP Spec info

Suraj Kandpal (3):
      drm/i915/hdcp: Rename HCDP 1.4 enablement function
      drm/i915/hdcp: Convert intel_hdcp_enable to a blanket function
      drm/i915/hdcp: Add more conditions to enable hdcp

Swati Sharma (2):
      drm/i915/dsc: Add debugfs entry to validate DSC fractional bpp
      drm/i915/dsc: Allow DSC only with fractional bpp when forced from debugfs

Tvrtko Ursulin (2):
      drm/i915: Remove unused for_each_uabi_class_engine
      drm/i915: Move for_each_engine* out of i915_drv.h

Vandita Kulkarni (1):
      drm/i915/dsc/mtl: Add support for fractional bpp

Ville Syrjälä (40):
      drm/i915/bios: Clamp VBT HDMI level shift on BDW
      drm/i915: Use named initializers for DPLL info
      drm/i915: Abstract the extra JSL/EHL DPLL4 power domain better
      drm/i915: Move the DPLL extra power domain handling up one level
      drm/i915: Extract _intel_{enable,disable}_shared_dpll()
      drm/i915: Move the g45 PEG band gap HPD workaround to the HPD code
      drm/i915/mst: Swap TRANSCONF vs. FECSTALL_DIS_DPTSTREAM_DPTTG disable
      drm/i915/mst: Disable transcoder before deleting the payload
      drm/i915/mst: Clear ACT just before triggering payload allocation
      drm/i915/mst: Always write CHICKEN_TRANS
      drm/i915: Bump GLK CDCLK frequency when driving multiple pipes
      drm/i915: Extract hsw_chicken_trans_reg()
      drm/i915: Stop using a 'reg' variable
      drm/i915: Extract mchbar_reg()
      drm/i915/dsi: Remove dead GLK checks
      drm/i915/dsi: Extract port_ctrl_reg()
      drm/dp_mst: Fix fractional DSC bpp handling
      drm/i915: Drop redundant !modeset check
      drm/i915: Split intel_update_crtc() into two parts
      drm/i915: Do plane/etc. updates more atomically across pipes
      drm/i915/gvt: Clean up zero initializers
      drm/i915: Also check for VGA converter in eDP probe
      drm/i915/fbc: Split plane size vs. surface size checks apart
      drm/i915/fbc: Bump max surface size to 8kx4k on icl+
      drm/i915/fbc: Bump ivb FBC max surface size to 4kx4k
      drm/i915: Check pipe active state in {planes,vrr}_{enabling,disabling}()
      drm/i915: Call intel_pre_plane_updates() also for pipes getting enabled
      drm/i915: Polish some RMWs
      drm/i915: Push audio enable/disable further out
      drm/i915: Wrap g4x+ DP/HDMI audio enable/disable
      drm/i915: Split g4x+ DP audio presence detect from port enable
      drm/i915: Split g4x+ HDMI audio presence detect from port enable
      drm/i915: Convert audio enable/disable into encoder vfuncs
      drm/i915: Hoist the encoder->audio_{enable,disable}() calls higher up
      drm/i915: Push audio_{enable,disable}() to the pre/post pane update stage
      drm/i915: Implement audio fastset
      drm: Fix color LUT rounding
      drm/i915: Adjust LUT rounding rules
      drm/i915: s/clamp()/min()/ in i965_lut_11p6_max_pack()
      drm/i915: Fix glk+ degamma LUT conversions

Vinod Govindapillai (3):
      drm/i915/display: debugfs entry to list display capabilities
      drm/i915: remove display device info from i915 capabilities
      drm/i915/xe2lpd: implement WA for underruns while enabling FBC

 MAINTAINERS                                        |   4 +-
 drivers/gpu/drm/amd/display/amdgpu_dm/amdgpu_dm.c  |   7 +-
 .../drm/amd/display/amdgpu_dm/amdgpu_dm_helpers.c  |   4 +-
 .../amd/display/amdgpu_dm/amdgpu_dm_mst_types.c    |   7 +-
 drivers/gpu/drm/display/drm_dp_helper.c            | 161 +++++
 drivers/gpu/drm/display/drm_dp_mst_topology.c      | 234 ++++++--
 drivers/gpu/drm/i915/Kconfig                       |   2 +-
 drivers/gpu/drm/i915/Makefile                      | 180 +++---
 drivers/gpu/drm/i915/display/g4x_dp.c              |  46 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |  66 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |   4 +-
 drivers/gpu/drm/i915/display/i9xx_wm.c             |   2 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  10 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   3 -
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  83 +--
 drivers/gpu/drm/i915/display/intel_audio.c         |  16 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |   9 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  32 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  17 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  70 +--
 drivers/gpu/drm/i915/display/intel_crt.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 160 +++--
 drivers/gpu/drm/i915/display/intel_cx0_phy.h       |  16 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 177 ++++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |   5 +
 drivers/gpu/drm/i915/display/intel_display.c       | 560 +++++++----------
 drivers/gpu/drm/i915/display/intel_display.h       |   9 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  20 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  98 +++
 .../i915/display/intel_display_debugfs_params.c    | 176 ++++++
 .../i915/display/intel_display_debugfs_params.h    |  13 +
 .../gpu/drm/i915/display/intel_display_device.c    |  13 +-
 .../gpu/drm/i915/display/intel_display_device.h    |   1 +
 .../gpu/drm/i915/display/intel_display_driver.c    |   7 +
 .../gpu/drm/i915/display/intel_display_params.c    | 217 +++++++
 .../gpu/drm/i915/display/intel_display_params.h    |  61 ++
 drivers/gpu/drm/i915/display/intel_display_power.c |  14 +-
 .../drm/i915/display/intel_display_power_well.c    |  23 +-
 drivers/gpu/drm/i915/display/intel_display_reset.c |   2 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  31 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 504 ++++++++++++----
 drivers/gpu/drm/i915/display/intel_dp.h            |  26 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  99 +--
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   4 +-
 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |  14 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 662 +++++++++++++++++----
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |   5 +
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      | 171 +++---
 drivers/gpu/drm/i915/display/intel_dpio_phy.h      |   5 +
 drivers/gpu/drm/i915/display/intel_dpll.c          | 270 +++++++--
 drivers/gpu/drm/i915/display/intel_dpll.h          |   9 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 187 +++---
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   6 +
 drivers/gpu/drm/i915/display/intel_dpt.c           |  24 -
 drivers/gpu/drm/i915/display/intel_dpt.h           |   2 -
 drivers/gpu/drm/i915/display/intel_dpt_common.c    |  34 ++
 drivers/gpu/drm/i915/display/intel_dpt_common.h    |  13 +
 drivers/gpu/drm/i915/display/intel_dsb.c           |  98 ++-
 drivers/gpu/drm/i915/display/intel_dsb_buffer.c    |  82 +++
 drivers/gpu/drm/i915/display/intel_dsb_buffer.h    |  29 +
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       | 353 +++++------
 drivers/gpu/drm/i915/display/intel_fb.c            |   8 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  57 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   8 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   2 -
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  37 +-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |   8 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  10 -
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |  16 +
 drivers/gpu/drm/i915/display/intel_link_bw.c       |  30 +-
 drivers/gpu/drm/i915/display/intel_link_bw.h       |   1 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |   4 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |   6 +
 .../gpu/drm/i915/display/intel_modeset_verify.c    |   2 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |   4 +-
 drivers/gpu/drm/i915/display/intel_pch_display.c   |   1 +
 drivers/gpu/drm/i915/display/intel_pps.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           | 369 +++++++++---
 drivers/gpu/drm/i915/display/intel_psr.h           |   7 +
 drivers/gpu/drm/i915/display/intel_psr_regs.h      |   2 +
 drivers/gpu/drm/i915/display/intel_qp_tables.c     |   3 -
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  23 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   7 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |  11 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  29 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  28 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |   5 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  21 +-
 .../gpu/drm/i915/gem/i915_gem_object_frontbuffer.h |   1 +
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   1 +
 drivers/gpu/drm/i915/gt/intel_gt.h                 |  14 +
 drivers/gpu/drm/i915/gt/intel_gt_engines_debugfs.c |   2 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   2 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |   6 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   3 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   4 +-
 drivers/gpu/drm/i915/i915_debugfs_params.c         |   9 +-
 drivers/gpu/drm/i915/i915_driver.c                 |   8 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  20 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   2 -
 drivers/gpu/drm/i915/i915_gpu_error.c              |   5 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   2 +
 drivers/gpu/drm/i915/i915_params.c                 |  89 ---
 drivers/gpu/drm/i915/i915_params.h                 |  22 -
 drivers/gpu/drm/i915/i915_reg.h                    |   2 -
 drivers/gpu/drm/i915/i915_utils.h                  |   2 +-
 drivers/gpu/drm/i915/intel_runtime_pm.h            |   2 -
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   2 +
 drivers/gpu/drm/i915/soc/intel_gmch.c              |  27 +-
 drivers/gpu/drm/i915/vlv_sideband.c                |  29 +-
 drivers/gpu/drm/i915/vlv_sideband.h                |   9 +-
 drivers/gpu/drm/nouveau/dispnv50/disp.c            |   9 +-
 drivers/gpu/drm/tests/drm_dp_mst_helper_test.c     | 166 +++++-
 include/drm/display/drm_dp.h                       |  25 +
 include/drm/display/drm_dp_helper.h                |  32 +
 include/drm/display/drm_dp_mst_helper.h            |  16 +-
 include/drm/drm_color_mgmt.h                       |  19 +-
 118 files changed, 4425 insertions(+), 1969 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_debugfs_params.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_debugfs_params.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_params.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_params.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dpt_common.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dpt_common.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dsb_buffer.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dsb_buffer.h

-- 
Jani Nikula, Intel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-10-19 16:18 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2023-10-19 16:18 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

This is our last pull request towards 6.7.
I'm sending this on behalf of Jani, who was covering this round.

The main reason for this extra PR is to ensure that we get MTL
force_probe removed on 6.7. The platform has a good green picture
in our BAT CI currently and is stable.

Please notice that this is highly dependent on fixes that are
coming through drm-intel-gt-next pull-request that Tvrtko just sent:

https://lore.kernel.org/all/ZTFDFSbd%2FU7YP+hI@tursulin-desk/

Here goes drm-intel-next-2023-10-19:

- Add new DG2 PCI IDs (Shekhar)
- Remove watchdog timers for PSR on Lunar Lake (Mika Kahola)
- DSB changes for proper handling of LUT programming (Ville)
- Store DSC DPCD capabilities in the connector (Imre)
- Clean up zero initializers (Ville)
- Remove Meteor Lake force_probe protection (RK)

Thanks,
Rodrigo.

The following changes since commit a6028afef98a6e3f059a014452914eb01035d530:

  drm/i915/dsi: Add some debug logging to mipi_exec_i2c (v2) (2023-10-12 12:41:54 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-10-19

for you to fetch changes up to 213c43676beb5f5a63cb27a0c8e8e71035b08445:

  drm/i915/mtl: Remove the 'force_probe' requirement for Meteor Lake (2023-10-18 06:23:41 +0200)

----------------------------------------------------------------
- Add new DG2 PCI IDs (Shekhar)
- Remove watchdog timers for PSR on Lunar Lake (Mika Kahola)
- DSB changes for proper handling of LUT programming (Ville)
- Store DSC DPCD capabilities in the connector (Imre)
- Clean up zero initializers (Ville)
- Remove Meteor Lake force_probe protection (RK)

----------------------------------------------------------------
Imre Deak (19):
      drm/i915/dp: Sanitize DPCD revision check in intel_dp_get_dsc_sink_cap()
      drm/i915/dp: Store DSC DPCD capabilities in the connector
      drm/i915/dp_mst: Set connector DSC capabilities and decompression AUX
      drm/i915/dp: Use i915/intel connector local variables in i915_dsc_fec_support_show()
      drm/i915/dp: Use connector DSC DPCD in i915_dsc_fec_support_show()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_dsc_compute_max_bpp()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_supports_fec()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_supports_dsc()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_dsc_max_sink_compressed_bppx16()
      drm/i915/dp: Pass connector DSC DPCD to drm_dp_dsc_sink_supported_input_bpcs()
      drm/i915/dp: Pass only the required i915 to intel_dp_source_dsc_version_minor()
      drm/i915/dp: Pass only the required DSC DPCD to intel_dp_sink_dsc_version_minor()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_dsc_compute_params()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_dsc_supports_format()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_dsc_get_slice_count()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_mode_valid()
      drm/i915/dp: Use connector DSC DPCD in intel_dp_dsc_compute_config()
      drm/i915/dp_mst: Use connector DSC DPCD in intel_dp_mst_mode_valid_ctx()
      drm/i915/dp: Remove unused DSC caps from intel_dp

Mika Kahola (1):
      drm/i915/lnl: Remove watchdog timers for PSR

Radhakrishna Sripada (1):
      drm/i915/mtl: Remove the 'force_probe' requirement for Meteor Lake

Shekhar Chauhan (1):
      drm/i915: Add new DG2 PCI IDs

Ville Syrjälä (6):
      drm/i915/dsb: Allocate command buffer from local memory
      drm/i915/dsb: Correct DSB command buffer cache coherency settings
      drm/i915/dsb: Re-instate DSB for LUT updates
      drm/i915/display: Clean up zero initializers
      drm/i915/hdcp: Clean up zero initializers
      drm/i915/pci: Clean up zero initializers

 drivers/gpu/drm/i915/display/intel_acpi.c          |   2 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   3 -
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       |   2 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  22 +--
 drivers/gpu/drm/i915/display/intel_display_types.h |   8 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 212 ++++++++++++---------
 drivers/gpu/drm/i915/display/intel_dp.h            |   7 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   4 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  37 +++-
 drivers/gpu/drm/i915/display/intel_dsb.c           |  18 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   2 +-
 .../gpu/drm/i915/display/intel_hdcp_gsc_message.c  |  44 ++---
 drivers/gpu/drm/i915/display/intel_plane_initial.c |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  10 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   2 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_wm.c            |   2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   3 +-
 include/drm/i915_pciids.h                          |   6 +-
 19 files changed, 235 insertions(+), 153 deletions(-)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-10-12 13:42 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2023-10-12 13:42 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx


Hi Dave & Sima -

Here's the 2nd i915 feature pull for v6.7.

There might be another small feature pull coming next week, mainly to
remove force probe for Meteorlake, and promote it to fully
supported. There are some final issues being ironed out still.

There's a backmerge in there; I acknowledge I should pay more attention
to the details in the backmerge commit message. There was a conflict
between the drm-intel-next and drm-intel-gt-next branches I wanted to
clear before applying more patches on top.


drm-intel-next-2023-10-12:
drm/i915 feature pull #2 for v6.7:

Features and functionality:
- Preparation for i915 display code reuse in upcoming Xe driver (Jani)
- Drop the fastboot module parameter and use the platform defaults (Arun)
- Enable new LNL FBC features (Vinod)
- Add LNL display feature capability reads (Vinod)

Refactoring and cleanups:
- Locally enable W=1 warnings by default in i915 (Jani)
- Move HDCP GSC message code to a separate file (Suraj)
- GVT include cleanups (Jani)
- Move more display init under display/ (Jani)
- DPLL ID refactoring (Ville)
- Better abstraction of GT0 (Jani)
- Move VGA decode function to GMCH code (Uma)
- Use local64_try_cmpxchg() to optimize PMU event read (Uros Bizjak)
- Clean up FBC checks (Ville)
- Constify and unify state checker calling conventions (Ville)
- Add display step name helper (Chaitanya)

Documentation:
- Update CCS and GSC CS documentation (Rodrigo)
- Fix a number of documentation typos (Randy Dunlap)

Fixes:
- VLV DSI fixes and quirks (Hans)
- Fix crtc state memory leaks (Suraj)
- Increase LSPCON mode settle timeout (Niko Tsirakis)
- Stop clobbering old crtc state during state check (Ville)
- Fix VLV color state readout (Ville)
- Fix cx0 PHY pipe reset to allow S0iX (Khaled)
- Ensure DP MST pbn_div is up-to-date after sink reconnect (Imre)
- Drop an unnecessary NULL check to fix static analyzer warning (Suraj)
- Use an explicit rather than implicit include for frontbuffer tracking (Jouni)

Merges:
- Backmerge drm-next to fix a conflict (Jani)

BR,
Jani.

The following changes since commit caacbdc28f545744770fb2caf347b3c4be9a6299:

  Merge tag 'drm-intel-gt-next-2023-09-28' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2023-10-03 06:02:30 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-10-12

for you to fetch changes up to a6028afef98a6e3f059a014452914eb01035d530:

  drm/i915/dsi: Add some debug logging to mipi_exec_i2c (v2) (2023-10-12 12:41:54 +0200)

----------------------------------------------------------------
drm/i915 feature pull #2 for v6.7:

Features and functionality:
- Preparation for i915 display code reuse in upcoming Xe driver (Jani)
- Drop the fastboot module parameter and use the platform defaults (Arun)
- Enable new LNL FBC features (Vinod)
- Add LNL display feature capability reads (Vinod)

Refactoring and cleanups:
- Locally enable W=1 warnings by default in i915 (Jani)
- Move HDCP GSC message code to a separate file (Suraj)
- GVT include cleanups (Jani)
- Move more display init under display/ (Jani)
- DPLL ID refactoring (Ville)
- Better abstraction of GT0 (Jani)
- Move VGA decode function to GMCH code (Uma)
- Use local64_try_cmpxchg() to optimize PMU event read (Uros Bizjak)
- Clean up FBC checks (Ville)
- Constify and unify state checker calling conventions (Ville)
- Add display step name helper (Chaitanya)

Documentation:
- Update CCS and GSC CS documentation (Rodrigo)
- Fix a number of documentation typos (Randy Dunlap)

Fixes:
- VLV DSI fixes and quirks (Hans)
- Fix crtc state memory leaks (Suraj)
- Increase LSPCON mode settle timeout (Niko Tsirakis)
- Stop clobbering old crtc state during state check (Ville)
- Fix VLV color state readout (Ville)
- Fix cx0 PHY pipe reset to allow S0iX (Khaled)
- Ensure DP MST pbn_div is up-to-date after sink reconnect (Imre)
- Drop an unnecessary NULL check to fix static analyzer warning (Suraj)
- Use an explicit rather than implicit include for frontbuffer tracking (Jouni)

Merges:
- Backmerge drm-next to fix a conflict (Jani)

----------------------------------------------------------------
Arun R Murthy (1):
      drm/i915: Remove the module parameter 'fastboot'

Chaitanya Kumar Borah (1):
      drm/i915: Add wrapper for getting display step

Hans de Goede (4):
      drm/i915/vlv_dsi: Add DMI quirk for wrong panel modeline in BIOS on Asus TF103C (v3)
      drm/i915/vlv_dsi: Add DMI quirk for wrong I2C bus and panel size on Lenovo Yoga Tablet 2 series (v3)
      drm/i915/vlv_dsi: Add DMI quirk for backlight control issues on Lenovo Yoga Tab 3 (v2)
      drm/i915/dsi: Add some debug logging to mipi_exec_i2c (v2)

Imre Deak (1):
      drm/i915/dp_mst: Make sure pbn_div is up-to-date after sink reconnect

Jani Nikula (33):
      drm/i915: define I915 during i915 driver build
      drm/i915/display: add I915 conditional build to intel_lvds.h
      drm/i915/display: add I915 conditional build to hsw_ips.h
      drm/i915/display: add I915 conditional build to i9xx_plane.h
      drm/i915/display: add I915 conditional build to intel_lpe_audio.h
      drm/i915/display: add I915 conditional build to intel_pch_refclk.h
      drm/i915/display: add I915 conditional build to intel_pch_display.h
      drm/i915/display: add I915 conditional build to intel_sprite.h
      drm/i915/display: add I915 conditional build to intel_overlay.h
      drm/i915/display: add I915 conditional build to g4x_dp.h
      drm/i915/display: add I915 conditional build to intel_dpio_phy.h
      drm/i915/display: add I915 conditional build to intel_crt.h
      drm/i915/display: add I915 conditional build to vlv_dsi.h
      drm/i915/display: add I915 conditional build to i9xx_wm.h
      drm/i915/display: add I915 conditional build to g4x_hdmi.h
      drm/i915/display: add I915 conditional build to intel_dvo.h
      drm/i915/display: add I915 conditional build to intel_sdvo.h
      drm/i915/display: add I915 conditional build to intel_tv.h
      drm/i915/display: add I915 conditional build to vlv_dsi_pll.h
      drm/i915: convert INTEL_DISPLAY_ENABLED() into a function
      drm/i915: move display info related macros to display
      drm/i915: separate display runtime info init
      drm/i915: separate subplatform init and runtime feature init
      Merge drm/drm-next into drm-intel-next
      drm/i915/mocs: use to_gt() instead of direct &i915->gt
      drm/i915: allocate i915->gt0 dynamically
      drm/i915/gt: remove i915->gt0 in favour of i915->gt[0]
      drm/i915/gvt: remove unused to_gvt() and reduce includes
      drm/i915/gvt: don't include gvt.h from intel_gvt_mmio_table.h
      drm/i915/gvt: move struct engine_mmio to mmio_context.c
      drm/i915/gvt: move structs intel_gvt_irq_info and intel_gvt_irq_map to interrupt.c
      drm/i915: drop -Wall and related disables from cflags as redundant
      drm/i915: enable W=1 warnings by default

Jouni Högander (1):
      drm/i915/display: Include i915_active header in frontbuffer tracking code

Khaled Almahallawy (1):
      drm/i915/cx0: Only clear/set the Pipe Reset bit of the PHY Lanes Owned

Niko Tsirakis (1):
      drm/i915/display/lspcon: Increase LSPCON mode settle timeout

Randy Dunlap (1):
      drm/i915/uapi: fix doc typos

Rodrigo Vivi (3):
      drm/i915: Abstract display info away during probe
      drm/i915: Add missing CCS documentation
      drm/i915: Add missing GSCCS documentation

Suraj Kandpal (6):
      drm/i915/ddi: Remove redundant intel_connector NULL check
      drm/i915/display: Free crtc_state in verify_crtc_state
      drm/i915/display: Use intel_crtc_destroy_state instead kfree
      drm/i915/display: Use correct method to free crtc_state
      drm/i915/hdcp: Move checks for gsc health status
      drm/i915/hdcp: Move common message filling function to its own file

Uma Shankar (1):
      drm/i915/display: Created exclusive version of vga decode setup

Uros Bizjak (1):
      drm/i915/pmu: Use local64_try_cmpxchg in i915_pmu_event_read

Ville Syrjälä (23):
      drm/i915: Stop requiring PLL index == PLL ID
      drm/i915: Decouple I915_NUM_PLLS from PLL IDs
      drm/i915: Introduce for_each_shared_dpll()
      drm/i915: s/dev_priv/i915/ in the shared_dpll code
      drm/i915/fbc: Remove ancient 16k plane stride limit
      drm/i915/fbc: Split plane stride checks per-platform
      drm/i915/fbc: Split plane tiling checks per-platform
      drm/i915/fbc: Split plane rotation checks per-platform
      drm/i915/fbc: Split plane pixel format checks per-platform
      drm/i915/fbc: Remove pointless "stride is multiple of 64 bytes" check
      drm/i915/psr: Unify PSR pre/post plane update hooks
      drm/i915: Stop clobbering old crtc state during state check
      drm/i915: Constify the crtc states in the DPLL checker
      drm/i915: Simplify DPLL state checker calling convention
      drm/i915: Constify watermark state checker
      drm/i915: Simplify watermark state checker calling convention
      drm/i915: Constify the snps/c10x PLL state checkers
      drm/i915: Simplify snps/c10x DPLL state checker calling convetion
      drm/i915: Constify remainder of the state checker
      drm/i915: Simplify the state checker calling convetions
      drm/i915: s/pipe_config/crtc_state/ in the state checker
      drm/i915: s/dev_priv/i915/ in the state checker
      drm/i915: Fix VLV color state readout

Vinod Govindapillai (5):
      drm/i915/lnl: possibility to enable FBC on first three planes
      drm/i915/lnl: update the supported plane formats with FBC
      drm/i915/xe2lpd: display capability register definitions
      drm/i915/xe2lpd: update the dsc feature capability
      drm/i915/xe2lpd: update the scaler feature capability

 Documentation/gpu/i915.rst                         |  29 +-
 drivers/gpu/drm/display/drm_dp_cec.c               |  23 +-
 drivers/gpu/drm/display/drm_dp_helper.c            |   8 +-
 drivers/gpu/drm/drm_connector.c                    |  11 +-
 drivers/gpu/drm/drm_edid.c                         |  22 +-
 drivers/gpu/drm/drm_internal.h                     |   2 +
 drivers/gpu/drm/drm_sysfs.c                        |  22 +-
 drivers/gpu/drm/i915/Makefile                      |  49 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |  10 +-
 drivers/gpu/drm/i915/display/g4x_dp.h              |  26 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   6 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.h            |  12 +
 drivers/gpu/drm/i915/display/hsw_ips.c             |   1 +
 drivers/gpu/drm/i915/display/hsw_ips.h             |  35 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |   1 +
 drivers/gpu/drm/i915/display/i9xx_plane.h          |  23 +
 drivers/gpu/drm/i915/display/i9xx_wm.h             |  17 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |  13 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   2 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  14 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   6 +-
 drivers/gpu/drm/i915/display/intel_audio.h         |   3 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  26 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 107 ++-
 drivers/gpu/drm/i915/display/intel_color.c         | 155 +++-
 drivers/gpu/drm/i915/display/intel_color.h         |   2 +
 drivers/gpu/drm/i915/display/intel_color_regs.h    | 286 ++++++
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  17 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |   6 +-
 drivers/gpu/drm/i915/display/intel_connector.h     |   2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |  66 +-
 drivers/gpu/drm/i915/display/intel_crt.h           |  14 +
 drivers/gpu/drm/i915/display/intel_crtc.c          | 118 ++-
 drivers/gpu/drm/i915/display/intel_crtc.h          |   6 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |   3 +
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 215 ++---
 drivers/gpu/drm/i915/display/intel_cx0_phy.h       |  17 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy_regs.h  |  13 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |  40 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 490 +++++++---
 drivers/gpu/drm/i915/display/intel_display.h       |   8 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  13 +-
 .../gpu/drm/i915/display/intel_display_device.c    | 156 +++-
 .../gpu/drm/i915/display/intel_display_device.h    |  41 +-
 .../gpu/drm/i915/display/intel_display_driver.c    |   8 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   |   4 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  10 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |   1 -
 .../gpu/drm/i915/display/intel_display_power_map.c |  63 +-
 .../drm/i915/display/intel_display_power_well.c    |  52 +-
 .../drm/i915/display/intel_display_power_well.h    |   1 +
 drivers/gpu/drm/i915/display/intel_display_types.h |  49 +-
 drivers/gpu/drm/i915/display/intel_display_wa.c    |  48 +
 drivers/gpu/drm/i915/display/intel_display_wa.h    |  13 +
 drivers/gpu/drm/i915/display/intel_dmc.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 879 +++++++++++++-----
 drivers/gpu/drm/i915/display/intel_dp.h            |  34 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  49 +-
 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |  80 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  87 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  14 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 167 ++--
 drivers/gpu/drm/i915/display/intel_dpio_phy.h      |  96 ++
 drivers/gpu/drm/i915/display/intel_dpll.c          |  57 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 987 +++++++++++----------
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |  33 +-
 drivers/gpu/drm/i915/display/intel_dpt.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          |   1 +
 drivers/gpu/drm/i915/display/intel_dsb.c           | 217 ++++-
 drivers/gpu/drm/i915/display/intel_dsb.h           |   9 +-
 drivers/gpu/drm/i915/display/intel_dsb_regs.h      |  31 +
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   3 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |  13 +-
 drivers/gpu/drm/i915/display/intel_dvo.h           |   6 +
 drivers/gpu/drm/i915/display/intel_fb.c            |  61 +-
 drivers/gpu/drm/i915/display/intel_fb_pin.c        |   3 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           | 181 +++-
 drivers/gpu/drm/i915/display/intel_fbc.h           |   2 +
 drivers/gpu/drm/i915/display/intel_fdi.c           | 169 +++-
 drivers/gpu/drm/i915/display/intel_fdi.h           |   8 +
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |  35 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   4 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   5 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  41 +-
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c      | 617 +------------
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.h      |   1 +
 .../gpu/drm/i915/display/intel_hdcp_gsc_message.c  | 592 ++++++++++++
 .../gpu/drm/i915/display/intel_hdcp_gsc_message.h  |  72 ++
 drivers/gpu/drm/i915/display/intel_hdmi.c          | 123 +--
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  87 +-
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |  24 +-
 drivers/gpu/drm/i915/display/intel_link_bw.c       | 212 +++++
 drivers/gpu/drm/i915/display/intel_link_bw.h       |  37 +
 drivers/gpu/drm/i915/display/intel_lpe_audio.h     |  18 +
 drivers/gpu/drm/i915/display/intel_lspcon.c        |  29 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  33 +-
 drivers/gpu/drm/i915/display/intel_lvds.h          |  19 +
 .../gpu/drm/i915/display/intel_modeset_verify.c    | 134 +--
 .../gpu/drm/i915/display/intel_modeset_verify.h    |  11 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   2 +
 drivers/gpu/drm/i915/display/intel_overlay.h       |  35 +
 drivers/gpu/drm/i915/display/intel_panel.c         |  19 +-
 drivers/gpu/drm/i915/display/intel_pch_display.h   |  53 ++
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |   7 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.h    |  23 +
 drivers/gpu/drm/i915/display/intel_plane_initial.c |   1 +
 drivers/gpu/drm/i915/display/intel_pmdemand.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  72 +-
 drivers/gpu/drm/i915/display/intel_psr.h           |   3 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          | 383 ++++----
 drivers/gpu/drm/i915/display/intel_sdvo.h          |  13 +
 drivers/gpu/drm/i915/display/intel_sdvo_regs.h     |   2 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   7 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.h      |   3 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   1 +
 drivers/gpu/drm/i915/display/intel_sprite.h        |   8 +
 drivers/gpu/drm/i915/display/intel_tc.c            |  66 +-
 drivers/gpu/drm/i915/display/intel_tc.h            |   3 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   2 +-
 drivers/gpu/drm/i915/display/intel_tv.h            |   6 +
 drivers/gpu/drm/i915/display/intel_vblank.c        |  14 +
 drivers/gpu/drm/i915/display/intel_vblank.h        |   1 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          | 630 ++++++-------
 drivers/gpu/drm/i915/display/intel_vdsc_regs.h     | 397 +++------
 drivers/gpu/drm/i915/display/intel_vga.c           |  18 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |  18 +-
 drivers/gpu/drm/i915/display/intel_vrr.h           |   1 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  16 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |  40 +-
 drivers/gpu/drm/i915/display/skl_watermark.h       |   6 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             | 130 +++
 drivers/gpu/drm/i915/display/vlv_dsi.h             |  13 +
 drivers/gpu/drm/i915/display/vlv_dsi_pll.h         |   9 +
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |  89 --
 .../gpu/drm/i915/gem/i915_gem_object_frontbuffer.h | 103 +++
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |   1 +
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  39 +-
 drivers/gpu/drm/i915/gt/gen8_ppgtt.c               |  36 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |  10 +-
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   4 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |   4 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   9 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |  17 +
 drivers/gpu/drm/i915/gvt/interrupt.h               |  31 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   8 +
 drivers/gpu/drm/i915/gvt/mmio_context.h            |  10 -
 drivers/gpu/drm/i915/i915_driver.c                 |  11 +-
 drivers/gpu/drm/i915/i915_driver.h                 |   4 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  38 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   2 +-
 drivers/gpu/drm/i915/i915_getparam.c               |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   4 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   2 +
 drivers/gpu/drm/i915/i915_params.c                 |   5 -
 drivers/gpu/drm/i915/i915_params.h                 |   1 -
 drivers/gpu/drm/i915/i915_pci.c                    |   1 -
 drivers/gpu/drm/i915/i915_pmu.c                    |   9 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 290 +-----
 drivers/gpu/drm/i915/i915_vma.c                    |   1 +
 drivers/gpu/drm/i915/i915_vma_resource.c           |   2 +-
 drivers/gpu/drm/i915/intel_clock_gating.c          |  52 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  36 -
 drivers/gpu/drm/i915/intel_device_info.h           |   1 -
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   4 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   1 -
 drivers/gpu/drm/i915/intel_runtime_pm.h            |   4 +-
 drivers/gpu/drm/i915/intel_step.c                  |   6 +
 drivers/gpu/drm/i915/intel_step.h                  |   1 +
 drivers/gpu/drm/i915/pxp/intel_pxp.c               |  40 +-
 drivers/gpu/drm/i915/pxp/intel_pxp.h               |   2 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_gsccs.c         |   7 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.c            |  18 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.h            |   5 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |   7 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_types.h         |   9 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   3 +-
 drivers/gpu/drm/i915/soc/intel_gmch.c              |  14 +
 drivers/gpu/drm/i915/soc/intel_gmch.h              |   2 +
 drivers/gpu/drm/i915/soc/intel_pch.c               |  12 +-
 drivers/gpu/drm/i915/soc/intel_pch.h               |   2 +
 drivers/media/cec/core/cec-adap.c                  |   5 +
 drivers/media/cec/core/cec-notifier.c              |   5 +
 include/drm/display/drm_dp_helper.h                |   6 +
 include/drm/drm_connector.h                        |   8 +
 include/drm/drm_edid.h                             |   1 +
 include/drm/i915_pciids.h                          |   8 +-
 include/uapi/drm/i915_drm.h                        |   8 +-
 191 files changed, 6812 insertions(+), 3874 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_color_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_wa.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_wa.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_gsc_message.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_gsc_message.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_link_bw.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_link_bw.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object_frontbuffer.h

-- 
Jani Nikula, Intel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-09-29 10:49 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2023-09-29 10:49 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

drm-intel-next-2023-09-29:
drm/i915 feature pull for v6.7:

Features and functionality:
- Early Xe2 LPD / Lunarlake (LNL) display enabling (Lucas, Matt, Gustavo,
  Stanislav, Luca, Clint, Juha-Pekka, Balasubramani, Ravi)
- Plenty of various DSC improvements and fixes (Ankit)
- Add DSC PPS state readout and verification (Suraj)
- Improve fastsets for VRR, LRR and M/N updates (Ville)
- Use connector->ddc to create (non-DP MST) connector sysfs ddc symlinks (Ville)
- Various DSB improvements, load LUTs using DSB (Ville)
- Improve shared link bandwidth management, starting with FDI (Imre)
- Optimize get param ioctl for PXP status (Alan)
- Remove DG2 pre-production hardware workarounds (Matt)
- Add more RPL P/U PCI IDs (Dnyaneshwar)
- Add new DG2-G12 stepping (Swati)
- Add PSR sink error status to debugfs (Jouni)
- Add DP enhanced framing to crtc state checker (Ville)

Refactoring and cleanups:
- Simplify TileY/Tile4 tiling selftest enumeration (Matt)
- Remove some unused power domain code (Gustavo)
- Check stepping of display IP version rather than MTL platform (Matt)
- DP audio compute config cleanups (Vinod)
- SDVO cleanups and refactoring, more robust failure handling (Ville)
- Color register definition and readout cleanups (Jani)
- Reduce header interdependencies for frontbuffer tracking (Jani)
- Continue replacing struct edid with struct drm_edid (Jani)
- Use source physical address instead of EDID for CEC (Jani)
- Clean up Type-C port lane count functions (Luca)
- Clean up DSC PPS register definitions and readout (Jani)
- Stop using GEM_BUG_ON()/GEM_WARN_ON() in display code (Jani)
- Move more of the display probe to display code (Jani)
- Remove redundant runtime suspended state flag (Jouni)
- Move display info printing to display code (Balasubramani)
- Frontbuffer tracking improvements (Jouni)
- Add trailing newlines to debug logging (Jim Cromie)
- Separate display workarounds from clock gating init (Matt)
- Reduce dmesg log spamming for combo PHY, PLL state, FEC, DP MST (Ville, Imre)

Fixes:
- Fix hotplug poll detect loops via suspend/resume (Imre)
- Fix hotplug detect for forced connectors (Imre)
- Fix DSC first_line_bpg_offset calculation (Suraj)
- Fix debug prints for SDP CRC16 (Arun)
- Fix PXP runtime resume (Alan)
- Fix cx0 PHY lane handling (Gustavo)
- Fix frontbuffer tracking locking in debugfs (Juha-Pekka)
- Fix SDVO detect on some models (Ville)
- Fix SDP split configuration for DP MST (Vinod)
- Fix AUX usage and reads for HDCP on DP MST (Suraj)
- Fix PSR workaround (Jouni)
- Fix redundant AUX power get/put in DP force (Imre)
- Fix ICL DSI TCLK POST by letting hardware handle it (William)
- Fix IRQ reset for XE LP+ (Gustavo)
- Fix h/vsync_end instead of h/vtotal in VBT (Ville)
- Fix C20 PHY msgbus timeout issues (Gustavo)
- Fix pre-TGL FEC pipe A vs. DDI A mixup (Ville)
- Fix FEC state readout for DP MST (Ville)

DRM subsystem core changes:
- Assume sink supports 8 bpc when DSC is supported (Ankit)
- Add drm_edid_is_digital() helper (Jani)
- Parse source physical address from EDID (Jani)
- Add function to attach CEC without EDID (Jani)
- Reorder connector sysfs/debugfs remove (Ville)
- Register connector sysfs ddc symlink later (Ville)

Media subsystem changes:
- Add comments about CEC source physical address usage (Jani)

Merges:
- Backmerge drm-next to get v6.6-rc1 (Jani)

BR,
Jani.

The following changes since commit 0bb80ecc33a8fb5a682236443c1e740d5c917d1d:

  Linux 6.6-rc1 (2023-09-10 16:28:41 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-09-29

for you to fetch changes up to 3570bd989acc66add5726785058cceffa06b1f54:

  drm/i915: Update DRIVER_DATE to 20230929 (2023-09-29 12:43:23 +0300)

----------------------------------------------------------------
drm/i915 feature pull for v6.7:

Features and functionality:
- Early Xe2 LPD / Lunarlake (LNL) display enabling (Lucas, Matt, Gustavo,
  Stanislav, Luca, Clint, Juha-Pekka, Balasubramani, Ravi)
- Plenty of various DSC improvements and fixes (Ankit)
- Add DSC PPS state readout and verification (Suraj)
- Improve fastsets for VRR, LRR and M/N updates (Ville)
- Use connector->ddc to create (non-DP MST) connector sysfs ddc symlinks (Ville)
- Various DSB improvements, load LUTs using DSB (Ville)
- Improve shared link bandwidth management, starting with FDI (Imre)
- Optimize get param ioctl for PXP status (Alan)
- Remove DG2 pre-production hardware workarounds (Matt)
- Add more RPL P/U PCI IDs (Dnyaneshwar)
- Add new DG2-G12 stepping (Swati)
- Add PSR sink error status to debugfs (Jouni)
- Add DP enhanced framing to crtc state checker (Ville)

Refactoring and cleanups:
- Simplify TileY/Tile4 tiling selftest enumeration (Matt)
- Remove some unused power domain code (Gustavo)
- Check stepping of display IP version rather than MTL platform (Matt)
- DP audio compute config cleanups (Vinod)
- SDVO cleanups and refactoring, more robust failure handling (Ville)
- Color register definition and readout cleanups (Jani)
- Reduce header interdependencies for frontbuffer tracking (Jani)
- Continue replacing struct edid with struct drm_edid (Jani)
- Use source physical address instead of EDID for CEC (Jani)
- Clean up Type-C port lane count functions (Luca)
- Clean up DSC PPS register definitions and readout (Jani)
- Stop using GEM_BUG_ON()/GEM_WARN_ON() in display code (Jani)
- Move more of the display probe to display code (Jani)
- Remove redundant runtime suspended state flag (Jouni)
- Move display info printing to display code (Balasubramani)
- Frontbuffer tracking improvements (Jouni)
- Add trailing newlines to debug logging (Jim Cromie)
- Separate display workarounds from clock gating init (Matt)
- Reduce dmesg log spamming for combo PHY, PLL state, FEC, DP MST (Ville, Imre)

Fixes:
- Fix hotplug poll detect loops via suspend/resume (Imre)
- Fix hotplug detect for forced connectors (Imre)
- Fix DSC first_line_bpg_offset calculation (Suraj)
- Fix debug prints for SDP CRC16 (Arun)
- Fix PXP runtime resume (Alan)
- Fix cx0 PHY lane handling (Gustavo)
- Fix frontbuffer tracking locking in debugfs (Juha-Pekka)
- Fix SDVO detect on some models (Ville)
- Fix SDP split configuration for DP MST (Vinod)
- Fix AUX usage and reads for HDCP on DP MST (Suraj)
- Fix PSR workaround (Jouni)
- Fix redundant AUX power get/put in DP force (Imre)
- Fix ICL DSI TCLK POST by letting hardware handle it (William)
- Fix IRQ reset for XE LP+ (Gustavo)
- Fix h/vsync_end instead of h/vtotal in VBT (Ville)
- Fix C20 PHY msgbus timeout issues (Gustavo)
- Fix pre-TGL FEC pipe A vs. DDI A mixup (Ville)
- Fix FEC state readout for DP MST (Ville)

DRM subsystem core changes:
- Assume sink supports 8 bpc when DSC is supported (Ankit)
- Add drm_edid_is_digital() helper (Jani)
- Parse source physical address from EDID (Jani)
- Add function to attach CEC without EDID (Jani)
- Reorder connector sysfs/debugfs remove (Ville)
- Register connector sysfs ddc symlink later (Ville)

Media subsystem changes:
- Add comments about CEC source physical address usage (Jani)

Merges:
- Backmerge drm-next to get v6.6-rc1 (Jani)

----------------------------------------------------------------
Alan Previn (2):
      drm/i915/pxp/mtl: intel_pxp_init_hw needs runtime-pm inside pm-complete
      drm/i915/pxp: Optimize GET_PARAM:PXP_STATUS

Ankit Nautiyal (20):
      drm/i915/dp: Consider output_format while computing dsc bpp
      drm/i915/dp: Move compressed bpp check with 420 format inside the helper
      drm/i915/dp_mst: Use output_format to get the final link bpp
      drm/i915/dp: Use consistent name for link bpp and compressed bpp
      drm/i915/dp: Update Bigjoiner interface bits for computing compressed bpp
      drm/i915/intel_cdclk: Add vdsc with bigjoiner constraints on min_cdlck
      drm/i915/dp: Remove extra logs for printing DSC info
      drm/i915/dp: Avoid forcing DSC BPC for MST case
      drm/i915/dp: Add functions to get min/max src input bpc with DSC
      drm/i915/dp: Check min bpc DSC limits for dsc_force_bpc also
      drm/i915/dp: Avoid left shift of DSC output bpp by 4
      drm/i915/dp: Rename helper to get DSC max pipe_bpp
      drm/i915/dp: Separate out functions for edp/DP for computing DSC bpp
      drm/i915/dp: Add DSC BPC/BPP constraints while selecting pipe bpp with DSC
      drm/i915/dp: Separate out function to get compressed bpp with joiner
      drm/i915/dp: Get optimal link config to have best compressed bpp
      drm/i915/dp: Check src/sink compressed bpp limit for edp
      drm/i915/dp: Check if force_dsc_output_format is possible
      drm/display/dp: Assume 8 bpc support when DSC is supported
      drivers/drm/i915: Honor limits->max_bpp while computing DSC max input bpp

Anshuman Gupta (1):
      drm/i915/dgfx: Enable d3cold at s2idle

Arun R Murthy (1):
      drm/i915/dp: Fix LT debug print in SDP CRC enable

Balasubramani Vivekanandan (2):
      drm/i915/lnl: Add display definitions
      drm/i915/display: Print display info inside driver display initialization

Clint Taylor (2):
      drm/i915/display: Remove FBC capability from fused off pipes
      drm/i915/xe2lpd: Register DE_RRMR has been removed

Dnyaneshwar Bhadane (1):
      drm/i915/rpl: Update pci ids for RPL P/U

Gustavo Sousa (12):
      drm/i915/display: Remove unused POWER_DOMAIN_MASK
      drm/i915/cx0: Add intel_cx0_get_owned_lane_mask()
      drm/i915: Simplify intel_cx0_program_phy_lane() with loop
      drm/i915/cx0: Enable/disable TX only for owned PHY lanes
      drm/i915/cx0: Program vswing only for owned lanes
      drm/i915/display: Remove unused POWER_DOMAIN_MODESET
      drm/i915/cx0: Check and increase msgbus timeout threshold
      drm/i915/cx0: Add step for programming msgbus timer
      drm/i915/xe2lpd: Add fake PCH
      drm/i915/xe2lpd: Handle port AUX interrupts
      drm/i915/xe2lpd: Add support for HPD
      drm/i915/irq: Clear GFX_MSTR_IRQ as part of IRQ reset

Imre Deak (19):
      drm/i915: Avoid endless HPD poll detect loop via runtime suspend/resume
      drm/i915: Don't change the status of forced connectors during hotplug detect
      drm/i915: Don't change the status of forced connectors during HPD poll detect
      drm: Add an HPD poll helper to reschedule the poll work
      drm/i915: Fix HPD polling, reenabling the output poll work as needed
      drm/i915/dp: Drop redundant AUX power get/put in intel_dp_force()
      drm/i915/mtl: Add TC port lockdep assert to AUX power well enabling
      drm/i915/dp_mst: Tune down error message during payload addition
      drm/i915/dp: Factor out helpers to compute the link limits
      drm/i915/dp: Track the pipe and link bpp limits separately
      drm/i915/dp: Skip computing a non-DSC link config if DSC is needed
      drm/i915/dp: Update the link bpp limits for DSC mode
      drm/i915/dp: Limit the output link bpp in DSC mode
      drm/i915: Add helper to modeset a set of pipes
      drm/i915: Rename intel_modeset_all_pipes() to intel_modeset_all_pipes_late()
      drm/i915: Factor out a helper to check/compute all the CRTC states
      drm/i915: Add helpers for BW management on shared display links
      drm/i915/fdi: Improve FDI BW sharing between pipe B and C
      drm/i915/fdi: Recompute state for affected CRTCs on FDI links

Jani Nikula (34):
      drm/i915/vma: constify unbind_fence_ops
      drm/i915/regs: split out intel_color_regs.h
      drm/i915/color: move CHV CGM pipe mode read to intel_color
      drm/i915: move HSW+ gamma mode read to intel_color
      drm/i915: move ILK+ CSC mode read to intel_color
      drm/i915/color: move SKL+ gamma and CSC enable read to intel_color
      drm/i915/color: move pre-SKL gamma and CSC enable read to intel_color
      drm/i915: add minimal i915_gem_object_frontbuffer.h
      drm/edid: add drm_edid_is_digital()
      drm/i915/display: use drm_edid_is_digital()
      drm/edid: parse source physical address
      drm/cec: add drm_dp_cec_attach() as the non-edid version of set edid
      drm/i915/cec: switch to setting physical address directly
      media: cec: core: add note about *_from_edid() function usage in drm
      drm/i915/dsc: improve clarity of the pps reg read/write helpers
      drm/i915/dsc: have intel_dsc_pps_read_and_verify() return the value
      drm/i915/dsc: have intel_dsc_pps_read() return the value
      drm/i915/dsc: rename pps write to intel_dsc_pps_write()
      drm/i915/dsc: drop redundant = 0 assignments
      drm/i915/dsc: clean up pps comments
      drm/i915/dsc: add the PPS number to the register content macros
      drm/i915/dsc: use REG_BIT, REG_GENMASK, and friends for PPS0 and PPS1
      drm/i915/gt: rename DBG() to GTT_TRACE()
      Merge drm/drm-next into drm-intel-next
      drm/i915/fbc: replace GEM_BUG_ON() to drm_WARN_ON()
      drm/i915/fb: replace GEM_WARN_ON() with drm_WARN_ON()
      drm/i915/dpt: replace GEM_BUG_ON() with drm_WARN_ON()
      drm/i915: move more of the display probe to display code
      drm/i915/display: call gmdid display probe at a higher level
      drm/i915: move intel_display_device_probe() one level higher
      drm/i915: add a note about fec_enable with 128b/132b
      drm/i915/dp: refactor aux_ch_name()
      drm/i915/cx0: prefer forward declarations over includes
      drm/i915: Update DRIVER_DATE to 20230929

Jim Cromie (1):
      drm/i915: add trailing newlines to msgs

Jouni Högander (8):
      drm/i915/psr: Apply Wa_14015648006 for all display 14 steppings
      drm/i915/fbc: Clear frontbuffer busy bits on flip
      drm/i915/psr: Clear frontbuffer busy bits on flip
      drm/i915: Add new frontbuffer tracking interface to queue flush
      drm/i915: Handle dma fences in dirtyfb callback
      drm/i915/psr: Add psr sink error status into sink status debugfs
      drm/i915: Remove runtime suspended boolean from intel_runtime_pm struct
      drm/i915: Warn on if set frontbuffer return value is not NULL on release

Juha-Pekka Heikkila (1):
      drm/i915/display: add lock while printing frontbuffer tracking bits to debugfs

Juha-Pekka Heikkilä (1):
      drm/i915/xe2lpd: Enable odd size and panning for planar yuv

Luca Coelho (5):
      drm/i915/tc: rename mtl_tc_port_get_pin_assignment_mask()
      drm/i915/tc: make intel_tc_port_get_lane_mask() static
      drm/i915/tc: move legacy code out of the main _max_lane_count() func
      drm/i915/tc: remove "fia" from intel_tc_port_fia_max_lane_count()
      drm/i915/xe2lpd: Read pin assignment from IOM

Lucas De Marchi (7):
      drm/i915/xelpdp: Add XE_LPDP_FEATURES
      drm/i915: Re-order if/else ladder in intel_detect_pch()
      drm/i915/display: Fix style and conventions for DP AUX regs
      drm/i915/display: Use _PICK_EVEN_2RANGES() in DP AUX regs
      drm/i915/xe2lpd: Re-order DP AUX regs
      drm/i915/xe2lpd: Extend Wa_15010685871
      drm/i915/lnl: Add gmbus/ddc support

Matt Roper (13):
      drm/i915/dg2: Recognize pre-production hardware
      drm/i915/dg2: Drop pre-production display workarounds
      drm/i915/selftest: Simplify Y-major tiling in blit selftest
      drm/i915: Eliminate has_4tile feature flag
      drm/i915: Eliminate IS_MTL_DISPLAY_STEP
      drm/i915/display: Eliminate IS_METEORLAKE checks
      drm/i915: Stop forcing clock gating init for future platforms
      drm/i915/adlp: Stop calling gen12lp_init_clock_gating()
      drm/i915/display: Extract display workarounds from clock gating init
      drm/i915/display: Apply workarounds during display init
      drm/i915/xe2lpd: FBC is now supported on all pipes
      drm/i915/xe2lpd: Don't try to program PLANE_AUX_DIST
      drm/i915/xe2lpd: Add DC state support

Ravi Kumar Vodapalli (1):
      drm/i915/xe2lpd: Add display power well

Stanislav Lisovskiy (3):
      drm/i915/xe2lpd: Treat cursor plane as regular plane for DDB allocation
      drm/i915/lnl: Add CDCLK table
      drm/i915/lnl: Start using CDCLK through PLL

Suraj Kandpal (16):
      drm/i915/vdsc: Fix first_line_bpg_offset calculation
      drm/i915/hdcp: Use intel_connector argument in intel_hdcp_shim
      drm/i915/hdcp: Propagate aux info in DP HDCP functions
      drm/i915/hdcp: Send the correct aux for DPMST HDCP scenario
      drm/i915/hdcp: Adjust timeout for read in DPMST Scenario
      drm/i915/hdcp: Use intel_connector as argument for hdcp_2_2_capable
      drm/i915/hdcp: Use correct aux for capability check scenario
      drm/i915/vdsc: Refactor dsc register field macro
      drm/i915/vdsc: Add a check for dsc split cases
      drm/i915/vdsc: Add func to get no. of vdsc instances per pipe
      drm/i915/vdsc: Add function to read any PPS register
      drm/i915/vdsc: Add function to write in PPS register
      drm/i915/vdsc: Remove unused dsc registers
      drm/i915/vdsc: Fill the intel_dsc_get_pps_config function
      drm/i915/display: Compare the readout dsc pps params
      drm/i915/dsc: Fix pic_width readout

Swati Sharma (1):
      drm/i915/dg2: Add support for new DG2-G12 revid 0x1

Tvrtko Ursulin (1):
      drm/i915: Zap some empty lines

Ville Syrjälä (64):
      drm/i915/sdvo: Issue SetTargetOutput prior to GetAttachedDisplays
      drm/i915/sdvo: Protect macro args
      drm/i915/sdvo: s/sdvo_inputs_mask/sdvo_num_inputs/
      drm/i915: Don't warn about zero N/P in *_calc_dpll_params()
      drm/i915: Fully populate crtc_state->dpll
      drm/i915/sdvo: Pick the TV dotclock from adjusted_mode
      drm/i915/sdvo: Fail gracefully if the TV dotclock is out of range
      drm/i915/sdvo: Nuke attached_output tracking
      drm/i915/sdvo: Initialize the encoder earlier
      drm/i915/sdvo: Nuke the duplicate sdvo->port
      drm/i915/sdvo: Get rid of the per-connector i2c symlink
      drm/i915/sdvo: Rework DDC bus handling
      drm/i915/sdvo: Print out the i2c pin and slave address
      drm/i915: Constify LUT entries in checker
      drm/i915/dsb: Dump the DSB command buffer when DSB fails
      drm/i915/dsb: Avoid corrupting the first register write
      drm/i915/dsb: Don't use indexed writes when byte enables are not all set
      drm/i915: Only check eDP HPD when AUX CH is shared
      drm/i915/mst: Read out FEC state
      drm/i915: Fix FEC pipe A vs. DDI A mixup
      drm/i915: Fix FEC state dump
      drm/i915: Split some long lines in hsw_fdi_link_train()
      drm/i915: Stop spamming the logs with PLL state
      drm/i915: Reduce combo PHY log spam
      drm/i915: Introduce crtc_state->enhanced_framing
      drm: Reorder drm_sysfs_connector_remove() vs. drm_debugfs_connector_remove()
      drm/sysfs: Register "ddc" symlink later
      drm/i915: Call the DDC bus i2c adapter "ddc"
      drm/i915/lvds: Populate connector->ddc
      drm/i915/crt: Populate connector->ddc
      drm/i915/dvo: Populate connector->ddc
      drm/i915/dp: Populate connector->ddc
      drm/i915/mst: Populate connector->ddc
      drm/i915/hdmi: Use connector->ddc everwhere
      drm/i915/hdmi: Nuke hdmi->ddc_bus
      drm/i915/hdmi: Remove old i2c symlink
      drm/i915/sdvo: Constify mapping structs
      drm/i915: Move psr unlock out from the pipe update critical section
      drm/i915: Change intel_pipe_update_{start,end}() calling convention
      drm/i915: Extract intel_crtc_vblank_evade_scanlines()
      drm/i915: Enable VRR later during fastsets
      drm/i915: Adjust seamless_m_n flag behaviour
      drm/i915: Optimize out redundant M/N updates
      drm/i915: Relocate is_in_vrr_range()
      drm/i915: Validate that the timings are within the VRR range
      drm/i915: Disable VRR during seamless M/N changes
      drm/i915: Update VRR parameters in fastset
      drm/i915: Assert that VRR is off during vblank evasion if necessary
      drm/i915: Implement transcoder LRR for TGL+
      Revert "drm/i915/mst: Populate connector->ddc"
      drm/i915/bios: Fixup h/vsync_end instead of h/vtotal
      drm/i915/dsb: Use non-locked register access
      drm/i915/dsb: Define more DSB bits
      drm/i915/dsb: Define the contents of some intstructions bit better
      drm/i915/dsb: Introduce intel_dsb_noop()
      drm/i915/dsb: Introduce intel_dsb_reg_write_masked()
      drm/i915/dsb: Add support for non-posted DSB registers writes
      drm/i915/dsb: Don't use DSB to load the LUTs during full modeset
      drm/i915/dsb: Load LUTs using the DSB during vblank
      drm/i915/dsb: Use non-posted register writes for legacy LUT
      drm/i915/dsb: Evade transcoder undelayed vblank when using DSB
      drm/i915: Introduce skl_watermark_max_latency()
      drm/i915: Introduce intel_crtc_scanline_to_hw()
      drm/i915/dsb: Use DEwake to combat PkgC latency

Vinod Govindapillai (4):
      drm/i915/display: remove redundant parameter from sdp split update
      drm/i915/display: combine DP audio compute config steps
      drm/i915/display: update intel_dp_has_audio to support MST
      drm/i915/display: configure SDP split for DP-MST

William Tseng (1):
      drm/i915/dsi: let HW maintain CLK_POST

 drivers/gpu/drm/display/drm_dp_cec.c               |  23 +-
 drivers/gpu/drm/display/drm_dp_helper.c            |   8 +-
 drivers/gpu/drm/drm_connector.c                    |  11 +-
 drivers/gpu/drm/drm_edid.c                         |  22 +-
 drivers/gpu/drm/drm_internal.h                     |   2 +
 drivers/gpu/drm/drm_sysfs.c                        |  22 +-
 drivers/gpu/drm/i915/Makefile                      |   2 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |  10 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   6 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |   1 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |   1 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |  13 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   2 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  14 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   6 +-
 drivers/gpu/drm/i915/display/intel_audio.h         |   3 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  47 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |   1 +
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 107 ++-
 drivers/gpu/drm/i915/display/intel_color.c         | 154 +++-
 drivers/gpu/drm/i915/display/intel_color.h         |   2 +
 drivers/gpu/drm/i915/display/intel_color_regs.h    | 286 +++++++
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  17 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |   6 +-
 drivers/gpu/drm/i915/display/intel_connector.h     |   2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |  64 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          | 118 ++-
 drivers/gpu/drm/i915/display/intel_crtc.h          |   6 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |   3 +
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 205 ++---
 drivers/gpu/drm/i915/display/intel_cx0_phy.h       |  14 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy_regs.h  |  13 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |  38 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 476 +++++++----
 drivers/gpu/drm/i915/display/intel_display.h       |   8 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   4 +
 .../gpu/drm/i915/display/intel_display_device.c    |  98 ++-
 .../gpu/drm/i915/display/intel_display_device.h    |  31 +-
 .../gpu/drm/i915/display/intel_display_driver.c    |   8 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   |   4 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  10 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |   1 -
 .../gpu/drm/i915/display/intel_display_power_map.c |  63 +-
 .../drm/i915/display/intel_display_power_well.c    |  52 +-
 .../drm/i915/display/intel_display_power_well.h    |   1 +
 drivers/gpu/drm/i915/display/intel_display_types.h |  49 +-
 drivers/gpu/drm/i915/display/intel_display_wa.c    |  48 ++
 drivers/gpu/drm/i915/display/intel_display_wa.h    |  13 +
 drivers/gpu/drm/i915/display/intel_dmc.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 884 ++++++++++++++++-----
 drivers/gpu/drm/i915/display/intel_dp.h            |  34 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  49 +-
 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |  80 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  87 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  14 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 156 ++--
 drivers/gpu/drm/i915/display/intel_dpll.c          |  54 +-
 drivers/gpu/drm/i915/display/intel_dpt.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          |   1 +
 drivers/gpu/drm/i915/display/intel_dsb.c           | 217 ++++-
 drivers/gpu/drm/i915/display/intel_dsb.h           |   9 +-
 drivers/gpu/drm/i915/display/intel_dsb_regs.h      |  31 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |  11 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |  61 +-
 drivers/gpu/drm/i915/display/intel_fb_pin.c        |   3 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  23 +-
 drivers/gpu/drm/i915/display/intel_fbc.h           |   2 +
 drivers/gpu/drm/i915/display/intel_fdi.c           | 169 +++-
 drivers/gpu/drm/i915/display/intel_fdi.h           |   8 +
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |  34 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   4 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   5 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  33 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          | 121 +--
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  85 +-
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |  24 +-
 drivers/gpu/drm/i915/display/intel_link_bw.c       | 212 +++++
 drivers/gpu/drm/i915/display/intel_link_bw.h       |  37 +
 drivers/gpu/drm/i915/display/intel_lspcon.c        |  14 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  33 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   2 +
 drivers/gpu/drm/i915/display/intel_panel.c         |  17 +-
 drivers/gpu/drm/i915/display/intel_plane_initial.c |   1 +
 drivers/gpu/drm/i915/display/intel_pmdemand.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  52 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          | 381 ++++-----
 drivers/gpu/drm/i915/display/intel_sdvo_regs.h     |   2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   1 +
 drivers/gpu/drm/i915/display/intel_tc.c            |  66 +-
 drivers/gpu/drm/i915/display/intel_tc.h            |   3 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        |  14 +
 drivers/gpu/drm/i915/display/intel_vblank.h        |   1 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          | 630 +++++++--------
 drivers/gpu/drm/i915/display/intel_vdsc_regs.h     | 397 +++------
 drivers/gpu/drm/i915/display/intel_vrr.c           |  18 +-
 drivers/gpu/drm/i915/display/intel_vrr.h           |   1 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   7 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |  32 +-
 drivers/gpu/drm/i915/display/skl_watermark.h       |   2 +
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |  89 ---
 .../gpu/drm/i915/gem/i915_gem_object_frontbuffer.h | 103 +++
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |   1 +
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  39 +-
 drivers/gpu/drm/i915/gt/gen8_ppgtt.c               |  36 +-
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   4 +-
 drivers/gpu/drm/i915/i915_driver.c                 |  10 +-
 drivers/gpu/drm/i915/i915_driver.h                 |   4 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  18 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   2 +-
 drivers/gpu/drm/i915/i915_getparam.c               |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   4 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   2 +
 drivers/gpu/drm/i915/i915_pci.c                    |   1 -
 drivers/gpu/drm/i915/i915_reg.h                    | 281 +------
 drivers/gpu/drm/i915/i915_vma.c                    |   1 +
 drivers/gpu/drm/i915/i915_vma_resource.c           |   2 +-
 drivers/gpu/drm/i915/intel_clock_gating.c          |  52 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  14 -
 drivers/gpu/drm/i915/intel_device_info.h           |   1 -
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   1 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   1 -
 drivers/gpu/drm/i915/intel_runtime_pm.h            |   4 +-
 drivers/gpu/drm/i915/intel_step.c                  |   1 +
 drivers/gpu/drm/i915/pxp/intel_pxp.c               |  40 +-
 drivers/gpu/drm/i915/pxp/intel_pxp.h               |   2 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_gsccs.c         |   7 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.c            |  18 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.h            |   5 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |   7 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_types.h         |   9 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   2 +
 drivers/gpu/drm/i915/soc/intel_pch.c               |  12 +-
 drivers/gpu/drm/i915/soc/intel_pch.h               |   2 +
 drivers/media/cec/core/cec-adap.c                  |   5 +
 drivers/media/cec/core/cec-notifier.c              |   5 +
 include/drm/display/drm_dp_helper.h                |   6 +
 include/drm/drm_connector.h                        |   8 +
 include/drm/drm_edid.h                             |   1 +
 include/drm/i915_pciids.h                          |   8 +-
 143 files changed, 4578 insertions(+), 2465 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_color_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_wa.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_wa.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_link_bw.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_link_bw.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object_frontbuffer.h

-- 
Jani Nikula, Intel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-08-10 19:53 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2023-08-10 19:53 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

This is likely our latest PR from drm-intel-next towards 6.6

drm-intel-next-2023-08-10:

- Display SDVO fixes (Juha-Pekka, Jani)
- Taking Stolen handling out of FBC code (Jouni)
- Replace acronym with full platform name in defines (Dnyaneshwar, A\
nusha)
- Display IRQ cleanups (Jani)
- Initialize display version numbers (Luca)

Thanks,
Rodrigo.

The following changes since commit d9aa1da9a8cfb0387eb5703c15bd1f54421460ac:

  Merge tag 'drm-intel-gt-next-2023-08-04' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2023-08-07 13:49:25 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-08-10

for you to fetch changes up to 129ebb54f58562c17d30adf71d577aa9286e6ae4:

  drm/i915/irq: move all PCH irq postinstall calls to display code (2023-08-10 15:14:58 +0300)

----------------------------------------------------------------
- Display SDVO fixes (Juha-Pekka, Jani)
- Taking Stolen handling out of FBC code (Jouni)
- Replace acronym with full platform name in defines (Dnyaneshwar, A\
nusha)
- Display IRQ cleanups (Jani)
- Initialize display version numbers (Luca)

----------------------------------------------------------------
Anusha Srivatsa (1):
      drm/i915/adln: s/ADLP/ALDERLAKE_P in ADLN defines

Dnyaneshwar Bhadane (13):
      drm/i915/hsw: s/HSW/HASWELL for platform/subplatform defines
      drm/i915/bdw: s/BDW/BROADWELL for platform/subplatform defines
      drm/i915/skl: s/SKL/SKYLAKE for platform/subplatform defines
      drm/i915/kbl: s/KBL/KABYLAKE for platform/subplatform defines
      drm/i915/cfl: s/CFL/COFFEELAKE for platform/subplatform defines
      drm/i915/cml: s/CML/COMETLAKE for platform/subplatform defines
      drm/i915/rkl: s/RKL/ROCKETLAKE for platform/subplatform defines
      drm/i915/jsl: s/JSL/JASPERLAKE for platform/subplatform defines
      drm/i915/tgl: s/TGL/TIGERLAKE for platform/subplatform defines
      drm/i915/adlp: s/ADLP/ALDERLAKE_P for display and graphics step
      drm/i915/rplp: s/ADLP_RPLP/RAPTORLAKE_P for RPLP defines
      drm/i915/rplu: s/ADLP_RPLU/RAPTORLAKE_U in RPLU defines
      drm/i915/adls: s/ADLS_RPLS/RAPTORLAKE_S in platform and subplatform defines

Jani Nikula (7):
      drm/i915/sdvo: fix panel_type initialization
      drm/i915: fix display probe for IVB Q and IVB D GT2 server
      drm/i915: debug log when GMD ID indicates there's no display
      drm/i915/irq: add dedicated intel_display_irq_init()
      drm/i915/irq: add dg1_de_irq_postinstall()
      drm/i915/irq: add ilk_de_irq_postinstall()
      drm/i915/irq: move all PCH irq postinstall calls to display code

Jouni Högander (3):
      drm/i915: Move stolen memory handling into i915_gem_stolen
      drm/i915/fbc: Make FBC check stolen at use time
      drm/i915/fbc: Moved fence related code away from intel_fbc

Juha-Pekka Heikkila (1):
      drm/i915/sdvo: ignore returned broken edid on intel_sdvo_tmds_sink_detect

Luca Coelho (1):
      drm/i915/display: pre-initialize some values in probe_gmdid_display()

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

 drivers/gpu/drm/i915/display/icl_dsi.c             |  5 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 14 ++--
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  7 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  7 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c | 10 +--
 drivers/gpu/drm/i915/display/intel_display.c       |  7 +-
 .../gpu/drm/i915/display/intel_display_device.c    | 40 +++++++--
 .../gpu/drm/i915/display/intel_display_device.h    |  2 +-
 .../gpu/drm/i915/display/intel_display_driver.c    |  2 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   | 84 ++++++++++++++++++-
 drivers/gpu/drm/i915/display/intel_display_irq.h   |  7 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  2 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  4 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 29 ++++---
 drivers/gpu/drm/i915/display/intel_fbc.c           | 64 ++++++++-------
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  3 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |  2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           | 10 +--
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  4 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  6 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |  2 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         | 36 +++++++++
 drivers/gpu/drm/i915/gem/i915_gem_stolen.h         | 13 +++
 drivers/gpu/drm/i915/gt/gen8_engine_cs.c           |  2 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |  2 +-
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |  2 +
 drivers/gpu/drm/i915/gt/intel_sseu.c               |  2 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        | 13 +--
 drivers/gpu/drm/i915/gt/uc/intel_guc_hwconfig.c    |  2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |  2 +-
 drivers/gpu/drm/i915/i915_driver.c                 |  2 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 94 ++++++++--------------
 drivers/gpu/drm/i915/i915_irq.c                    | 74 +----------------
 drivers/gpu/drm/i915/i915_vma.h                    |  5 ++
 drivers/gpu/drm/i915/intel_clock_gating.c          |  4 +-
 drivers/gpu/drm/i915/intel_step.c                  | 10 +--
 drivers/gpu/drm/i915/soc/intel_pch.c               | 18 +++--
 38 files changed, 339 insertions(+), 255 deletions(-)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-08-03 18:56 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2023-08-03 18:56 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes our first pull request of this round.

drm-intel-next-2023-08-03:

- Removing unused declarations (Arnd, Gustavo)
- ICL+ DSI modeset sequence fixes (Ville)
- Improvements on HDCP (Suraj)
- Fixes and clean up on MTL Display (Mika Kahola, Lee, RK, Nirmoy, Chaitanya)
- Restore HSW/BDW PSR1 (Ville)
- Other PSR Fixes (Jouni)
- Fixes around DC states and other Display Power (Imre)
- Init DDI ports in VBT order (Ville)
- General documentation fixes (Jani)
- General refactor for better organization (Jani)
- Bigjoiner fix (Stanislav)
- VDSC Fixes and improvements (Stanialav, Suraj)
- Hotplug fixes and improvements (Simon, Suraj)
- Start using plane scale factor for relative data rate (Stanislav)
- Use shmem for dpt objects (RK)
- Simplify expression &to_i915(dev)->drm (Uwe)
- Do not access i915_gem_object members from frontbuffer tracking (Jouni)
- Fix uncore race around i915->params.mmio_debug (Jani)

Thanks,
Rodrigo.

The following changes since commit 69f06e4fa098420e94f6970332e84f0ed493271c:

  Merge drm/drm-next into drm-intel-next (2023-06-10 10:04:00 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-08-03

for you to fetch changes up to d823445b09a2f1c43877b87a55fc699c3a836fc2:

  drm/i915/uncore: fix race around i915->params.mmio_debug (2023-08-01 19:39:17 +0300)

----------------------------------------------------------------
- Removing unused declarations (Arnd, Gustavo)
- ICL+ DSI modeset sequence fixes (Ville)
- Improvements on HDCP (Suraj)
- Fixes and clean up on MTL Display (Mika Kahola, Lee, RK, Nirmoy, Chaitanya)
- Restore HSW/BDW PSR1 (Ville)
- Other PSR Fixes (Jouni)
- Fixes around DC states and other Display Power (Imre)
- Init DDI ports in VBT order (Ville)
- General documentation fixes (Jani)
- General refactor for better organization (Jani)
- Bigjoiner fix (Stanislav)
- VDSC Fixes and improvements (Stanialav, Suraj)
- Hotplug fixes and improvements (Simon, Suraj)
- Start using plane scale factor for relative data rate (Stanislav)
- Use shmem for dpt objects (RK)
- Simplify expression &to_i915(dev)->drm (Uwe)
- Do not access i915_gem_object members from frontbuffer tracking (Jouni)
- Fix uncore race around i915->params.mmio_debug (Jani)

----------------------------------------------------------------
Arnd Bergmann (1):
      drm/i915/gmch: avoid unused variable warning

Chaitanya Kumar Borah (2):
      drm/i915/color: Upscale degamma values for MTL
      drm/i915/color: Downscale degamma lut values read from hardware

Gustavo Sousa (1):
      drm/i915: Remove prototype for intel_cx0_phy_ddi_vswing_sequence()

Imre Deak (5):
      drm/i915/adlp+: Allow DC states along with PW2 only for PWB functionality
      drm/i915: Add missing forward declarations/includes to display power headers
      drm/i915: Remove redundant forward declarations from display power headers
      drm/i915: Add way to specify the power-off delay of a display power domain
      drm/i915: Prevent needless toggling of DC states during modesets

Jani Nikula (13):
      drm/doc: fix duplicate declaration warning
      drm/i915: fix Sphinx indentation warning
      drm/i915: use mock device info for creating mock device
      drm/i915: move platform_engine_mask and memory_regions to device info
      drm/i915: separate display info printing from the rest
      drm/i915: fix display info usage
      drm/i915: move display device and runtime info to struct intel_display
      drm/i915: make device info a const pointer to rodata
      drm/i915/uncore: add intel_uncore_regs() helper
      drm/i915/dram: replace __raw_uncore_read32() with intel_uncore_read_fw()
      Revert "drm/i915: use localized __diag_ignore_all() instead of per file"
      drm/i915/uncore: split unclaimed_reg_debug() to header and footer
      drm/i915/uncore: fix race around i915->params.mmio_debug

Jouni Högander (5):
      drm/i915/psr: Use hw.adjusted mode when calculating io/fast wake times
      drm/i915: Add macros to get i915 device from i915_gem_object
      drm/i915: Add getter/setter for i915_gem_object->frontbuffer
      drm/i915/display: Remove i915_gem_object_types.h from intel_frontbuffer.h
      drm/i915: Add function to clear scanout flag for vmas

Lee Shawn C (2):
      drm/i915/mtl: update DP 2.0 vswing table for C20 phy
      drm/i915/mtl: Add new vswing table for C20 phy to support DP 1.4

Mika Kahola (1):
      drm/i915/mtl: Cleanup usage of phy lane reset

Nirmoy Das (1):
      drm/i915/display: Do not use stolen on MTL

Radhakrishna Sripada (3):
      drm/i915/mtl: Fix SSC selection for MPLLA
      drm/i915/mtl: Skip using vbt hdmi_level_shifter selection on MTL
      drm/i915/dpt: Use shmem for dpt objects

Simon Ser (1):
      i915/display/hotplug: use drm_kms_helper_connector_hotplug_event()

Stanislav Lisovskiy (5):
      drm/i915: Don't preserve dpll_hw_state for slave crtc in Bigjoiner
      drm/i915: Fail if DSC compression requirement is less than platform supports
      drm/i915: Add helper function for getting number of VDSC engines
      drm/i915: Don't rely that 2 VDSC engines are always enough for pixel rate
      drm/i915: Start using plane scale factor for relative data rate

Suraj Kandpal (8):
      drm/i915/hdcp: Modify hdcp_gsc_message msg sending mechanism
      drm/i915/hdcp: Assign correct hdcp content type
      drm/i915/hdcp: Add a debug statement at hdcp2 capability check
      drm/i915/dsc: Move rc param calculation for native_420
      drm/i915/drm: Fix comment for YCbCr20 qp table declaration
      drm/i915/dsc: Add rc_range_parameter calculation for YCbCr420
      drm/i915/vdsc: Remove FIXME in intel_dsc_compute_config
      drm/i915/hotplug: Reduce SHPD_FILTER to 250us

Uwe Kleine-König (1):
      drm/i915: Simplify expression &to_i915(dev)->drm

Ville Syrjälä (39):
      drm/i915/dsi: Do panel power on + reset deassert earlier on icl+
      drm/i915/dsi: Do display on sequence later on icl+
      drm/i915/dsi: Print the VBT MIPI sequence delay duration
      drm/i915/dsi: Split icl+ D-PHY vs. DSI timing steps
      drm/i915/dsi: Gate DSI clocks earlier
      drm/i915/dsi: Respect power cycle delay on icl+
      drm/i915/dsi: Implement encoder->shutdown() for icl+
      drm/i915/dsi: Move most things from .disable() into .post_disable() on icl+
      drm/i915/dsi: Do DSC/scaler disable earlier on icl+
      drm/i915/dsi: Respect power_off_delay on icl+
      drm/i915/dsi: Move panel reset+power off to be the last thing
      drm/i915/dsi: Grab the crtc from the customary place
      drm/i915/dsi: Remove weird has_pch_encoder asserts
      drm/i915: Re-init clock gating on coming out of PC8+
      drm/i915/psr: Fix BDW PSR AUX CH data register offsets
      drm/i915/psr: Wrap PSR1 register with functions
      drm/i915/psr: Reintroduce HSW PSR1 registers
      drm/i915/psr: Bring back HSW/BDW PSR AUX CH registers/setup
      drm/i915/psr: HSW/BDW have no PSR2
      drm/i915/psr: Restore PSR interrupt handler for HSW
      drm/i915/psr: Implement WaPsrDPAMaskVBlankInSRD:hsw
      drm/i915/psr: Implement WaPsrDPRSUnmaskVBlankInSRD:hsw
      drm/i915/psr: Do no mask display register writes on hsw/bdw
      drm/i915/psr: Don't skip both TP1 and TP2/3 on hsw/bdw
      drm/i915/psr: Allow PSR with sprite enabled on hsw/bdw
      drm/i915/psr: Re-enable PSR1 on hsw/bdw
      drm/i915: Remove bogus DDI-F from hsw/bdw output init
      drm/i915: Introduce device info port_mask
      drm/i915: Assert that device info bitmasks have enough bits
      drm/i915: Assert that the port being initialized is valid
      drm/i915: Beef up SDVO/HDMI port checks
      drm/i915: Init DDI outputs based on port_mask on skl+
      drm/i915: Convert HSW/BDW to use port_mask for DDI probe
      drm/i915: Initialize dig_port->aux_ch to NONE to be sure
      drm/i915: Only populate aux_ch if really needed
      drm/i915: Remove DDC pin sanitation
      drm/i915: Remove AUX CH sanitation
      drm/i915/bios: Extract intel_bios_encoder_port()
      drm/i915: Try to initialize DDI/ICL+ DSI ports for every VBT child device

 Documentation/gpu/rfc/i915_scheduler.rst           |   4 +
 drivers/gpu/drm/i915/Makefile                      |   5 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |   8 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |  23 ++-
 drivers/gpu/drm/i915/display/icl_dsi.c             | 134 ++++++++-----
 drivers/gpu/drm/i915/display/icl_dsi.h             |   4 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   7 +-
 drivers/gpu/drm/i915/display/intel_bios.c          | 188 +++++-------------
 drivers/gpu/drm/i915/display/intel_bios.h          |   6 +
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  12 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  27 ++-
 drivers/gpu/drm/i915/display/intel_crt.c           |   2 +
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       |  16 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy.h       |   3 -
 drivers/gpu/drm/i915/display/intel_ddi.c           | 106 ++++++++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |   4 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |  28 ++-
 drivers/gpu/drm/i915/display/intel_display.c       | 107 ++---------
 drivers/gpu/drm/i915/display/intel_display.h       |   4 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  11 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   6 +-
 .../gpu/drm/i915/display/intel_display_device.c    | 192 ++++++++++++------
 .../gpu/drm/i915/display/intel_display_device.h    |   6 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   |  18 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  47 +++--
 drivers/gpu/drm/i915/display/intel_display_power.h |  33 +++-
 .../gpu/drm/i915/display/intel_display_power_map.c |  16 +-
 .../drm/i915/display/intel_display_power_well.h    |   3 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  13 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  53 ++++-
 drivers/gpu/drm/i915/display/intel_dp_aux.h        |   3 +
 drivers/gpu/drm/i915/display/intel_dpt.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_dsi.c           |  20 ++
 drivers/gpu/drm/i915/display/intel_dsi.h           |   2 +
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   2 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   2 +
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   9 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |  46 ++---
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |  28 ---
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   7 +-
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c      |  80 ++++----
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.h      |   3 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  72 +++++--
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  14 +-
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   |   4 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   5 +-
 drivers/gpu/drm/i915/display/intel_psr.c           | 200 +++++++++++++++----
 drivers/gpu/drm/i915/display/intel_psr_regs.h      |  18 +-
 drivers/gpu/drm/i915/display/intel_qp_tables.c     |  10 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  20 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          | 214 +++++++++++++--------
 drivers/gpu/drm/i915/display/intel_vdsc.h          |   1 +
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  23 ---
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |  67 +++++++
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   3 +
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |   6 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |   2 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   2 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |   8 +-
 drivers/gpu/drm/i915/gt/intel_sa_media.c           |   2 +-
 drivers/gpu/drm/i915/gt/uc/intel_gsc_uc.c          |   2 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |   4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   1 +
 drivers/gpu/drm/i915/i915_driver.c                 |   2 +
 drivers/gpu/drm/i915/i915_drv.h                    |  11 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   6 +
 drivers/gpu/drm/i915/i915_gpu_error.h              |   3 +
 drivers/gpu/drm/i915/i915_irq.c                    |  20 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  69 +++----
 drivers/gpu/drm/i915/i915_reg.h                    |   1 +
 drivers/gpu/drm/i915/i915_vma.c                    |  28 ++-
 drivers/gpu/drm/i915/i915_vma.h                    |   2 +
 drivers/gpu/drm/i915/intel_clock_gating.c          |  11 ++
 drivers/gpu/drm/i915/intel_device_info.c           |  48 ++---
 drivers/gpu/drm/i915/intel_device_info.h           |  11 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  44 +++--
 drivers/gpu/drm/i915/intel_uncore.h                |   5 +
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   2 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  45 +++--
 drivers/gpu/drm/i915/soc/intel_dram.c              |   2 +-
 drivers/gpu/drm/i915/soc/intel_gmch.c              |   4 +-
 82 files changed, 1447 insertions(+), 841 deletions(-)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-06-05 14:20 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2023-06-05 14:20 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Maxime Ripard,
	Thomas Zimmermann, Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

Due to miscommunication (mea culpa) there was no earlier drm-intel-next
pull request for v6.5, so this is the first one and therefore quite
big. Sorry about that. (But hey, if there's going to be another pull
request, it'll be tiny! ;)

This round, Meteorlake display enabling stands out, although it's just
one line in the summary below. Thanks to Mika, Radhakrishna, José,
Ankit, Clint, Gustavo, Imre, Anusha, Juha-Pekka, and Matt for a ton of
MTL enabling patches.


BR,
Jani.


drm-intel-next-2023-06-05:
drm/i915 features for v6.5:

Features and functionality:
- Meteorlake (MTL) display enabling (Mika, Radhakrishna, José, Ankit, Clint,
  Gustavo, Imre, Anusha, Juha-Pekka, Matt)
- Allow VRR to be toggled during fastsets (Ville)
- Allow arbitrary refresh rates with VRR eDP panels (Ville)
- Support async flips on linear buffers on display ver 12+  (Arun)
- New debugfs for display clock frequencies (Bhanuprakash)
- Taint kernel when force probing unsupported devices (Jani)
- Expose CRTC CTM property on ILK/SNB/VLV (Ville)

DRM subsystem changes:
- EDID changes to support further conversion to struct drm_edid (Jani)
- Move i915 DSC parameter code to common DRM helpers (Dmitry Baryshkov)

Refactoring and cleanups:
- CSC color refactoring (Ville)
- VRR cleanups (Ville)
- Finish i915 conversion to struct drm_edid (Jani)
- Start high level display driver file (Jani)
- Hotplug refactoring (Ville)
- Misc display refactoring and cleanups (Jani, Ville)
- Use device based logging for state checker warnings (Jani)
- Split out hotplug and display irq handling (Jani)
- Move display device info and probe under display/ (Matt)
- HDCP cleanups (Suraj)
- Use localized warning ignores instead of per file (Jani)
- Remove superfluous enum i915_drm_suspend_mode (Maarten)
- PSR, pfit, scaler and chicken register definition cleanups (Ville)
- Constify pointers to hwmon_channel_info (Krzysztof Kozlowski)
- Replace all non-returning strlcpy with strscpy (Azeem Shaikh)
- Refactor VBT aux channel and DDC pin mapping (Ville)
- Include cleanups (Jani)

Fixes:
- Fix modeset locking issue in DP MST HDCP (Suraj)
- Fix disconnected Type-C/DP-alt disable at probe (Imre)
- Fix HDMI PCON DSC usage and color conversions (Ankit)
- Fix g4x HDMI infoframe/audio transmission port usage (Ville)
- Avoid use-after-free when DP connector init fails (Maarten)
- Fix voltage level for 480 MHz CDCLK (Chaitanya)
- Check HPD live state during eDP probe (Ville)
- Fix active port PLL selection for secondary MST streams (Imre)
- Check pipe source size when using SKL+ scalers (Ville)
- Fix MIPI DSI sleep sequences (Hans de Goede)
- Fix DPCD register write order to match 128b/132b requirement (Arun)
- Increase AUX timeout for Type-C (Suraj)
- Communicate display power demands to pcode (Stan)
- Fix potential division by zero in DSC compute config (Nikita Zhandarovich)
- Fix fast wake AUX sync length (Jouni)
- Fix potential oops on intel_get_crtc_new_encoder() (Ville)

Merges:
- drm-next backmerges (Rodrigo, Jani)

BR,
Jani.

The following changes since commit 85d712f033d23bb56a373e29465470c036532d46:

  Merge tag 'drm-intel-gt-next-2023-05-24' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2023-05-29 06:21:51 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-06-05

for you to fetch changes up to 619a06dba6fa38de1b85c09ac74bb8aa2449ce0c:

  drm/i915/mtl: Reset only one lane in case of MFD (2023-06-05 12:35:29 +0300)

----------------------------------------------------------------
drm/i915 features for v6.5:

Features and functionality:
- Meteorlake (MTL) display enabling (Mika, Radhakrishna, José, Ankit, Clint,
  Gustavo, Imre, Anusha, Juha-Pekka, Matt)
- Allow VRR to be toggled during fastsets (Ville)
- Allow arbitrary refresh rates with VRR eDP panels (Ville)
- Support async flips on linear buffers on display ver 12+  (Arun)
- New debugfs for display clock frequencies (Bhanuprakash)
- Taint kernel when force probing unsupported devices (Jani)
- Expose CRTC CTM property on ILK/SNB/VLV (Ville)

DRM subsystem changes:
- EDID changes to support further conversion to struct drm_edid (Jani)
- Move i915 DSC parameter code to common DRM helpers (Dmitry Baryshkov)

Refactoring and cleanups:
- CSC color refactoring (Ville)
- VRR cleanups (Ville)
- Finish i915 conversion to struct drm_edid (Jani)
- Start high level display driver file (Jani)
- Hotplug refactoring (Ville)
- Misc display refactoring and cleanups (Jani, Ville)
- Use device based logging for state checker warnings (Jani)
- Split out hotplug and display irq handling (Jani)
- Move display device info and probe under display/ (Matt)
- HDCP cleanups (Suraj)
- Use localized warning ignores instead of per file (Jani)
- Remove superfluous enum i915_drm_suspend_mode (Maarten)
- PSR, pfit, scaler and chicken register definition cleanups (Ville)
- Constify pointers to hwmon_channel_info (Krzysztof Kozlowski)
- Replace all non-returning strlcpy with strscpy (Azeem Shaikh)
- Refactor VBT aux channel and DDC pin mapping (Ville)
- Include cleanups (Jani)

Fixes:
- Fix modeset locking issue in DP MST HDCP (Suraj)
- Fix disconnected Type-C/DP-alt disable at probe (Imre)
- Fix HDMI PCON DSC usage and color conversions (Ankit)
- Fix g4x HDMI infoframe/audio transmission port usage (Ville)
- Avoid use-after-free when DP connector init fails (Maarten)
- Fix voltage level for 480 MHz CDCLK (Chaitanya)
- Check HPD live state during eDP probe (Ville)
- Fix active port PLL selection for secondary MST streams (Imre)
- Check pipe source size when using SKL+ scalers (Ville)
- Fix MIPI DSI sleep sequences (Hans de Goede)
- Fix DPCD register write order to match 128b/132b requirement (Arun)
- Increase AUX timeout for Type-C (Suraj)
- Communicate display power demands to pcode (Stan)
- Fix potential division by zero in DSC compute config (Nikita Zhandarovich)
- Fix fast wake AUX sync length (Jouni)
- Fix potential oops on intel_get_crtc_new_encoder() (Ville)

Merges:
- drm-next backmerges (Rodrigo, Jani)

----------------------------------------------------------------
Ankit Nautiyal (7):
      drm/i915/display/mtl: Fill port width in DDI_BUF_/TRANS_DDI_FUNC_/PORT_BUF_CTL for HDMI
      drm/i915/display: Add new member to configure PCON color conversion
      drm/i915/dp: Replace intel_dp.dfp members with the new crtc_state sink_format
      drm/i915/dp: Configure PCON for conversion of output_format to YCbCr444
      drm/i915/display: Use sink_format instead of ycbcr420_output flag
      drm/i915/dp: Add helper to get sink_format
      drm/i915/dp: Rearrange check for illegal mode and comments in mode_valid

Anusha Srivatsa (1):
      drm/i915/mtl: Pin assignment for TypeC

Arun R Murthy (2):
      drm/i915/display/dp: 128/132b LT requirement
      drm/i915: Support Async Flip on Linear buffers

Azeem Shaikh (1):
      drm/i915: Replace all non-returning strlcpy with strscpy

Bhanuprakash Modem (1):
      drm/i915/debugfs: New debugfs for display clock frequencies

Chaitanya Kumar Borah (2):
      drm/i915/color: Fix typo for Plane CSC indexes
      drm/i915/display: Set correct voltage level for 480MHz CDCLK

Clint Taylor (3):
      drm/i915/mtl: Initial DDI port setup
      drm/i915: Add 16bit register/mask operators
      drm/i915/hdmi: C20 computed PLL frequencies

Dmitry Baryshkov (8):
      drm/i915/dsc: change DSC param tables to follow the DSC model
      drm/i915/dsc: move rc_buf_thresh values to common helper
      drm/i915/dsc: move DSC tables to DRM DSC helper
      drm/i915/dsc: stop using interim structure for calculated params
      drm/display/dsc: use flat array for rc_parameters lookup
      drm/display/dsc: split DSC 1.2 and DSC 1.1 (pre-SCR) parameters
      drm/display/dsc: include the rest of pre-SCR parameters
      drm/display/dsc: add YCbCr 4:2:2 and 4:2:0 RC parameters

Fei Yang (1):
      drm/i915/mtl: Set has_llc=0

Gustavo Sousa (1):
      drm/i915/mtl: Define mask for DDI AUX interrupts

Hans de Goede (1):
      drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep()

Imre Deak (17):
      drm/i915/dp_mst: Fix active port PLL selection for secondary MST streams
      drm/i915/adlp+: Disable DC5/6 states for TC port DDI/AUX and for combo port AUX
      drm/i915/mtl: TypeC HPD live status query
      drm/i915: Fix PIPEDMC disabling for a bigjoiner configuration
      drm/i915: Add helpers to reference/unreference a DPLL for a CRTC
      drm/i915: Make the CRTC state consistent during sanitize-disabling
      drm/i915: Update connector atomic state before crtc sanitize-disabling
      drm/i915: Separate intel_crtc_disable_noatomic_begin/complete()
      drm/i915: Factor out set_encoder_for_connector()
      drm/i915: Add support for disabling any CRTCs during HW readout/sanitization
      drm/i915/dp: Add link training debug and error printing helpers
      drm/i915/dp: Convert link training error to debug message on disconnected sink
      drm/i915/dp: Prevent link training fallback on disconnected port
      drm/i915/dp: Factor out intel_dp_get_active_pipes()
      drm/i915: Factor out a helper for handling atomic modeset locks/state
      drm/i915/tc: Call TypeC port flush_work/cleanup without modeset locks held
      drm/i915/tc: Reset TypeC PHYs left enabled in DP-alt mode after the sink disconnects

Jani Nikula (46):
      drm/i915/display: remove unnecessary i915_debugfs.h includes
      drm/i915: hide mkwrite_device_info() better
      drm/i915/display: remove intel_display_commit_duplicated_state()
      drm/i915/display: start high level display driver file
      drm/i915/display: move intel_modeset_probe_defer() to intel_display_driver.[ch]
      drm/i915/display: rename intel_modeset_probe_defer() -> intel_display_driver_probe_defer()
      drm/i915/display: move modeset probe/remove functions to intel_display_driver.c
      drm/i915/display: rename intel_display_driver_* functions
      drm/i915/display: add intel_display_reset.[ch]
      drm/i915/display: move display suspend/resume to intel_display_driver.[ch]
      drm/i915/display: rename intel_display_driver_suspend/resume functions
      drm/i915/display: add intel_display_driver_early_probe()
      drm/i915/pps: use intel_de_rmw() for panel unlock
      drm/i915: use explicit includes for i915_reg.h and i915_irq.h
      drm/i915/wm: remove stale and unused ilk_wm_max_level() declaration
      drm/i915/display: split out load detect to a separate file
      drm/i915/display: throw out struct intel_load_detect_pipe
      drm/i915: taint kernel when force probing unsupported devices
      drm/i915/hdcp: drop display/ prefix from include
      drm/i915/irq: relocate gmbus and dp aux irq handlers
      drm/i915/gt: drop dependency on VLV_DISPLAY_BASE
      drm/i915/bios: add helper for reading SPI
      drm/i915/dpll: drop a useless I915_STATE_WARN_ON()
      drm/i915/crtc: replace I915_STATE_WARN_ON() with I915_STATE_WARN()
      drm/i915/display: remove I915_STATE_WARN_ON()
      drm/i915/display: add i915 parameter to I915_STATE_WARN()
      drm/i915/irq: convert gen8_de_irq_handler() to void
      drm/i915/irq: split out hotplug irq handling
      drm/i915/irq: split out display irq handling
      drm/i915: fix intel_display_irq.c include order
      drm/i915: tweak language in fastset pipe config compare logging
      Merge drm/drm-next into drm-intel-next
      drm/i915: use localized __diag_ignore_all() instead of per file
      drm/edid: parse display info has_audio similar to is_hdmi
      drm/display/dp_mst: drop has_audio from struct drm_dp_mst_port
      drm/i915/dp: stop caching has_audio in struct intel_dp
      drm/i915/dp: stop caching has_hdmi_sink in struct intel_dp
      drm/i915/hdmi: stop caching has_audio in struct intel_hdmi
      drm/i915/hdmi: stop caching has_hdmi_sink in struct intel_hdmi
      drm/i915/sdvo: stop caching has_hdmi_monitor in struct intel_sdvo
      drm/i915/sdvo: stop caching has_hdmi_audio in struct intel_sdvo
      drm/edid: add drm_edid_read_switcheroo()
      drm/i915/lvds: switch to drm_edid_read_switcheroo()
      drm/edid: make drm_edid_duplicate() safe to call with NULL parameter
      drm/display/dp_mst: convert to struct drm_edid
      drm/i915/display: switch the rest of the connectors to struct drm_edid

José Roberto de Souza (2):
      drm/i915/mtl/display: Implement DisplayPort sequences
      drm/i915: Initialize dkl_phy spin lock from display code path

Jouni Högander (1):
      drm/i915: Use 18 fast wake AUX sync len

Juha-Pekka Heikkila (2):
      drm/fourcc: define Intel Meteorlake related ccs modifiers
      drm/i915/mtl: Add handling for MTL ccs modifiers

Krzysztof Kozlowski (1):
      drm/i915: constify pointers to hwmon_channel_info

Maarten Lankhorst (2):
      drm/i915: Remove i915_drm_suspend_mode
      drm/i915: Flush power delayed put when connector init failed

Matt Roper (8):
      drm/i915: Use separate "DC off" power well for ADL-P and DG2
      drm/i915/mtl: Re-use ADL-P's "DC off" power well
      drm/i915/display: Move display device info to header under display/
      drm/i915: Convert INTEL_INFO()->display to a pointer
      drm/i915/display: Move display runtime info to display structure
      drm/i915/display: Make display responsible for probing its own IP
      drm/i915/display: Handle GMD_ID identification in display code
      drm/i915/display: Move feature test macros to intel_display_device.h

Mika Kahola (16):
      drm/i915/mtl: Add DP rates
      drm/i915/mtl: Create separate reg file for PICA registers
      drm/i915/mtl: Add vswing programming for C10 phys
      drm/i915/mtl: MTL PICA hotplug detection
      drm/i915/mtl: C20 PLL programming
      drm/i915/mtl: C20 HW readout
      drm/i915/mtl: Dump C20 pll hw state
      drm/i915/mtl: C20 port clock calculation
      drm/i915/mtl: Add voltage swing sequence for C20
      drm/i915/mtl: For DP2.0 10G and 20G rates use MPLLA
      drm/i915/mtl: Enabling/disabling sequence Thunderbolt pll
      drm/i915/mtl: Readout Thunderbolt HW state
      drm/i915/mtl: Power up TCSS
      drm/i915/mtl: Enable TC ports
      drm/i915/mtl: Fix expected reg value for Thunderbolt PLL disabling
      drm/i915/mtl: Reset only one lane in case of MFD

Nathan Chancellor (1):
      drm/i915: Fix clang -Wimplicit-fallthrough in intel_async_flip_check_hw()

Nikita Zhandarovich (1):
      drm/i915/dp: prevent potential div-by-zero

Nirmoy Das (1):
      drm/i915: Wait for active retire before i915_active_fini()

Radhakrishna Sripada (4):
      drm/i915/mtl: Add Support for C10 PHY message bus and pll programming
      drm/i915/mtl: Add C10 phy programming for HDMI
      drm/i915/mtl: Skip pcode qgv restrictions for MTL
      drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Stanislav Lisovskiy (3):
      drm/i915: Communicate display power demands to pcode
      drm/i915: Fix NULL ptr deref by checking new_crtc_state
      drm/i915: Fix wrong condition in bxt_set_cdclk for DG2

Sui Jingfeng (1):
      drm/i915_drm.h: fix a typo

Suraj Kandpal (9):
      drm/i915/display: Increase AUX timeout for Type-C
      drm/i915/hdcp: Check if media_gt exists
      drm/i915/hdcp: add intel_atomic_state argument to hdcp_enable function
      drm/i915/hdcp: Remove enforce_type0 check outside loop
      drm/i915/hdcp: Fix modeset locking issue in hdcp mst
      drm/i915/hdcp: Fill hdcp2_streamid_type and k in appropriate places
      drm/i915/hdcp: Rename dev_priv to i915
      drm/i915/hdcp: Move away from master naming to arbiter
      drm/i915/hdcp: Rename comp_mutex to hdcp_mutex

Ville Syrjälä (60):
      drm/i915: Fix limited range csc matrix
      drm/i915: Introduce intel_csc_matrix struct
      drm/i915: Split chv_load_cgm_csc() into pieces
      drm/i915: Start using struct intel_csc_matrix for chv cgm csc
      drm/i915: Store ilk+ csc matrices in the crtc state
      drm/i915: Utilize crtc_state->csc on chv
      drm/i915: Sprinke a few sanity check WARNS during csc assignment
      drm/i915: Add hardware csc readout for ilk+
      drm/i915: Implement chv cgm csc readout
      drm/i915: Include the csc matrices in the crtc state dump
      drm/i915: Hook up csc into state checker
      drm/i915: Generalize planes_{enabling,disabling}()
      drm/i915/vrr: Eliminate redundant function arguments
      drm/i915/vrr: Make delayed vblank operational in VRR mode on adl/dg2
      drm/i915/vrr: Tell intel_crtc_update_active_timings() about VRR explicitly
      drm/i915/vrr: Relocate VRR enable/disable
      drm/i915/vrr: Allow VRR to be toggled during fastsets
      drm/i915: Flag purely internal commits to not clear crtc_state->inherited
      drm/i915: Allow arbitrary refresh rates with VRR eDP panels
      drm/i915: Evade transcoder's vblank when doing seamless M/N changes
      drm/i915: Use min() instead of hand rolling it
      drm/i915: Make intel_get_crtc_new_encoder() less oopsy
      drm/i915: Make intel_{mpllb,c10pll}_state_verify() safer
      drm/i915: Introduce <platform>_hotplug_mask()
      drm/i915: Introduce intel_hpd_enable_detection()
      drm/i915: Check HPD live state during eDP probe
      drm/i915: Reuse <platform>_hotplug_mask() in .hpd_detection_setup()
      drm/i915: Check pipe source size when using skl+ scalers
      drm/i915: Relocate VBLANK_EVASION_TIME_US
      drm/i915: Relocate intel_atomic_setup_scalers()
      drm/i915: Relocate skl_get_pfit_config()
      drm/i915: Use REG_BIT() & co for the pre-ilk pfit registers
      drm/i915: Namespace pfit registers properly
      drm/i915: Use REG_BIT() & co. for ilk+ pfit registers
      drm/i915: Drop a useless forward declararion
      drm/i915: Fix up whitespace in some display chicken registers
      drm/i915: Clean up various display chicken registers
      drm/i915/psr: Clean up PSR register defininitions
      drm/i915/psr: Use intel_de_rmw()
      drm/i915/psr: Define more PSR mask bits
      drm/i915/psr: Add a FIXME for the PSR vs. AUX usage conflict
      drm/i915/psr: Include PSR_PERF_CNT in debugfs output on all platforms
      drm/i915/psr: Sprinkle cpu_transcoder variables around
      drm/i915: Define bitmasks for ilk pfit window pos/size
      drm/i915: Remove dead scaler register defines
      drm/i915: Rename skl+ scaler binding bits
      drm/i915: s/PS_COEE_INDEX_AUTO_INC/PS_COEF_INDEX_AUTO_INC/
      drm/i915: Define bitmasks for skl+ scaler window pos/size
      drm/i915: Use REG_BIT() & co. for pipe scaler registers
      drm/i915: Move has_hdmi_sink out from intel_hdmi_compute_config()
      drm/i915: Pick one HDMI port for infoframe/audio transmission on g4x
      drm/i915: Define more PS_CTRL bits
      drm/i915: Use REG_BIT() & co. for AUX CH registers
      drm/i915: Split map_aux_ch() into per-platform arrays
      drm/i915: Flip VBT DDC pin maps around
      drm/i915: Nuke intel_bios_is_port_dp_dual_mode()
      drm/i915: Expose crtc CTM property on ilk/snb
      drm/i915: Fix CHV CGM CSC coefficient sign handling
      drm/i915: Always enable CGM CSC on CHV
      drm/i915: Implement CTM property support for VLV

 drivers/gpu/drm/display/drm_dp_mst_topology.c      |   55 +-
 drivers/gpu/drm/display/drm_dsc_helper.c           | 1006 +++++++
 drivers/gpu/drm/drm_edid.c                         |   38 +
 drivers/gpu/drm/i915/Makefile                      |   12 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |    4 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |  113 +
 drivers/gpu/drm/i915/display/g4x_hdmi.h            |    4 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |    2 +-
 drivers/gpu/drm/i915/display/i9xx_wm.c             |    4 +-
 drivers/gpu/drm/i915/display/i9xx_wm.h             |    1 -
 drivers/gpu/drm/i915/display/icl_dsi.c             |    1 +
 drivers/gpu/drm/i915/display/intel_atomic.c        |  259 +-
 drivers/gpu/drm/i915/display/intel_atomic.h        |    4 -
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    1 +
 drivers/gpu/drm/i915/display/intel_audio.c         |    1 +
 drivers/gpu/drm/i915/display/intel_bios.c          |  251 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |    2 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |    3 +
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  209 +-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |    1 +
 drivers/gpu/drm/i915/display/intel_color.c         |  725 ++++-
 drivers/gpu/drm/i915/display/intel_connector.c     |   18 +-
 drivers/gpu/drm/i915/display/intel_connector.h     |    4 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   55 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |   20 +-
 drivers/gpu/drm/i915/display/intel_crtc.h          |   10 +
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |   54 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |    4 +-
 drivers/gpu/drm/i915/display/intel_cx0_phy.c       | 3046 +++++++++++++++++++
 drivers/gpu/drm/i915/display/intel_cx0_phy.h       |   50 +
 drivers/gpu/drm/i915/display/intel_cx0_phy_regs.h  |  274 ++
 drivers/gpu/drm/i915/display/intel_ddi.c           |  498 +++-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |   80 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1167 ++------
 drivers/gpu/drm/i915/display/intel_display.h       |   69 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |    8 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   17 +-
 .../gpu/drm/i915/display/intel_display_device.c    |  780 +++++
 .../gpu/drm/i915/display/intel_display_device.h    |  128 +
 .../gpu/drm/i915/display/intel_display_driver.c    |  583 ++++
 .../gpu/drm/i915/display/intel_display_driver.h    |   36 +
 drivers/gpu/drm/i915/display/intel_display_irq.c   | 1668 +++++++++++
 drivers/gpu/drm/i915/display/intel_display_irq.h   |   81 +
 drivers/gpu/drm/i915/display/intel_display_power.c |   52 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |    3 +-
 .../gpu/drm/i915/display/intel_display_power_map.c |   92 +-
 .../drm/i915/display/intel_display_power_well.c    |    5 +-
 .../drm/i915/display/intel_display_power_well.h    |    2 +
 .../gpu/drm/i915/display/intel_display_reg_defs.h  |   14 +-
 drivers/gpu/drm/i915/display/intel_display_reset.c |  135 +
 drivers/gpu/drm/i915/display/intel_display_reset.h |   14 +
 drivers/gpu/drm/i915/display/intel_display_trace.h |    1 -
 drivers/gpu/drm/i915/display/intel_display_types.h |   73 +-
 drivers/gpu/drm/i915/display/intel_dkl_phy.c       |    9 +
 drivers/gpu/drm/i915/display/intel_dkl_phy.h       |    1 +
 drivers/gpu/drm/i915/display/intel_dp.c            |  361 ++-
 drivers/gpu/drm/i915/display/intel_dp.h            |    4 +
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   47 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.h        |    3 +
 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |   62 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  433 ++-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   17 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   37 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   92 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    3 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |    1 +
 drivers/gpu/drm/i915/display/intel_fb.c            |   42 +-
 drivers/gpu/drm/i915/display/intel_fb_pin.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    7 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |    5 +
 drivers/gpu/drm/i915/display/intel_fdi.c           |    9 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |    2 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    5 +
 drivers/gpu/drm/i915/display/intel_gmbus.h         |    2 +
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  798 ++---
 drivers/gpu/drm/i915/display/intel_hdcp.h          |   12 +-
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c      |   18 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  127 +-
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    9 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    1 +
 drivers/gpu/drm/i915/display/intel_hotplug_irq.c   | 1442 +++++++++
 drivers/gpu/drm/i915/display/intel_hotplug_irq.h   |   35 +
 drivers/gpu/drm/i915/display/intel_hti.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_load_detect.c   |  225 ++
 drivers/gpu/drm/i915/display/intel_load_detect.h   |   20 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |   16 +-
 drivers/gpu/drm/i915/display/intel_modeset_lock.c  |   50 +
 drivers/gpu/drm/i915/display/intel_modeset_lock.h  |   33 +
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |  324 +-
 .../gpu/drm/i915/display/intel_modeset_verify.c    |   36 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   16 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  113 +-
 drivers/gpu/drm/i915/display/intel_pch_display.c   |   20 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |    1 +
 drivers/gpu/drm/i915/display/intel_pps.c           |   16 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  261 +-
 drivers/gpu/drm/i915/display/intel_psr_regs.h      |  207 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  108 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |    7 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |   10 -
 drivers/gpu/drm/i915/display/intel_sprite_uapi.c   |    1 +
 drivers/gpu/drm/i915/display/intel_tc.c            |  414 ++-
 drivers/gpu/drm/i915/display/intel_tc.h            |    5 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   20 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        |   15 +-
 drivers/gpu/drm/i915/display/intel_vblank.h        |    3 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  443 +--
 drivers/gpu/drm/i915/display/intel_vrr.c           |   64 +-
 drivers/gpu/drm/i915/display/intel_vrr.h           |   10 +-
 drivers/gpu/drm/i915/display/skl_scaler.c          |  314 +-
 drivers/gpu/drm/i915/display/skl_scaler.h          |   12 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   26 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |    8 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    1 +
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |    1 +
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            |    5 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |    7 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |    2 +
 drivers/gpu/drm/i915/gt/selftest_rps.c             |    1 +
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |    1 +
 drivers/gpu/drm/i915/gvt/edid.c                    |   10 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |    1 +
 drivers/gpu/drm/i915/i915_driver.c                 |   98 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   73 +-
 drivers/gpu/drm/i915/i915_hwmon.c                  |    4 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 3106 ++------------------
 drivers/gpu/drm/i915/i915_irq.h                    |   48 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  390 +--
 drivers/gpu/drm/i915/i915_reg.h                    |  510 ++--
 drivers/gpu/drm/i915/i915_reg_defs.h               |  105 +
 drivers/gpu/drm/i915/i915_vma.c                    |    2 +
 drivers/gpu/drm/i915/intel_clock_gating.c          |    5 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  147 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   69 +-
 drivers/gpu/drm/i915/intel_runtime_pm.h            |    6 -
 drivers/gpu/drm/i915/intel_step.c                  |    8 +-
 drivers/gpu/drm/i915/selftests/i915_perf.c         |    2 +-
 drivers/misc/mei/hdcp/mei_hdcp.c                   |   26 +-
 include/drm/display/drm_dp_mst_helper.h            |   14 +-
 include/drm/display/drm_dsc_helper.h               |    9 +
 include/drm/drm_connector.h                        |    8 +
 include/drm/drm_edid.h                             |    2 +
 include/drm/i915_drm.h                             |    2 +-
 include/drm/i915_hdcp_interface.h                  |    4 +-
 include/uapi/drm/drm_fourcc.h                      |   43 +
 147 files changed, 15470 insertions(+), 7367 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_cx0_phy.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_cx0_phy.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_cx0_phy_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_device.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_device.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_driver.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_driver.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_irq.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_irq.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_reset.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_reset.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_hotplug_irq.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_hotplug_irq.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_load_detect.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_load_detect.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_modeset_lock.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_modeset_lock.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2023-04-06 14:03 Rodrigo Vivi
@ 2023-04-06 16:24 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2023-04-06 16:24 UTC (permalink / raw)
  To: Rodrigo Vivi
  Cc: Tvrtko Ursulin, dim-tools, Daniel Vetter, dri-devel,
	Thomas Zimmermann, intel-gfx

On Thu, Apr 06, 2023 at 10:03:51AM -0400, Rodrigo Vivi wrote:
> Hi Daniel,
> 
> Here goes drm-intel-next-2023-04-06:
> 
> - Fix DPT+shmem combo and add i915.enable_dpt modparam (Ville)
> - i915.enable_sagv module parameter (Ville)
> - Correction to QGV related register addresses (Vinod)
> - IPS debugfs per-crtc and new file for false_color (Ville)
> - More clean-up and reorganization of Display code (Jani)
> - DP DSC related fixes and improvements (Stanislav, Ankit, Suraj, Swati)
> - Make utility pin asserts more accurate (Ville)
> - Meteor Lake enabling (Daniele)
> - High refresh rate PSR fixes (Jouni)
> - Cursor and Plane chicken register fixes (Ville)
> - Align the ADL-P TypeC sequences with hardware specification (Imre)
> - Documentation build fixes and improvements to catch bugs earlier (Lee, Jani)
> - PL1 power limit hwmon entry changed to use 0 as disabled state (Ashutosh)
> - DP aux sync fix and improvements (Ville)
> - DP MST fixes and w/a (Stanislav)
> - Limit PXP drm-errors or warning on firmware API failures (Alan)
> 
> Thanks,
> Rodrigo.
> 
> The following changes since commit 46f28427f6f824b6cff06fa025a55350b7de454a:
> 
>   Merge tag 'drm-rcar-next-20230325' of git://git.kernel.org/pub/scm/linux/kernel/git/pinchartl/linux into drm-next (2023-03-27 18:20:20 +0200)
> 
> are available in the Git repository at:
> 
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-04-06
> 
> for you to fetch changes up to b358793c3bf231c455c55e0173256a86483997a8:
> 
>   drm/i915/wakeref: fix kernel-doc comment (2023-04-06 15:39:26 +0300)

Pulled, thanks

> 
> ----------------------------------------------------------------
> - Fix DPT+shmem combo and add i915.enable_dpt modparam (Ville)
> - i915.enable_sagv module parameter (Ville)
> - Correction to QGV related register addresses (Vinod)
> - IPS debugfs per-crtc and new file for false_color (Ville)
> - More clean-up and reorganization of Display code (Jani)
> - DP DSC related fixes and improvements (Stanislav, Ankit, Suraj, Swati)
> - Make utility pin asserts more accurate (Ville)
> - Meteor Lake enabling (Daniele)
> - High refresh rate PSR fixes (Jouni)
> - Cursor and Plane chicken register fixes (Ville)
> - Align the ADL-P TypeC sequences with hardware specification (Imre)
> - Documentation build fixes and improvements to catch bugs earlier (Lee, Jani)
> - PL1 power limit hwmon entry changed to use 0 as disabled state (Ashutosh)
> - DP aux sync fix and improvements (Ville)
> - DP MST fixes and w/a (Stanislav)
> - Limit PXP drm-errors or warning on firmware API failures (Alan)
> 
> ----------------------------------------------------------------
> Alan Previn (1):
>       drm/i915/pxp: limit drm-errors or warning on firmware API failures
> 
> Ankit Nautiyal (2):
>       drm/dp_helper: Add helper to check DSC support with given o/p format
>       drm/i915/dp: Check if DSC supports the given output_format
> 
> Ashutosh Dixit (1):
>       drm/i915/hwmon: Use 0 to designate disabled PL1 power limit
> 
> Daniele Ceraolo Spurio (1):
>       drm/i915/mtl: Fix MTL stolen memory GGTT mapping
> 
> Imre Deak (29):
>       drm/i915/tc: Group the TC PHY setup/query functions per platform
>       drm/i915/tc: Use the adlp prefix for ADLP TC PHY functions
>       drm/i915/tc: Rename tc_phy_status_complete() to tc_phy_is_ready()
>       drm/i915/tc: Use the tc_phy prefix for all TC PHY functions
>       drm/i915/tc: Move TC port fields to a new intel_tc_port struct
>       drm/i915/tc: Check for TC PHY explicitly in intel_tc_port_fia_max_lane_count()
>       drm/i915/tc: Move the intel_tc_port struct declaration to intel_tc.c
>       drm/i915/tc: Add TC PHY hook to get the PHY HPD live status
>       drm/i915/tc: Add TC PHY hooks to get the PHY ready/owned state
>       drm/i915/tc: Add TC PHY hook to read out the PHY HW state
>       drm/i915/tc: Add generic TC PHY connect/disconnect handlers
>       drm/i915/tc: Factor out tc_phy_verify_legacy_or_dp_alt_mode()
>       drm/i915/tc: Add TC PHY hooks to connect/disconnect the PHY
>       drm/i915/tc: Fix up the legacy VBT flag only in disconnected mode
>       drm/i915/tc: Check TC mode instead of the VBT legacy flag
>       drm/i915/tc: Block/unblock TC-cold in the PHY connect/disconnect hooks
>       drm/i915/tc: Remove redundant wakeref=0 check from unblock_tc_cold()
>       drm/i915/tc: Drop tc_cold_block()/unblock()'s power domain parameter
>       drm/i915/tc: Add TC PHY hook to get the TC-cold blocking power domain
>       drm/i915/tc: Add asserts in TC PHY hooks that the required power is on
>       drm/i915/tc: Add TC PHY hook to init the PHY
>       drm/i915/adlp/tc: Use the DE HPD ISR register for hotplug detection
>       drm/i915/tc: Get power ref for reading the HPD live status register
>       drm/i915/tc: Don't connect the PHY in intel_tc_port_connected()
>       drm/i915/adlp/tc: Align the connect/disconnect PHY sequence with bspec
>       drm/i915: Move shared DPLL disabling into CRTC disable hook
>       drm/i915: Disable DPLLs before disconnecting the TC PHY
>       drm/i915: Remove TC PHY disconnect workaround
>       drm/i915: Remove the encoder update_prepare()/complete() hooks
> 
> Jani Nikula (14):
>       drm/i915: remove unused config DRM_I915_UNSTABLE
>       drm/i915/pps: split out PPS regs to a separate file
>       drm/i915/tv: split out TV regs to a separate file
>       drm/i915/aux: split out DP AUX regs to a separate file
>       drm/i915/fdi: split out FDI regs to a separate file
>       drm/i915/dsb: split out DSB regs to a separate file
>       drm/i915/wm: split out SKL+ watermark regs to a separate file
>       drm/i915/psr: split out PSR regs to a separate file
>       drm/i915: enable kernel-doc warnings for CONFIG_DRM_I915_WERROR=y
>       drm/i915: run kernel-doc on headers as part of HDRTEST
>       drm/i915: rename intel_pm.[ch] to intel_clock_gating.[ch]
>       drm/i915/clock: mass rename dev_priv to i915
>       drm/i915/tc: demote a kernel-doc comment to a regular comment
>       drm/i915/wakeref: fix kernel-doc comment
> 
> Jouni Högander (6):
>       drm/i915/psr: Unify pre/post hooks
>       drm/i915/psr: Modify/Fix Wa_16013835468 and prepare for Wa_14015648006
>       drm/i915/psr: Implement Wa_14015648006
>       drm/i915/psr: Add helpers for block count number handling
>       drm/i915/psr: Check that vblank is long enough for psr2
>       drm/i915/psr: Implement Display WA #1136
> 
> Lee Jones (3):
>       drm/i915/display/intel_display_debugfs: Fix incorrect param naming for 'intel_connector'
>       drm/i915/display/intel_display_power: Fix incorrectly documented function __intel_display_power_put_async()
>       drm/i915/display/intel_wm: Fix a little doc-rot in intel_update_watermarks()
> 
> Rodrigo Vivi (1):
>       Merge drm/drm-next into drm-intel-next
> 
> Stanislav Lisovskiy (2):
>       drm/i915: Use compressed bpp when calculating m/n value for DP MST DSC
>       drm/i915: Implement UHBR bandwidth check
> 
> Suraj Kandpal (4):
>       drm/i915/dsc: Adding the new registers for DSC
>       drm/i915/dsc: Enable YCbCr420 for VDSC
>       drm/i915/dsc: Fill in native_420 field
>       drm/i915/vdsc: Check slice design requirement
> 
> Swati Sharma (1):
>       drm/i915/dsc: Add debugfs entry to validate DSC output formats
> 
> Ville Syrjälä (15):
>       drm/i915/dpt: Treat the DPT BO as a framebuffer
>       drm/i915/dpt: Only do the POT stride remap when using DPT
>       drm/i915/dpt: Introduce HAS_DPT()
>       drm/i915: Add PLANE_CHICKEN registers
>       drm/i915/dpt: Add a modparam to disable DPT via the chicken bit
>       drm/i915: Move PLANE_BUG_CFG bit definitions to the correct place
>       drm/i915: Add i915.enable_sagv modparam
>       drm/i915/ips: Make IPS debugfs per-crtc
>       drm/i915/ips: Add i915_ips_false_color debugfs file
>       drm/i915: Make utility pin asserts more accurate
>       drm/i915: Skip cursor when writing PLANE_CHICKEN
>       drm/i915: Document that PLANE_CHICKEN are for tgl+
>       drm/i915: Define cursor chicken reg
>       drm/i915: Fix fast wake AUX sync len
>       drm/i915: Explain the magic numbers for AUX SYNC/precharge length
> 
> Vinod Govindapillai (2):
>       drm/i915/reg: fix QGV points register access offsets
>       drm/i915/reg: use the correct register to access SAGV block time
> 
>  .../ABI/testing/sysfs-driver-intel-i915-hwmon      |    4 +-
>  drivers/gpu/drm/i915/Kconfig                       |    6 -
>  drivers/gpu/drm/i915/Kconfig.unstable              |   21 -
>  drivers/gpu/drm/i915/Makefile                      |   12 +-
>  drivers/gpu/drm/i915/display/hsw_ips.c             |   73 +-
>  drivers/gpu/drm/i915/display/hsw_ips.h             |    3 +-
>  drivers/gpu/drm/i915/display/icl_dsi.c             |    2 -
>  drivers/gpu/drm/i915/display/intel_crt.c           |    1 +
>  .../gpu/drm/i915/display/intel_crtc_state_dump.c   |    4 +-
>  .../gpu/drm/i915/display/intel_crtc_state_dump.h   |    2 +
>  drivers/gpu/drm/i915/display/intel_ddi.c           |   71 +-
>  drivers/gpu/drm/i915/display/intel_display.c       |   95 +-
>  drivers/gpu/drm/i915/display/intel_display.h       |    7 -
>  drivers/gpu/drm/i915/display/intel_display_core.h  |    4 +
>  .../gpu/drm/i915/display/intel_display_debugfs.c   |   83 +-
>  drivers/gpu/drm/i915/display/intel_display_power.c |   10 +-
>  .../drm/i915/display/intel_display_power_well.c    |    7 +-
>  drivers/gpu/drm/i915/display/intel_display_types.h |   21 +-
>  drivers/gpu/drm/i915/display/intel_dp.c            |   48 +-
>  drivers/gpu/drm/i915/display/intel_dp_aux.c        |   33 +-
>  drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |   84 ++
>  drivers/gpu/drm/i915/display/intel_dp_mst.c        |   50 +-
>  drivers/gpu/drm/i915/display/intel_dpt.c           |   27 +
>  drivers/gpu/drm/i915/display/intel_dpt.h           |    2 +
>  drivers/gpu/drm/i915/display/intel_dsb.c           |    1 +
>  drivers/gpu/drm/i915/display/intel_dsb_regs.h      |   67 ++
>  drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    1 +
>  drivers/gpu/drm/i915/display/intel_fb.c            |   11 +-
>  drivers/gpu/drm/i915/display/intel_fb.h            |    1 +
>  drivers/gpu/drm/i915/display/intel_fdi.c           |    1 +
>  drivers/gpu/drm/i915/display/intel_fdi_regs.h      |  151 +++
>  drivers/gpu/drm/i915/display/intel_lvds.c          |    1 +
>  drivers/gpu/drm/i915/display/intel_modeset_setup.c |    1 -
>  drivers/gpu/drm/i915/display/intel_pch_display.c   |    1 +
>  drivers/gpu/drm/i915/display/intel_pps.c           |    1 +
>  drivers/gpu/drm/i915/display/intel_pps_regs.h      |   78 ++
>  drivers/gpu/drm/i915/display/intel_psr.c           |   91 +-
>  drivers/gpu/drm/i915/display/intel_psr_regs.h      |  260 ++++
>  drivers/gpu/drm/i915/display/intel_qp_tables.c     |  187 ++-
>  drivers/gpu/drm/i915/display/intel_qp_tables.h     |    4 +-
>  drivers/gpu/drm/i915/display/intel_tc.c            | 1253 +++++++++++++-------
>  drivers/gpu/drm/i915/display/intel_tc.h            |    4 +-
>  drivers/gpu/drm/i915/display/intel_tv.c            |    3 +-
>  drivers/gpu/drm/i915/display/intel_tv_regs.h       |  490 ++++++++
>  drivers/gpu/drm/i915/display/intel_vdsc.c          |  132 ++-
>  drivers/gpu/drm/i915/display/intel_vdsc_regs.h     |   28 +
>  drivers/gpu/drm/i915/display/intel_wm.c            |    2 +-
>  drivers/gpu/drm/i915/display/skl_universal_plane.c |    6 +
>  drivers/gpu/drm/i915/display/skl_watermark.c       |   11 +-
>  drivers/gpu/drm/i915/display/skl_watermark_regs.h  |  160 +++
>  drivers/gpu/drm/i915/gem/i915_gem_object.h         |    2 +-
>  drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    3 +
>  drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   15 +-
>  drivers/gpu/drm/i915/gvt/edid.c                    |    1 +
>  drivers/gpu/drm/i915/gvt/handlers.c                |    5 +
>  drivers/gpu/drm/i915/i915_driver.c                 |    8 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |    2 +
>  drivers/gpu/drm/i915/i915_gem.c                    |    8 +-
>  drivers/gpu/drm/i915/i915_hwmon.c                  |   26 +
>  drivers/gpu/drm/i915/i915_irq.c                    |    2 +
>  drivers/gpu/drm/i915/i915_params.c                 |    6 +
>  drivers/gpu/drm/i915/i915_params.h                 |    2 +
>  drivers/gpu/drm/i915/i915_pci.c                    |    3 -
>  drivers/gpu/drm/i915/i915_reg.h                    | 1243 +------------------
>  drivers/gpu/drm/i915/intel_clock_gating.c          |  888 ++++++++++++++
>  drivers/gpu/drm/i915/intel_clock_gating.h          |   14 +
>  drivers/gpu/drm/i915/intel_device_info.h           |    1 -
>  drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |    4 +
>  drivers/gpu/drm/i915/intel_pm.c                    |  885 --------------
>  drivers/gpu/drm/i915/intel_pm.h                    |   18 -
>  drivers/gpu/drm/i915/intel_wakeref.h               |    2 +-
>  .../gpu/drm/i915/pxp/intel_pxp_cmd_interface_cmn.h |    3 +
>  drivers/gpu/drm/i915/pxp/intel_pxp_session.c       |    2 +-
>  drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |   77 +-
>  drivers/gpu/drm/i915/vlv_suspend.c                 |    4 +-
>  include/drm/display/drm_dp_helper.h                |   13 +
>  76 files changed, 3965 insertions(+), 2888 deletions(-)
>  delete mode 100644 drivers/gpu/drm/i915/Kconfig.unstable
>  create mode 100644 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_dsb_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_fdi_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_pps_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_psr_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_tv_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/skl_watermark_regs.h
>  create mode 100644 drivers/gpu/drm/i915/intel_clock_gating.c
>  create mode 100644 drivers/gpu/drm/i915/intel_clock_gating.h
>  delete mode 100644 drivers/gpu/drm/i915/intel_pm.c
>  delete mode 100644 drivers/gpu/drm/i915/intel_pm.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-04-06 14:03 Rodrigo Vivi
  2023-04-06 16:24 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2023-04-06 14:03 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Daniel,

Here goes drm-intel-next-2023-04-06:

- Fix DPT+shmem combo and add i915.enable_dpt modparam (Ville)
- i915.enable_sagv module parameter (Ville)
- Correction to QGV related register addresses (Vinod)
- IPS debugfs per-crtc and new file for false_color (Ville)
- More clean-up and reorganization of Display code (Jani)
- DP DSC related fixes and improvements (Stanislav, Ankit, Suraj, Swati)
- Make utility pin asserts more accurate (Ville)
- Meteor Lake enabling (Daniele)
- High refresh rate PSR fixes (Jouni)
- Cursor and Plane chicken register fixes (Ville)
- Align the ADL-P TypeC sequences with hardware specification (Imre)
- Documentation build fixes and improvements to catch bugs earlier (Lee, Jani)
- PL1 power limit hwmon entry changed to use 0 as disabled state (Ashutosh)
- DP aux sync fix and improvements (Ville)
- DP MST fixes and w/a (Stanislav)
- Limit PXP drm-errors or warning on firmware API failures (Alan)

Thanks,
Rodrigo.

The following changes since commit 46f28427f6f824b6cff06fa025a55350b7de454a:

  Merge tag 'drm-rcar-next-20230325' of git://git.kernel.org/pub/scm/linux/kernel/git/pinchartl/linux into drm-next (2023-03-27 18:20:20 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-04-06

for you to fetch changes up to b358793c3bf231c455c55e0173256a86483997a8:

  drm/i915/wakeref: fix kernel-doc comment (2023-04-06 15:39:26 +0300)

----------------------------------------------------------------
- Fix DPT+shmem combo and add i915.enable_dpt modparam (Ville)
- i915.enable_sagv module parameter (Ville)
- Correction to QGV related register addresses (Vinod)
- IPS debugfs per-crtc and new file for false_color (Ville)
- More clean-up and reorganization of Display code (Jani)
- DP DSC related fixes and improvements (Stanislav, Ankit, Suraj, Swati)
- Make utility pin asserts more accurate (Ville)
- Meteor Lake enabling (Daniele)
- High refresh rate PSR fixes (Jouni)
- Cursor and Plane chicken register fixes (Ville)
- Align the ADL-P TypeC sequences with hardware specification (Imre)
- Documentation build fixes and improvements to catch bugs earlier (Lee, Jani)
- PL1 power limit hwmon entry changed to use 0 as disabled state (Ashutosh)
- DP aux sync fix and improvements (Ville)
- DP MST fixes and w/a (Stanislav)
- Limit PXP drm-errors or warning on firmware API failures (Alan)

----------------------------------------------------------------
Alan Previn (1):
      drm/i915/pxp: limit drm-errors or warning on firmware API failures

Ankit Nautiyal (2):
      drm/dp_helper: Add helper to check DSC support with given o/p format
      drm/i915/dp: Check if DSC supports the given output_format

Ashutosh Dixit (1):
      drm/i915/hwmon: Use 0 to designate disabled PL1 power limit

Daniele Ceraolo Spurio (1):
      drm/i915/mtl: Fix MTL stolen memory GGTT mapping

Imre Deak (29):
      drm/i915/tc: Group the TC PHY setup/query functions per platform
      drm/i915/tc: Use the adlp prefix for ADLP TC PHY functions
      drm/i915/tc: Rename tc_phy_status_complete() to tc_phy_is_ready()
      drm/i915/tc: Use the tc_phy prefix for all TC PHY functions
      drm/i915/tc: Move TC port fields to a new intel_tc_port struct
      drm/i915/tc: Check for TC PHY explicitly in intel_tc_port_fia_max_lane_count()
      drm/i915/tc: Move the intel_tc_port struct declaration to intel_tc.c
      drm/i915/tc: Add TC PHY hook to get the PHY HPD live status
      drm/i915/tc: Add TC PHY hooks to get the PHY ready/owned state
      drm/i915/tc: Add TC PHY hook to read out the PHY HW state
      drm/i915/tc: Add generic TC PHY connect/disconnect handlers
      drm/i915/tc: Factor out tc_phy_verify_legacy_or_dp_alt_mode()
      drm/i915/tc: Add TC PHY hooks to connect/disconnect the PHY
      drm/i915/tc: Fix up the legacy VBT flag only in disconnected mode
      drm/i915/tc: Check TC mode instead of the VBT legacy flag
      drm/i915/tc: Block/unblock TC-cold in the PHY connect/disconnect hooks
      drm/i915/tc: Remove redundant wakeref=0 check from unblock_tc_cold()
      drm/i915/tc: Drop tc_cold_block()/unblock()'s power domain parameter
      drm/i915/tc: Add TC PHY hook to get the TC-cold blocking power domain
      drm/i915/tc: Add asserts in TC PHY hooks that the required power is on
      drm/i915/tc: Add TC PHY hook to init the PHY
      drm/i915/adlp/tc: Use the DE HPD ISR register for hotplug detection
      drm/i915/tc: Get power ref for reading the HPD live status register
      drm/i915/tc: Don't connect the PHY in intel_tc_port_connected()
      drm/i915/adlp/tc: Align the connect/disconnect PHY sequence with bspec
      drm/i915: Move shared DPLL disabling into CRTC disable hook
      drm/i915: Disable DPLLs before disconnecting the TC PHY
      drm/i915: Remove TC PHY disconnect workaround
      drm/i915: Remove the encoder update_prepare()/complete() hooks

Jani Nikula (14):
      drm/i915: remove unused config DRM_I915_UNSTABLE
      drm/i915/pps: split out PPS regs to a separate file
      drm/i915/tv: split out TV regs to a separate file
      drm/i915/aux: split out DP AUX regs to a separate file
      drm/i915/fdi: split out FDI regs to a separate file
      drm/i915/dsb: split out DSB regs to a separate file
      drm/i915/wm: split out SKL+ watermark regs to a separate file
      drm/i915/psr: split out PSR regs to a separate file
      drm/i915: enable kernel-doc warnings for CONFIG_DRM_I915_WERROR=y
      drm/i915: run kernel-doc on headers as part of HDRTEST
      drm/i915: rename intel_pm.[ch] to intel_clock_gating.[ch]
      drm/i915/clock: mass rename dev_priv to i915
      drm/i915/tc: demote a kernel-doc comment to a regular comment
      drm/i915/wakeref: fix kernel-doc comment

Jouni Högander (6):
      drm/i915/psr: Unify pre/post hooks
      drm/i915/psr: Modify/Fix Wa_16013835468 and prepare for Wa_14015648006
      drm/i915/psr: Implement Wa_14015648006
      drm/i915/psr: Add helpers for block count number handling
      drm/i915/psr: Check that vblank is long enough for psr2
      drm/i915/psr: Implement Display WA #1136

Lee Jones (3):
      drm/i915/display/intel_display_debugfs: Fix incorrect param naming for 'intel_connector'
      drm/i915/display/intel_display_power: Fix incorrectly documented function __intel_display_power_put_async()
      drm/i915/display/intel_wm: Fix a little doc-rot in intel_update_watermarks()

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Stanislav Lisovskiy (2):
      drm/i915: Use compressed bpp when calculating m/n value for DP MST DSC
      drm/i915: Implement UHBR bandwidth check

Suraj Kandpal (4):
      drm/i915/dsc: Adding the new registers for DSC
      drm/i915/dsc: Enable YCbCr420 for VDSC
      drm/i915/dsc: Fill in native_420 field
      drm/i915/vdsc: Check slice design requirement

Swati Sharma (1):
      drm/i915/dsc: Add debugfs entry to validate DSC output formats

Ville Syrjälä (15):
      drm/i915/dpt: Treat the DPT BO as a framebuffer
      drm/i915/dpt: Only do the POT stride remap when using DPT
      drm/i915/dpt: Introduce HAS_DPT()
      drm/i915: Add PLANE_CHICKEN registers
      drm/i915/dpt: Add a modparam to disable DPT via the chicken bit
      drm/i915: Move PLANE_BUG_CFG bit definitions to the correct place
      drm/i915: Add i915.enable_sagv modparam
      drm/i915/ips: Make IPS debugfs per-crtc
      drm/i915/ips: Add i915_ips_false_color debugfs file
      drm/i915: Make utility pin asserts more accurate
      drm/i915: Skip cursor when writing PLANE_CHICKEN
      drm/i915: Document that PLANE_CHICKEN are for tgl+
      drm/i915: Define cursor chicken reg
      drm/i915: Fix fast wake AUX sync len
      drm/i915: Explain the magic numbers for AUX SYNC/precharge length

Vinod Govindapillai (2):
      drm/i915/reg: fix QGV points register access offsets
      drm/i915/reg: use the correct register to access SAGV block time

 .../ABI/testing/sysfs-driver-intel-i915-hwmon      |    4 +-
 drivers/gpu/drm/i915/Kconfig                       |    6 -
 drivers/gpu/drm/i915/Kconfig.unstable              |   21 -
 drivers/gpu/drm/i915/Makefile                      |   12 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |   73 +-
 drivers/gpu/drm/i915/display/hsw_ips.h             |    3 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |    2 -
 drivers/gpu/drm/i915/display/intel_crt.c           |    1 +
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |    4 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.h   |    2 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |   71 +-
 drivers/gpu/drm/i915/display/intel_display.c       |   95 +-
 drivers/gpu/drm/i915/display/intel_display.h       |    7 -
 drivers/gpu/drm/i915/display/intel_display_core.h  |    4 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   83 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   10 +-
 .../drm/i915/display/intel_display_power_well.c    |    7 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   21 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   48 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   33 +-
 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h   |   84 ++
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   50 +-
 drivers/gpu/drm/i915/display/intel_dpt.c           |   27 +
 drivers/gpu/drm/i915/display/intel_dpt.h           |    2 +
 drivers/gpu/drm/i915/display/intel_dsb.c           |    1 +
 drivers/gpu/drm/i915/display/intel_dsb_regs.h      |   67 ++
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    1 +
 drivers/gpu/drm/i915/display/intel_fb.c            |   11 +-
 drivers/gpu/drm/i915/display/intel_fb.h            |    1 +
 drivers/gpu/drm/i915/display/intel_fdi.c           |    1 +
 drivers/gpu/drm/i915/display/intel_fdi_regs.h      |  151 +++
 drivers/gpu/drm/i915/display/intel_lvds.c          |    1 +
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |    1 -
 drivers/gpu/drm/i915/display/intel_pch_display.c   |    1 +
 drivers/gpu/drm/i915/display/intel_pps.c           |    1 +
 drivers/gpu/drm/i915/display/intel_pps_regs.h      |   78 ++
 drivers/gpu/drm/i915/display/intel_psr.c           |   91 +-
 drivers/gpu/drm/i915/display/intel_psr_regs.h      |  260 ++++
 drivers/gpu/drm/i915/display/intel_qp_tables.c     |  187 ++-
 drivers/gpu/drm/i915/display/intel_qp_tables.h     |    4 +-
 drivers/gpu/drm/i915/display/intel_tc.c            | 1253 +++++++++++++-------
 drivers/gpu/drm/i915/display/intel_tc.h            |    4 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    3 +-
 drivers/gpu/drm/i915/display/intel_tv_regs.h       |  490 ++++++++
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  132 ++-
 drivers/gpu/drm/i915/display/intel_vdsc_regs.h     |   28 +
 drivers/gpu/drm/i915/display/intel_wm.c            |    2 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |    6 +
 drivers/gpu/drm/i915/display/skl_watermark.c       |   11 +-
 drivers/gpu/drm/i915/display/skl_watermark_regs.h  |  160 +++
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    3 +
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   15 +-
 drivers/gpu/drm/i915/gvt/edid.c                    |    1 +
 drivers/gpu/drm/i915/gvt/handlers.c                |    5 +
 drivers/gpu/drm/i915/i915_driver.c                 |    8 +-
 drivers/gpu/drm/i915/i915_drv.h                    |    2 +
 drivers/gpu/drm/i915/i915_gem.c                    |    8 +-
 drivers/gpu/drm/i915/i915_hwmon.c                  |   26 +
 drivers/gpu/drm/i915/i915_irq.c                    |    2 +
 drivers/gpu/drm/i915/i915_params.c                 |    6 +
 drivers/gpu/drm/i915/i915_params.h                 |    2 +
 drivers/gpu/drm/i915/i915_pci.c                    |    3 -
 drivers/gpu/drm/i915/i915_reg.h                    | 1243 +------------------
 drivers/gpu/drm/i915/intel_clock_gating.c          |  888 ++++++++++++++
 drivers/gpu/drm/i915/intel_clock_gating.h          |   14 +
 drivers/gpu/drm/i915/intel_device_info.h           |    1 -
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |    4 +
 drivers/gpu/drm/i915/intel_pm.c                    |  885 --------------
 drivers/gpu/drm/i915/intel_pm.h                    |   18 -
 drivers/gpu/drm/i915/intel_wakeref.h               |    2 +-
 .../gpu/drm/i915/pxp/intel_pxp_cmd_interface_cmn.h |    3 +
 drivers/gpu/drm/i915/pxp/intel_pxp_session.c       |    2 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |   77 +-
 drivers/gpu/drm/i915/vlv_suspend.c                 |    4 +-
 include/drm/display/drm_dp_helper.h                |   13 +
 76 files changed, 3965 insertions(+), 2888 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/Kconfig.unstable
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_aux_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dsb_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_fdi_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_pps_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_psr_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_tv_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/skl_watermark_regs.h
 create mode 100644 drivers/gpu/drm/i915/intel_clock_gating.c
 create mode 100644 drivers/gpu/drm/i915/intel_clock_gating.h
 delete mode 100644 drivers/gpu/drm/i915/intel_pm.c
 delete mode 100644 drivers/gpu/drm/i915/intel_pm.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2023-03-23 20:43 Rodrigo Vivi
@ 2023-03-24 20:13 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2023-03-24 20:13 UTC (permalink / raw)
  To: Rodrigo Vivi
  Cc: Tvrtko Ursulin, dim-tools, Daniel Vetter, dri-devel,
	Thomas Zimmermann, intel-gfx

On Thu, Mar 23, 2023 at 04:43:22PM -0400, Rodrigo Vivi wrote:
> Hi Daniel,
> 
> Here goes drm-intel-next-2023-03-23:
> 
> Core Changes:
> - drm: Add SDP Error Detection Configuration Register (Arun)
> 
> Driver Changes:
> - Meteor Lake enabling and fixes (RK, Jose, Madhumitha)
> - Lock the fbdev obj before vma pin (Tejas)
> - DSC fixes (Stanislav)
> - Fixes and clean-up on opregion code (Imre)
> - More wm/vblank stuff (Ville)
> - More general display code organization (Jani)
> - DP Fixes (Stanislav, Ville)
> - Introduce flags to ignore long HPD and link training issues \
>   for handling spurious issues on CI (Vinod)
> - Plane cleanups and extra registers (Ville)
> - Update audio keepalive clock values (Clint)
> - Rename find_section to bdb_find_section (Maarten)
> - DP SDP CRC16 for 128b132b link layer (Arun)
> - Fix various issues with noarm register writes (Ville)
> - Fix a few TypeC / MST issues (Imre)
> - Create GSC submission targeting HDCP and PXP usages on MTL+ (Suraj)
> - Enable HDCP2.x via GSC CS (Suraj)
> 
> Thanks,
> Rodrigo.
> 
> The following changes since commit 4b736ed40583631e0cf32c55dbc1e5ec0434a74b:
> 
>   drm/i915: Get rid of the gm45 HPD live state nonsense (2023-03-07 19:09:20 +0200)
> 
> are available in the Git repository at:
> 
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-03-23

Pulled, thanks.

> 
> for you to fetch changes up to 883631771038d1b0c10c0929e31bbd5ffb5e682c:
> 
>   drm/i915/mtl: Add HDCP GSC interface (2023-03-23 12:17:22 +0530)
> 
> ----------------------------------------------------------------
> Core Changes:
> - drm: Add SDP Error Detection Configuration Register (Arun)
> 
> Driver Changes:
> - Meteor Lake enabling and fixes (RK, Jose, Madhumitha)
> - Lock the fbdev obj before vma pin (Tejas)
> - DSC fixes (Stanislav)
> - Fixes and clean-up on opregion code (Imre)
> - More wm/vblank stuff (Ville)
> - More general display code organization (Jani)
> - DP Fixes (Stanislav, Ville)
> - Introduce flags to ignore long HPD and link training issues \
>   for handling spurious issues on CI (Vinod)
> - Plane cleanups and extra registers (Ville)
> - Update audio keepalive clock values (Clint)
> - Rename find_section to bdb_find_section (Maarten)
> - DP SDP CRC16 for 128b132b link layer (Arun)
> - Fix various issues with noarm register writes (Ville)
> - Fix a few TypeC / MST issues (Imre)
> - Create GSC submission targeting HDCP and PXP usages on MTL+ (Suraj)
> - Enable HDCP2.x via GSC CS (Suraj)
> 
> ----------------------------------------------------------------
> Ankit Nautiyal (1):
>       drm/i915/dp: Don't roundup max bpp, while computing compressed bpp
> 
> Anshuman Gupta (1):
>       drm/i915/hdcp: Use generic names for HDCP helpers and structs
> 
> Arun R Murthy (2):
>       drm: Add SDP Error Detection Configuration Register
>       i915/display/dp: SDP CRC16 for 128b132b link layer
> 
> Clint Taylor (1):
>       drm/i915/audio: update audio keepalive clock values
> 
> Imre Deak (18):
>       drm/i915/opregion: Fix opregion setup during system resume on platforms without display
>       drm/i915/opregion: Cleanup opregion after errors during driver loading
>       drm/i915/opregion: Register display debugfs later, after initialization steps
>       drm/i915/opregion: Fix CONFIG_ACPI=n builds adding missing intel_opregion_cleanup() prototype
>       drm/i915/tc: Abort DP AUX transfer on a disconnected TC port
>       drm/i915/tc: Fix TC port link ref init for DP MST during HW readout
>       drm/i915/tc: Fix the ICL PHY ownership check in TC-cold state
>       drm/i915/tc: Fix system resume MST mode restore for DP-alt sinks
>       drm/i915/tc: Wait for IOM/FW PHY initialization of legacy TC ports
>       drm/i915/tc: Factor out helpers converting HPD mask to TC mode
>       drm/i915/tc: Fix target TC mode for a disconnected legacy port
>       drm/i915/tc: Fix TC mode for a legacy port if the PHY is not ready
>       drm/i915/tc: Fix initial TC mode on disabled legacy ports
>       drm/i915/tc: Make the TC mode readout consistent in all PHY states
>       drm/i915/tc: Assume a TC port is legacy if VBT says the port has HDMI
>       drm/i915: Add encoder hook to get the PLL type used by TC ports
>       drm/i915/tc: Factor out a function querying active links on a TC port
>       drm/i915/tc: Check the PLL type used by an enabled TC port
> 
> Jani Nikula (6):
>       drm/i915/debugfs: move IPS debugfs to hsw_ips.c
>       drm/i915/psr: move PSR debugfs to intel_psr.c
>       drm/i915/psr: switch PSR debugfs to struct intel_connector
>       drm/i915/psr: clean up PSR debugfs sink status error handling
>       drm/i915/debugfs: switch crtc debugfs to struct intel_crtc
>       drm/i915/debugfs: add crtc i915_pipe debugfs file
> 
> José Roberto de Souza (1):
>       drm/i915/display/mtl: Program latch to phy reset
> 
> Maarten Lankhorst (1):
>       drm/i915/bios: Rename find_section to find_bdb_section
> 
> Madhumitha Tolakanahalli Pradeep (1):
>       drm/i915/dmc: Load DMC on MTL
> 
> Radhakrishna Sripada (1):
>       drm/i915/mtl: Fix Wa_16015201720 implementation
> 
> Stanislav Lisovskiy (1):
>       drm/i915: Ensure DSC has enough BW and stays within HW limits
> 
> Suraj Kandpal (5):
>       drm/i915/gsc: Create GSC request submission mechanism
>       drm/i915/hdcp: HDCP2.x Refactoring to agnostic hdcp
>       drm/i915/hdcp: Refactor HDCP API structures
>       drm/i915/mtl: Add function to send command to GSC CS
>       drm/i915/mtl: Add HDCP GSC interface
> 
> Tejas Upadhyay (1):
>       drm/i915/fbdev: lock the fbdev obj before vma pin
> 
> Ville Syrjälä (23):
>       drm/i915: Preserve crtc_state->inherited during state clearing
>       drm/i915: Extract skl_wm_latency()
>       drm/i915: Reject wm levels that exceed vblank time
>       drm/i915: Don't switch to TPS1 when disabling DP_TP_CTL
>       drm/i915: Don't send idle pattern after DP2.0 link training
>       drm/i915: Stop using pipe_offsets[] for PIPE_MISC*
>       drm/i915: s/PIPEMISC/PIPE_MISC/
>       drm/i915: Define more pipe timestamp registers
>       drm/i915: Program VLV/CHV PIPE_MSA_MISC register
>       drm/i915: Define skl+ universal plane SURFLIVE registers
>       drm/i915: Define vlv/chv sprite plane SURFLIVE registers
>       drm/i915: Clean up skl+ plane alpha bits
>       drm/i915: Relocate intel_plane_check_src_coordinates()
>       drm/i915: Extract intel_sprite_uapi.c
>       drm/i915: Update vblank timestamping stuff on seamless M/N change
>       drm/i915: Add belts and suspenders locking for seamless M/N changes
>       drm/i915: Relocate intel_crtc_update_active_timings()
>       drm/i915: Extract intel_crtc_scanline_offset()
>       drm/i915: Split icl_color_commit_noarm() from skl_color_commit_noarm()
>       drm/i915: Move CSC load back into .color_commit_arm() when PSR is enabled on skl/glk
>       drm/i915: Add a .color_post_update() hook
>       drm/i915: Workaround ICL CSC_MODE sticky arming
>       drm/i915: Disable DC states for all commits
> 
> Vinod Govindapillai (2):
>       drm/i915/display: ignore long HPDs based on a flag
>       drm/i915/display: ignore link training failures in CI
> 
>  drivers/gpu/drm/i915/Makefile                      |   3 +
>  drivers/gpu/drm/i915/display/hsw_ips.c             |  37 +
>  drivers/gpu/drm/i915/display/hsw_ips.h             |   2 +
>  drivers/gpu/drm/i915/display/icl_dsi.c             |   2 +-
>  drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  60 +-
>  drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   1 +
>  drivers/gpu/drm/i915/display/intel_audio.c         |   6 +-
>  drivers/gpu/drm/i915/display/intel_bios.c          |  46 +-
>  drivers/gpu/drm/i915/display/intel_color.c         | 101 ++-
>  drivers/gpu/drm/i915/display/intel_color.h         |   1 +
>  drivers/gpu/drm/i915/display/intel_crtc.c          |  10 +-
>  drivers/gpu/drm/i915/display/intel_cursor.c        |   1 -
>  drivers/gpu/drm/i915/display/intel_ddi.c           |  61 +-
>  drivers/gpu/drm/i915/display/intel_ddi.h           |   3 +
>  drivers/gpu/drm/i915/display/intel_display.c       | 157 ++--
>  drivers/gpu/drm/i915/display/intel_display.h       |   3 +-
>  drivers/gpu/drm/i915/display/intel_display_core.h  |  19 +-
>  .../gpu/drm/i915/display/intel_display_debugfs.c   | 353 +--------
>  .../gpu/drm/i915/display/intel_display_debugfs.h   |   6 +-
>  drivers/gpu/drm/i915/display/intel_display_power.c |   8 +
>  drivers/gpu/drm/i915/display/intel_display_types.h |   8 +-
>  drivers/gpu/drm/i915/display/intel_dmc.c           |  36 +-
>  drivers/gpu/drm/i915/display/intel_dp.c            |  39 +-
>  drivers/gpu/drm/i915/display/intel_dp_aux.c        |  15 +-
>  .../gpu/drm/i915/display/intel_dp_link_training.c  |  48 +-
>  .../gpu/drm/i915/display/intel_dp_link_training.h  |   2 +
>  drivers/gpu/drm/i915/display/intel_fbdev.c         |  24 +-
>  drivers/gpu/drm/i915/display/intel_fdi.c           |   4 +-
>  drivers/gpu/drm/i915/display/intel_hdcp.c          | 158 ++--
>  drivers/gpu/drm/i915/display/intel_hdcp_gsc.c      | 831 +++++++++++++++++++++
>  drivers/gpu/drm/i915/display/intel_hdcp_gsc.h      |  26 +
>  drivers/gpu/drm/i915/display/intel_hotplug.c       |   9 +
>  drivers/gpu/drm/i915/display/intel_modeset_setup.c |   1 +
>  drivers/gpu/drm/i915/display/intel_opregion.c      |  40 +-
>  drivers/gpu/drm/i915/display/intel_opregion.h      |   5 +
>  drivers/gpu/drm/i915/display/intel_psr.c           | 299 ++++++++
>  drivers/gpu/drm/i915/display/intel_psr.h           |   3 +
>  drivers/gpu/drm/i915/display/intel_sprite.c        | 183 -----
>  drivers/gpu/drm/i915/display/intel_sprite_uapi.c   | 127 ++++
>  drivers/gpu/drm/i915/display/intel_sprite_uapi.h   |  15 +
>  drivers/gpu/drm/i915/display/intel_tc.c            | 322 ++++++--
>  drivers/gpu/drm/i915/display/intel_tc.h            |   5 +-
>  drivers/gpu/drm/i915/display/intel_vblank.c        |  92 +++
>  drivers/gpu/drm/i915/display/intel_vblank.h        |   2 +
>  drivers/gpu/drm/i915/display/skl_universal_plane.c |   1 -
>  drivers/gpu/drm/i915/display/skl_watermark.c       | 156 +++-
>  drivers/gpu/drm/i915/display/vlv_dsi.c             |   2 +-
>  drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   2 +
>  .../drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.c  | 109 +++
>  .../drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.h  |  61 ++
>  drivers/gpu/drm/i915/i915_driver.c                 |   6 +-
>  drivers/gpu/drm/i915/i915_reg.h                    |  87 ++-
>  drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   6 +-
>  drivers/misc/mei/hdcp/mei_hdcp.c                   | 105 ++-
>  drivers/misc/mei/hdcp/mei_hdcp.h                   | 354 ---------
>  include/drm/display/drm_dp.h                       |   3 +
>  include/drm/i915_hdcp_interface.h                  | 539 +++++++++++++
>  include/drm/i915_mei_hdcp_interface.h              | 184 -----
>  58 files changed, 3322 insertions(+), 1467 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_gsc.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_sprite_uapi.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_sprite_uapi.h
>  create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.c
>  create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.h
>  create mode 100644 include/drm/i915_hdcp_interface.h
>  delete mode 100644 include/drm/i915_mei_hdcp_interface.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-03-23 20:43 Rodrigo Vivi
  2023-03-24 20:13 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2023-03-23 20:43 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Daniel,

Here goes drm-intel-next-2023-03-23:

Core Changes:
- drm: Add SDP Error Detection Configuration Register (Arun)

Driver Changes:
- Meteor Lake enabling and fixes (RK, Jose, Madhumitha)
- Lock the fbdev obj before vma pin (Tejas)
- DSC fixes (Stanislav)
- Fixes and clean-up on opregion code (Imre)
- More wm/vblank stuff (Ville)
- More general display code organization (Jani)
- DP Fixes (Stanislav, Ville)
- Introduce flags to ignore long HPD and link training issues \
  for handling spurious issues on CI (Vinod)
- Plane cleanups and extra registers (Ville)
- Update audio keepalive clock values (Clint)
- Rename find_section to bdb_find_section (Maarten)
- DP SDP CRC16 for 128b132b link layer (Arun)
- Fix various issues with noarm register writes (Ville)
- Fix a few TypeC / MST issues (Imre)
- Create GSC submission targeting HDCP and PXP usages on MTL+ (Suraj)
- Enable HDCP2.x via GSC CS (Suraj)

Thanks,
Rodrigo.

The following changes since commit 4b736ed40583631e0cf32c55dbc1e5ec0434a74b:

  drm/i915: Get rid of the gm45 HPD live state nonsense (2023-03-07 19:09:20 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-03-23

for you to fetch changes up to 883631771038d1b0c10c0929e31bbd5ffb5e682c:

  drm/i915/mtl: Add HDCP GSC interface (2023-03-23 12:17:22 +0530)

----------------------------------------------------------------
Core Changes:
- drm: Add SDP Error Detection Configuration Register (Arun)

Driver Changes:
- Meteor Lake enabling and fixes (RK, Jose, Madhumitha)
- Lock the fbdev obj before vma pin (Tejas)
- DSC fixes (Stanislav)
- Fixes and clean-up on opregion code (Imre)
- More wm/vblank stuff (Ville)
- More general display code organization (Jani)
- DP Fixes (Stanislav, Ville)
- Introduce flags to ignore long HPD and link training issues \
  for handling spurious issues on CI (Vinod)
- Plane cleanups and extra registers (Ville)
- Update audio keepalive clock values (Clint)
- Rename find_section to bdb_find_section (Maarten)
- DP SDP CRC16 for 128b132b link layer (Arun)
- Fix various issues with noarm register writes (Ville)
- Fix a few TypeC / MST issues (Imre)
- Create GSC submission targeting HDCP and PXP usages on MTL+ (Suraj)
- Enable HDCP2.x via GSC CS (Suraj)

----------------------------------------------------------------
Ankit Nautiyal (1):
      drm/i915/dp: Don't roundup max bpp, while computing compressed bpp

Anshuman Gupta (1):
      drm/i915/hdcp: Use generic names for HDCP helpers and structs

Arun R Murthy (2):
      drm: Add SDP Error Detection Configuration Register
      i915/display/dp: SDP CRC16 for 128b132b link layer

Clint Taylor (1):
      drm/i915/audio: update audio keepalive clock values

Imre Deak (18):
      drm/i915/opregion: Fix opregion setup during system resume on platforms without display
      drm/i915/opregion: Cleanup opregion after errors during driver loading
      drm/i915/opregion: Register display debugfs later, after initialization steps
      drm/i915/opregion: Fix CONFIG_ACPI=n builds adding missing intel_opregion_cleanup() prototype
      drm/i915/tc: Abort DP AUX transfer on a disconnected TC port
      drm/i915/tc: Fix TC port link ref init for DP MST during HW readout
      drm/i915/tc: Fix the ICL PHY ownership check in TC-cold state
      drm/i915/tc: Fix system resume MST mode restore for DP-alt sinks
      drm/i915/tc: Wait for IOM/FW PHY initialization of legacy TC ports
      drm/i915/tc: Factor out helpers converting HPD mask to TC mode
      drm/i915/tc: Fix target TC mode for a disconnected legacy port
      drm/i915/tc: Fix TC mode for a legacy port if the PHY is not ready
      drm/i915/tc: Fix initial TC mode on disabled legacy ports
      drm/i915/tc: Make the TC mode readout consistent in all PHY states
      drm/i915/tc: Assume a TC port is legacy if VBT says the port has HDMI
      drm/i915: Add encoder hook to get the PLL type used by TC ports
      drm/i915/tc: Factor out a function querying active links on a TC port
      drm/i915/tc: Check the PLL type used by an enabled TC port

Jani Nikula (6):
      drm/i915/debugfs: move IPS debugfs to hsw_ips.c
      drm/i915/psr: move PSR debugfs to intel_psr.c
      drm/i915/psr: switch PSR debugfs to struct intel_connector
      drm/i915/psr: clean up PSR debugfs sink status error handling
      drm/i915/debugfs: switch crtc debugfs to struct intel_crtc
      drm/i915/debugfs: add crtc i915_pipe debugfs file

José Roberto de Souza (1):
      drm/i915/display/mtl: Program latch to phy reset

Maarten Lankhorst (1):
      drm/i915/bios: Rename find_section to find_bdb_section

Madhumitha Tolakanahalli Pradeep (1):
      drm/i915/dmc: Load DMC on MTL

Radhakrishna Sripada (1):
      drm/i915/mtl: Fix Wa_16015201720 implementation

Stanislav Lisovskiy (1):
      drm/i915: Ensure DSC has enough BW and stays within HW limits

Suraj Kandpal (5):
      drm/i915/gsc: Create GSC request submission mechanism
      drm/i915/hdcp: HDCP2.x Refactoring to agnostic hdcp
      drm/i915/hdcp: Refactor HDCP API structures
      drm/i915/mtl: Add function to send command to GSC CS
      drm/i915/mtl: Add HDCP GSC interface

Tejas Upadhyay (1):
      drm/i915/fbdev: lock the fbdev obj before vma pin

Ville Syrjälä (23):
      drm/i915: Preserve crtc_state->inherited during state clearing
      drm/i915: Extract skl_wm_latency()
      drm/i915: Reject wm levels that exceed vblank time
      drm/i915: Don't switch to TPS1 when disabling DP_TP_CTL
      drm/i915: Don't send idle pattern after DP2.0 link training
      drm/i915: Stop using pipe_offsets[] for PIPE_MISC*
      drm/i915: s/PIPEMISC/PIPE_MISC/
      drm/i915: Define more pipe timestamp registers
      drm/i915: Program VLV/CHV PIPE_MSA_MISC register
      drm/i915: Define skl+ universal plane SURFLIVE registers
      drm/i915: Define vlv/chv sprite plane SURFLIVE registers
      drm/i915: Clean up skl+ plane alpha bits
      drm/i915: Relocate intel_plane_check_src_coordinates()
      drm/i915: Extract intel_sprite_uapi.c
      drm/i915: Update vblank timestamping stuff on seamless M/N change
      drm/i915: Add belts and suspenders locking for seamless M/N changes
      drm/i915: Relocate intel_crtc_update_active_timings()
      drm/i915: Extract intel_crtc_scanline_offset()
      drm/i915: Split icl_color_commit_noarm() from skl_color_commit_noarm()
      drm/i915: Move CSC load back into .color_commit_arm() when PSR is enabled on skl/glk
      drm/i915: Add a .color_post_update() hook
      drm/i915: Workaround ICL CSC_MODE sticky arming
      drm/i915: Disable DC states for all commits

Vinod Govindapillai (2):
      drm/i915/display: ignore long HPDs based on a flag
      drm/i915/display: ignore link training failures in CI

 drivers/gpu/drm/i915/Makefile                      |   3 +
 drivers/gpu/drm/i915/display/hsw_ips.c             |  37 +
 drivers/gpu/drm/i915/display/hsw_ips.h             |   2 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |   2 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  60 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   1 +
 drivers/gpu/drm/i915/display/intel_audio.c         |   6 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  46 +-
 drivers/gpu/drm/i915/display/intel_color.c         | 101 ++-
 drivers/gpu/drm/i915/display/intel_color.h         |   1 +
 drivers/gpu/drm/i915/display/intel_crtc.c          |  10 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |   1 -
 drivers/gpu/drm/i915/display/intel_ddi.c           |  61 +-
 drivers/gpu/drm/i915/display/intel_ddi.h           |   3 +
 drivers/gpu/drm/i915/display/intel_display.c       | 157 ++--
 drivers/gpu/drm/i915/display/intel_display.h       |   3 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  19 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   | 353 +--------
 .../gpu/drm/i915/display/intel_display_debugfs.h   |   6 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   8 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   8 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  36 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  39 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  15 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  48 +-
 .../gpu/drm/i915/display/intel_dp_link_training.h  |   2 +
 drivers/gpu/drm/i915/display/intel_fbdev.c         |  24 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          | 158 ++--
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c      | 831 +++++++++++++++++++++
 drivers/gpu/drm/i915/display/intel_hdcp_gsc.h      |  26 +
 drivers/gpu/drm/i915/display/intel_hotplug.c       |   9 +
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |   1 +
 drivers/gpu/drm/i915/display/intel_opregion.c      |  40 +-
 drivers/gpu/drm/i915/display/intel_opregion.h      |   5 +
 drivers/gpu/drm/i915/display/intel_psr.c           | 299 ++++++++
 drivers/gpu/drm/i915/display/intel_psr.h           |   3 +
 drivers/gpu/drm/i915/display/intel_sprite.c        | 183 -----
 drivers/gpu/drm/i915/display/intel_sprite_uapi.c   | 127 ++++
 drivers/gpu/drm/i915/display/intel_sprite_uapi.h   |  15 +
 drivers/gpu/drm/i915/display/intel_tc.c            | 322 ++++++--
 drivers/gpu/drm/i915/display/intel_tc.h            |   5 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        |  92 +++
 drivers/gpu/drm/i915/display/intel_vblank.h        |   2 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   1 -
 drivers/gpu/drm/i915/display/skl_watermark.c       | 156 +++-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   2 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   2 +
 .../drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.c  | 109 +++
 .../drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.h  |  61 ++
 drivers/gpu/drm/i915/i915_driver.c                 |   6 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  87 ++-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   6 +-
 drivers/misc/mei/hdcp/mei_hdcp.c                   | 105 ++-
 drivers/misc/mei/hdcp/mei_hdcp.h                   | 354 ---------
 include/drm/display/drm_dp.h                       |   3 +
 include/drm/i915_hdcp_interface.h                  | 539 +++++++++++++
 include/drm/i915_mei_hdcp_interface.h              | 184 -----
 58 files changed, 3322 insertions(+), 1467 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_gsc.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_gsc.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_sprite_uapi.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_sprite_uapi.h
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.c
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_gsc_uc_heci_cmd_submit.h
 create mode 100644 include/drm/i915_hdcp_interface.h
 delete mode 100644 include/drm/i915_mei_hdcp_interface.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-03-07 22:00 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2023-03-07 22:00 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes our first pull request towards 6.3.

drm-intel-next-2023-03-07:

Cross-subsystem Changes:
- MEI patches to fix suspend/resume issues with the i915's PXP. (Alexander)

Driver Changes:
- Registers helpers and clean-ups. (Lucas)
- PXP fixes and clean-ups. (Alan, Alexander)
- CDCLK related fixes and w/a (Chaitanya, Stanislav)
- Move display code to use RMW whenever possible (Andrzej)
- PSR fixes (Jouni, Ville)
- Implement async_flip mode per plane tracking (Andrzej)
- Remove pre-production Workarounds (Matt)
- HDMI related fixes (Ankit)
- LVDS cleanup (Ville)
- Watermark fixes and cleanups (Ville, Jani, Stanilav)
- DMC code related fixes, cleanups and improvements (Jani)
- Implement fb_dirty for PSR,FBC,DRRS fixes (Jouni)
- Initial DSB improvements targeting LUTs loading (Ville)
- HWMON related fixes (Ashutosh)
- PCI ID updates (Jonathan, Matt Roper)
- Fix leak in scatterlist (Matt Atwood)
- Fix eDP+DSI dual panel systems (Ville)
- Cast iomem to avoid sparese warnings (Jani)
- Set default backlight controller index (Jani)
- More MTL enabling (RK)
- Conversion of display dev_priv towards i915 (Nirmoy)
- Improvements in log/debug messages (Ville)
- Increase slice_height for DP VDSC (Suraj)
- VBT ports improvements (Ville)
- Fix platforms without Display (Imre)
- Other generic display code clean-ups (Ville, Jani, Rodrigo)
- Add RPL-U sub platform (Chaitanya)
- Add inverted backlight quirk for HP 14-r206nv (Mavroudis)
- Transcoder timing improvements (Ville)
- Track audio state per-transcoder (Ville)
- Error/underrun interrupt fixes (Ville)
- Update combo PHY init sequence (Matt Roper)
- Get HDR DPCD refresh timeout (Ville)
- Vblank improvements (Ville)
- DSS fixes and cleanups (Jani)
- PM code cleanup (Jani)
- Split display parts related to RPS (Jani)

Thanks,
Rodrigo.

The following changes since commit d3eb347da1148fdb1c2462ae83090a4553d3f46f:

  drm/i915/mtl: Apply Wa_14013475917 for all MTL steppings (2023-01-26 13:54:05 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-03-07

for you to fetch changes up to 4b736ed40583631e0cf32c55dbc1e5ec0434a74b:

  drm/i915: Get rid of the gm45 HPD live state nonsense (2023-03-07 19:09:20 +0200)

----------------------------------------------------------------
Cross-subsystem Changes:
- MEI patches to fix suspend/resume issues with the i915's PXP. (Alexander)

Driver Changes:
- Registers helpers and clean-ups. (Lucas)
- PXP fixes and clean-ups. (Alan, Alexander)
- CDCLK related fixes and w/a (Chaitanya, Stanislav)
- Move display code to use RMW whenever possible (Andrzej)
- PSR fixes (Jouni, Ville)
- Implement async_flip mode per plane tracking (Andrzej)
- Remove pre-production Workarounds (Matt)
- HDMI related fixes (Ankit)
- LVDS cleanup (Ville)
- Watermark fixes and cleanups (Ville, Jani, Stanilav)
- DMC code related fixes, cleanups and improvements (Jani)
- Implement fb_dirty for PSR,FBC,DRRS fixes (Jouni)
- Initial DSB improvements targeting LUTs loading (Ville)
- HWMON related fixes (Ashutosh)
- PCI ID updates (Jonathan, Matt Roper)
- Fix leak in scatterlist (Matt Atwood)
- Fix eDP+DSI dual panel systems (Ville)
- Cast iomem to avoid sparese warnings (Jani)
- Set default backlight controller index (Jani)
- More MTL enabling (RK)
- Conversion of display dev_priv towards i915 (Nirmoy)
- Improvements in log/debug messages (Ville)
- Increase slice_height for DP VDSC (Suraj)
- VBT ports improvements (Ville)
- Fix platforms without Display (Imre)
- Other generic display code clean-ups (Ville, Jani, Rodrigo)
- Add RPL-U sub platform (Chaitanya)
- Add inverted backlight quirk for HP 14-r206nv (Mavroudis)
- Transcoder timing improvements (Ville)
- Track audio state per-transcoder (Ville)
- Error/underrun interrupt fixes (Ville)
- Update combo PHY init sequence (Matt Roper)
- Get HDR DPCD refresh timeout (Ville)
- Vblank improvements (Ville)
- DSS fixes and cleanups (Jani)
- PM code cleanup (Jani)
- Split display parts related to RPS (Jani)

----------------------------------------------------------------
Alan Previn (3):
      drm/i915/pxp: Invalidate all PXP fw sessions during teardown
      drm/i915/pxp: Trigger the global teardown for before suspending
      drm/i915/pxp: Pxp hw init should be in resume_complete

Alexander Usyskin (3):
      mei: mei-me: resume device in prepare
      drm/i915/pxp: add device link between i915 and mei_pxp
      mei: clean pending read with vtag on bus

Andrzej Hajda (14):
      drm/i915/display/fdi: use intel_de_rmw if possible
      drm/i915/display/vlv: fix pixel overlap register update
      drm/i915/display/vlv: use intel_de_rmw if possible
      drm/i915/display/dsi: use intel_de_rmw if possible
      drm/i915: implement async_flip mode per plane tracking
      drm/i915/display/core: use intel_de_rmw if possible
      drm/i915/display/dpll: use intel_de_rmw if possible
      drm/i915/display/phys: use intel_de_rmw if possible
      drm/i915/display/pch: use intel_de_rmw if possible
      drm/i915/display/hdmi: use intel_de_rmw if possible
      drm/i915/display/panel: use intel_de_rmw if possible in panel related code
      drm/i915/display/interfaces: use intel_de_rmw if possible
      drm/i915/display/misc: use intel_de_rmw if possible
      drm/i915/display/power: use intel_de_rmw if possible

Ankit Nautiyal (2):
      drm/i915/hdmi: Go for scrambling only if platform supports TMDS clock > 340MHz
      drm/i915/dg2: Add HDMI pixel clock frequencies 267.30 and 319.89 MHz

Ashutosh Dixit (5):
      drm/i915/hwmon: Enable PL1 power limit
      Revert "drm/i915/hwmon: Enable PL1 power limit"
      drm/i915/hwmon: Replace hwm_field_scale_and_write with hwm_power_max_write
      drm/i915/hwmon: Enable PL1 limit when writing limit value to HW
      drm/i915/hwmon: Accept writes of value 0 to power1_max_interval

Chaitanya Kumar Borah (3):
      drm/i915/adlp: Fix typo for reference clock
      drm/i915: Add RPL-U sub platform
      drm/i915/display: Add 480 MHz CDCLK steps for RPL-U

Imre Deak (4):
      drm/i915: Fix system suspend without fbdev being initialized
      drm/i915: Move display power initialization during driver probing later
      drm/i915/dgfx, mtl+: Disable display functionality if the display is not present
      drm/i915: Sanitize the display fused-off check on GEN7/8

Jani Nikula (29):
      drm/i915/dmc: add proper name to dmc id enum and use it
      drm/i915/dmc: add for_each_dmc_id() and use it
      drm/i915/dmc: remove unnecessary dmc_id validity check
      drm/i915/dmc: add is_valid_dmc_id() and use it
      drm/i915/dmc: check incoming dmc id validity
      drm/i915/dmc: drop "ucode" from function names
      drm/i915/uncore: cast iomem to avoid sparse warning
      drm/i915/bios: set default backlight controller index
      drm/i915: move memory frequency detection to intel_dram.c
      drm/i915/wm: move remaining watermark code out of intel_pm.c
      drm/i915/wm: move functions to call watermark hooks to intel_wm.[ch]
      drm/i915/wm: add .get_hw_state to watermark funcs
      drm/i915/wm: move ILK watermark sanitization to i9xx_wm.[ch]
      drm/i915/wm: warn about ilk_wm_sanitize() on display ver 9+
      drm/i915/wm: move watermark debugfs to intel_wm.c
      drm/i915: rename intel_pm_types.h -> display/intel_wm_types.h
      drm/i915/wm: remove ILK+ nop funcs fallback
      drm/i915/dsi: fix DSS CTL register offsets for TGL+
      drm/i915/display: split out DSC and DSS registers
      drm/i915/wm: remove display/ prefix from include
      drm/i915/pm: drop intel_pm_setup()
      drm/i915/pm: drop intel_suspend_hw()
      drm/i915: remove unnecessary intel_pm.h includes
      drm/i915/power: move dc state members to struct i915_power_domains
      drm/i915/dmc: use has_dmc_id_fw() instead of poking dmc->dmc_info directly
      drm/i915/dmc: add i915_to_dmc() and dmc->i915 and use them
      drm/i915/dmc: allocate dmc structure dynamically
      drm/i915/dmc: mass rename dev_priv to i915
      drm/i915/rps: split out display rps parts to a separate file

Jonathan Gray (1):
      drm/i915: Add another EHL pci id

Jouni Högander (3):
      drm/i915/psr: Split sel fetch plane configuration into arm and noarm
      drm/i915/fbdev: Implement fb_dirty for intel custom fb helper
      drm/i915/psr: Use calculated io and fast wake lines

Lucas De Marchi (9):
      drm/i915: Add _PICK_EVEN_2RANGES()
      drm/i915: Fix coding style on DPLL*_ENABLE defines
      drm/i915: Convert pll macros to _PICK_EVEN_2RANGES
      drm/i915: Replace _MMIO_PHY3() with _PICK_EVEN_2RANGES()
      drm/i915: Convert PIPE3/PORT3 to _PICK_EVEN_2RANGES()
      drm/i915: Convert _FIA() to _PICK_EVEN_2RANGES()
      drm/i915: Convert MBUS_ABOX_CTL() to _PICK_EVEN_2RANGES()
      drm/i915: Convert PALETTE() to _PICK_EVEN_2RANGES()
      drm/i915: Move MCR_REG define to i915_reg_defs.h

Matt Atwood (1):
      drm/i915: Fix memory leaks in scatterlist

Matt Roper (5):
      drm/i915/tgl: Drop support for pre-production steppings
      drm/i915/dg1: Drop support for pre-production steppings
      drm/i915/dg1: Drop final use of IS_DG1_GRAPHICS_STEP
      drm/i915/dg2: Drop one PCI ID
      drm/i915/gen12: Update combo PHY init sequence

Mavroudis Chatzilaridis (1):
      drm/i915/quirks: Add inverted backlight quirk for HP 14-r206nv

Nirmoy Das (1):
      drm/i915/display: Pass drm_i915_private as param to i915 funcs

Radhakrishna Sripada (1):
      drm/i915/mtl: Initialize empty clockgating hooks for MTL

Rodrigo Vivi (1):
      drm/i915: Remove unused tmp assignment.

Stanislav Lisovskiy (2):
      drm/i915: Implement workaround for CDCLK PLL disable/enable
      drm/i915: Copy highest enabled wm level to disabled wm levels for gen >= 9

Suraj Kandpal (1):
      drm/i915/dp: Increase slice_height for DP

Ville Syrjälä (74):
      drm/i915/lvds: Split long lines
      drm/i915/lvds: Use intel_de_rmw()
      drm/i915/lvds: Use REG_BIT() & co.
      drm/i915/lvds: Extract intel_lvds_regs.h
      drm/i915/lvds: Fix whitespace
      drm/i915/lvds: s/dev_priv/i915/
      drm/i915/lvds: s/intel_encoder/encoder/ etc.
      drm/i915/lvds: s/pipe_config/crtc_state/
      drm/i915: Don't do the WM0->WM1 copy w/a if WM1 is already enabled
      drm/i915: Introduce HAS_SAGV()
      drm/i915: Keep sagv status updated on icl+
      drm/i915: Expose SAGV state via debugfs
      drm/i915/dsb: Pimp debug/error prints
      drm/i915/dsb: Split intel_dsb_wait() from intel_dsb_commit()
      drm/i915/dsb: Introduce intel_dsb_finish()
      drm/i915: Fix VBT DSI DVO port handling
      drm/i915: Populate encoder->devdata for DSI on icl+
      drm/i915: Pick the backlight controller based on VBT on ICP+
      drm/i915: Populate wm.max_level for everyone
      drm/i915: Replace wm.max_levels with wm.num_levels and use it everywhere
      drm/i915: Include stepping information in device info dump
      drm/i915: Prefix hex numbers with 0x
      drm/i915: Pass the whole encoder to hotplug_enables()
      drm/i915: Move variables to loop context
      drm/i915: Replace intel_bios_is_lspcon_present() with intel_bios_encoder_is_lspcon()
      drm/i915: Replace intel_bios_is_lane_reversal_needed() with intel_bios_encoder_lane_reversal()
      drm/i915: Replace intel_bios_is_port_hpd_inverted() with intel_bios_encoder_hpd_invert()
      drm/i915: Consult the registered encoders for the ICL combo PHY w/a
      drm/i915: Populate encoder->devdata for g4x+ DP/HDMI ports
      drm/i915: Pass devdata to intel_bios_port_aux_ch()
      drm/i915: Iterate all child devs in intel_bios_is_port_present()
      drm/i915: Use encoder->devdata in eDP init
      drm/i915: Make backlight setup debugs consistent
      drm/i915: Don't hide function calls with side effects
      drm/i915: Clean up g4x+ sprite TILEOFF programming
      drm/i915: Include connector id+name in all backlight debugs/errors
      drm/i915: Reduce ELD hex dumps a bit
      drm/i915: Don't leak the DPT if drm_framebuffer_init() fails
      drm/i915: Add a few more debugs for failed framebuffer creation
      drm/i915: Use encoder->devdata more
      drm/i915: Restructure intel_bios_port_aux_ch()
      drm/i915: Pimp encoder ddc_pin/aux_ch debug messages
      drm/i915: Fix platform default aux ch for skl
      drm/i915: Rename intel_ddi_{enable,disable}_pipe_clock()
      drm/i915: Flatten intel_ddi_{enable,disable}_transcoder_clock()
      drm/i915: Give CPU transcoder timing registers TRANS_ prefix
      drm/i915: s/PIPECONF/TRANSCONF/
      drm/i915: Dump blanking start/end
      drm/i915: Define the "unmodified vblank" interrupt bit
      drm/i915: Add local adjusted_mode variable
      drm/i915: Define transcoder timing register bitmasks
      drm/i915/psr: Stop clobbering TRANS_SET_CONTEXT_LATENCY
      drm/i915/dsb: Define more DSB registers
      drm/i915/dsb: Allow vblank synchronized DSB execution
      drm/i915/dsb: Nuke the DSB debug
      drm/i915/dsb: Skip DSB command buffer setup if we have no LUTs
      drm/i915: Configure TRANS_SET_CONTEXT_LATENCY correctly on ADL+
      drm/i915: Sprinkle some FIXMEs about TGL+ DSI transcoder timing mess
      drm/i915: Remove pointless register read
      drm/i915/audio: Track audio state per-transcoder
      drm/i915: Mark FIFO underrun disabled earlier
      drm/i915: Undo rmw damage to gen3 error interrupt handler
      drm/i915: Dump PGTBL_ER on gen2/3/4 error interrupt
      drm/i915: Extract {i9xx,i965)_error_mask()
      drm/i915: Mask page table errors on gen2/3 with FBC
      drm/i915: Fix audio ELD handling for DP MST
      drm/i915: Drop useless intel_dp_has_audio() argument
      drm/i915: Get HDR DPCD refresh timeout from VBT
      drm/i915/vrr: Fix "window2" handling
      drm/i915/psr: Fix the delayed vblank w/a
      drm/i915: Bump VBT version for expected child dev size check
      drm/i915: Populate dig_port->connected() before connector init
      drm/i915: Fix SKL DDI A digital port .connected()
      drm/i915: Get rid of the gm45 HPD live state nonsense

 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |   53 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   21 +-
 drivers/gpu/drm/i915/display/i9xx_wm.c             | 4047 +++++++++++++++++++
 drivers/gpu/drm/i915/display/i9xx_wm.h             |   21 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |  317 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   84 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   86 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |  193 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  269 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |   27 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   49 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   43 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   18 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |   48 +-
 .../gpu/drm/i915/display/intel_combo_phy_regs.h    |    4 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   46 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |    3 +
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |   16 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |    5 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  173 +-
 drivers/gpu/drm/i915/display/intel_ddi.h           |    6 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  603 ++-
 drivers/gpu/drm/i915/display/intel_display.h       |    4 +
 drivers/gpu/drm/i915/display/intel_display_core.h  |   15 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  239 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   80 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |    4 +
 .../drm/i915/display/intel_display_power_well.c    |  134 +-
 .../gpu/drm/i915/display/intel_display_reg_defs.h  |   10 +-
 drivers/gpu/drm/i915/display/intel_display_rps.c   |   81 +
 drivers/gpu/drm/i915/display/intel_display_rps.h   |   22 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   19 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  395 +-
 drivers/gpu/drm/i915/display/intel_dmc.h           |   44 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   89 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   35 +
 drivers/gpu/drm/i915/display/intel_dp_aux.h        |    4 +
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   84 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   29 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   51 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  165 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          |   16 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   41 +-
 drivers/gpu/drm/i915/display/intel_dsb.h           |    5 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    5 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |    7 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |    7 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   28 +-
 drivers/gpu/drm/i915/display/intel_fbdev.h         |    8 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |  159 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |   20 +
 drivers/gpu/drm/i915/display/intel_fifo_underrun.h |    3 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   30 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   15 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   79 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |    6 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.h     |    4 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  338 +-
 drivers/gpu/drm/i915/display/intel_lvds_regs.h     |   65 +
 drivers/gpu/drm/i915/display/intel_mg_phy_regs.h   |    4 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |   58 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |    1 +
 drivers/gpu/drm/i915/display/intel_pch_display.c   |   72 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |   10 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |   15 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  209 +-
 drivers/gpu/drm/i915/display/intel_psr.h           |   16 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |    2 +
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   62 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |    3 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    6 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        |    4 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    1 +
 drivers/gpu/drm/i915/display/intel_vdsc_regs.h     |  461 +++
 drivers/gpu/drm/i915/display/intel_vrr.c           |   10 +-
 drivers/gpu/drm/i915/display/intel_wm.c            |  408 ++
 drivers/gpu/drm/i915/display/intel_wm.h            |   37 +
 .../{intel_pm_types.h => display/intel_wm_types.h} |    8 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |    8 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |  152 +-
 drivers/gpu/drm/i915/display/skl_watermark.h       |    7 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  158 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   18 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |    1 -
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |    1 -
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            |    2 -
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |    2 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   29 -
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   86 +-
 drivers/gpu/drm/i915/gt/selftest_llc.c             |    1 -
 drivers/gpu/drm/i915/gvt/display.c                 |   16 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   18 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |    1 -
 drivers/gpu/drm/i915/i915_driver.c                 |   40 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   18 +-
 drivers/gpu/drm/i915/i915_hwmon.c                  |   51 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  142 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |    1 -
 drivers/gpu/drm/i915/i915_reg.h                    |  901 ++---
 drivers/gpu/drm/i915/i915_reg_defs.h               |   31 +
 drivers/gpu/drm/i915/i915_request.c                |    1 -
 drivers/gpu/drm/i915/i915_scatterlist.c            |    8 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |    1 -
 drivers/gpu/drm/i915/intel_device_info.c           |   28 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    1 +
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   71 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 4224 +-------------------
 drivers/gpu/drm/i915/intel_pm.h                    |   16 -
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    2 +
 drivers/gpu/drm/i915/intel_uncore.c                |    6 +-
 drivers/gpu/drm/i915/pxp/intel_pxp.c               |   65 +-
 drivers/gpu/drm/i915/pxp/intel_pxp.h               |    2 +
 .../gpu/drm/i915/pxp/intel_pxp_cmd_interface_42.h  |   15 +
 .../gpu/drm/i915/pxp/intel_pxp_cmd_interface_cmn.h |    3 +
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.c            |    4 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.h            |    6 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_session.c       |    8 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_session.h       |    5 +
 drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |   46 +
 drivers/gpu/drm/i915/pxp/intel_pxp_types.h         |    3 +
 drivers/gpu/drm/i915/soc/intel_dram.c              |  152 +
 drivers/misc/mei/client.c                          |    4 +-
 drivers/misc/mei/pci-me.c                          |   20 +-
 include/drm/i915_pciids.h                          |   14 +-
 126 files changed, 8279 insertions(+), 7974 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/i9xx_wm.c
 create mode 100644 drivers/gpu/drm/i915/display/i9xx_wm.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_rps.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_rps.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_lvds_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_vdsc_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_wm.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_wm.h
 rename drivers/gpu/drm/i915/{intel_pm_types.h => display/intel_wm_types.h} (89%)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-01-27 11:11 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2023-01-27 11:11 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

drm-intel-next-2023-01-27:
drm/i915 feature pull #2 v6.3:

Features and functionality:
- Enable HF-EEODB by switching HDMI, DP and LVDS to use struct drm_edid (Jani)
- Start using unversioned DMC firmware paths for new platforms (Gustavo)

Refactoring and cleanups:
- ELD refactor: Stop using hardware buffer, precompute ELD, and wire up ELD in
  the state checker (Ville)
- Use generics for debugfs device parameters (Jani)
- DSB refactoring and fixes (Ville)
- Header refactoring, add new intel_display_limits.h (Jani)
- Split out GMCH code to a new file (Jani)
- Split out vblank code to a new file (Jani)
- i915_drv.h and struct drm_i915_private cleanups (Jani)
- Simplify FBC and DRRS debug attributes (Deepak R Varma)
- Remove some single-use macros (Rodrigo)

Fixes:
- Fix scaler limits for display versions 12 and 13 (Luca)
- Fix plane source size check for zero height (Drew Davenport)
- Implement PSR2 selective fetch workaround (Jouni)
- Expand a PSR workaound to more platforms and pipes (Jouni)
- Expand an HDMI infoframe workaround to all MTL steppings (Jouni)
- Enable PIPEDMC whenever its corresponding pipe is enabled (Imre)

Merges:
- Backmerge drm-next (Jani)

BR,
Jani.

The following changes since commit 68de345e101ce9a24e5c8849e69dd0dba2e8c9b2:

  Merge tag 'drm-misc-next-2023-01-24' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2023-01-25 12:14:08 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-01-27

for you to fetch changes up to d3eb347da1148fdb1c2462ae83090a4553d3f46f:

  drm/i915/mtl: Apply Wa_14013475917 for all MTL steppings (2023-01-26 13:54:05 +0200)

----------------------------------------------------------------
drm/i915 feature pull #2 v6.3:

Features and functionality:
- Enable HF-EEODB by switching HDMI, DP and LVDS to use struct drm_edid (Jani)
- Start using unversioned DMC firmware paths for new platforms (Gustavo)

Refactoring and cleanups:
- ELD refactor: Stop using hardware buffer, precompute ELD, and wire up ELD in
  the state checker (Ville)
- Use generics for debugfs device parameters (Jani)
- DSB refactoring and fixes (Ville)
- Header refactoring, add new intel_display_limits.h (Jani)
- Split out GMCH code to a new file (Jani)
- Split out vblank code to a new file (Jani)
- i915_drv.h and struct drm_i915_private cleanups (Jani)
- Simplify FBC and DRRS debug attributes (Deepak R Varma)
- Remove some single-use macros (Rodrigo)

Fixes:
- Fix scaler limits for display versions 12 and 13 (Luca)
- Fix plane source size check for zero height (Drew Davenport)
- Implement PSR2 selective fetch workaround (Jouni)
- Expand a PSR workaound to more platforms and pipes (Jouni)
- Expand an HDMI infoframe workaround to all MTL steppings (Jouni)
- Enable PIPEDMC whenever its corresponding pipe is enabled (Imre)

Merges:
- Backmerge drm-next (Jani)

----------------------------------------------------------------
Deepak R Varma (3):
      drm/i915/display: Avoid full proxy f_ops for DRRS debug attributes
      drm/i915/fbc: Avoid full proxy f_ops for FBC debug attributes
      drm/i915/display: Convert i9xx_pipe_crc_auto_source to void

Drew Davenport (1):
      drm/i915/display: Check source height is > 0

Gustavo Sousa (2):
      drm/i915/dmc: Prepare to use unversioned paths
      drm/i915/dmc: Use unversioned path for ADLP

Imre Deak (1):
      drm/i915: Enable a PIPEDMC whenever its corresponding pipe is enabled

Jani Nikula (32):
      drm/i915/display: drop redundant display/ from #includes
      drm/i915/irq: split out vblank/scanline code to intel_vblank.[ch]
      drm/i915/display: move more scanline functions to intel_vblank.[ch]
      drm/i915/display: use common function for checking scanline is moving
      drm/i915/vblank: use intel_de_read()
      drm/i915/vblank: add and use intel_de_read64_2x32() to read vblank counter
      drm/i915: add struct i915_dsm to wrap dsm members together
      drm/i915: drop cast from DEFINE_RES_MEM() usage
      drm/i915: move snps_phy_failed_calibration to display sub-struct under snps
      drm/i915: move pch_ssc_use to display sub-struct under dpll
      drm/i915: move chv_dpll_md and bxt_phy_grc to display sub-struct under state
      drm/i915: add i915_config.h and move relevant declarations there
      drm/i915: move I915_IDLE_ENGINES_TIMEOUT next to its only user
      drm/i915: drop a number of unnecessary forward declarations
      drm/i915: move a few HAS_ macros closer to their place
      drm/i915: move I915_GEM_GPU_DOMAINS to i915_gem.h
      drm/i915: move I915_COLOR_UNEVICTABLE to i915_gem_gtt.h
      drm/i915: move GT_FREQUENCY_MULTIPLIER and GEN9_FREQ_SCALER to intel_rps.h
      Merge drm/drm-next into drm-intel-next
      drm/i915: add gmch substruct to struct drm_i915_private
      drm/i915/gmch: split out soc/intel_gmch
      drm/i915/gmch: mass rename dev_priv to i915
      drm/i915/gmch: move VGA set state to GMCH code
      drm/i915/display: add intel_display_limits.h for key enums
      drm/i915/params: use generics for parameter printing
      drm/i915/params: use generics for parameter dup
      drm/i915/params: use generics for parameter free
      drm/i915/params: use generics for parameter debugfs file creation
      drm/i915/edid: convert DP, HDMI and LVDS to drm_edid
      drm/i915/bios: convert intel_bios_init_panel() to drm_edid
      drm/i915/opregion: convert intel_opregion_get_edid() to struct drm_edid
      drm/i915/panel: move panel fixed EDID to struct intel_panel

Jouni Högander (3):
      drm/i915/psr: Implement Wa_14015648006
      drm/i915/psr: Implement Wa_14014971492
      drm/i915/mtl: Apply Wa_14013475917 for all MTL steppings

Luca Coelho (1):
      drm/i915: update src and dst scaler limits for display ver 12 and 13

Rodrigo Vivi (1):
      drm/i915/debugfs: Get rid of single use macros.

Ville Syrjälä (24):
      drm/i915/dsb: Stop with the RMW
      drm/i915/dsb: Inline DSB_CTRL writes into intel_dsb_commit()
      drm/i915/dsb: Align DSB register writes to 8 bytes
      drm/i915/dsb: Fix DSB command buffer size checks
      drm/i915/dsb: Extract assert_dsb_has_room()
      drm/i915/dsb: Extract intel_dsb_emit()
      drm/i915/dsb: Improve the indexed reg write checks
      drm/i915/dsb: Handle the indexed vs. not inside the DSB code
      drm/i915/dsb: Introduce intel_dsb_align_tail()
      drm/i915/dsb: Allow the caller to pass in the DSB buffer size
      drm/i915/dsb: Add mode DSB opcodes
      drm/i915/audio: Don't program the hardware ELD buffer on ilk+
      drm/i915/audio: Don't program the hardware ELD buffer on hsw+
      drm/i915/audio: Introduce a struct for the acomp audio state
      drm/i915/audio: Precompute the ELD
      drm/i915/audio: Don't enable audio with bogus ELD
      drm/i915/audio: Hardware ELD readout
      drm/i915/sdvo: Precompute the ELD
      drm/i915/sdvo: Only use "presence detect" for has_audio readout
      drm/i915/sdvo: Do ELD hardware readout
      drm/i915/audio: Hook up ELD into the state checker
      drm/i915/audio: Include ELD in the state dump
      drm/i915/audio: s/ilk/ibx/
      drm/i915/audio: Clean up the PCH type checks

 drivers/gpu/drm/i915/Makefile                      |   2 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |   2 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   2 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |   2 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   1 +
 drivers/gpu/drm/i915/display/intel_audio.c         | 317 ++++++++-------
 drivers/gpu/drm/i915/display/intel_audio.h         |   7 +
 drivers/gpu/drm/i915/display/intel_bios.c          |  32 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |   4 +-
 drivers/gpu/drm/i915/display/intel_bw.h            |   2 +-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |   2 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  47 +--
 drivers/gpu/drm/i915/display/intel_connector.c     |   5 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |   1 +
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |  17 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_de.h            |   7 +
 drivers/gpu/drm/i915/display/intel_display.c       | 155 ++++----
 drivers/gpu/drm/i915/display/intel_display.h       | 115 +-----
 drivers/gpu/drm/i915/display/intel_display_core.h  |  34 +-
 .../gpu/drm/i915/display/intel_display_limits.h    | 124 ++++++
 .../gpu/drm/i915/display/intel_display_power_map.c |   1 +
 drivers/gpu/drm/i915/display/intel_display_trace.h |   1 +
 drivers/gpu/drm/i915/display/intel_display_types.h |  13 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  90 ++++-
 drivers/gpu/drm/i915/display/intel_dmc.h           |   4 +
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |  10 +
 drivers/gpu/drm/i915/display/intel_dp.c            |  95 +++--
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   9 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   2 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   4 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          |   8 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           | 246 ++++++------
 drivers/gpu/drm/i915/display/intel_dsb.h           |   5 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_dvo_dev.h       |   2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  22 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  36 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  51 ++-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |   4 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |  29 +-
 drivers/gpu/drm/i915/display/intel_opregion.h      |   4 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  10 +-
 drivers/gpu/drm/i915/display/intel_panel.h         |   4 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |  10 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |  23 +-
 drivers/gpu/drm/i915/display/intel_plane_initial.c |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  57 ++-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  45 ++-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_vblank.c        | 441 +++++++++++++++++++++
 drivers/gpu/drm/i915/display/intel_vblank.h        |  23 ++
 drivers/gpu/drm/i915/display/intel_vga.c           |  32 +-
 drivers/gpu/drm/i915/display/skl_scaler.c          |  11 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   2 +-
 drivers/gpu/drm/i915/display/skl_watermark.h       |   2 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_create.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |  42 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   5 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |   1 +
 drivers/gpu/drm/i915/gt/intel_ggtt_gmch.c          |   5 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |  12 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   1 +
 drivers/gpu/drm/i915/gt/intel_rps.h                |   3 +
 drivers/gpu/drm/i915/gt/selftest_reset.c           |   2 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   1 +
 drivers/gpu/drm/i915/gvt/display.c                 |   1 +
 drivers/gpu/drm/i915/gvt/fb_decoder.h              |   2 +-
 drivers/gpu/drm/i915/i915_config.c                 |   5 +-
 drivers/gpu/drm/i915/i915_config.h                 |  23 ++
 drivers/gpu/drm/i915/i915_debugfs.c                |   6 +-
 drivers/gpu/drm/i915/i915_debugfs_params.c         |  33 +-
 drivers/gpu/drm/i915/i915_driver.c                 | 145 +------
 drivers/gpu/drm/i915/i915_drv.h                    | 119 ++----
 drivers/gpu/drm/i915/i915_gem.h                    |   7 +
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   2 +
 drivers/gpu/drm/i915/i915_irq.c                    | 408 -------------------
 drivers/gpu/drm/i915/i915_irq.h                    |   6 -
 drivers/gpu/drm/i915/i915_params.c                 |  86 ++--
 drivers/gpu/drm/i915/i915_pci.c                    |   1 +
 drivers/gpu/drm/i915/i915_reg.h                    |   3 +-
 drivers/gpu/drm/i915/i915_request.c                |   1 +
 drivers/gpu/drm/i915/i915_vma.c                    |   1 +
 drivers/gpu/drm/i915/intel_device_info.c           |   1 +
 drivers/gpu/drm/i915/intel_device_info.h           |   2 +-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   1 +
 drivers/gpu/drm/i915/intel_memory_region.c         |   2 +-
 drivers/gpu/drm/i915/intel_pm.c                    |   1 +
 drivers/gpu/drm/i915/intel_pm_types.h              |   2 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   4 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   2 +-
 drivers/gpu/drm/i915/soc/intel_gmch.c              | 171 ++++++++
 drivers/gpu/drm/i915/soc/intel_gmch.h              |  18 +
 drivers/gpu/drm/i915/vlv_sideband.c                |   1 +
 98 files changed, 1819 insertions(+), 1498 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_limits.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_vblank.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_vblank.h
 create mode 100644 drivers/gpu/drm/i915/i915_config.h
 create mode 100644 drivers/gpu/drm/i915/soc/intel_gmch.c
 create mode 100644 drivers/gpu/drm/i915/soc/intel_gmch.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2023-01-12 12:06 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2023-01-12 12:06 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

Here's the first i915 feature pull towards v6.3.

drm-intel-next-2023-01-12:
drm/i915 feature pull #1 for v6.3:

Features and functionality:
- Meteorlake display enabling (Animesh, Luca, Stan, Jouni, Anusha)
- DP MST DSC support (Stan)
- Gamma/degamma readout support for the state checker (Ville)
- Enable SDP split support for DP 2.0 (Vinod)
- Add probe blocking support to i915.force_probe parameter (Rodrigo)
- Enable Xe HP 4tile support (Jonathan)

Refactoring and cleanups:
- Color refactoring, especially related to DSB usage (Ville)
- DSB refactoring (Ville)
- DVO refactoring (Ville)
- Backlight register and logging cleanups (Jani)
- Avoid display direct calls to uncore (Maarten, Jani)
- Add new "soc" sub-directory (Jani)
- Refactor DSC platform support checks (Swati)

Fixes:
- Interlace modes are no longer supported starting at display version 12 (Ankit)
- Use polling read for aux control (Arun)
- DMC firmware no longer requires specific versions (Gustavo)
- Fix PSR flickering and freeze issues (Jouni)
- Fix ICL+ DSI GPIO handling (Jani)
- Ratelimit errors in display engine irqs (Lucas)
- Fix DP MST DSC bpp and timeslot calculations (Stan)
- Fix CDCLK squash and crawl sequences (Ville, Anusha)
- Fix bigjoiner checks for fused pipes (Ville)
- Fix ADP+ degamma LUT size (Ville)
- Fix DVO ch7xxx and sil164 suspend/resume (Ville)
- Fix memory leak in VBT parsing (Xia Fukun)
- Fix VBT packet port selection for dual link DSI (Mikko Kovanen)
- Fix SDP infoframe product string for discrete graphics (Clint)
- Fix VLV/CHV HDMI/DP audio enable (Ville)
- Fix VRR delays and calculations (Ville)
- No longer disable transcoder for PHY test pattern change (Khaled)
- Fix dual PPS handling (Ville)
- Fix timeout and wait for DDI BUF CTL active after enabling (Ankit)

Merges:
- Backmerge drm-next to sync up with v6.2-rc1 (Jani)

BR,
Jani.

The following changes since commit 1b929c02afd37871d5afb9d498426f83432e71c2:

  Linux 6.2-rc1 (2022-12-25 13:41:39 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2023-01-12

for you to fetch changes up to f71c9b7bc35ff7c1fb68d114903876eec658439b:

  drm/i915/display: Prune Interlace modes for Display >=12 (2023-01-12 12:46:12 +0530)

----------------------------------------------------------------
drm/i915 feature pull #1 for v6.3:

Features and functionality:
- Meteorlake display enabling (Animesh, Luca, Stan, Jouni, Anusha)
- DP MST DSC support (Stan)
- Gamma/degamma readout support for the state checker (Ville)
- Enable SDP split support for DP 2.0 (Vinod)
- Add probe blocking support to i915.force_probe parameter (Rodrigo)
- Enable Xe HP 4tile support (Jonathan)

Refactoring and cleanups:
- Color refactoring, especially related to DSB usage (Ville)
- DSB refactoring (Ville)
- DVO refactoring (Ville)
- Backlight register and logging cleanups (Jani)
- Avoid display direct calls to uncore (Maarten, Jani)
- Add new "soc" sub-directory (Jani)
- Refactor DSC platform support checks (Swati)

Fixes:
- Interlace modes are no longer supported starting at display version 12 (Ankit)
- Use polling read for aux control (Arun)
- DMC firmware no longer requires specific versions (Gustavo)
- Fix PSR flickering and freeze issues (Jouni)
- Fix ICL+ DSI GPIO handling (Jani)
- Ratelimit errors in display engine irqs (Lucas)
- Fix DP MST DSC bpp and timeslot calculations (Stan)
- Fix CDCLK squash and crawl sequences (Ville, Anusha)
- Fix bigjoiner checks for fused pipes (Ville)
- Fix ADP+ degamma LUT size (Ville)
- Fix DVO ch7xxx and sil164 suspend/resume (Ville)
- Fix memory leak in VBT parsing (Xia Fukun)
- Fix VBT packet port selection for dual link DSI (Mikko Kovanen)
- Fix SDP infoframe product string for discrete graphics (Clint)
- Fix VLV/CHV HDMI/DP audio enable (Ville)
- Fix VRR delays and calculations (Ville)
- No longer disable transcoder for PHY test pattern change (Khaled)
- Fix dual PPS handling (Ville)
- Fix timeout and wait for DDI BUF CTL active after enabling (Ankit)

Merges:
- Backmerge drm-next to sync up with v6.2-rc1 (Jani)

----------------------------------------------------------------
Animesh Manna (1):
      drm/i915/mtl: update scaler source and destination limits for MTL

Ankit Nautiyal (4):
      drm/i915/ddi: Align timeout for DDI_BUF_CTL active with Bspec
      drm/i915/ddi: Add missing wait-for-active for HDMI aligning with bspec updates
      drm/i915/display: Drop check for doublescan mode in modevalid
      drm/i915/display: Prune Interlace modes for Display >=12

Anusha Srivatsa (3):
      drm/i915/display: Add missing checks for cdclk crawling
      drm/i915/display: Add CDCLK Support for MTL
      drm/i915/display: Add missing CDCLK Squash support for MTL

Arun R Murthy (1):
      drm/i915/dp: change aux_ctl reg read to polling read

Gustavo Sousa (2):
      drm/i915/dmc: Update DG2 DMC version to v2.08
      drm/i915/dmc: Do not require specific versions

Jani Nikula (22):
      drm/i915/hti: avoid theoretically possible negative shift
      drm/i915/fbc: drop uncore locking around i8xx/i965 fbc nuke
      drm/i915/backlight: use VLV_DISPLAY_BASE for VLV/CHV backlight registers
      drm/i915/backlight: drop DISPLAY_MMIO_BASE() use from backlight registers
      drm/i915/backlight: mass rename dev_priv to i915
      drm/i915/backlight: drop drm_device local variables in favor of i915
      drm/i915/backlight: convert DRM_DEBUG_KMS() to drm_dbg_kms()
      drm/i915/de: return the old register value from intel_de_rmw()
      drm/i915/crt: drop a bunch of unnecessary register variables
      drm/i915/crt: switch to intel_de_* register accessors in display code
      drm/i915/power: switch to intel_de_* register accessors in display code
      drm/i915/dmc: switch to intel_de_* register accessors in display code
      drm/i915/dp-aux: switch to intel_de_* register accessors in display code
      drm/i915/gmbus: switch to intel_de_* register accessors in display code
      drm/i915/wm: switch to intel_de_* register accessors in display code
      drm/i915/snps: switch to intel_de_* register accessors in display code
      drm/i915/tc: switch to intel_de_* register accessors in display code
      drm/i915/display: no need for gt/gen8_ppgtt.h
      drm/i915: add new "soc" sub-directory and move PCH and DRAM code there
      drm/i915/dsi: add support for ICL+ native MIPI GPIO sequence
      drm/i915/dsi: fix MIPI_BKLT_EN_1 native GPIO index
      Merge drm/drm-next into drm-intel-next

Jonathan Cavitt (1):
      drm/i915: Enable XE_HP 4Tile support

Jouni Högander (2):
      drm/i915/mtl: Initial display workarounds
      drm/i915/psr: Add continuous full frame bit together with single

Khaled Almahallawy (1):
      drm/i915/display: Don't disable DDI/Transcoder when setting phy test pattern

Luca Coelho (1):
      drm/i915/mtl: limit second scaler vertical scaling in ver >= 14

Lucas De Marchi (2):
      drm/i915: ratelimit errors in display engine irq
      drm/i915: Remove __maybe_unused from mtl_info

Maarten Lankhorst (1):
      drm/i915/de: Add more macros to remove all direct calls to uncore

Miaoqian Lin (1):
      drm/i915: Fix documentation for intel_uncore_forcewake_put__locked

Mikko Kovanen (1):
      drm/i915/dsi: fix VBT send packet port selection for dual link DSI

Nirmoy Das (1):
      drm/i915: Update docs in intel_wakeref.h

Rodrigo Vivi (1):
      drm/i915: Expand force_probe to block probe of devices as well.

Stanislav Lisovskiy (8):
      drm: Add missing DP DSC extended capability definitions.
      drm/i915: Fix intel_dp_mst_compute_link_config
      drm/i915: Extract drm_dp_atomic_find_vcpi_slots cycle to separate function
      drm/i915: Add DSC support to MST path
      drm/i915: Extract VESA DSC bpp alignment to separate function
      drm/i915: Bpp/timeslot calculation fixes for DP MST DSC
      drm/i915/mtl: Add support of Tile4 to MTL
      drm/i915: Fix timeslots argument for DP DSC SST case

Swati Sharma (1):
      drm/i915/dsc: Refactor dsc gen checks

Taylor, Clinton A (1):
      drm/i915/hdmi: SPD infoframe update for discrete

Ville Syrjälä (61):
      drm/i915/dvo: Remove unused panel_wants_dither
      drm/i915/dvo: Don't leak connector state on DVO init failure
      drm/i915/dvo: Actually initialize the DVO encoder type
      drm/i915/dvo: Introduce intel_dvo_connector_type()
      drm/i915/dvo: Eliminate useless 'port' variable
      drm/i915/dvo: Flatten intel_dvo_init()
      drm/i915/dvo: s/intel_encoder/encoder/ etc.
      drm/i915/dvo: s/dev_priv/i915/
      drm/i915/dvo: Use per device debugs
      drm/i915/display: Do both crawl and squash when changing cdclk
      drm/i915: Remove non-existent pipes from bigjoiner pipe mask
      drm/i915: Fix adl+ degamma LUT size
      drm/i915: s/gamma/post_csc_lut/
      drm/i915: Add glk+ degamma readout
      drm/i915: Read out CHV CGM degamma
      drm/i915: Add gamma/degamma readout for bdw+
      drm/i915: Add gamma/degamma readout for ivb/hsw
      drm/i915: Make ilk_read_luts() capable of degamma readout
      drm/i915: Prep for C8 palette readout
      drm/i915: Make .read_luts() mandatory
      drm/i915: Finish the LUT state checker
      drm/i915: Rework legacy LUT handling
      drm/i915: Use hw degamma LUT for sw gamma on glk with YCbCr output
      drm/i915: Use gamma LUT for RGB limited range compression
      drm/i915: Add 10bit gamma mode for gen2/3
      drm/i915/dvo/ch7xxx: Fix suspend/resume
      drm/i915/dvo/sil164: Nuke pointless return statements
      drm/i915/dvo/sil164: Fix suspend/resume
      drm/i915/dvo: Parametrize DVO/DVO_SRCDIM registers
      drm/i915/dvo: Define a few more DVO register bits
      drm/i915/dvo: Rename the "active data order" bits
      drm/i915/dvo: Use REG_BIT() & co. for DVO registers
      drm/i915/dvo: Use intel_de_rmw() for DVO enable/disable
      drm/i915/dvo: Extract intel_dvo_regs.h
      drm/i915/dvo: Log about what was detected on which DVO port
      drm/i915: Fix VLV/CHV HDMI/DP audio enable
      drm/i915/vrr: Make registers latch in a consitent place on icl/tgl
      drm/i915/vrr: Fix guardband/vblank exit length calculation for adl+
      drm/i915/vrr: Reorder transcoder vs. vrr enable/disable
      drm/i915/vrr: Be more careful with the bits in TRANS_VRR_CTL
      drm/i915: Introduce intel_panel_init_alloc()
      drm/i915: Do panel VBT init early if the VBT declares an explicit panel type
      drm/i915: Generalize the PPS vlv_pipe_check() stuff
      drm/i915: Try to use the correct power sequencer intiially on bxt/glk
      drm/i915: Extend dual PPS handlind for ICP+
      drm/i915: Reject unusable power sequencers
      drm/i915: Print the PPS registers using consistent format
      drm/i915: Fix whitespace
      drm/i915: Improve PPS debugs
      drm/i915: Shorten GAMMA_MODE_MODE_12BIT_MULTI_SEGMENTED a bit
      drm/i915: Clean up GAMMA_MODE defines
      drm/i915: Define skl+ palette anti-collision bit
      drm/i915: Clean up various indexed LUT registers
      drm/i915: Standardize auto-increment LUT load procedure
      drm/i915: Document LUT "max" register precision
      drm/i915: Move the DSB->mmio fallback into the LUT code
      drm/i915: Move the DSB setup/cleaup into the color code
      drm/i915: Make DSB lower level
      drm/i915: Disable DSB usage specifically for LUTs
      Revert "drm/i915: Disable DSB usage for now"
      drm/i915: Use ilk_lut_write*() for all ilk+ gamma modes

Vinod Govindapillai (1):
      drm/i915: Enable SDP split for DP2.0

Xia Fukun (1):
      drm/i915/bios: fix a memory leak in generate_lfp_data_ptrs

 drivers/gpu/drm/i915/Kconfig                       |   15 +-
 drivers/gpu/drm/i915/Makefile                      |    7 +-
 drivers/gpu/drm/i915/display/dvo_ch7xxx.c          |   22 +-
 drivers/gpu/drm/i915/display/dvo_sil164.c          |   13 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |    2 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   85 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   11 +
 drivers/gpu/drm/i915/display/intel_audio.h         |    2 +
 drivers/gpu/drm/i915/display/intel_backlight.c     |  539 ++++-----
 .../gpu/drm/i915/display/intel_backlight_regs.h    |   27 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   56 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |   11 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  216 +++-
 drivers/gpu/drm/i915/display/intel_color.c         | 1276 ++++++++++++++++----
 drivers/gpu/drm/i915/display/intel_color.h         |   10 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   53 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   26 +-
 drivers/gpu/drm/i915/display/intel_de.h            |   39 +-
 drivers/gpu/drm/i915/display/intel_display.c       |   60 +-
 drivers/gpu/drm/i915/display/intel_display.h       |    8 +
 drivers/gpu/drm/i915/display/intel_display_power.c |    2 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   26 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |   45 +-
 drivers/gpu/drm/i915/display/intel_dmc.h           |    1 -
 drivers/gpu/drm/i915/display/intel_dp.c            |  215 ++--
 drivers/gpu/drm/i915/display/intel_dp.h            |   19 +
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   41 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  235 +++-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   98 +-
 drivers/gpu/drm/i915/display/intel_dsb.h           |   13 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   94 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |  410 ++++---
 drivers/gpu/drm/i915/display/intel_dvo_dev.h       |    7 +-
 drivers/gpu/drm/i915/display/intel_dvo_regs.h      |   54 +
 drivers/gpu/drm/i915/display/intel_fb.c            |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |   10 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   46 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   21 +-
 drivers/gpu/drm/i915/display/intel_hti.c           |    3 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |    4 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |    9 +
 drivers/gpu/drm/i915/display/intel_panel.h         |    1 +
 drivers/gpu/drm/i915/display/intel_pps.c           |  360 ++++--
 drivers/gpu/drm/i915/display/intel_pps.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   44 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   15 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |   55 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   11 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |   55 +-
 drivers/gpu/drm/i915/display/skl_scaler.c          |   40 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       |   42 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    2 +-
 drivers/gpu/drm/i915/i915_driver.c                 |    3 +-
 drivers/gpu/drm/i915/i915_drv.h                    |    8 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   31 +-
 drivers/gpu/drm/i915/i915_params.c                 |    2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   51 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  101 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |   21 +-
 drivers/gpu/drm/i915/{ => soc}/intel_dram.c        |    0
 drivers/gpu/drm/i915/{ => soc}/intel_dram.h        |    0
 drivers/gpu/drm/i915/{ => soc}/intel_pch.c         |    0
 drivers/gpu/drm/i915/{ => soc}/intel_pch.h         |    0
 include/drm/display/drm_dp.h                       |    9 +-
 66 files changed, 3211 insertions(+), 1479 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dvo_regs.h
 rename drivers/gpu/drm/i915/{ => soc}/intel_dram.c (100%)
 rename drivers/gpu/drm/i915/{ => soc}/intel_dram.h (100%)
 rename drivers/gpu/drm/i915/{ => soc}/intel_pch.c (100%)
 rename drivers/gpu/drm/i915/{ => soc}/intel_pch.h (100%)

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-11-18 21:40 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2022-11-18 21:40 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes the final pull request from drm-intel-next targeting 6.2.

Manly more display clean-ups and the removal of the force_probe
protection on DG2.

drm-intel-next-2022-11-18:

GVT Changes:
- gvt-next stuff mostly with refactor for the new MDEV interface.

i915 Changes:
- PSR fixes and improvements (Jouni)
- DP DSC fixes (Vinod, Jouni)
- More general display cleanups (Jani)
- More display collor management cleanup targetting degamma (Ville)
- remove circ_buf.h includes (Jiri)
- wait power off delay at driver remove to optimize probe (Jani)
- More audio cleanup targeting the ELD precompute readout (Ville)
- Enable DC power states on all eDP ports (Imre)
- RPL-P stepping info (Matt Atwood)
- MTL enabling patches (RK)
- Removal of DG2 force_probe (Matt)

Thanks,
Rodrigo.

The following changes since commit c02f20d38fb90eba606277874581db124ace42c4:

  drm/nouveau/disp: fix incorrect/broken hdmi methods (2022-11-14 16:17:22 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-11-18

for you to fetch changes up to d2eae8e98d5979aa4a767e1cbf53ab9f6a83a38e:

  drm/i915/dg2: Drop force_probe requirement (2022-11-18 15:38:33 -0500)

----------------------------------------------------------------
GVT Changes:
- gvt-next stuff mostly with refactor for the new MDEV interface.

i915 Changes:
- PSR fixes and improvements (Jouni)
- DP DSC fixes (Vinod, Jouni)
- More general display cleanups (Jani)
- More display collor management cleanup targetting degamma (Ville)
- remove circ_buf.h includes (Jiri)
- wait power off delay at driver remove to optimize probe (Jani)
- More audio cleanup targeting the ELD precompute readout (Ville)
- Enable DC power states on all eDP ports (Imre)
- RPL-P stepping info (Matt Atwood)
- MTL enabling patches (RK)
- Removal of DG2 force_probe (Matt)

----------------------------------------------------------------
Colin Ian King (1):
      drm/i915/reg: Fix spelling mistake "Unsupport" -> "Unsupported"

Imre Deak (9):
      drm/i915: Fix warn in intel_display_power_*_domain() functions
      drm/i915: Preallocate the debug power domain wakerefs array
      drm/i915: Move the POWER_DOMAIN_AUX_IO_A definition to its logical place
      drm/i915: Use the AUX_IO power domain only for eDP/PSR port
      drm/i915/tgl+: Enable display DC power states on all eDP ports
      drm/i915: Add missing AUX_IO_A power domain->well mappings
      drm/i915: Add missing DC_OFF power domain->well mappings
      drm/i915: Factor out function to get/put AUX_IO power for main link
      drm/i915/mtl+: Don't enable the AUX_IO power for non-eDP port main links

Jani Nikula (21):
      drm/i915/gmbus: move GPIO enum to gmbus
      drm/i915: reduce includes in intel_connector.h
      drm/i915: reduce includes in intel_fifo_underrun.h
      drm/i915: un-inline icl_hdr_plane_mask() to simplify includes
      drm/i915/dpio: un-inline the vlv phy/channel mapping functions
      drm/i915/dpio: move dpio_channel and dpio_phy enums to intel_dpio_phy.h
      drm/i915: reduce includes in intel_display_power.h
      drm/i915/display: reduce the includes in intel_dvo_dev.h
      drm/i915/display: reduce includes in intel_hdmi.h
      drm/i915/display: reduce includes in g4x_dp.h includes
      drm/i915/irq: make gen2_irq_init()/gen2_irq_reset() static
      drm/i915/display: move struct intel_link_m_n to intel_display_types.h
      drm/i915/reg: move masked field helpers to i915_reg_defs.h
      drm/i915/reg: move pick even and pick to reg defs
      drm/i915: split out intel_display_reg_defs.h
      drm/i915: stop including i915_irq.h from i915_trace.h
      drm/i915/edp: wait power off delay at driver remove to optimize probe
      drm/i915/hti: abstract hti handling
      drm/i915/display: move hti under display sub-struct
      drm/i915/display: move global_obj_list under display sub-struct
      drm/i915/display: move restore state and ctx under display sub-struct

Jiapeng Chong (4):
      drm/i915/gvt: Fix kernel-doc
      drm/i915/gvt: Fix kernel-doc
      drm/i915/gvt: Fix kernel-doc
      drm/i915/gvt: Remove the unused function get_pt_type()

Jiri Slaby (SUSE) (1):
      drm/i915: remove circ_buf.h includes

Jouni Högander (4):
      drm/i915/psr: Send update also on invalidate
      drm/i915/mtl: Fix PSR2_MAN_TRK_CTL bit getter functions for MTL
      drm/i915/psr: Ensure panel granularity aligns with DSC slice height
      drm/i915/psr: Remove inappropriate DSC slice alignment warning

Julia Lawall (1):
      drm/i915/gvt: fix typo in comment

Matt Atwood (1):
      drm/i915/rpl-p: Add stepping info

Matt Roper (1):
      drm/i915/dg2: Drop force_probe requirement

Mauro Carvalho Chehab (1):
      drm/i915: gvt: fix kernel-doc trivial warnings

Paulo Miguel Almeida (1):
      i915/gvt: remove hardcoded value on crc32_start calculation

Radhakrishna Sripada (2):
      drm/i915/mtl: Fix dram info readout
      drm/i915/mtl: Skip doubling channel numbers for LPDDR4/LPDDDR5

Rodrigo Vivi (2):
      Merge drm/drm-next into drm-intel-next
      Merge tag 'gvt-next-2022-11-17' of https://github.com/intel/gvt-linux into drm-intel-next

Ville Syrjälä (26):
      drm/i915: Use sizeof(variable) instead sizeof(type)
      drm/i915: Use _MMIO_PIPE() for SKL_BOTTOM_COLOR
      drm/i915: s/dev_priv/i915/ in intel_color.c
      drm/i915: s/icl_load_gcmax/ivb_load_lut_max/
      drm/i915: Split ivb_load_lut_ext_max() into two parts
      drm/i915: Deconfuse the ilk+ 12.4 LUT entry functions
      drm/i915: Pass limited_range explicitly to ilk_csc_convert_ctm()
      drm/i915: Reuse ilk_gamma_mode() on ivb+
      drm/i915: Reject YCbCr output with degamma+gamma on pre-icl
      drm/i915: Share {csc,gamma}_enable calculation for ilk/snb vs. ivb+
      drm/i915: Use intel_crtc_needs_modeset() more
      drm/i915: Create resized LUTs for ivb+ split gamma mode
      drm/i915: Pass intel_plane to plane tracepoints
      drm/i915: Print plane name in fbc tracepoints
      drm/i915: Pass i915 to frontbuffer tracepoints
      drm/i915: Add device name to display tracepoints
      drm/i915: Clean up legacy palette defines
      drm/i915: Clean up 10bit precision palette defines
      drm/i915: Clean up 12.4bit precision palette defines
      drm/i915: Clean up chv CGM (de)gamma defines
      drm/i915: Reorder 12.4 lut udw vs. ldw functions
      drm/i915: Introduce g4x_hdmi_compute_config()
      drm/i915: Force RGB output for DVI sink
      drm/i915: Treat HDMI as DVI when cloning
      drm/i915/audio: Unify get_saved_enc()
      drm/i915/audio: Realign some function arguments

Vinod Govindapillai (1):
      drm/i915: update DSC feature flag handling during device init

Zhi Wang (1):
      drm/i915/gvt: remove the vgpu->released and its sanity check

wangjianli (1):
      drm/i915: fix repeated words in comments

 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |   1 +
 drivers/gpu/drm/i915/display/g4x_dp.h              |   2 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |  15 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |   4 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   1 +
 drivers/gpu/drm/i915/display/icl_dsi_regs.h        |   2 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   6 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  28 +-
 drivers/gpu/drm/i915/display/intel_audio_regs.h    |   2 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |   1 +
 .../gpu/drm/i915/display/intel_backlight_regs.h    |   2 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   3 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   3 +-
 drivers/gpu/drm/i915/display/intel_color.c         | 594 ++++++++++++---------
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |   1 +
 drivers/gpu/drm/i915/display/intel_connector.h     |   2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   2 +
 drivers/gpu/drm/i915/display/intel_cursor.c        |   3 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 102 ++--
 drivers/gpu/drm/i915/display/intel_display.c       |  39 +-
 drivers/gpu/drm/i915/display/intel_display.h       |  39 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  21 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   2 +
 drivers/gpu/drm/i915/display/intel_display_power.c |  42 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |  15 +-
 .../gpu/drm/i915/display/intel_display_power_map.c |  69 ++-
 .../drm/i915/display/intel_display_power_well.h    |   2 +-
 .../gpu/drm/i915/display/intel_display_reg_defs.h  |  53 ++
 drivers/gpu/drm/i915/display/intel_display_trace.h | 206 ++++---
 drivers/gpu/drm/i915/display/intel_display_types.h |  55 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   7 +
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   1 +
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |   1 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   1 +
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |  43 ++
 drivers/gpu/drm/i915/display/intel_dpio_phy.h      |  19 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   2 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  12 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          |   1 +
 drivers/gpu/drm/i915/display/intel_dsb.c           |   1 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |   1 +
 drivers/gpu/drm/i915/display/intel_dvo_dev.h       |   8 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   1 +
 drivers/gpu/drm/i915/display/intel_fifo_underrun.h |   3 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   4 +-
 drivers/gpu/drm/i915/display/intel_global_state.c  |   4 +-
 drivers/gpu/drm/i915/display/intel_global_state.h  |   2 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |  22 +-
 drivers/gpu/drm/i915/display/intel_hdcp_regs.h     |   2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  33 +-
 drivers/gpu/drm/i915/display/intel_hdmi.h          |   8 +-
 drivers/gpu/drm/i915/display/intel_hti.c           |  38 ++
 drivers/gpu/drm/i915/display/intel_hti.h           |  18 +
 drivers/gpu/drm/i915/display/intel_hti_regs.h      |  16 +
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   2 +
 drivers/gpu/drm/i915/display/intel_lspcon.c        |   1 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |   1 +
 drivers/gpu/drm/i915/display/intel_mg_phy_regs.h   |   2 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |   1 +
 drivers/gpu/drm/i915/display/intel_panel.c         |   1 +
 drivers/gpu/drm/i915/display/intel_pch_display.c   |   1 +
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |   1 +
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |   3 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |  10 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  21 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   1 +
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   1 +
 drivers/gpu/drm/i915/display/intel_snps_phy_regs.h |   2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   1 +
 drivers/gpu/drm/i915/display/intel_sprite.h        |   9 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   2 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   1 +
 drivers/gpu/drm/i915/display/intel_vga.c           |   1 +
 drivers/gpu/drm/i915/display/intel_vrr.c           |   1 +
 drivers/gpu/drm/i915/display/skl_scaler.c          |   2 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   7 +
 drivers/gpu/drm/i915/display/skl_universal_plane.h |   1 +
 drivers/gpu/drm/i915/display/skl_watermark.c       |   2 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   1 +
 drivers/gpu/drm/i915/display/vlv_dsi_regs.h        |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   1 +
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   1 +
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   2 +
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |   1 +
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   1 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   1 +
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            |   1 +
 drivers/gpu/drm/i915/gt/intel_gtt.c                |   1 +
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   2 +
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   1 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |   1 +
 drivers/gpu/drm/i915/gvt/cfg_space.c               |   2 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   1 +
 drivers/gpu/drm/i915/gvt/display.c                 |   2 +
 drivers/gpu/drm/i915/gvt/dmabuf.h                  |   2 +-
 drivers/gpu/drm/i915/gvt/firmware.c                |   2 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   7 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   2 -
 drivers/gpu/drm/i915/gvt/handlers.c                |   1 +
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   4 -
 drivers/gpu/drm/i915/gvt/mmio.c                    |   1 +
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   1 +
 drivers/gpu/drm/i915/gvt/page_track.c              |   2 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   6 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  15 -
 drivers/gpu/drm/i915/i915_gpu_error.c              |   1 +
 drivers/gpu/drm/i915/i915_irq.c                    |  11 +-
 drivers/gpu/drm/i915/i915_irq.h                    |   9 -
 drivers/gpu/drm/i915/i915_pci.c                    |   1 -
 drivers/gpu/drm/i915/i915_perf.c                   |   1 +
 drivers/gpu/drm/i915/i915_reg.h                    | 131 ++---
 drivers/gpu/drm/i915/i915_reg_defs.h               |  30 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   1 -
 drivers/gpu/drm/i915/intel_device_info.c           |   5 +-
 drivers/gpu/drm/i915/intel_dram.c                  |   3 +-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |   1 +
 drivers/gpu/drm/i915/intel_pm.c                    |  11 +-
 drivers/gpu/drm/i915/intel_step.c                  |   7 +
 drivers/gpu/drm/i915/intel_uncore.c                |   1 +
 drivers/gpu/drm/i915/vlv_sideband.c                |   2 +
 122 files changed, 1173 insertions(+), 749 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_reg_defs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_hti.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_hti.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_hti_regs.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-10-28 18:22 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2022-10-28 18:22 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes the first chunk of drm-intel-next targeting 6.2

The highlight goes to Ville with many display related clean-up
and improvement, some other MTL enabling work and many other
fixes and small clean-ups.

drm-intel-next-2022-10-28:
- Hotplug code clean-up and organization (Jani, Gustavo)
- More VBT specific code clean-up, doc, organization,
  and improvements (Ville)
- More MTL enabling work (Matt, RK, Anusha, Jose)
- FBC related clean-ups and improvements (Ville)
- Removing unused sw_fence_await_reservation (Niranjana)
- Big chunch of display house clean-up (Ville)
- Many Watermark fixes and clean-ups (Ville)
- Fix device info for devices without display (Jani)
- Fix TC port PLLs after readout (Ville)
- DPLL ID clean-ups (Ville)
- Prep work for finishing (de)gamma readout (Ville)
- PSR fixes and improvements (Jouni, Jose)
- Reject excessive dotclocks early (Ville)
- DRRS related improvements (Ville)
- Simplify uncore register updates (Andrzej)
- Fix simulated GPU reset wrt. encoder HW readout (Imre)
- Add a ADL-P workaround (Jose)
- Fix clear mask in GEN7_MISCCPCTL update (Andrzej)
- Temporarily disable runtime_pm for discrete (Anshuman)
- Improve fbdev debugs (Nirmoy)
- Fix DP FRL link training status (Ankit)
- Other small display fixes (Ankit, Suraj)
- Allow panel fixed modes to have differing sync
  polarities (Ville)
- Clean up crtc state flag checks (Ville)
- Fix race conditions during DKL PHY accesses (Imre)
- Prep-work for cdclock squash and crawl modes (Anusha)
- ELD precompute and readout (Ville)

Thanks,
Rodrigo.

The following changes since commit 21f0b7dabf9c358e75a539b5554c0375bf1abe0a:

  drm/i915: Fix return type of mode_valid function hook (2022-09-15 10:28:55 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-10-28

for you to fetch changes up to a6ebd538364b1e9e6048faaafbc0188172ed50c3:

  drm/i915/sdvo: Fix debug print (2022-10-28 14:46:21 +0300)

----------------------------------------------------------------
- Hotplug code clean-up and organization (Jani, Gustavo)
- More VBT specific code clean-up, doc, organization,
  and improvements (Ville)
- More MTL enabling work (Matt, RK, Anusha, Jose)
- FBC related clean-ups and improvements (Ville)
- Removing unused sw_fence_await_reservation (Niranjana)
- Big chunch of display house clean-up (Ville)
- Many Watermark fixes and clean-ups (Ville)
- Fix device info for devices without display (Jani)
- Fix TC port PLLs after readout (Ville)
- DPLL ID clean-ups (Ville)
- Prep work for finishing (de)gamma readout (Ville)
- PSR fixes and improvements (Jouni, Jose)
- Reject excessive dotclocks early (Ville)
- DRRS related improvements (Ville)
- Simplify uncore register updates (Andrzej)
- Fix simulated GPU reset wrt. encoder HW readout (Imre)
- Add a ADL-P workaround (Jose)
- Fix clear mask in GEN7_MISCCPCTL update (Andrzej)
- Temporarily disable runtime_pm for discrete (Anshuman)
- Improve fbdev debugs (Nirmoy)
- Fix DP FRL link training status (Ankit)
- Other small display fixes (Ankit, Suraj)
- Allow panel fixed modes to have differing sync
  polarities (Ville)
- Clean up crtc state flag checks (Ville)
- Fix race conditions during DKL PHY accesses (Imre)
- Prep-work for cdclock squash and crawl modes (Anusha)
- ELD precompute and readout (Ville)

----------------------------------------------------------------
Alan Previn (1):
      drm/i915/pxp: Add firmware status when ARB session fails

Andrzej Hajda (5):
      drm/i915/display: remove drm_device aliases
      drm/i915/display: Use intel_uncore alias if defined
      drm/i915: make intel_uncore_rmw() write unconditionally
      drm/i915: use proper helper for register updates
      drm/i915: fix clear mask in GEN7_MISCCPCTL update

Ankit Nautiyal (2):
      drm/i915/dp: Reset frl trained flag before restarting FRL training
      drm/i915/dp: Remove whitespace at the end of function.

Anshuman Gupta (1):
      drm/i915/dgfx: Keep PCI autosuspend control 'on' by default on all dGPU

Anusha Srivatsa (5):
      drm/i915/display: Add DC5 counter and DMC debugfs entries for MTL
      drm/i915/display: Change terminology for cdclk actions
      drm/i915/display: Introduce HAS_CDCLK_SQUASH macro
      drm/i915/display: Move chunks of code out of bxt_set_cdclk()
      drm/i915/display: Move squash_ctl register programming to its own function

Gustavo Sousa (1):
      drm/i915: Move hotplug inversion logic into separate helper

Imre Deak (6):
      drm/i915: Fix TypeC mode initialization during system resume
      drm/i915: Fix simulated GPU reset wrt. encoder HW readout
      drm/i915/tgl+: Add locking around DKL PHY register accesses
      drm/i915: Rename intel_tc_phy_regs.h to intel_mg_phy_regs.h
      drm/i915/tgl+: Move DKL PHY register definitions to intel_dkl_phy_regs.h
      drm/i915/tgl+: Sanitize DKL PHY register definitions

Jani Nikula (4):
      drm/i915/hotplug: move hotplug storm debugfs to intel_hotplug.c
      drm/i915/hotplug: refactor hotplug init slightly
      drm/i915/display: remove ipc_enabled from struct drm_i915_private
      drm/i915: fix device info for devices without display

José Roberto de Souza (3):
      drm/i915: Parse and set stepping for platforms with GMD
      drm/i915/mtl: Extend PSR support
      drm/i915: Extend Wa_1607297627 to Alderlake-P

Jouni Högander (1):
      drm/i915/psr: Fix PSR_IMR/IIR field handling

Matt Roper (1):
      drm/i915: Read graphics/media/display arch version from hw

Niranjana Vishwanathapura (1):
      drm/i915: Remove unused function parameter

Nirmoy Das (1):
      drm/i915: Print return value on error

Radhakrishna Sripada (3):
      drm/i915/mtl: Add MTP ddc pin configuration
      drm/i915: Add intel_ prefix to struct ip_version
      drm/i915: Use graphics ver, rel info for media on old platforms

Suraj Kandpal (1):
      drm/i915: Remove one use macro

Ville Syrjälä (92):
      drm/i915: WARN if a port should use VBT provided vswing tables
      drm/i915/fbc: Move flip_pending assignmnt
      drm/i915/fbc: Use lockdep_assert_held()
      drm/i915: Nuke stale plane cdclk ratio FIXMEs
      drm/i915/fbc: Remove stale FIXME
      drm/i915: Drop pointless middle man variable
      drm/i915: Clean up transcoder_to_stream_enc_status()
      drm/i915: Drop pointless 'budget' variable
      drm/i915: Use BIT() when dealing with output types
      drm/i915: Pass intel_encoder to to_lvds_encoder()
      drm/i915: Extract intel_edp_backlight_setup()
      drm/i915: Extract intel_tv_add_properties()
      drm/i915: Extract intel_dp_mst_add_properties()
      drm/i915: Extract intel_lvds_add_properties()
      drm/i915: Move eDP scaling_mode prop setup to the proper place
      drm/i915: Extract intel_attach_scaling_mode_property()
      drm/i915: Clean up connector->*_allowed setup
      drm/i915: Don't init eDP if we can't find a fixed mode
      drm/i915: Split g4x_compute_pipe_wm() into two
      drm/i915: Split vlv_compute_pipe_wm() into two
      drm/i915: Simplify up g4x watermark sanitation
      drm/i915: Simplify up vlv watermark sanitation
      drm/i915: Add missing invalidate to g4x wm readout
      drm/i915: Force DPLL calculation for TC ports after readout
      drm/i915: Don't bail early from intel_dp_initial_fastset_check()
      drm/i915: Pimp DPLL ref/unref debugs
      drm/i915: WARN if PLL ref/unref got messed up
      drm/i915: Always initialize dpll.lock
      drm/i915: Nuke intel_get_shared_dpll_id()
      drm/i915: Round to closest in g4x+ HDMI clock readout
      drm/i915: Simplify intel_panel_add_edid_alt_fixed_modes()
      drm/i915: Allow alternate fixed modes always for eDP
      drm/i915: Allow alternate fixed modes always for LVDS
      drm/i915: Remove PLL asserts from .load_luts()
      drm/i915: Split up intel_color_init()
      drm/i915: Simplify the intel_color_init_hooks() if ladder
      drm/i915: Clean up intel_color_init_hooks()
      drm/i915: Change glk_load_degamma_lut() calling convention
      drm/i915: Add some debug prints for intel_modeset_all_pipes()
      drm/i915: Fix watermark calculations for gen12+ RC CCS modifier
      drm/i915: Fix watermark calculations for gen12+ MC CCS modifier
      drm/i915: Fix watermark calculations for gen12+ CCS+CC modifier
      drm/i915: Fix watermark calculations for DG2 CCS modifiers
      drm/i915: Fix watermark calculations for DG2 CCS+CC modifier
      drm/i915: Simplify modifier lookup in watermark code
      drm/i915: Reject excessive dotclocks early
      drm/i915: Move DRRS debugfs next to the implementation
      drm/i915: Make the DRRS debugfs contents more consistent
      drm/i915: Make DRRS debugfs per-crtc/connector
      drm/i915: Fix locking in DRRS debugfs
      drm/i915: Tighten DRRS capability reporting
      drm/i915: Setup final panel drrs_type already during init
      drm/i915: Clean up some namespacing
      drm/i915: Fix g4x/vlv/chv CxSR vs. format/tiling/rotation changes
      drm/i915: Fix pipe gamma enable/disable vs. CxSR on gmch platforms
      drm/i915: Write watermarks for disabled pipes on gmch platforms
      drm/i915: Do the DRIVER_ATOMIC feature disable later
      drm/i915: Enable atomic by default on ctg/elk
      drm/i915: Allow panel fixed modes to have differing sync polarities
      drm/i915: Activate DRRS after state readout
      drm/i915: Introduce intel_crtc_needs_fastset()
      drm/i915: Remove some local 'mode_changed' bools
      drm/i915: Don't flag both full modeset and fastset at the same time
      drm/i915: Introduce intel_crtc_needs_color_update()
      drm/i915: Make ilk_load_luts() deal with degamma
      drm/i915: Introduce crtc_state->{pre,post}_csc_lut
      drm/i915: Assert {pre,post}_csc_lut were assigned sensibly
      drm/i915: Get rid of glk_load_degamma_lut_linear()
      drm/i915: Stop loading linear degamma LUT on glk needlessly
      drm/i915/audio: s/dev_priv/i915/
      drm/i915/audio: Nuke leftover ROUNDING_FACTOR
      drm/i915/audio: Remove CL/BLC audio stuff
      drm/i915/audio: Extract struct ilk_audio_regs
      drm/i915/audio: Use REG_BIT() & co.
      drm/i915/audio: Unify register bit naming
      drm/i915/audio: Protect singleton register with a lock
      drm/i915/audio: Nuke intel_eld_uptodate()
      drm/i915/audio: Read ELD buffer size from hardware
      drm/i915/audio: Make sure we write the whole ELD buffer
      drm/i915/audio: Use u32* for ELD
      drm/i915/audio: Use intel_de_rmw() for most audio registers
      drm/i915/audio: Split "ELD valid" vs. audio PD on hsw+
      drm/i915/audio: Do the vblank waits
      drm/i915/sdvo: Extract intel_sdvo_has_audio()
      drm/i915/sdvo: Filter out invalid outputs more sensibly
      drm/i915/sdvo: Setup DDC fully before output init
      drm/i915/sdvo: Grab mode_config.mutex during LVDS init to avoid WARNs
      drm/i915/sdvo: Simplify output setup debugs
      drm/i915/sdvo: Don't add DDC modes for LVDS
      drm/i915/sdvo: Get rid of the output type<->device index stuff
      drm/i915/sdvo: Reduce copy-pasta in output setup
      drm/i915/sdvo: Fix debug print

 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   6 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |   8 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  21 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   8 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  58 +-
 drivers/gpu/drm/i915/display/intel_audio.c         | 656 ++++++++++-----------
 drivers/gpu/drm/i915/display/intel_audio_regs.h    |  87 ++-
 drivers/gpu/drm/i915/display/intel_bios.c          |  10 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  89 +--
 drivers/gpu/drm/i915/display/intel_color.c         | 360 ++++++-----
 drivers/gpu/drm/i915/display/intel_color.h         |   6 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  18 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |  18 +
 drivers/gpu/drm/i915/display/intel_connector.h     |   1 +
 drivers/gpu/drm/i915/display/intel_crt.c           |   9 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |   8 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |  12 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |   6 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 101 ++--
 drivers/gpu/drm/i915/display/intel_display.c       | 166 +++---
 drivers/gpu/drm/i915/display/intel_display.h       |   7 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  13 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   | 309 +---------
 drivers/gpu/drm/i915/display/intel_display_power.c |   3 +-
 .../drm/i915/display/intel_display_power_well.c    |   8 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  27 +-
 drivers/gpu/drm/i915/display/intel_dkl_phy.c       | 106 ++++
 drivers/gpu/drm/i915/display/intel_dkl_phy.h       |  24 +
 drivers/gpu/drm/i915/display/intel_dkl_phy_regs.h  | 204 +++++++
 drivers/gpu/drm/i915/display/intel_dmc.c           |  22 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 106 ++--
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  20 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  46 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 125 ++--
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   3 -
 drivers/gpu/drm/i915/display/intel_drrs.c          | 112 +++-
 drivers/gpu/drm/i915/display/intel_drrs.h          |   4 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   6 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |  13 +
 drivers/gpu/drm/i915/display/intel_fb.h            |   1 +
 drivers/gpu/drm/i915/display/intel_fbc.c           |  33 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   6 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   5 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       | 216 ++++++-
 drivers/gpu/drm/i915/display/intel_hotplug.h       |   3 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   5 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  48 +-
 .../{intel_tc_phy_regs.h => intel_mg_phy_regs.h}   |   6 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |  22 +-
 .../gpu/drm/i915/display/intel_modeset_verify.c    |   3 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |   7 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  34 +-
 drivers/gpu/drm/i915/display/intel_panel.h         |   2 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |   9 +-
 drivers/gpu/drm/i915/display/intel_psr.c           | 114 ++--
 drivers/gpu/drm/i915/display/intel_sdvo.c          | 198 ++++---
 drivers/gpu/drm/i915/display/intel_tc.c            |  78 ++-
 drivers/gpu/drm/i915/display/intel_tc.h            |   3 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |  87 +--
 drivers/gpu/drm/i915/display/skl_watermark.c       |  12 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  22 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |   2 +-
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            |   3 +
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   4 +-
 drivers/gpu/drm/i915/i915_driver.c                 |  26 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   8 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 270 ++++-----
 drivers/gpu/drm/i915/i915_pci.c                    |  13 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 204 +------
 drivers/gpu/drm/i915/i915_sw_fence.c               |   1 -
 drivers/gpu/drm/i915/i915_sw_fence.h               |   1 -
 drivers/gpu/drm/i915/intel_device_info.c           |  86 ++-
 drivers/gpu/drm/i915/intel_device_info.h           |  17 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 266 ++++-----
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  11 +-
 drivers/gpu/drm/i915/intel_step.c                  |  25 +
 drivers/gpu/drm/i915/intel_step.h                  |  28 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   8 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_session.c       |   1 +
 drivers/gpu/drm/i915/pxp/intel_pxp_tee.c           |   3 +
 drivers/gpu/drm/i915/vlv_suspend.c                 |  28 +-
 82 files changed, 2600 insertions(+), 2126 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dkl_phy.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dkl_phy.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dkl_phy_regs.h
 rename drivers/gpu/drm/i915/display/{intel_tc_phy_regs.h => intel_mg_phy_regs.h} (99%)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-09-16 12:09 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2022-09-16 12:09 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

The final feature pull for v6.1.

drm-intel-next-2022-09-16-1:
drm/i915 feature pull #2 for v6.1:

Features and functionality:
- More Meteorlake platform enabling (Radhakrishna, Imre, Madhumitha)
- Allow seamless M/N changes on eDP panels that support it (Ville)
- Switch DSC debugfs from output bpp to input bpc (Swati)

Refactoring and cleanups:
- Clocking and DPLL refactoring and cleanups to support seamless M/N (Ville)
- Plenty of VBT definition and parsing updates and cleanups (Ville)
- Extract SKL watermark code to a separate file, and clean up (Ville)
- Clean up IPC interfaces and debugfs (Jani)
- Continue moving display data under drm_i915_private display sub-struct (Jani)
- Display quirk handling refactoring and abstractions (Jani)
- Stop using implicit dev_priv in gmbus registers (Jani)
- BUG_ON() removals and conversions to drm_WARN_ON() and BUILD_BUG_ON() (Jani)
- Use drm_dp_phy_name() for logging (Jani)
- Use REG_BIT() macros for CDCLK registers (Stan)
- Move display and media IP versions to runtime info (Radhakrishna)

Fixes:
- Fix DP MST suspend to avoid use-after-free (Andrzej)
- Fix HPD suspend to avoid use-after-free for fbdev (Andrzej)
- Fix various PSR issues regarding selective update and damage clips (Jouni)
- Fix runtime pm wakerefs for driver remove and release (Mitul Golani)
- Fix conditions for filtering fixed modes for panels (Ville)
- Fix TV encoder clock computation (Ville)
- Fix dvo mode_valid hook return type (Nathan Huckleberry)

Merges:
- Backmerge drm-next to sync the DP MST atomic changes (Jani)

BR,
Jani.

The following changes since commit 89b03aeaef16f8ab48c10c399f97c836bdbae838:

  drm/vkms: fix 32bit compilation error by replacing macros (2022-09-11 22:28:56 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-09-16-1

for you to fetch changes up to 21f0b7dabf9c358e75a539b5554c0375bf1abe0a:

  drm/i915: Fix return type of mode_valid function hook (2022-09-15 10:28:55 +0300)

----------------------------------------------------------------
drm/i915 feature pull #2 for v6.1:

Features and functionality:
- More Meteorlake platform enabling (Radhakrishna, Imre, Madhumitha)
- Allow seamless M/N changes on eDP panels that support it (Ville)
- Switch DSC debugfs from output bpp to input bpc (Swati)

Refactoring and cleanups:
- Clocking and DPLL refactoring and cleanups to support seamless M/N (Ville)
- Plenty of VBT definition and parsing updates and cleanups (Ville)
- Extract SKL watermark code to a separate file, and clean up (Ville)
- Clean up IPC interfaces and debugfs (Jani)
- Continue moving display data under drm_i915_private display sub-struct (Jani)
- Display quirk handling refactoring and abstractions (Jani)
- Stop using implicit dev_priv in gmbus registers (Jani)
- BUG_ON() removals and conversions to drm_WARN_ON() and BUILD_BUG_ON() (Jani)
- Use drm_dp_phy_name() for logging (Jani)
- Use REG_BIT() macros for CDCLK registers (Stan)
- Move display and media IP versions to runtime info (Radhakrishna)

Fixes:
- Fix DP MST suspend to avoid use-after-free (Andrzej)
- Fix HPD suspend to avoid use-after-free for fbdev (Andrzej)
- Fix various PSR issues regarding selective update and damage clips (Jouni)
- Fix runtime pm wakerefs for driver remove and release (Mitul Golani)
- Fix conditions for filtering fixed modes for panels (Ville)
- Fix TV encoder clock computation (Ville)
- Fix dvo mode_valid hook return type (Nathan Huckleberry)

Merges:
- Backmerge drm-next to sync the DP MST atomic changes (Jani)

----------------------------------------------------------------
Andrzej Hajda (3):
      drm/i915/hpd: suspend MST at the end of intel_modeset_driver_remove
      drm/i915/fbdev: suspend HPD before fbdev unregistration
      drm/i915/fbdev: do not create fbdev if HPD is suspended

Ankit Nautiyal (1):
      drm/i915/vdsc: Set VDSC PIC_HEIGHT before using for DP DSC

Imre Deak (2):
      drm/i915/mtl: Add display power wells
      drm/i915/mtl: Add DP AUX support on TypeC ports

Jani Nikula (46):
      drm/i915: move and group hdcp under display.hdcp
      drm/i915: move and group max_bw and bw_obj under display.bw
      drm/i915: move opregion to display.opregion
      drm/i915: move and group cdclk under display.cdclk
      drm/i915: move backlight to display.backlight
      drm/i915: move mipi_mmio_base to display.dsi
      drm/i915: move vbt to display.vbt
      drm/i915: move fbc to display.fbc
      drm/i915: move and group power related members under display.power
      drm/i915: move and group fdi members under display.fdi
      drm/i915: move fb_tracking under display sub-struct
      drm/i915: move dbuf under display sub-struct
      drm/i915: move and group modeset_wq and flip_wq under display.wq
      drm/i915/quirks: abstract checking for display quirks
      drm/i915/quirks: abstract quirks further by making quirk ids an enum
      drm/i915: move quirks under display sub-struct
      drm/i915: move atomic_helper under display sub-struct
      drm/i915: move and group properties under display.properties
      drm/i915/gmbus: split out gmbus regs in a separate file
      drm/i915/gmbus: whitespace cleanup in reg definitions
      drm/i915/gmbus: add wrapper for gmbus mmio base
      drm/i915/gmbus: stop using implicit dev_priv in register definitions
      drm/i915/reg: stop using implicit dev_priv in DSPCLK_GATE_D
      drm/i915/gmbus: mass dev_priv -> i915 rename
      drm/i915/crt: remove BUG_ON()
      drm/i915/dpll: replace BUG_ON() with drm_WARN_ON()
      drm/i915/pch: replace BUG_ON() with drm_WARN_ON()
      drm/i915/perf: replace BUG_ON() with WARN_ON()
      drm/i915/fence: replace BUG_ON() with BUILD_BUG_ON()
      drm/i915: remove unused macro I915_GTT_OFFSET_NONE
      drm/i915: remove unused i915_gem_set_global_seqno() declaration
      drm/i915: un-inline i915_gem_drain_workqueue()
      drm/i915: un-inline i915_gem_drain_freed_objects()
      drm/i915: split out i915_gem.c declarations to i915_gem.h
      Revert "drm/i915: Add DSC support to MST path"
      Revert "drm/i915: Extract drm_dp_atomic_find_vcpi_slots cycle to separate function"
      Revert "drm/i915: Fix intel_dp_mst_compute_link_config"
      Revert "drm: Add missing DP DSC extended capability definitions."
      drm/i915/dsb: hide struct intel_dsb better
      Merge drm/drm-next into drm-intel-next
      drm/i915/dp: use drm_dp_phy_name() for logging
      drm/i915/ipc: refactor and rename IPC functions
      drm/i915/ipc: move IPC debugfs to skl_watermark.c
      drm/i915/ipc: register debugfs only if IPC available
      drm/i915/display: move IPC under display wm sub-struct
      drm/i915/ipc: use intel_uncore_rmw() to enable/disable

Jouni Högander (4):
      drm/i915/psr: Equation changed for sending start/stop on prior line
      drm/i915/psr: Disable PSR2 when SDP is sent on prior line
      drm/i915/display: Use original src in psr2 sel fetch area calculation
      drm/i915/display: Use drm helper instead of own loop for damage clips

Madhumitha Tolakanahalli Pradeep (1):
      drm/i915/mtl: Update CHICKEN_TRANS* register addresses

Mitul Golani (1):
      drm/i915/display: Fix warning callstack for imbalance wakeref

Nathan Huckleberry (1):
      drm/i915: Fix return type of mode_valid function hook

Radhakrishna Sripada (4):
      drm/i915: Move display and media IP version to runtime info
      drm/i915/mtl: Add gmbus and gpio support
      drm/i915/mtl: Obtain SAGV values from MMIO instead of GT pcode mailbox
      drm/i915/mtl: Update MBUS_DBOX credits

Stanislav Lisovskiy (5):
      drm/i915: Start using REG_BIT* macros with CDCLK registers
      drm: Add missing DP DSC extended capability definitions.
      drm/i915: Fix intel_dp_mst_compute_link_config
      drm/i915: Extract drm_dp_atomic_find_vcpi_slots cycle to separate function
      drm/i915: Add DSC support to MST path

Swati Sharma (1):
      drm/i915/dsc: convert dsc debugfs entry from output_bpp to input_bpc

Ville Syrjälä (41):
      drm/i915/bios: Dump PNPID and panel name
      drm/i915/bios: Copy the whole MIPI sequence block
      drm/i915: Allow more varied alternate fixed modes for panels
      drm/i915/bios: Validate fp_timing terminator presence
      drm/i915/bios: Use hardcoded fp_timing size for generating LFP data pointers
      drm/i915: Implement WaEdpLinkRateDataReload
      Revert "drm/i915/display: Re-add check for low voltage sku for max dp source rate"
      drm/i915: Unify VBT version number comments
      drm/i915: Add some more VBT version number comments
      drm/i915: Properly define the DP redriver VBT bits
      drm/i915: Define VBT eDP/DP max lane count bits
      drm/i915: Add the VBT LTTPR transparent vs. non-transparent bits
      drm/i915: Define VBT max HDMI FRL rate bits
      drm/i915: Document the sets of bits in the driver features block
      drm/i915: Define more VBT driver features block bits
      drm/i915: Define all possible VBT device handles
      drm/i915: Rename some VBT bits
      drm/i915: Parse DP/eDP max lane count from VBT
      drm/i915: Relocate intel_crtc_dotclock()
      drm/i915: Shuffle some PLL code around
      drm/i915: Extract HAS_DOUBLE_BUFFERED_M_N()
      drm/i915/dsi: Extract {vlv,bxt}_get_pclk()
      drm/i915: Do .crtc_compute_clock() earlier
      drm/i915: Reassign DPLLs only for crtcs going throug .compute_config()
      drm/i915: Feed the DPLL output freq back into crtc_state
      drm/i915: Compute clocks earlier
      drm/i915: Make M/N checks non-fuzzy
      drm/i915: Make all clock checks non-fuzzy
      drm/i915: Set active dpll early for icl+
      drm/i915: Nuke fastet state copy hacks
      drm/i915: Skip intel_modeset_pipe_config_late() if the pipe is not enabled
      drm/i915: Add intel_panel_highest_mode()
      drm/i915: Allow M/N change during fastset on bdw+
      drm/i915: Use a fixed N value always
      drm/i915: Round TMDS clock to nearest
      drm/i915: Split intel_read_wm_latency() into per-platform versions
      drm/i915: Extract skl_watermark.c
      drm/i915: Use REG_FIELD_GET() to extract skl+ wm latencies
      drm/i915/bios: Fix VBT ACPI DPMS bit polarity
      drm/i915/bios: Add the "Disable compression for the Display Port/HDMI external display" bit
      drm/i915: Fix TV encoder clock computation

 drivers/gpu/drm/i915/Makefile                      |    3 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |    4 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |    2 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |    2 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   22 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    8 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    2 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  102 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |   77 +-
 .../gpu/drm/i915/display/intel_backlight_regs.h    |  124 +
 drivers/gpu/drm/i915/display/intel_bios.c          |  392 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |    1 +
 drivers/gpu/drm/i915/display/intel_bw.c            |  152 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  293 +-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |    4 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   35 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    8 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   13 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |    9 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   71 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  372 +-
 drivers/gpu/drm/i915/display/intel_display.h       |    6 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  418 ++
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  147 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  153 +-
 .../gpu/drm/i915/display/intel_display_power_map.c |  119 +-
 .../drm/i915/display/intel_display_power_well.c    |  129 +-
 .../drm/i915/display/intel_display_power_well.h    |   16 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |    3 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  142 +-
 drivers/gpu/drm/i915/display/intel_dmc.h           |    1 +
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |    2 +
 drivers/gpu/drm/i915/display/intel_dp.c            |  147 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   57 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |    1 +
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  105 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |    8 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |  111 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  326 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   30 +
 drivers/gpu/drm/i915/display/intel_dsb.h           |   28 -
 drivers/gpu/drm/i915/display/intel_dsi.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |    3 -
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |   14 +-
 drivers/gpu/drm/i915/display/intel_dvo_dev.h       |    4 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |   18 +-
 drivers/gpu/drm/i915/display/intel_fbc.h           |    1 +
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   36 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   20 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   56 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   18 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |  290 +-
 drivers/gpu/drm/i915/display/intel_gmbus.h         |    1 +
 drivers/gpu/drm/i915/display/intel_gmbus_regs.h    |   81 +
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  139 +-
 drivers/gpu/drm/i915/display/intel_hdcp_regs.h     |  270 ++
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  116 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   47 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    4 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |   15 +-
 .../gpu/drm/i915/display/intel_modeset_verify.c    |    8 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |   42 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   16 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |   45 +-
 drivers/gpu/drm/i915/display/intel_panel.h         |    3 +
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |   16 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.h    |    1 +
 drivers/gpu/drm/i915/display/intel_plane_initial.c |    2 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |   51 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   43 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |   25 +-
 drivers/gpu/drm/i915/display/intel_quirks.h        |   14 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   18 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      | 1116 ++++++
 drivers/gpu/drm/i915/display/intel_tc.c            |    4 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   23 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |  346 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    5 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |   14 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |    6 +-
 drivers/gpu/drm/i915/display/skl_watermark.c       | 3562 +++++++++++++++++
 drivers/gpu/drm/i915/display/skl_watermark.h       |   80 +
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   30 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |  141 +-
 drivers/gpu/drm/i915/display/vlv_dsi_regs.h        |  188 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   11 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |    4 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   18 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |    2 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |    4 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |    2 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   16 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |    3 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |    4 +-
 drivers/gpu/drm/i915/gt/intel_ppgtt.c              |    2 +-
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |   17 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |    5 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |    2 -
 drivers/gpu/drm/i915/gvt/cfg_space.c               |    5 +-
 drivers/gpu/drm/i915/gvt/edid.c                    |    3 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |    7 +-
 drivers/gpu/drm/i915/i915_driver.c                 |   42 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  521 +--
 drivers/gpu/drm/i915/i915_gem.c                    |   44 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   43 +
 drivers/gpu/drm/i915/i915_getparam.c               |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |    3 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   94 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  286 +-
 drivers/gpu/drm/i915/i915_pci.h                    |    6 +
 drivers/gpu/drm/i915/i915_perf.c                   |    3 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  541 +--
 drivers/gpu/drm/i915/i915_sw_fence.c               |    2 -
 drivers/gpu/drm/i915/i915_sw_fence.h               |    6 +-
 drivers/gpu/drm/i915/i915_utils.h                  |    4 -
 drivers/gpu/drm/i915/intel_device_info.c           |   91 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   93 +-
 drivers/gpu/drm/i915/intel_dram.c                  |   41 +-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |    1 +
 drivers/gpu/drm/i915/intel_pch.c                   |    9 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    4 +
 drivers/gpu/drm/i915/intel_pci_config.h            |    7 +
 drivers/gpu/drm/i915/intel_pm.c                    | 4056 ++------------------
 drivers/gpu/drm/i915/intel_pm.h                    |   65 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    8 +-
 include/drm/i915_pciids.h                          |    1 +
 133 files changed, 9451 insertions(+), 7234 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_backlight_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_core.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_gmbus_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/skl_watermark.c
 create mode 100644 drivers/gpu/drm/i915/display/skl_watermark.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2022-08-29 13:22 Jani Nikula
@ 2022-09-15 11:55 ` Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2022-09-15 11:55 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, intel-gfx, dri-devel,
	Thomas Zimmermann, Rodrigo Vivi

On Mon, 29 Aug 2022, Jani Nikula <jani.nikula@intel.com> wrote:
> Hi Dave & Daniel -
>
> drm-intel-next-2022-08-29:
> drm/i915 feature pull for v6.1:

Hey, I started making another pull request, but realized you haven't
pulled this one yet. Anything the matter, or just fell between the
cracks?

BR,
Jani.


>
> Features and functionality:
> - Early Meteorlake (MTL) enabling (José, Radhakrishna, Clint, Imre, Vandita, Ville, Jani)
> - Support more HDMI pixel clock frequencies on DG2 (Clint)
> - Sanity check PCI BARs (Piotr Piórkowski)
> - Enable DC5 on DG2 (Anusha)
> - DG2 DMC firmware version bump to v2.07 (Madhumitha)
> - New ADL-S PCI ID (José)
>
> Refactoring and cleanups:
> - Add display sub-struct to struct drm_i915_private (Jani)
> - Add initial runtime info to device info (Jani)
> - Split out HDCP and backlight registers to separate files (Jani)
>
> Fixes:
> - Skip wm/ddb readout for disabled pipes (Ville)
> - HDMI port timing quirk for GLK ECS Liva Q2 (Diego Santa Cruz)
> - Fix bw init null pointer dereference (Łukasz Bartosik)
> - Disable PPS power hook for DP AUX backlight (Jouni)
> - Avoid warnings on registering multiple backlight devices (Arun)
> - Fix dual-link DSI backlight and CABC ports for display 11+ (Jani)
> - Fix Type-C PHY ownership programming in HDMI legacy mode (Imre)
> - Fix unclaimed register access while loading PIPEDMC-C/D (Imre)
> - Bump up CDCLK for DG2 (Stan)
> - Prune modes that require HDMI 2.1 FRL (Ankit)
> - Disable FBC when PSR1 is enabled in display 12-13 (Matt)
> - Fix TGL+ HDMI transcoder clock and DDI BUF disable order (Imre)
> - Disable PSR before disable pipe (José)
> - Disable DMC handlers during firmware loading/disabling on display 12+ (Imre)
> - Disable clock gating for PIPEDMC-A/B as a workaround (Imre)
>
> Merges:
> - Two drm-next backmerges (Rodrigo, Jani)
>
> BR,
> Jani.
>
> The following changes since commit 2c2d7a67defa198a8b8148dbaddc9e5554efebc8:
>
>   Merge tag 'drm-intel-gt-next-2022-08-24' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2022-08-26 10:03:43 +1000)
>
> are available in the Git repository at:
>
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-08-29
>
> for you to fetch changes up to 917bda9ab155032a02be1a57ebd4d949ae9e1528:
>
>   Merge drm/drm-next into drm-intel-next (2022-08-29 15:14:59 +0300)
>
> ----------------------------------------------------------------
> drm/i915 feature pull for v6.1:
>
> Features and functionality:
> - Early Meteorlake (MTL) enabling (José, Radhakrishna, Clint, Imre, Vandita, Ville, Jani)
> - Support more HDMI pixel clock frequencies on DG2 (Clint)
> - Sanity check PCI BARs (Piotr Piórkowski)
> - Enable DC5 on DG2 (Anusha)
> - DG2 DMC firmware version bump to v2.07 (Madhumitha)
> - New ADL-S PCI ID (José)
>
> Refactoring and cleanups:
> - Add display sub-struct to struct drm_i915_private (Jani)
> - Add initial runtime info to device info (Jani)
> - Split out HDCP and backlight registers to separate files (Jani)
>
> Fixes:
> - Skip wm/ddb readout for disabled pipes (Ville)
> - HDMI port timing quirk for GLK ECS Liva Q2 (Diego Santa Cruz)
> - Fix bw init null pointer dereference (Łukasz Bartosik)
> - Disable PPS power hook for DP AUX backlight (Jouni)
> - Avoid warnings on registering multiple backlight devices (Arun)
> - Fix dual-link DSI backlight and CABC ports for display 11+ (Jani)
> - Fix Type-C PHY ownership programming in HDMI legacy mode (Imre)
> - Fix unclaimed register access while loading PIPEDMC-C/D (Imre)
> - Bump up CDCLK for DG2 (Stan)
> - Prune modes that require HDMI 2.1 FRL (Ankit)
> - Disable FBC when PSR1 is enabled in display 12-13 (Matt)
> - Fix TGL+ HDMI transcoder clock and DDI BUF disable order (Imre)
> - Disable PSR before disable pipe (José)
> - Disable DMC handlers during firmware loading/disabling on display 12+ (Imre)
> - Disable clock gating for PIPEDMC-A/B as a workaround (Imre)
>
> Merges:
> - Two drm-next backmerges (Rodrigo, Jani)
>
> ----------------------------------------------------------------
> Ankit Nautiyal (1):
>       drm/i915/hdmi: Prune modes that require HDMI2.1 FRL
>
> Anusha Srivatsa (3):
>       drm/i915/display: Cleanup intel_phy_is_combo()
>       drm/i915: Pass drm_i915_private struct instead of gt for gen11_gu_misc_irq_handler/ack()
>       drm/i915/dg2: Add support for DC5 state
>
> Arun R Murthy (1):
>       drm/i915/display: avoid warnings when registering dual panel backlight
>
> Bo Liu (1):
>       drm/i915/irq: Fix a "the the" typo
>
> Clint Taylor (1):
>       drm/i915/mtl: Fix rawclk for Meteorlake PCH
>
> Diego Santa Cruz (1):
>       drm/i915/glk: ECS Liva Q2 needs GLK HDMI port timing quirk
>
> Imre Deak (6):
>       drm/i915/tgl+: Fix HDMI transcoder clock vs. DDI BUF disabling order
>       drm/i915/d12+: Disable DMC handlers during loading/disabling the firmware
>       drm/i915/d13: Add Wa_16015201720 disabling clock gating for PIPEDMC-A/B
>       drm/i915/xelpd: Fix unclaimed accesses while loading PIPEDMC-C/D
>       drm/i915/tc: Fix PHY ownership programming in HDMI legacy mode
>       drm/i915/mtl: Add VBT port and AUX_CH mapping
>
> Jani Nikula (42):
>       drm/i915/hdcp: split out hdcp registers to a separate file
>       drm/i915/hdcp: replace BIT() with REG_BIT() in register definitions
>       drm/i915/backlight: split out backlight registers to a separate file
>       drm/i915/dsi: filter invalid backlight and CABC ports
>       drm/i915/dsi: fix dual-link DSI backlight and CABC ports for display 11+
>       drm/i915/dsi: use VBT backlight and CABC port definitions directly
>       drm/i915/mtl: Meteorlake and later support DP 2.0
>       drm/i915/utils: remove unused KBps/MBps/GBps macros
>       drm/i915: combine device info printing into one
>       drm/i915: add initial runtime info into device info
>       drm/i915: move graphics.ver and graphics.rel to runtime info
>       drm/i915: move fbc_mask to runtime info
>       drm/i915: move page_sizes to runtime info
>       drm/i915: move ppgtt_type and ppgtt_size to runtime info
>       drm/i915: move has_pooled_eu to runtime info
>       drm/i915: move memory_regions to runtime info
>       drm/i915: move platform_engine_mask to runtime info
>       drm/i915: move pipe_mask and cpu_transcoder_mask to runtime info
>       drm/i915: move has_hdcp to runtime info
>       drm/i915: move has_dmc to runtime info
>       drm/i915: move has_dsc to runtime info
>       drm/i915: add display sub-struct to drm_i915_private
>       drm/i915: move cdclk_funcs to display.funcs
>       drm/i915: move dpll_funcs to display.funcs
>       drm/i915: move hotplug_funcs to display.funcs
>       drm/i915: move wm_disp funcs to display.funcs
>       drm/i915: move fdi_funcs to display.funcs
>       drm/i915: move color_funcs to display.funcs
>       drm/i915: move and group gmbus members under display.gmbus
>       drm/i915: move and group pps members under display.pps
>       drm/i915: move dmc to display.dmc
>       drm/i915: move and split audio under display.audio and display.funcs
>       drm/i915: move dpll under display.dpll
>       drm/i915: move and group fbdev under display.fbdev
>       drm/i915: move wm to display.wm
>       drm/i915: move hotplug to display.hotplug
>       drm/i915: move overlay to display.overlay
>       drm/i915: move and group sagv under display.sagv
>       drm/i915/vrr: drop window2_delay member from i915
>       drm/i915: move INTEL_FRONTBUFFER_* macros to intel_frontbuffer.h
>       drm/i915: split gem quirks from display quirks
>       Merge drm/drm-next into drm-intel-next
>
> José Roberto de Souza (4):
>       Revert "drm/i915/display: Ensure PSR gets disabled if no encoders in new state"
>       drm/i915/psr: Disable PSR before disable pipe
>       drm/i915/display/mtl: Extend MBUS programming
>       drm/i915: Add new ADL-S pci id
>
> Jouni Högander (2):
>       drm/i915/display: Ensure PSR gets disabled if no encoders in new state
>       drm/i915/backlight: Disable pps power hook for aux based backlight
>
> Madhumitha Tolakanahalli Pradeep (1):
>       drm/i915/dmc: Update DG2 DMC firmware to v2.07
>
> Matt Roper (1):
>       drm/i915: Add Wa_14016291713
>
> Piotr Piórkowski (2):
>       drm/i915: Use of BARs names instead of numbers
>       drm/i915: Sanitycheck PCI BARs
>
> Radhakrishna Sripada (6):
>       drm/i915/mtl: Add PCH support
>       drm/i915/mtl: Add support for MTL in Display Init sequences
>       drm/i915: Extract wm latency adjustment to its own function
>       drm/i915/mtl: memory latency data from LATENCY_LPX_LPY for WM
>       drm/i915/mtl: Update memory bandwidth parameters
>       drm/i915/mtl: Reuse adl-p DBUF calculations
>
> Rodrigo Vivi (1):
>       Merge drm/drm-next into drm-intel-next
>
> Stanislav Lisovskiy (1):
>       drm/i915/dg2: Bump up CDCLK for DG2
>
> Swati Sharma (1):
>       drm/i915/display: Add debug print for scaler filter
>
> Taylor, Clinton A (1):
>       drm/i915/dg2: Add additional HDMI pixel clock frequencies
>
> Vandita Kulkarni (2):
>       drm/i915/dsc/mtl: Update the DSC minor version
>       drm/i915/dsc/mtl: Enable alternate ICH method
>
> Ville Syrjälä (2):
>       drm/i915/mtl: Introduce FBC B
>       drm/i915: Skip wm/ddb readout for disabled pipes
>
> Łukasz Bartosik (1):
>       drm/i915: fix null pointer dereference
>
>  drivers/gpu/drm/i915/display/g4x_dp.c              |    4 +-
>  drivers/gpu/drm/i915/display/icl_dsi.c             |   20 +-
>  drivers/gpu/drm/i915/display/intel_audio.c         |   96 +-
>  drivers/gpu/drm/i915/display/intel_backlight.c     |   38 +-
>  .../gpu/drm/i915/display/intel_backlight_regs.h    |  124 +++
>  drivers/gpu/drm/i915/display/intel_bios.c          |   24 +-
>  drivers/gpu/drm/i915/display/intel_bw.c            |   66 +-
>  drivers/gpu/drm/i915/display/intel_cdclk.c         |   87 +-
>  drivers/gpu/drm/i915/display/intel_color.c         |   34 +-
>  .../gpu/drm/i915/display/intel_crtc_state_dump.c   |    9 +-
>  drivers/gpu/drm/i915/display/intel_ddi.c           |   46 +-
>  drivers/gpu/drm/i915/display/intel_display.c       |   82 +-
>  drivers/gpu/drm/i915/display/intel_display.h       |    4 +-
>  drivers/gpu/drm/i915/display/intel_display_core.h  |  261 +++++
>  .../gpu/drm/i915/display/intel_display_debugfs.c   |   55 +-
>  drivers/gpu/drm/i915/display/intel_display_power.c |   29 +-
>  .../drm/i915/display/intel_display_power_well.c    |   19 +-
>  drivers/gpu/drm/i915/display/intel_dmc.c           |  142 ++-
>  drivers/gpu/drm/i915/display/intel_dmc.h           |    1 +
>  drivers/gpu/drm/i915/display/intel_dmc_regs.h      |    2 +
>  drivers/gpu/drm/i915/display/intel_dp.c            |   24 +-
>  drivers/gpu/drm/i915/display/intel_dp_aux.c        |    2 +-
>  drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |    1 +
>  drivers/gpu/drm/i915/display/intel_dpll.c          |   24 +-
>  drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  112 +-
>  drivers/gpu/drm/i915/display/intel_dsi.h           |    3 -
>  .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |   14 +-
>  drivers/gpu/drm/i915/display/intel_fbc.c           |   12 +-
>  drivers/gpu/drm/i915/display/intel_fbc.h           |    1 +
>  drivers/gpu/drm/i915/display/intel_fbdev.c         |   26 +-
>  drivers/gpu/drm/i915/display/intel_fdi.c           |    8 +-
>  drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    2 +
>  drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   18 +
>  drivers/gpu/drm/i915/display/intel_gmbus.c         |   46 +-
>  drivers/gpu/drm/i915/display/intel_hdcp.c          |    5 +-
>  drivers/gpu/drm/i915/display/intel_hdcp_regs.h     |  270 +++++
>  drivers/gpu/drm/i915/display/intel_hdmi.c          |   10 +
>  drivers/gpu/drm/i915/display/intel_hotplug.c       |  116 +-
>  drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   47 +-
>  drivers/gpu/drm/i915/display/intel_modeset_setup.c |    2 +-
>  drivers/gpu/drm/i915/display/intel_overlay.c       |   12 +-
>  drivers/gpu/drm/i915/display/intel_pch_refclk.c    |    2 +-
>  drivers/gpu/drm/i915/display/intel_plane_initial.c |    2 +-
>  drivers/gpu/drm/i915/display/intel_pps.c           |   48 +-
>  drivers/gpu/drm/i915/display/intel_psr.c           |   16 +-
>  drivers/gpu/drm/i915/display/intel_quirks.c        |    3 +
>  drivers/gpu/drm/i915/display/intel_snps_phy.c      | 1116 ++++++++++++++++++++
>  drivers/gpu/drm/i915/display/intel_tc.c            |    4 +-
>  drivers/gpu/drm/i915/display/intel_vdsc.c          |    4 +-
>  drivers/gpu/drm/i915/display/intel_vrr.c           |   14 +-
>  drivers/gpu/drm/i915/display/skl_universal_plane.c |    2 +-
>  drivers/gpu/drm/i915/display/vlv_dsi.c             |    7 +-
>  drivers/gpu/drm/i915/gem/i915_gem_pages.c          |    4 +-
>  drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   11 +-
>  drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |    4 +-
>  drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   18 +-
>  .../drm/i915/gem/selftests/i915_gem_client_blt.c   |    2 +-
>  drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |    4 +-
>  drivers/gpu/drm/i915/gt/intel_engine_cs.c          |    2 +-
>  drivers/gpu/drm/i915/gt/intel_ggtt.c               |   16 +-
>  drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |    2 +-
>  drivers/gpu/drm/i915/gt/intel_gt.c                 |    3 +-
>  drivers/gpu/drm/i915/gt/intel_ppgtt.c              |    2 +-
>  drivers/gpu/drm/i915/gt/intel_region_lmem.c        |   17 +-
>  drivers/gpu/drm/i915/gt/intel_sseu.c               |    5 +-
>  drivers/gpu/drm/i915/gt/uc/intel_guc.c             |    2 -
>  drivers/gpu/drm/i915/gvt/cfg_space.c               |    5 +-
>  drivers/gpu/drm/i915/gvt/handlers.c                |    4 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                |    5 +-
>  drivers/gpu/drm/i915/i915_driver.c                 |   23 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |  284 +----
>  drivers/gpu/drm/i915/i915_gem.c                    |    5 +-
>  drivers/gpu/drm/i915/i915_getparam.c               |    2 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c              |    3 +-
>  drivers/gpu/drm/i915/i915_irq.c                    |   94 +-
>  drivers/gpu/drm/i915/i915_pci.c                    |  266 ++---
>  drivers/gpu/drm/i915/i915_pci.h                    |    6 +
>  drivers/gpu/drm/i915/i915_reg.h                    |  404 +------
>  drivers/gpu/drm/i915/i915_utils.h                  |    4 -
>  drivers/gpu/drm/i915/intel_device_info.c           |   71 +-
>  drivers/gpu/drm/i915/intel_device_info.h           |   82 +-
>  drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |    1 +
>  drivers/gpu/drm/i915/intel_pch.c                   |    9 +-
>  drivers/gpu/drm/i915/intel_pch.h                   |    4 +
>  drivers/gpu/drm/i915/intel_pci_config.h            |    7 +
>  drivers/gpu/drm/i915/intel_pm.c                    |  358 ++++---
>  drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    8 +-
>  include/drm/i915_pciids.h                          |    1 +
>  89 files changed, 3172 insertions(+), 1684 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/display/intel_backlight_regs.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_display_core.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_regs.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-08-29 13:22 Jani Nikula
  2022-09-15 11:55 ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2022-08-29 13:22 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

drm-intel-next-2022-08-29:
drm/i915 feature pull for v6.1:

Features and functionality:
- Early Meteorlake (MTL) enabling (José, Radhakrishna, Clint, Imre, Vandita, Ville, Jani)
- Support more HDMI pixel clock frequencies on DG2 (Clint)
- Sanity check PCI BARs (Piotr Piórkowski)
- Enable DC5 on DG2 (Anusha)
- DG2 DMC firmware version bump to v2.07 (Madhumitha)
- New ADL-S PCI ID (José)

Refactoring and cleanups:
- Add display sub-struct to struct drm_i915_private (Jani)
- Add initial runtime info to device info (Jani)
- Split out HDCP and backlight registers to separate files (Jani)

Fixes:
- Skip wm/ddb readout for disabled pipes (Ville)
- HDMI port timing quirk for GLK ECS Liva Q2 (Diego Santa Cruz)
- Fix bw init null pointer dereference (Łukasz Bartosik)
- Disable PPS power hook for DP AUX backlight (Jouni)
- Avoid warnings on registering multiple backlight devices (Arun)
- Fix dual-link DSI backlight and CABC ports for display 11+ (Jani)
- Fix Type-C PHY ownership programming in HDMI legacy mode (Imre)
- Fix unclaimed register access while loading PIPEDMC-C/D (Imre)
- Bump up CDCLK for DG2 (Stan)
- Prune modes that require HDMI 2.1 FRL (Ankit)
- Disable FBC when PSR1 is enabled in display 12-13 (Matt)
- Fix TGL+ HDMI transcoder clock and DDI BUF disable order (Imre)
- Disable PSR before disable pipe (José)
- Disable DMC handlers during firmware loading/disabling on display 12+ (Imre)
- Disable clock gating for PIPEDMC-A/B as a workaround (Imre)

Merges:
- Two drm-next backmerges (Rodrigo, Jani)

BR,
Jani.

The following changes since commit 2c2d7a67defa198a8b8148dbaddc9e5554efebc8:

  Merge tag 'drm-intel-gt-next-2022-08-24' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2022-08-26 10:03:43 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-08-29

for you to fetch changes up to 917bda9ab155032a02be1a57ebd4d949ae9e1528:

  Merge drm/drm-next into drm-intel-next (2022-08-29 15:14:59 +0300)

----------------------------------------------------------------
drm/i915 feature pull for v6.1:

Features and functionality:
- Early Meteorlake (MTL) enabling (José, Radhakrishna, Clint, Imre, Vandita, Ville, Jani)
- Support more HDMI pixel clock frequencies on DG2 (Clint)
- Sanity check PCI BARs (Piotr Piórkowski)
- Enable DC5 on DG2 (Anusha)
- DG2 DMC firmware version bump to v2.07 (Madhumitha)
- New ADL-S PCI ID (José)

Refactoring and cleanups:
- Add display sub-struct to struct drm_i915_private (Jani)
- Add initial runtime info to device info (Jani)
- Split out HDCP and backlight registers to separate files (Jani)

Fixes:
- Skip wm/ddb readout for disabled pipes (Ville)
- HDMI port timing quirk for GLK ECS Liva Q2 (Diego Santa Cruz)
- Fix bw init null pointer dereference (Łukasz Bartosik)
- Disable PPS power hook for DP AUX backlight (Jouni)
- Avoid warnings on registering multiple backlight devices (Arun)
- Fix dual-link DSI backlight and CABC ports for display 11+ (Jani)
- Fix Type-C PHY ownership programming in HDMI legacy mode (Imre)
- Fix unclaimed register access while loading PIPEDMC-C/D (Imre)
- Bump up CDCLK for DG2 (Stan)
- Prune modes that require HDMI 2.1 FRL (Ankit)
- Disable FBC when PSR1 is enabled in display 12-13 (Matt)
- Fix TGL+ HDMI transcoder clock and DDI BUF disable order (Imre)
- Disable PSR before disable pipe (José)
- Disable DMC handlers during firmware loading/disabling on display 12+ (Imre)
- Disable clock gating for PIPEDMC-A/B as a workaround (Imre)

Merges:
- Two drm-next backmerges (Rodrigo, Jani)

----------------------------------------------------------------
Ankit Nautiyal (1):
      drm/i915/hdmi: Prune modes that require HDMI2.1 FRL

Anusha Srivatsa (3):
      drm/i915/display: Cleanup intel_phy_is_combo()
      drm/i915: Pass drm_i915_private struct instead of gt for gen11_gu_misc_irq_handler/ack()
      drm/i915/dg2: Add support for DC5 state

Arun R Murthy (1):
      drm/i915/display: avoid warnings when registering dual panel backlight

Bo Liu (1):
      drm/i915/irq: Fix a "the the" typo

Clint Taylor (1):
      drm/i915/mtl: Fix rawclk for Meteorlake PCH

Diego Santa Cruz (1):
      drm/i915/glk: ECS Liva Q2 needs GLK HDMI port timing quirk

Imre Deak (6):
      drm/i915/tgl+: Fix HDMI transcoder clock vs. DDI BUF disabling order
      drm/i915/d12+: Disable DMC handlers during loading/disabling the firmware
      drm/i915/d13: Add Wa_16015201720 disabling clock gating for PIPEDMC-A/B
      drm/i915/xelpd: Fix unclaimed accesses while loading PIPEDMC-C/D
      drm/i915/tc: Fix PHY ownership programming in HDMI legacy mode
      drm/i915/mtl: Add VBT port and AUX_CH mapping

Jani Nikula (42):
      drm/i915/hdcp: split out hdcp registers to a separate file
      drm/i915/hdcp: replace BIT() with REG_BIT() in register definitions
      drm/i915/backlight: split out backlight registers to a separate file
      drm/i915/dsi: filter invalid backlight and CABC ports
      drm/i915/dsi: fix dual-link DSI backlight and CABC ports for display 11+
      drm/i915/dsi: use VBT backlight and CABC port definitions directly
      drm/i915/mtl: Meteorlake and later support DP 2.0
      drm/i915/utils: remove unused KBps/MBps/GBps macros
      drm/i915: combine device info printing into one
      drm/i915: add initial runtime info into device info
      drm/i915: move graphics.ver and graphics.rel to runtime info
      drm/i915: move fbc_mask to runtime info
      drm/i915: move page_sizes to runtime info
      drm/i915: move ppgtt_type and ppgtt_size to runtime info
      drm/i915: move has_pooled_eu to runtime info
      drm/i915: move memory_regions to runtime info
      drm/i915: move platform_engine_mask to runtime info
      drm/i915: move pipe_mask and cpu_transcoder_mask to runtime info
      drm/i915: move has_hdcp to runtime info
      drm/i915: move has_dmc to runtime info
      drm/i915: move has_dsc to runtime info
      drm/i915: add display sub-struct to drm_i915_private
      drm/i915: move cdclk_funcs to display.funcs
      drm/i915: move dpll_funcs to display.funcs
      drm/i915: move hotplug_funcs to display.funcs
      drm/i915: move wm_disp funcs to display.funcs
      drm/i915: move fdi_funcs to display.funcs
      drm/i915: move color_funcs to display.funcs
      drm/i915: move and group gmbus members under display.gmbus
      drm/i915: move and group pps members under display.pps
      drm/i915: move dmc to display.dmc
      drm/i915: move and split audio under display.audio and display.funcs
      drm/i915: move dpll under display.dpll
      drm/i915: move and group fbdev under display.fbdev
      drm/i915: move wm to display.wm
      drm/i915: move hotplug to display.hotplug
      drm/i915: move overlay to display.overlay
      drm/i915: move and group sagv under display.sagv
      drm/i915/vrr: drop window2_delay member from i915
      drm/i915: move INTEL_FRONTBUFFER_* macros to intel_frontbuffer.h
      drm/i915: split gem quirks from display quirks
      Merge drm/drm-next into drm-intel-next

José Roberto de Souza (4):
      Revert "drm/i915/display: Ensure PSR gets disabled if no encoders in new state"
      drm/i915/psr: Disable PSR before disable pipe
      drm/i915/display/mtl: Extend MBUS programming
      drm/i915: Add new ADL-S pci id

Jouni Högander (2):
      drm/i915/display: Ensure PSR gets disabled if no encoders in new state
      drm/i915/backlight: Disable pps power hook for aux based backlight

Madhumitha Tolakanahalli Pradeep (1):
      drm/i915/dmc: Update DG2 DMC firmware to v2.07

Matt Roper (1):
      drm/i915: Add Wa_14016291713

Piotr Piórkowski (2):
      drm/i915: Use of BARs names instead of numbers
      drm/i915: Sanitycheck PCI BARs

Radhakrishna Sripada (6):
      drm/i915/mtl: Add PCH support
      drm/i915/mtl: Add support for MTL in Display Init sequences
      drm/i915: Extract wm latency adjustment to its own function
      drm/i915/mtl: memory latency data from LATENCY_LPX_LPY for WM
      drm/i915/mtl: Update memory bandwidth parameters
      drm/i915/mtl: Reuse adl-p DBUF calculations

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Stanislav Lisovskiy (1):
      drm/i915/dg2: Bump up CDCLK for DG2

Swati Sharma (1):
      drm/i915/display: Add debug print for scaler filter

Taylor, Clinton A (1):
      drm/i915/dg2: Add additional HDMI pixel clock frequencies

Vandita Kulkarni (2):
      drm/i915/dsc/mtl: Update the DSC minor version
      drm/i915/dsc/mtl: Enable alternate ICH method

Ville Syrjälä (2):
      drm/i915/mtl: Introduce FBC B
      drm/i915: Skip wm/ddb readout for disabled pipes

Łukasz Bartosik (1):
      drm/i915: fix null pointer dereference

 drivers/gpu/drm/i915/display/g4x_dp.c              |    4 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   20 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   96 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |   38 +-
 .../gpu/drm/i915/display/intel_backlight_regs.h    |  124 +++
 drivers/gpu/drm/i915/display/intel_bios.c          |   24 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   66 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   87 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   34 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |    9 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   46 +-
 drivers/gpu/drm/i915/display/intel_display.c       |   82 +-
 drivers/gpu/drm/i915/display/intel_display.h       |    4 +-
 drivers/gpu/drm/i915/display/intel_display_core.h  |  261 +++++
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   55 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   29 +-
 .../drm/i915/display/intel_display_power_well.c    |   19 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  142 ++-
 drivers/gpu/drm/i915/display/intel_dmc.h           |    1 +
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |    2 +
 drivers/gpu/drm/i915/display/intel_dp.c            |   24 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |    1 +
 drivers/gpu/drm/i915/display/intel_dpll.c          |   24 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  112 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |    3 -
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |   14 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |   12 +-
 drivers/gpu/drm/i915/display/intel_fbc.h           |    1 +
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   26 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |    8 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    2 +
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   18 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   46 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |    5 +-
 drivers/gpu/drm/i915/display/intel_hdcp_regs.h     |  270 +++++
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   10 +
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  116 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   47 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |    2 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   12 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |    2 +-
 drivers/gpu/drm/i915/display/intel_plane_initial.c |    2 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |   48 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   16 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |    3 +
 drivers/gpu/drm/i915/display/intel_snps_phy.c      | 1116 ++++++++++++++++++++
 drivers/gpu/drm/i915/display/intel_tc.c            |    4 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    4 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |   14 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |    2 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    7 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   11 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |    4 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   18 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |    2 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |    4 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |    2 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   16 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |    3 +-
 drivers/gpu/drm/i915/gt/intel_ppgtt.c              |    2 +-
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |   17 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |    5 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |    2 -
 drivers/gpu/drm/i915/gvt/cfg_space.c               |    5 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |    5 +-
 drivers/gpu/drm/i915/i915_driver.c                 |   23 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  284 +----
 drivers/gpu/drm/i915/i915_gem.c                    |    5 +-
 drivers/gpu/drm/i915/i915_getparam.c               |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |    3 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   94 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  266 ++---
 drivers/gpu/drm/i915/i915_pci.h                    |    6 +
 drivers/gpu/drm/i915/i915_reg.h                    |  404 +------
 drivers/gpu/drm/i915/i915_utils.h                  |    4 -
 drivers/gpu/drm/i915/intel_device_info.c           |   71 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   82 +-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |    1 +
 drivers/gpu/drm/i915/intel_pch.c                   |    9 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    4 +
 drivers/gpu/drm/i915/intel_pci_config.h            |    7 +
 drivers/gpu/drm/i915/intel_pm.c                    |  358 ++++---
 drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    8 +-
 include/drm/i915_pciids.h                          |    1 +
 89 files changed, 3172 insertions(+), 1684 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_backlight_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_core.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_hdcp_regs.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-07-07  3:04 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2022-07-07  3:04 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes drm-intel-next-2022-07-06 that shall be our last one
towards 5.20, containing only a few things:

- Suspend fixes for Display (Jose)
- Properly block D3Cold for now (Anshuman)
- Eliminate PIPECONF RMWs from .color_commit()(Ville)
- Display info clean-up (Ville)
- Fix error code (Dan)
- Fix possible refcount leak on DP MST (Hangyu)
- Other general display clean-ups (Jani, Tom)
- Add bios debug logs (Jani)
- PCH type clean-up (Ville)

Thanks,
Rodrigo.

The following changes since commit 6434cf630086eea2d091f122f5802582a05d9d1c:

  drm/i915/bios: calculate panel type as per child device index in VBT (2022-06-20 19:56:06 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-07-06

for you to fetch changes up to 3d9c653cf635849d0c24a1ab17c2752a19f4ded8:

  drm/i915: Nuke PCH_JSP (2022-07-06 20:33:47 +0300)

----------------------------------------------------------------
- Suspend fixes for Display (Jose)
- Properly block D3Cold for now (Anshuman)
- Eliminate PIPECONF RMWs from .color_commit()(Ville)
- Display info clean-up (Ville)
- Fix error code (Dan)
- Fix possible refcount leak on DP MST (Hangyu)
- Other general display clean-ups (Jani, Tom)
- Add bios debug logs (Jani)
- PCH type clean-up (Ville)

----------------------------------------------------------------
Anshuman Gupta (1):
      drm/i915/dgfx: Disable d3cold at gfx root port

Dan Carpenter (1):
      drm/i915: Fix error code in icl_compute_combo_phy_dpll()

Hangyu Hua (1):
      drm/i915: fix a possible refcount leak in intel_dp_add_mst_connector()

Jani Nikula (2):
      drm/i915/bios: debug log ddi port info after parsing
      drm/i915: use DISPLAY_VER() instead of accessing match_info directly

José Roberto de Souza (2):
      drm/i915: Call i915_gem_suspend() only after display is turned off
      drm/i915: Drain freed object after suspend display

Tom Rix (1):
      drm/i915/display: clean up comments

Ville Syrjälä (13):
      drm/i915: Eliminate PIPECONF RMWs from .color_commit()
      drm/i915: Move dbuf details to INTEL_INFO->display
      drm/i915: Move pipe_offsets[] & co. to INTEL_INFO->display
      drm/i915: Move display_mmio_offset under INTEL_INFO->display
      drm/i915: Make pipe_offsets[] & co. u32
      drm/i915: s/_CURSOR2/_MMIO_CURSOR2//
      drm/i915: Use _MMIO_TRANS2() where appropriate
      drm/i915: Use _MMIO_PIPE2() where appropriate
      drm/i915: Get rid of XE_LPD_CURSOR_OFFSETS
      drm/i915: Move the color stuff under INTEL_INFO->display
      drm/i915: Use short PCH names consistently
      drm/i915: Nuke PCH_MCC
      drm/i915: Nuke PCH_JSP

 drivers/gpu/drm/i915/display/intel_bios.c          |   8 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  49 ++++-----
 drivers/gpu/drm/i915/display/intel_ddi.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  30 ++++--
 drivers/gpu/drm/i915/display/intel_display.h       |   4 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   4 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   1 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   8 +-
 drivers/gpu/drm/i915/i915_driver.c                 |  44 ++++----
 drivers/gpu/drm/i915/i915_pci.c                    | 112 ++++++++++-----------
 drivers/gpu/drm/i915/i915_reg.h                    |  47 +++++----
 drivers/gpu/drm/i915/intel_device_info.h           |  39 +++----
 drivers/gpu/drm/i915/intel_pch.c                   |  16 +--
 drivers/gpu/drm/i915/intel_pch.h                   |   8 +-
 drivers/gpu/drm/i915/intel_pm.c                    |   8 +-
 17 files changed, 192 insertions(+), 192 deletions(-)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-06-22 19:53 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2022-06-22 19:53 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes the first pull request targeting 5.20.

Kudos to Jani and Ville for a good driver clean-up.
And many other fixes and improvements from the team.

drm-intel-next-2022-06-22:
- General driver clean-up (Jani, Ville, Julia)
- DG2 enabling (Anusha, Vandita)
- Fix sparse warnings (Imre, Jani)
- DMC MMIO range checks (Anusha)
- Audio related fixes (Jani)
- Runtime PM fixes (Anshuman)
- PSR fixes (Jouni, Jose)
- Media freq factor and per-gt enhancements (Ashutosh, Dale)
- DSI fixes for ICL+ (Jani)
- Disable DMC flip queue handlers (Imre)
- ADL_P voltage swing updates (Balasubramani)
- Use more the VBT for panel information (Ville, Animesh)
- Fix on Type-C ports with TBT mode (Vivek)
- Improve fastset and allow seamless M/N changes (Ville)
- Accept more fixed modes with VRR/DMRRS panels (Ville)
- FBC fix (Jose)
- Remove noise logs (Luca)
- Disable connector polling for a headless SKU (Jouni)
- Sanitize display underrun reporting (Ville)
- ADL-S display PLL w/a (Ville)

Thanks,
Rodrigo.

The following changes since commit 949665a6e237a6fd49ff207e3876d71b20b7e9f2:

  drm/i915: Respect VBT seamless DRRS min refresh rate (2022-05-05 18:27:53 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-06-22

for you to fetch changes up to 6434cf630086eea2d091f122f5802582a05d9d1c:

  drm/i915/bios: calculate panel type as per child device index in VBT (2022-06-20 19:56:06 +0300)

----------------------------------------------------------------
- General driver clean-up (Jani, Ville, Julia)
- DG2 enabling (Anusha, Vandita)
- Fix sparse warnings (Imre, Jani)
- DMC MMIO range checks (Anusha)
- Audio related fixes (Jani)
- Runtime PM fixes (Anshuman)
- PSR fixes (Jouni, Jose)
- Media freq factor and per-gt enhancements (Ashutosh, Dale)
- DSI fixes for ICL+ (Jani)
- Disable DMC flip queue handlers (Imre)
- ADL_P voltage swing updates (Balasubramani)
- Use more the VBT for panel information (Ville, Animesh)
- Fix on Type-C ports with TBT mode (Vivek)
- Improve fastset and allow seamless M/N changes (Ville)
- Accept more fixed modes with VRR/DMRRS panels (Ville)
- FBC fix (Jose)
- Remove noise logs (Luca)
- Disable connector polling for a headless SKU (Jouni)
- Sanitize display underrun reporting (Ville)
- ADL-S display PLL w/a (Ville)

----------------------------------------------------------------
Animesh Manna (1):
      drm/i915/bios: calculate panel type as per child device index in VBT

Anshuman Gupta (1):
      drm/i915: Use drm_dbg for rpm logging

Anusha Srivatsa (2):
      drm/i915/dmc: Load DMC on DG2
      drm/i915/dmc: Add MMIO range restrictions

Ashutosh Dixit (2):
      drm/i915: Introduce has_media_ratio_mode
      drm/i915/pcode: Extend pcode functions for multiple gt's

Balasubramani Vivekanandan (2):
      drm/i915/display/adl_p: Updates to HDMI combo PHY voltage swing table
      drm/i915/display/adlp: More updates to voltage swing table

Dale B Stimson (1):
      drm/i915/pcode: Add a couple of pcode helpers

Imre Deak (2):
      drm/i915: Fix 'mixing different enum types' warnings in intel_display_power.c
      drm/i915/d12+: Disable DMC firmware flip queue handlers

Jani Nikula (26):
      drm/i915: remove unused GEM_DEBUG_DECL() and GEM_DEBUG_BUG_ON()
      drm/i915: remove single-use GEM_DEBUG_EXEC()
      drm/i915/audio: fix audio code enable/disable pipe logging
      drm/i915/reg: fix undefined behavior due to shift overflowing the constant
      drm/i915/dsi: fix VBT send packet port selection for ICL+
      drm/i915/display: stop using BUG()
      drm/i915/regs: split out intel audio register definitions
      drm/i915/tasklet: separate local hacks around struct tasklet_struct
      drm/i915/drv: drop intel_bios.h include
      drm/i915/utils: throw out unused stuff
      drm/i915/pxp: fix sparse warning for not declared symbol
      drm/i915/overlay: remove redundant GEM_BUG_ON()
      drm/i915/bios: use dvi and hdmi support helpers
      drm/i915/bios: no need to pass i915 to parse_ddi_port()
      drm/i915/bios: split ddi port parsing and debug printing
      drm/i915/wm: move wm state verification to intel_pm.c
      drm/i915/dpll: move shared dpll state verification to intel_dpll_mgr.c
      drm/i915/mpllb: use I915_STATE_WARN() for state mismatch warnings
      drm/i915/mpllb: move mpllb state check to intel_snps_phy.c
      drm/i915/display: split out modeset verification code
      drm/i915/display: split out crtc state dump to a separate file
      drm/i915/display: change who adds [] around crtc state dump context string
      drm/i915/display: rename dev_priv -> i915 in crtc state dump
      drm/i915/display: some struct drm_i915_private *i915 conversions
      drm/i915/display: split out hw state readout and sanitize
      drm/i915/display: convert modeset setup to struct drm_i915_private *i915

Jason A. Donenfeld (1):
      drm/i915/display: Re-add check for low voltage sku for max dp source rate

José Roberto de Souza (2):
      drm/i915/display/fbc: Do not apply WA 22014263786 to DG2
      drm/i915/display: Fix handling of enable_psr parameter

Jouni Högander (5):
      drm/i915/psr: Use full update In case of area calculation fails
      drm/i915: Ensure damage clip area is within pipe area
      drm/i915/opregion: add function to check if headless sku
      drm/i915: Do not start connector polling if display is disabled
      drm/i915: Do not start connector polling on headless sku

Julia Lawall (1):
      drm/i915: fix typos in comments

Luca Coelho (1):
      drm/i915: remove noisy logs in intel_dp_dsc_get_output_bpp()

Vandita Kulkarni (1):
      drm/i915/dg2: Support 4k@30 on HDMI

Ville Syrjälä (42):
      drm/i915: Rename block_size()/block_offset()
      drm/i915: Pass intel_connector to intel_vrr_is_capable()
      drm/i915: Extract intel_edp_fixup_vbt_bpp()
      drm/i915/pps: Split pps_init_delays() into distinct parts
      drm/i915/pps: Introduce pps_delays_valid()
      drm/i915/pps: Don't apply quirks/etc. to the VBT PPS delays if they haven't been initialized
      drm/i915/pps: Stash away original BIOS programmed PPS delays
      drm/i915/pps: Split PPS init+sanitize in two
      drm/i915/pps: Reinit PPS delays after VBT has been fully parsed
      drm/i915/pps: Keep VDD enabled during eDP probe
      drm/i915/bios: Split parse_driver_features() into two parts
      drm/i915/bios: Split VBT parsing to global vs. panel specific parts
      drm/i915/bios: Split VBT data into per-panel vs. global parts
      drm/i915/bios: Determine panel type via PNPID match
      drm/i915: Split shared dpll .get_dplls() into compute and get phases
      drm/i915: Clean up DPLL related debugs
      drm/i915: Extract PIPE_CONF_CHECK_TIMINGS()
      drm/i915: Extract PIPE_CONF_CHECK_RECT()
      drm/i915: Adjust intel_modeset_pipe_config() & co. calling convention
      drm/i915: s/pipe_config/crtc_state/
      drm/i915: Improve modeset debugs
      drm/i915: Check hw.enable and hw.active in intel_pipe_config_compare()
      drm/i915: Require an exact DP link freq match for the DG2 PLL
      drm/i915: Parse VRR capability from VBT
      drm/i915: Print out rejected fixed modes
      drm/i915: Accept more fixed modes with VRR panels
      drm/i915/bios: Fix aggressiveness typos
      drm/i915/bios: Define more BDB contents
      drm/i915: Treat DMRRS as static DRRS
      drm/i915: Initialize eDP source rates after per-panel VBT parsing
      drm/i915: Update eDP fast link training link rate parsing
      drm/i915: Parse max link rate from the eDP BDB block
      drm/i915: Extract intel_crtc_dotclock()
      drm/i915: Introduce struct iclkip_params
      drm/i915: Skip FDI vs. dotclock sanity check during readout
      drm/i915: Extract intel_sanitize_fifo_underrun_reporting()
      drm/i915: Sanitize underrun reporting before turning off any pipes
      drm/i915: Remove bogus LPT iCLKIP WARN
      drm/i915/bios: Move panel_type stuff out of parse_panel_options()
      drm/i915/bios: Don't parse the DPS panel type when the VBT does not have it
      drm/i915/bios: Introduce panel_bits() and panel_bool()
      drm/i915: Implement w/a 22010492432 for adl-s

Vivek Kasireddy (1):
      drm/i915/tc: Don't default disconnected legacy Type-C ports to TBT mode (v2)

 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/TODO.txt                      |    2 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |   22 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |    4 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   11 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |    5 +-
 drivers/gpu/drm/i915/display/intel_audio_regs.h    |  160 ++
 drivers/gpu/drm/i915/display/intel_backlight.c     |   23 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  652 ++++---
 drivers/gpu/drm/i915/display/intel_bios.h          |    7 +
 drivers/gpu/drm/i915/display/intel_bw.c            |    6 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   16 +-
 drivers/gpu/drm/i915/display/intel_color.c         |    2 +-
 .../gpu/drm/i915/display/intel_crtc_state_dump.c   |  314 ++++
 .../gpu/drm/i915/display/intel_crtc_state_dump.h   |   16 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |   52 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |   37 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1826 ++------------------
 drivers/gpu/drm/i915/display/intel_display.h       |   19 +
 drivers/gpu/drm/i915/display/intel_display_power.c |   14 +-
 .../drm/i915/display/intel_display_power_well.c    |    4 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   89 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  147 +-
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |   59 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  126 +-
 drivers/gpu/drm/i915/display/intel_dp.h            |    2 +
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    6 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   89 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  413 +++--
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    8 +
 drivers/gpu/drm/i915/display/intel_drrs.c          |    3 -
 drivers/gpu/drm/i915/display/intel_dsi.c           |    2 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    9 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   89 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    3 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_modeset_setup.c |  734 ++++++++
 drivers/gpu/drm/i915/display/intel_modeset_setup.h |   15 +
 .../gpu/drm/i915/display/intel_modeset_verify.c    |  246 +++
 .../gpu/drm/i915/display/intel_modeset_verify.h    |   21 +
 drivers/gpu/drm/i915/display/intel_opregion.c      |   14 +
 drivers/gpu/drm/i915/display/intel_opregion.h      |    7 +
 drivers/gpu/drm/i915/display/intel_overlay.c       |    2 -
 drivers/gpu/drm/i915/display/intel_panel.c         |   66 +-
 drivers/gpu/drm/i915/display/intel_panel.h         |    3 +-
 drivers/gpu/drm/i915/display/intel_pch_refclk.c    |   91 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |  129 +-
 drivers/gpu/drm/i915/display/intel_pps.h           |    1 +
 drivers/gpu/drm/i915/display/intel_psr.c           |   72 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    5 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   77 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.h      |    5 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |    3 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   67 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |   30 +-
 drivers/gpu/drm/i915/display/intel_vrr.h           |    4 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   14 +-
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |    4 +-
 drivers/gpu/drm/i915/gt/intel_llc.c                |    3 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |    4 +-
 drivers/gpu/drm/i915/gt/intel_ring.c               |    3 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |    5 +-
 drivers/gpu/drm/i915/gt/selftest_llc.c             |    2 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |    2 +-
 drivers/gpu/drm/i915/i915_driver.c                 |   12 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   70 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   39 -
 drivers/gpu/drm/i915/i915_pci.c                    |    2 +
 drivers/gpu/drm/i915/i915_reg.h                    |  186 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |    1 +
 drivers/gpu/drm/i915/i915_tasklet.h                |   43 +
 drivers/gpu/drm/i915/i915_utils.h                  |   40 -
 drivers/gpu/drm/i915/intel_device_info.h           |    1 +
 drivers/gpu/drm/i915/intel_dram.c                  |    2 +-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        |    2 +
 drivers/gpu/drm/i915/intel_pcode.c                 |   93 +-
 drivers/gpu/drm/i915/intel_pcode.h                 |   20 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  148 +-
 drivers/gpu/drm/i915/intel_pm.h                    |   14 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_debugfs.c       |    5 +-
 84 files changed, 3769 insertions(+), 2796 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_audio_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_crtc_state_dump.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_crtc_state_dump.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_modeset_setup.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_modeset_setup.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_modeset_verify.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_modeset_verify.h
 create mode 100644 drivers/gpu/drm/i915/i915_tasklet.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-05-06 10:47 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2022-05-06 10:47 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, Jason Gunthorpe, dri-devel,
	Christoph Hellwig, Thomas Zimmermann, Rodrigo Vivi, intel-gfx,
	Wang, Zhi A


Hi Dave & Daniel -

drm-intel-next-2022-05-06:
drm/i915 feature pull #2 for v5.19:

Features and functionality:
- Add first set of DG2 PCI IDs for "motherboard down" designs (Matt Roper)
- Add initial RPL-P PCI IDs as ADL-P subplatform (Matt Atwood)

Refactoring and cleanups:
- Power well refactoring and cleanup (Imre)
- GVT-g refactor and mdev API cleanup (Christoph, Jason, Zhi)
- DPLL refactoring and cleanup (Ville)
- VBT panel specific data parsing cleanup (Ville)
- Use drm_mode_init() for on-stack modes (Ville)

Fixes:
- Fix PSR state pipe A/B confusion by clearing more state on disable (José)
- Fix FIFO underruns caused by not taking DRAM channel into account (Vinod)
- Fix FBC flicker on display 11+ by enabling a workaround (José)
- Fix VBT seamless DRRS min refresh rate check (Ville)
- Fix panel type assumption on bogus VBT data (Ville)
- Fix panel data parsing for VBT that misses panel data pointers block (Ville)
- Fix spurious AUX timeout/hotplug handling on LTTPR links (Imre)

Merges:
- Backmerge drm-next (Jani)
- GVT changes (Jani)

BR,
Jani.

The following changes since commit 19df0cfa258cd42f7f106f6085f1e625f26283db:

  Merge tag 'drm-misc-next-2022-04-21' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2022-04-22 11:15:30 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-05-06

for you to fetch changes up to 949665a6e237a6fd49ff207e3876d71b20b7e9f2:

  drm/i915: Respect VBT seamless DRRS min refresh rate (2022-05-05 18:27:53 +0300)

----------------------------------------------------------------
drm/i915 feature pull #2 for v5.19:

Features and functionality:
- Add first set of DG2 PCI IDs for "motherboard down" designs (Matt Roper)
- Add initial RPL-P PCI IDs as ADL-P subplatform (Matt Atwood)

Refactoring and cleanups:
- Power well refactoring and cleanup (Imre)
- GVT-g refactor and mdev API cleanup (Christoph, Jason, Zhi)
- DPLL refactoring and cleanup (Ville)
- VBT panel specific data parsing cleanup (Ville)
- Use drm_mode_init() for on-stack modes (Ville)

Fixes:
- Fix PSR state pipe A/B confusion by clearing more state on disable (José)
- Fix FIFO underruns caused by not taking DRAM channel into account (Vinod)
- Fix FBC flicker on display 11+ by enabling a workaround (José)
- Fix VBT seamless DRRS min refresh rate check (Ville)
- Fix panel type assumption on bogus VBT data (Ville)
- Fix panel data parsing for VBT that misses panel data pointers block (Ville)
- Fix spurious AUX timeout/hotplug handling on LTTPR links (Imre)

Merges:
- Backmerge drm-next (Jani)
- GVT changes (Jani)

----------------------------------------------------------------
Christoph Hellwig (27):
      drm/i915/gvt: remove module refcounting in intel_gvt_{,un}register_hypervisor
      drm/i915/gvt: remove enum hypervisor_type
      drm/i915/gvt: rename intel_vgpu_ops to intel_vgpu_mdev_ops
      drm/i915/gvt: move the gvt code into kvmgt.ko
      drm/i915/gvt: remove intel_gvt_ops
      drm/i915/gvt: remove the map_gfn_to_mfn and set_trap_area ops
      drm/i915/gvt: remove the unused from_virt_to_mfn op
      drm/i915/gvt: merge struct kvmgt_vdev into struct intel_vgpu
      drm/i915/gvt: merge struct kvmgt_guest_info into strut intel_vgpu
      drm/i915/gvt: remove vgpu->handle
      drm/i915/gvt: devirtualize ->{read,write}_gpa
      drm/i915/gvt: devirtualize ->{get,put}_vfio_device
      drm/i915/gvt: devirtualize ->set_edid and ->set_opregion
      drm/i915/gvt: devirtualize ->detach_vgpu
      drm/i915/gvt: devirtualize ->inject_msi
      drm/i915/gvt: devirtualize ->is_valid_gfn
      drm/i915/gvt: devirtualize ->gfn_to_mfn
      drm/i915/gvt: devirtualize ->{enable,disable}_page_track
      drm/i915/gvt: devirtualize ->dma_{,un}map_guest_page
      drm/i915/gvt: devirtualize dma_pin_guest_page
      drm/i915/gvt: remove struct intel_gvt_mpt
      drm/i915/gvt: remove the extra vfio_device refcounting for dmabufs
      drm/i915/gvt: streamline intel_vgpu_create
      drm/i915/gvt: pass a struct intel_vgpu to the vfio read/write helpers
      drm/i915/gvt: remove kvmgt_guest_{init,exit}
      drm/i915/gvt: convert to use vfio_register_emulated_iommu_dev
      drm/i915/gvt: merge gvt.c into kvmgvt.c

Hans de Goede (1):
      drm/i915: Fix DISP_POS_Y and DISP_HEIGHT defines

Imre Deak (21):
      drm/i915: Move per-platform power well hooks to intel_display_power_well.c
      drm/i915: Unexport the for_each_power_well() macros
      drm/i915: Move the power domain->well mappings to intel_display_power_map.c
      drm/i915: Move the dg2 fixed_enable_delay power well param to a common bitfield
      drm/i915: Move the HSW power well flags to a common bitfield
      drm/i915: Rename the power domain names to end with pipes/ports
      drm/i915: Sanitize the power well names
      drm/i915: Convert the power well descriptor domain mask to an array of domains
      drm/i915: Convert the u64 power well domains mask to a bitmap
      drm/i915: Simplify power well definitions by adding power well instances
      drm/i915: Allow platforms to share power well descriptors
      drm/i915: Simplify the DG1 power well descriptors
      drm/i915: Sanitize the ADL-S power well definition
      drm/i915: Sanitize the port -> DDI/AUX power domain mapping for each platform
      drm/i915: Remove the aliasing of power domain enum values
      drm/i915: Remove the ICL specific TBT power domains
      drm/i915: Remove duplicate DDI/AUX power domain mappings
      drm/i915: Remove the XELPD specific AUX and DDI power domains
      drm/i915: Fixup merge of the power well refactor patchset
      drm/i915: Fix SEL_FETCH_PLANE_*(PIPE_B+) register addresses
      drm/i915/dp: Add workaround for spurious AUX timeouts/hotplugs on LTTPR links

Jani Nikula (8):
      drm/i915/gvt: fix trace TRACE_INCLUDE_PATH
      drm/i915/gvt: better align the Makefile with i915 Makefile
      Merge tag 'gvt-next-2022-04-21-for-christoph' of https://github.com/intel/gvt-linux into drm-intel-next
      Merge tag 'gvt-next-2022-04-26' of https://github.com/intel/gvt-linux into drm-intel-next
      Merge drm/drm-next into drm-intel-next
      Merge tag 'gvt-next-2022-04-29' of https://github.com/intel/gvt-linux into drm-intel-next
      drm/i915: move tons of power well initializers to rodata
      drm/i915: warn about missing ->get_buf_trans initialization

Jason Gunthorpe (5):
      vfio/mdev: Remove vfio_mdev.c
      vfio/mdev: Remove mdev_parent_ops dev_attr_groups
      vfio/mdev: Remove mdev_parent_ops
      vfio/mdev: Use the driver core to create the 'remove' file
      vfio/mdev: Remove mdev drvdata

José Roberto de Souza (3):
      drm/i915/display/psr: Unset enable_psr2_sel_fetch if other checks in intel_psr2_config_valid() fails
      drm/i915/display/psr: Clear more PSR state during disable
      drm/i915/display: Add workaround 22014263786

Jouni Högander (1):
      drm/i915: Check EDID for HDR static metadata when choosing blc

Matt Atwood (1):
      drm/i915/rpl-p: Add PCI IDs

Matt Roper (1):
      drm/i915: Add first set of DG2 PCI IDs

Ville Syrjälä (22):
      drm/i915: Program i830 DPLL FP register later
      drm/i915/fbc: Consult hw.crtc instead of uapi.crtc
      drm/i915/fbc: s/false/0/
      drm/i915: Make .get_dplls() return int
      drm/i915: Pass dev_priv to intel_shared_dpll_init()
      drm/i915: Remove pointless dpll_funcs checks
      drm/i915: Adjust .crtc_compute_clock() calling convention
      drm/i915: Move stuff into intel_dpll_crtc_compute_clock()
      drm/i915: Move the dpll_hw_state clearing to intel_dpll_crtc_compute_clock()
      drm/i915: Clear the dpll_hw_state when disabling a pipe
      drm/i915: Split out dg2_crtc_compute_clock()
      drm/i915: Add crtc .crtc_get_shared_dpll()
      drm/i915: Use drm_mode_init() for on-stack modes
      drm/i915/bios: Reorder panel DTD parsing
      drm/i915/bios: Generate LFP data table pointers if the VBT lacks them
      drm/i915/bios: Get access to the tail end of the LFP data block
      drm/i915/bios: Document the mess around the LFP data tables
      drm/i915/bios: Assume panel_type==0 if the VBT has bogus data
      drm/i915/bios: Extract get_panel_type()
      drm/i915/bios: Refactor panel_type code
      drm/i915/bios: Parse the seamless DRRS min refresh rate
      drm/i915: Respect VBT seamless DRRS min refresh rate

Vinod Govindapillai (1):
      drm/i915: program wm blocks to at least blocks required per line

Wan Jiabing (1):
      i915/gvt: Fix NULL pointer dereference in init_mmio_block_handlers

Zhi Wang (6):
      i915/gvt: Separate the MMIO tracking table from GVT-g
      i915/gvt: Save the initial HW state snapshot in i915
      i915/gvt: Use the initial HW state snapshot saved in i915
      drm/i915/gvt: Add missing symbol export.
      drm/i915/gvt: Make intel_gvt_match_device() static
      drm/i915/gvt: Fix the compiling error when CONFIG_DRM_I915_DEBUG_RUNTIME_PM=n

 Documentation/driver-api/vfio-mediated-device.rst  |   27 +-
 arch/x86/kernel/early-quirks.c                     |    1 +
 drivers/gpu/drm/i915/Kconfig                       |   36 +-
 drivers/gpu/drm/i915/Makefile                      |    9 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |    3 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |    3 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |    8 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  345 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |    6 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |    4 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  176 +-
 drivers/gpu/drm/i915/display/intel_display.h       |    4 +-
 drivers/gpu/drm/i915/display/intel_display_power.c | 5283 +++-----------------
 drivers/gpu/drm/i915/display/intel_display_power.h |  126 +-
 .../gpu/drm/i915/display/intel_display_power_map.c | 1501 ++++++
 .../gpu/drm/i915/display/intel_display_power_map.h |   14 +
 .../drm/i915/display/intel_display_power_well.c    | 1813 ++++++-
 .../drm/i915/display/intel_display_power_well.h    |  132 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   34 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   33 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |    1 +
 drivers/gpu/drm/i915/display/intel_dpll.c          |  196 +-
 drivers/gpu/drm/i915/display/intel_dpll.h          |    6 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  268 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    9 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |   19 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |   10 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |    1 +
 drivers/gpu/drm/i915/display/intel_psr.c           |   41 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |    5 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   24 +-
 drivers/gpu/drm/i915/gvt/Makefile                  |   30 +-
 drivers/gpu/drm/i915/gvt/cfg_space.c               |   89 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    4 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |   36 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |   12 +-
 drivers/gpu/drm/i915/gvt/firmware.c                |   25 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   55 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |  340 --
 drivers/gpu/drm/i915/gvt/gvt.h                     |  128 +-
 drivers/gpu/drm/i915/gvt/handlers.c                | 1035 +---
 drivers/gpu/drm/i915/gvt/hypercall.h               |   82 -
 drivers/gpu/drm/i915/gvt/interrupt.c               |   40 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 1097 ++--
 drivers/gpu/drm/i915/gvt/mmio.c                    |    4 +-
 drivers/gpu/drm/i915/gvt/mmio.h                    |    1 -
 drivers/gpu/drm/i915/gvt/mpt.h                     |  400 --
 drivers/gpu/drm/i915/gvt/opregion.c                |  148 +-
 drivers/gpu/drm/i915/gvt/page_track.c              |    8 +-
 drivers/gpu/drm/i915/gvt/reg.h                     |    9 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   37 +-
 drivers/gpu/drm/i915/gvt/trace.h                   |    2 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   22 +-
 drivers/gpu/drm/i915/i915_driver.c                 |    7 -
 drivers/gpu/drm/i915/i915_drv.h                    |    8 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    3 +-
 drivers/gpu/drm/i915/i915_reg.h                    |    7 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   30 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   11 +-
 drivers/gpu/drm/i915/intel_gvt.c                   |  252 +-
 drivers/gpu/drm/i915/intel_gvt.h                   |   32 +-
 drivers/gpu/drm/i915/intel_gvt_mmio_table.c        | 1292 +++++
 drivers/gpu/drm/i915/intel_pm.c                    |   19 +
 drivers/s390/cio/vfio_ccw_ops.c                    |    7 +-
 drivers/s390/crypto/vfio_ap_ops.c                  |    9 +-
 drivers/vfio/mdev/Makefile                         |    2 +-
 drivers/vfio/mdev/mdev_core.c                      |   52 +-
 drivers/vfio/mdev/mdev_driver.c                    |   10 -
 drivers/vfio/mdev/mdev_private.h                   |    6 +-
 drivers/vfio/mdev/mdev_sysfs.c                     |   37 +-
 drivers/vfio/mdev/vfio_mdev.c                      |  152 -
 include/drm/i915_pciids.h                          |   31 +
 include/linux/mdev.h                               |   82 +-
 samples/vfio-mdev/mbochs.c                         |    9 +-
 samples/vfio-mdev/mdpy.c                           |    9 +-
 samples/vfio-mdev/mtty.c                           |   39 +-
 76 files changed, 7587 insertions(+), 8261 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_power_map.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_power_map.h
 delete mode 100644 drivers/gpu/drm/i915/gvt/gvt.c
 delete mode 100644 drivers/gpu/drm/i915/gvt/hypercall.h
 delete mode 100644 drivers/gpu/drm/i915/gvt/mpt.h
 create mode 100644 drivers/gpu/drm/i915/intel_gvt_mmio_table.c
 delete mode 100644 drivers/vfio/mdev/vfio_mdev.c

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-04-13 15:51 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2022-04-13 15:51 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx


Hi Dave & Daniel -

The first drm/i915 pull for v5.19.

BR,
Jani.


drm-intel-next-2022-04-13-1:
drm/i915 feature pull for v5.19:

Features and functionality:
- Add support for new Tile 4 format on DG2 (Stan)
- Add support for new CCS clear color compression on DG2 (Mika, Juha-Pekka)
- Add support for new render and media compression formats on DG2 (Matt)
- Support multiple eDP and LVDS native mode refresh rates (Ville)
- Support static DRRS (Ville)
- ATS-M platform info (Matt)
- RPL-S PCI IDs (Tejas)
- Extend DP HDR support to HSW+ (Uma)
- Bump ADL-P DMC version to v2.16 (Madhumitha)
- Let users disable PSR2 while enabling PSR1 (José)

Refactoring and cleanups:
- Massive DRRS and panel fixed mode refactoring and cleanups (Ville)
- Power well refactoring and cleanup (Imre)
- Clean up and refactor crtc readout and compute config (Ville)
- Use kernel string helpers (Lucas)
- Refactor gmbus pin lookups and allocation (Jani)
- PCH display cleanups (Ville)
- DPLL and DPLL manager refactoring (Ville)
- Include and header refactoring (Jani, Tvrtko)
- DMC abstractions (Jani)
- Non-x86 build refactoring (Casey)
- VBT parsing refactoring (Ville)
- Bigjoiner refactoring (Ville)
- Optimize plane, pfit, scaler, etc. programming using unlocked writes (Ville)
- Split several register writes in commit to noarm+arm pairs (Ville)
- Clean up SAGV handling (Ville)
- Clean up bandwidth and ddb allocation (Ville)
- FBC cleanups (Ville)

Fixes:
- Fix native HDMI and DP HDMI DFP clock limits on deep color/4:2:0 (Ville)
- Fix DMC firmware platform check (Lucas)
- Fix cursor coordinates on bigjoiner secondary (Ville)
- Fix MSO vs. bigjoiner timing confusion (Ville)
- Fix ADL-P eDP voltage swing (José)
- Fix VRR capability property update (Manasi)
- Log DG2 SNPS PHY calibration errors (Matt, Lucas)
- Fix PCODE request status checks (Stan)
- Fix uncore unclaimed access warnings (Lucas)
- Fix VBT new max TMDS clock parsing (Shawn)
- Fix ADL-P non-existent underrun recovery (Swathi Dhanavanthri)
- Fix ADL-N stepping info (Tejas)
- Fix DPT mapping flags to contiguous (Stan)
- Fix DG2 max display bandwidth (Vinod)
- Fix DP low voltage SKU checks (Ankit)
- Fix RPL-S VT-d translation enable via quirk (Tejas)
- Fixes to PSR2 (José)
- Fix PIPE_MBUS_DBOX_CTL programming (José)
- Fix LTTPR capability read/check on DP 1.2 (Imre)
- Fix ADL-P register corruption after DDI clock enabling (Imre)
- Fix ADL-P MBUS DBOX BW and B credits (Caz)

Merges:
- Backmerge drm-next (Rodrigo, Jani)


The following changes since commit 3123109284176b1532874591f7c81f3837bbdc17:

  Linux 5.18-rc1 (2022-04-03 14:08:21 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-04-13-1

for you to fetch changes up to b39d2c6202426b560641e5800c5523851b5db586:

  drm/i915/fbc: Call intel_fbc_activate() directly from frontbuffer flush (2022-04-13 17:20:49 +0300)

----------------------------------------------------------------
drm/i915 feature pull for v5.19:

Features and functionality:
- Add support for new Tile 4 format on DG2 (Stan)
- Add support for new CCS clear color compression on DG2 (Mika, Juha-Pekka)
- Add support for new render and media compression formats on DG2 (Matt)
- Support multiple eDP and LVDS native mode refresh rates (Ville)
- Support static DRRS (Ville)
- ATS-M platform info (Matt)
- RPL-S PCI IDs (Tejas)
- Extend DP HDR support to HSW+ (Uma)
- Bump ADL-P DMC version to v2.16 (Madhumitha)
- Let users disable PSR2 while enabling PSR1 (José)

Refactoring and cleanups:
- Massive DRRS and panel fixed mode refactoring and cleanups (Ville)
- Power well refactoring and cleanup (Imre)
- Clean up and refactor crtc readout and compute config (Ville)
- Use kernel string helpers (Lucas)
- Refactor gmbus pin lookups and allocation (Jani)
- PCH display cleanups (Ville)
- DPLL and DPLL manager refactoring (Ville)
- Include and header refactoring (Jani, Tvrtko)
- DMC abstractions (Jani)
- Non-x86 build refactoring (Casey)
- VBT parsing refactoring (Ville)
- Bigjoiner refactoring (Ville)
- Optimize plane, pfit, scaler, etc. programming using unlocked writes (Ville)
- Split several register writes in commit to noarm+arm pairs (Ville)
- Clean up SAGV handling (Ville)
- Clean up bandwidth and ddb allocation (Ville)
- FBC cleanups (Ville)

Fixes:
- Fix native HDMI and DP HDMI DFP clock limits on deep color/4:2:0 (Ville)
- Fix DMC firmware platform check (Lucas)
- Fix cursor coordinates on bigjoiner secondary (Ville)
- Fix MSO vs. bigjoiner timing confusion (Ville)
- Fix ADL-P eDP voltage swing (José)
- Fix VRR capability property update (Manasi)
- Log DG2 SNPS PHY calibration errors (Matt, Lucas)
- Fix PCODE request status checks (Stan)
- Fix uncore unclaimed access warnings (Lucas)
- Fix VBT new max TMDS clock parsing (Shawn)
- Fix ADL-P non-existent underrun recovery (Swathi Dhanavanthri)
- Fix ADL-N stepping info (Tejas)
- Fix DPT mapping flags to contiguous (Stan)
- Fix DG2 max display bandwidth (Vinod)
- Fix DP low voltage SKU checks (Ankit)
- Fix RPL-S VT-d translation enable via quirk (Tejas)
- Fixes to PSR2 (José)
- Fix PIPE_MBUS_DBOX_CTL programming (José)
- Fix LTTPR capability read/check on DP 1.2 (Imre)
- Fix ADL-P register corruption after DDI clock enabling (Imre)
- Fix ADL-P MBUS DBOX BW and B credits (Caz)

Merges:
- Backmerge drm-next (Rodrigo, Jani)

----------------------------------------------------------------
Animesh Manna (1):
      drm/i915/dsb: modified to drm_info in dsb_prepare()

Ankit Nautiyal (2):
      drm/i915/display: Remove check for low voltage sku for max dp source rate
      drm/i915/intel_combo_phy: Print I/O voltage info

Ashutosh Dixit (1):
      drm/i915/pmu: Drop redundant IS_VALLEYVIEW check in __get_rc6()

Casey Bowman (1):
      drm/i915: Split i915_run_as_guest into x86 and non-x86

Caz Yokoyama (1):
      drm/i915/display/adlp: Adjust MBUS DBOX BW and B credits

Changcheng Deng (1):
      drm/i915/dsi: use min_t() to make code cleaner

Colin Ian King (1):
      drm/i915: make a handful of read-only arrays static const

Imre Deak (10):
      drm/i915: Fix the VDSC_PW2 power domain enum value
      drm/i915: Sanitize open-coded power well enable()/disable() calls
      drm/i915: Remove redundant state verification during TypeC AUX power well disabling
      drm/i915: Move i915_power_well_regs struct into i915_power_well_ops
      drm/i915: Move power well get/put/enable/disable functions to a new file
      drm/i915: Add function to call a power well's sync_hw() hook
      drm/i915: Add functions to get a power well's state/name/domains/mask/refcount
      drm/i915: Move intel_display_power_well_is_enabled() to intel_display_power_well.c
      drm/i915: Add a DP1.2 compatible way to read LTTPR capabilities
      drm/i915/adlp: Fix register corruption after DDI clock enabling

Jani Nikula (23):
      drm/i915/wm: use REG_FIELD_{PREP,GET} for PLANE_WM_BLOCKS_MASK
      drm/i915/gmbus: combine gmbus pin lookups to one function
      drm/i915/gmbus: reduce gmbus pin lookups in gmbus setup
      drm/i915/gmbus: pass gpio reg to intel_gpio_setup()
      drm/i915/gmbus: alloc intel_gmbus dynamically
      drm/i915: include linux/highmem.h and linux/swap.h where needed
      drm/i915/gmbus: move some local bus variables within loops
      drm/i915/gmbus: use to_intel_gmbus() instead of open coding
      x86/gpu: include drm/i915_pciids.h directly in early quirks
      drm/i915: move i915_gem_object_needs_bit17_swizzle() to i915_gem_tiling.[ch]
      drm/i915: include uapi/drm/i915_drm.h directly where needed
      drm/i915/sdvo: prefer __packed over __attribute__((packed))
      drm/i915/dmc: simplify intel_dmc_load_program() conditions
      drm/i915/dmc: move assert_dmc_loaded() to intel_dmc.c
      drm/i915/dmc: move dmc debugfs to intel_dmc.c
      drm/i915/dmc: fix i915_reg_t usage
      drm/i915/audio: unify audio codec enable/disable debug logging
      drm/i915/audio: move has_audio checks to within codec enable/disable
      drm/i915/dp: make DSC usage logging actually useful
      drm/i915/dmc: abstract GPU error state dump
      drm/i915/dmc: hide DMC version macros
      drm/i915/dmc: split out dmc registers to a separate file
      Merge drm/drm-next into drm-intel-next

José Roberto de Souza (13):
      drm/i915/display: Allow users to disable PSR2
      drm/i915/display/adlp: Update eDP voltage swing table
      drm/i915/display: Fix HPD short pulse handling for eDP
      drm/i915/display: Do not re-enable PSR after it was marked as not reliable
      drm/i915/display/adlp: More voltage swing table updates
      docs: gpu: i915.rst: Fix DRRS documentation
      drm/i915/display/tgl+: Set default values for all registers in PIPE_MBUS_DBOX_CTL
      drm/i915/display/adlp: Fix programing of PIPE_MBUS_DBOX_CTL
      drm/i915/display: Add HAS_MBUS_JOINING
      drm/i915/display/psr: Set partial frame enable when forcing full frame fetch
      drm/i915/display/psr: Lock and unlock PSR around pipe updates
      drm/i915/display/psr: Use continuos full frame to handle frontbuffer invalidations
      drm/i915/display: Fix warnings about PSR lock not held

Jouni Högander (1):
      drm/i915/psr: Set "SF Partial Frame Enable" also on full update

Juha-Pekka Heikkilä (1):
      drm/i915/dg2: Add support for DG2 clear color compression

Lee Shawn C (1):
      drm/i915: update new TMDS clock setting defined by VBT

Lucas De Marchi (8):
      drm/i915/dmc: Do not try loading wrong DMC version
      drm/i915: Use str_yes_no()
      drm/i915: Use str_enable_disable()
      drm/i915: Use str_enabled_disabled()
      drm/i915: Use str_on_off()
      drm/i915/uncore: Warn on previous unclaimed accesses
      drm/i915/uncore: Warn only if unclaimed access remains flagged
      drm/i915/dg2: Do not explode on phy calibration error

Madhumitha Tolakanahalli Pradeep (1):
      drm/i915/dmc: Update DMC to v2.16 on ADL-P

Manasi Navare (1):
      drm/i915/display/vrr: Reset VRR capable property on a long hpd

Matt Roper (4):
      drm/i915/dg2: Skip output init on PHY calibration failure
      drm/i915/ats-m: add ATS-M platform info
      drm/fourcc: Introduce format modifiers for DG2 render and media compression
      drm/i915/dg2: Add support for DG2 render and media compression

Mika Kahola (1):
      drm/fourcc: Introduce format modifier for DG2 clear color

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Stanislav Lisovskiy (5):
      drm/i915: Introduce new Tile 4 format
      drm/i915/dg2: Tile 4 plane format support
      drm/i915/dg2: Use I915_BO_ALLOC_CONTIGUOUS flag for DPT
      drm/i915: Fix skl_pcode_try_request function
      drm/i915: Swap ret and status returned from skl_pcode_request

Swathi Dhanavanthri (1):
      drm/i915/display/adlp: Remove code related to underrun recovery

Tejas Upadhyay (3):
      iommu/vt-d: Add RPLS to quirk list to skip TE disabling
      drm/i915/adl-n: Add stepping info
      drm/i915: Add RPL-S PCI IDs

Tvrtko Ursulin (1):
      drm/i915: Move intel_vtd_active and run_as_guest to i915_utils

Uma Shankar (1):
      drm/i915/display: Extend DP HDR support to hsw+

Ville Syrjälä (144):
      drm/i915: Optimize icl+ universal plane programming
      drm/i915: Make skl+ universal plane registers unlocked
      drm/i915: Make cursor plane registers unlocked
      drm/i915: Make most pre-skl primary plane registers unlocked
      drm/i915: Make pre-skl sprite plane registers unlocked
      drm/i915: s/JSP2/ICP2/ PCH
      drm/i915: Avoid negative shift due to bigjoiner_pipes==0
      drm/i915: Fix cursor coordinates on bigjoiner slave
      drm/i915: Remove nop bigjoiner state copy
      drm/i915: Rename variables in intel_crtc_compute_config()
      drm/i915: Extract intel_splitter_adjust_timings()
      drm/i915: Extract intel_bigjoiner_adjust_timings()
      drm/i915: Extract intel_crtc_compute_pipe_src()
      drm/i915: Extract intel_crtc_compute_pipe_mode()
      drm/i915: Fix MSO vs. bigjoiner timings confusion
      drm/i915: Don't skip ddb allocation if data_rate==0
      drm/i915: Check async flip capability early on
      drm/i915: Fix the async flip wm0/ddb optimization
      drm/i915: Pimp async flip debugs
      drm/i915: Move framestart_delay to crtc_state
      drm/i915: Remove framestart_delay sanitation
      drm/i915: Relocate ibx pch port sanitation code
      drm/i915: Relocate a few more pch transcoder bits
      drm/i915: Nuke skl_wrpll_context_init()
      drm/i915: Move a bunch of stuff into rodata from the stack
      drm/i915: Clean up some struct/array initializers
      drm/i915: Remove bxt m2_frac_en
      drm/i915: Use designated initializers for bxt_dp_clk_val[]
      drm/i915: Start tracking PIPESRC as a drm_rect
      drm/i915: Eliminate bigjoiner boolean
      drm/i915: Use bigjoiner_pipes more
      drm/i915: Remove leftover cnl SAGV block time
      drm/i915: Make the PIPESRC rect relative to the entire bigjoiner area
      drm/i915: Store the /5 target clock in struct dpll on vlv/chv
      drm/i915: Remove redundant/wrong comments
      drm/i915: Clean up bxt/glk PLL registers
      drm/i915: Store the m2 divider as a whole in bxt_clk_div
      drm/i915: Replace bxt_clk_div with struct dpll
      drm/i915: Replace hand rolled bxt vco calculation with chv_calc_dpll_params()
      drm/i915: Populate bxt/glk DPLL clock limits a bit more
      drm/i915: Remove struct dp_link_dpll
      drm/i915: Fix up some DRRS type checks
      drm/i915: Constify intel_drrs_init() args
      drm/i915: Pimp DRRS debugs
      drm/i915: Read DRRS MSA timing delay from VBT
      drm/i915: Program MSA timing delay on ilk/snb/ivb
      drm/i915: Polish drrs type enum
      drm/i915: Clean up DRRS refresh rate enum
      drm/i915: Rename PIPECONF refresh select bits
      drm/i915/dsi: Pass fixed_mode to *_dsi_add_properties()
      drm/i915/sdvo: Pass the requesed mode to intel_sdvo_create_preferred_input_timing()
      drm/i915/lvds: Pass fixed_mode to compute_is_dual_link_lvds()
      drm/i915: Simplify intel_panel_info()
      drm/i915: Nuke dev_priv->drrs.type
      drm/i915: Introduce intel_panel_{fixed,downclock}_mode()
      drm/i915: Introduce intel_panel_get_modes()
      drm/i915: Introduce intel_panel_preferred_fixed_mode()
      drm/i915: Introduce intel_panel_drrs_type()
      drm/i915: Introduce intel_drrs_type_str()
      drm/i915: Eliminate the intel_dp dependency from DRRS
      drm/i915: Stash DRRS state under intel_crtc
      drm/i915: Move DRRS enable/disable higher up
      drm/i915: Enable eDP DRRS on ilk/snb port A
      drm/i915: Implement static DRRS
      drm/i915: Convert fixed_mode/downclock_mode into a list
      drm/i915: Use drm_mode_copy()
      drm/i915: Put the downclock_mode check back into can_enable_drrs()
      drm/i915: Add missing tab to DRRS debugfs
      drm/i915: Fix DRRS frontbuffer_bits handling
      drm/i915: Determine DRRS frontbuffer_bits ahead of time
      drm/i915: Don't cancel/schedule drrs work if the pipe wasn't affected
      drm/i915: Schedule DRRS work from intel_drrs_enable()
      drm/i915: Do DRRS disable/enable during pre/post_plane_update()
      drm/i915: Deal with bigjoiner vs. DRRS
      drm/i915: Reject unsupported TMDS rates on ICL+
      drm/i915: Treat SAGV block time 0 as SAGV disabled
      drm/i915: Rework SAGV block time probing
      drm/i915: Probe whether SAGV works on pre-icl
      drm/i915: Reject excessive SAGV block time
      drm/i915: Rename pre-icl SAGV enable/disable functions
      drm/i915: Fix PSF GV point mask when SAGV is not possible
      drm/i915: Unconfuses QGV vs. PSF point masks
      drm/i915: Rename QGV request/response bits
      drm/i915: Tweak plane ddb allocation tracking
      drm/i915: Split plane data_rate into data_rate+data_rate_y
      drm/i915: Pre-calculate plane relative data rate
      drm/i915: Remove total[] and uv_total[] from ddb allocation
      drm/i915: Nuke intel_bw_calc_min_cdclk()
      drm/i915: Round up when calculating display bandwidth requirements
      drm/i915: Properly write lock bw_state when it changes
      drm/i915: Fix DBUF bandwidth vs. cdclk handling
      drm/i915: Add "maximum pipe read bandwidth" checks
      drm/i915: s/enable/active/ for DRRS
      drm/i915: Remove locks around skl+ scaler programming
      drm/i915: Make ilk+ pfit regiser unlocked
      drm/i915: Split color_commit() into noarm+arm pair
      drm/i915: Split pipe+output CSC programming to noarm+arm pair
      drm/i915: Pass intel_connector to intel_panel_{init,fini}()
      drm/i915: Use DRM_MODE_FMT+DRM_MODE_ARG()
      drm/i915: Extract intel_edp_add_properties()
      drm/i915: Use intel_panel_preferred_fixed_mode() more
      drm/i915: Rename intel_panel_vbt_fixed_mode()
      drm/i915: Extract intel_panel_vbt_sdvo_fixed_mode()
      drm/i915: Extract intel_panel_encoder_fixed_mode()
      drm/i915: Use intel_panel_edid_fixed_mode() for sdvo
      drm/i915: Change SDVO fixed mode handling
      drm/i915: Extract intel_edp_has_drrs()
      drm/i915: Put fixed modes directly onto the panel's fixed_modes list
      drm/i915: Refactor non-EDID fixed mode duplication
      drm/i915: Nuke intel_drrs_init()
      drm/i915: Combine the EDID fixed_mode+downclock_mode lookup into one
      drm/i915: Stop duplicating the EDID fixed/downclock modes
      drm/i915: Allow an arbitrary number of downclock modes
      drm/i915: Allow higher refresh rate alternate fixed modes
      drm/i915: Move intel_drrs_compute_config() into intel_dp.c
      drm/i915: Allow static DRRS on all eDP ports
      drm/i915: Allow static DRRS on LVDS
      drm/i915: Use drm_connector_attach_hdr_output_metadata_property()
      drm/i915: Remove dead members from dev_priv
      drm/i915/bios: Extract struct lvds_lfp_data_ptr_table
      drm/i915/dp: Extract intel_dp_tmds_clock_valid()
      drm/i915/dp: Respect the sink's max TMDS clock when dealing with DP->HDMI DFPs
      drm/i915/dp: Extract intel_dp_has_audio()
      drm/i915/dp: s/intel_dp_hdmi_ycbcr420/intel_dp_is_ycbcr420/
      drm/i915/dp: Reorder intel_dp_compute_config() a bit
      drm/i915/dp: Pass around intel_connector rather than drm_connector
      drm/i915/dp: Make intel_dp_output_format() usable for "4:2:0 also" modes
      drm/i915/dp: Rework HDMI DFP TMDS clock handling
      drm/i915/dp: Add support for "4:2:0 also" modes for DP
      drm/i915/dp: Duplicate native HDMI TMDS clock limit handling for DP HDMI DFPs
      drm/i915/dp: Fix DFP rgb->ycbcr conversion matrix
      drm/i915/bios: Use the cached BDB version
      drm/i915/bios: Make copies of VBT data blocks
      drm/i915/bios: Use the copy of the LFP data table always
      drm/i915/bios: Validate LFP data table pointers
      drm/i915/bios: Trust the LFP data pointers
      drm/i915/bios: Validate the panel_name table
      drm/i915/fbc: Eliminate possible_framebuffer_bits
      drm/i915/fbc: Streamline frontbuffer busy bits handling
      drm/i915/fbc: Skip nuke when flip is pending
      drm/i915: Remove remaining locks from i9xx plane udpates
      drm/i915/fbc: Remove intel_fbc_global_disable()
      drm/i915/fbc: Introduce intel_fbc_sanitize()
      drm/i915/fbc: Call intel_fbc_activate() directly from frontbuffer flush

Vinod Govindapillai (1):
      drm/i915: Handle the DG2 max bw properly

 Documentation/gpu/i915.rst                         |  14 +-
 arch/x86/kernel/early-quirks.c                     |   1 +
 drivers/gpu/drm/dp/drm_dp.c                        |  58 +-
 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |  73 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |  36 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |  24 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  16 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  | 140 ++--
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   3 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  45 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     |   3 +-
 drivers/gpu/drm/i915/display/intel_bios.c          | 506 +++++++++----
 drivers/gpu/drm/i915/display/intel_bw.c            | 312 +++++---
 drivers/gpu/drm/i915/display/intel_bw.h            |  12 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  96 +--
 drivers/gpu/drm/i915/display/intel_cdclk.h         |   2 +
 drivers/gpu/drm/i915/display/intel_color.c         |  95 ++-
 drivers/gpu/drm/i915/display/intel_color.h         |   3 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  35 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |   4 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |   8 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |  27 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  32 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |  40 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 784 ++++++++++-----------
 drivers/gpu/drm/i915/display/intel_display.h       |   3 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   | 302 +++-----
 drivers/gpu/drm/i915/display/intel_display_power.c | 541 +++-----------
 drivers/gpu/drm/i915/display/intel_display_power.h |  37 +-
 .../drm/i915/display/intel_display_power_well.c    | 113 +++
 .../drm/i915/display/intel_display_power_well.h    | 153 ++++
 drivers/gpu/drm/i915/display/intel_display_trace.h |   9 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  43 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           | 131 +++-
 drivers/gpu/drm/i915/display/intel_dmc.h           |  10 +-
 drivers/gpu/drm/i915/display/intel_dmc_regs.h      |  30 +
 drivers/gpu/drm/i915/display/intel_dp.c            | 581 +++++++++------
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  31 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   8 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |  44 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      | 144 ++--
 drivers/gpu/drm/i915/display/intel_dpt.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          | 406 ++++-------
 drivers/gpu/drm/i915/display/intel_drrs.h          |  20 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   7 +-
 drivers/gpu/drm/i915/display/intel_dsi.c           |  24 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   7 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |  49 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |  68 +-
 drivers/gpu/drm/i915/display/intel_fb.h            |   1 +
 drivers/gpu/drm/i915/display/intel_fbc.c           |  96 ++-
 drivers/gpu/drm/i915/display/intel_fbc.h           |   2 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   8 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         | 142 ++--
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   1 +
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   3 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  57 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |  22 +-
 drivers/gpu/drm/i915/display/intel_panel.c         | 359 ++++++----
 drivers/gpu/drm/i915/display/intel_panel.h         |  33 +-
 drivers/gpu/drm/i915/display/intel_pch_display.c   |  99 ++-
 drivers/gpu/drm/i915/display/intel_pch_display.h   |  10 +
 drivers/gpu/drm/i915/display/intel_plane_initial.c |   1 +
 drivers/gpu/drm/i915/display/intel_psr.c           | 183 ++++-
 drivers/gpu/drm/i915/display/intel_psr.h           |   5 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  88 +--
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   8 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  51 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |  12 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |  21 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  24 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |   4 +-
 drivers/gpu/drm/i915/display/skl_scaler.c          |  22 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c | 266 +++++--
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  15 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   8 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_lmem.c           |   2 +
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_region.c         |   2 +
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   8 +
 drivers/gpu/drm/i915/gem/i915_gem_tiling.h         |   2 +
 drivers/gpu/drm/i915/gem/i915_gemfs.c              |   3 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  10 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |   7 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   1 +
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   3 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  11 +-
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |   7 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   5 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |   2 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   3 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |  54 +-
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            |   1 -
 drivers/gpu/drm/i915/gt/intel_gtt.c                |  12 +
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   2 +
 drivers/gpu/drm/i915/gt/intel_rc6.c                |   5 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |   3 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |  13 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |   9 +-
 drivers/gpu/drm/i915/gt/intel_sseu_debugfs.c       |  10 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |   3 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.c          |   5 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |   5 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_rc.c          |   6 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_slpc.c        |   3 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  14 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.c      |  20 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   1 +
 drivers/gpu/drm/i915/gvt/handlers.c                |  20 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   2 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  18 +-
 drivers/gpu/drm/i915/i915_driver.c                 |   5 +-
 drivers/gpu/drm/i915/i915_driver.h                 |   4 +
 drivers/gpu/drm/i915/i915_drv.h                    | 122 +---
 drivers/gpu/drm/i915/i915_gpu_error.c              |  21 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   6 +
 drivers/gpu/drm/i915/i915_params.c                 |   7 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  39 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   5 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 133 ++--
 drivers/gpu/drm/i915/i915_suspend.c                |   4 -
 drivers/gpu/drm/i915/i915_utils.c                  |  11 +
 drivers/gpu/drm/i915/i915_utils.h                  |  37 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  11 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   1 +
 drivers/gpu/drm/i915/intel_dram.c                  |  10 +-
 drivers/gpu/drm/i915/intel_memory_region.c         |   2 +
 drivers/gpu/drm/i915/intel_memory_region.h         |   2 +-
 drivers/gpu/drm/i915/intel_pch.c                   |   3 +-
 drivers/gpu/drm/i915/intel_pcode.c                 |   4 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 526 +++++++-------
 drivers/gpu/drm/i915/intel_pm.h                    |   1 +
 drivers/gpu/drm/i915/intel_step.c                  |   7 +
 drivers/gpu/drm/i915/intel_uncore.c                |  27 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_debugfs.c       |   4 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_session.c       |   2 -
 drivers/gpu/drm/i915/selftests/i915_active.c       |   3 +-
 drivers/gpu/drm/i915/vlv_suspend.c                 |   3 +-
 drivers/iommu/intel/iommu.c                        |   2 +-
 include/drm/dp/drm_dp_helper.h                     |   2 +
 include/drm/i915_drm.h                             |   3 +-
 include/drm/i915_pciids.h                          |   4 +-
 include/uapi/drm/drm_fourcc.h                      |  47 ++
 149 files changed, 4340 insertions(+), 3676 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_power_well.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_power_well.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dmc_regs.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-02-23 23:29 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2022-02-23 23:29 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Please notice that this pull request includes the drm-intel-gt-next
(drm-intel-gt-next-2022-02-17) that didn't applied cleanly for you due to
many conflicts generated by a big divergence from our drm-intel
branches.

So, please apply this one and ignore the one that Joonas had sent.

While applying the drm-intel-gt-next-2022-02-17 I have used
the drm-rerere/fixups/drm-intel-gt-next.patch entirely as part
of the merge conflict resolution. Now all the i915 changes should
apply cleanly for you on drm-next.

Moving forward we will need to have more constant cross merges
or chain PRs whenever we start to have big divergences like this
so the linux-next and drm-next don't get disrupted again.

Thanks,
Rodrigo.

Here goes drm-intel-next-2022-02-23:
Linux core:
-----------
iosys-map: Add offset to iosys_map_memcpy_to() (Lucas)
iosys-map: Add a few more helpers (Lucas)

i915 (display and core changes on drm-intel-next):
--------------------------------------------------

- Display's DBuf and watermark related fixes and improvements (Ville)
- More i915 header and other code clean-up (Jani)
- Display IPS fixes and improvements (Ville)
- OPRegion fixes and cleanups (Jani)
- Fix the plane end Y offset check for FBC (Ville)
- DP 128b/132b updates (Jani)
- Disable runtime pm wakeref tracking for the mock device selftest (Ville)
- Many display code clean-up while targeting to fix up DP DFP 4:2:0 handling (Ville)
- Bigjoiner state tracking and more bigjoiner related work (Ville)
- Update DMC_DEBUG3 register for DG1 (Chuansheng)
- SAGV fixes (Ville)
- More GT register cleanup (Matt)
- Fix build issue when using clang (Tong)
- Display DG2 fixes (Matt)
- ADL-P PHY related fixes (Imre)
- PSR2 fixes (Jose)
- Add PCH Support for Alder Lake N (Tejas)

drm-intel-gt-next (drm-intel-gt-next-2022-02-17):
-------------------------------------------------
UAPI Changes:

- Weak parallel submission support for execlists

  Minimal implementation of the parallel submission support for
  execlists backend that was previously only implemented for GuC.
  Support one sibling non-virtual engine.

Core Changes:

- Two backmerges of drm/drm-next for header file renames/changes and
  i915_regs reorganization

Driver Changes:

- Add new DG2 subplatform: DG2-G12 (Matt R)
- Add new DG2 workarounds (Matt R, Ram, Bruce)
- Handle pre-programmed WOPCM registers for DG2+ (Daniele)
- Update guc shim control programming on XeHP SDV+ (Daniele)
- Add RPL-S C0/D0 stepping information (Anusha)
- Improve GuC ADS initialization to work on ARM64 on dGFX (Lucas)

- Fix KMD and GuC race on accessing PMU busyness (Umesh)
- Use PM timestamp instead of RING TIMESTAMP for reference in PMU with GuC (Umesh)
- Report error on invalid reset notification from GuC (John)
- Avoid WARN splat by holding RPM wakelock during PXP unbind (Juston)
- Fixes to parallel submission implementation (Matt B.)
- Improve GuC loading status check/error reports (John)
- Tweak TTM LRU priority hint selection (Matt A.)
- Align the plane_vma to min_page_size of stolen mem (Ram)

- Introduce vma resources and implement async unbinding (Thomas)
- Use struct vma_resource instead of struct vma_snapshot (Thomas)
- Return some TTM accel move errors instead of trying memcpy move (Thomas)
- Fix a race between vma / object destruction and unbinding (Thomas)
- Remove short-term pins from execbuf (Maarten)
- Update to GuC version 69.0.3 (John, Michal Wa.)
- Improvements to GT reset paths in GuC backend (Matt B.)
- Use shrinker_release_pages instead of writeback in shmem object hooks (Matt A., Tvrtko)
- Use trylock instead of blocking lock when freeing GEM objects (Maarten)
- Allocate intel_engine_coredump_alloc with ALLOW_FAIL (Matt B.)
- Fixes to object unmapping and purging (Matt A)
- Check for wedged device in GuC backend (John)
- Avoid lockdep splat by locking dpt_obj around set_cache_level (Maarten)
- Allow dead vm to unbind vma's without lock (Maarten)
- s/engine->i915/i915/ for DG2 engine workarounds (Matt R)

- Use to_gt() helper for GGTT accesses (Michal Wi.)
- Selftest improvements (Matt B., Thomas, Ram)
- Coding style and compiler warning fixes (Matt B., Jasmine, Andi, Colin, Gustavo, Dan)

Thanks,
Rodrigo.

The following changes since commit 7938f4218168ae9fc4bdddb15976f9ebbae41999:

  dma-buf-map: Rename to iosys-map (2022-02-07 16:35:35 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-02-23

for you to fetch changes up to 30424ebae8df0f786835e7a31ad790fa00764f35:

  Merge tag 'drm-intel-gt-next-2022-02-17' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next (2022-02-23 15:03:51 -0500)

----------------------------------------------------------------
Linux core:
-----------
iosys-map: Add offset to iosys_map_memcpy_to() (Lucas)
iosys-map: Add a few more helpers (Lucas)

i915 (display and core changes on drm-intel-next):
--------------------------------------------------

- Display's DBuf and watermark related fixes and improvements (Ville)
- More i915 header and other code clean-up (Jani)
- Display IPS fixes and improvements (Ville)
- OPRegion fixes and cleanups (Jani)
- Fix the plane end Y offset check for FBC (Ville)
- DP 128b/132b updates (Jani)
- Disable runtime pm wakeref tracking for the mock device selftest (Ville)
- Many display code clean-up while targeting to fix up DP DFP 4:2:0 handling (Ville)
- Bigjoiner state tracking and more bigjoiner related work (Ville)
- Update DMC_DEBUG3 register for DG1 (Chuansheng)
- SAGV fixes (Ville)
- More GT register cleanup (Matt)
- Fix build issue when using clang (Tong)
- Display DG2 fixes (Matt)
- ADL-P PHY related fixes (Imre)
- PSR2 fixes (Jose)
- Add PCH Support for Alder Lake N (Tejas)

drm-intel-gt-next (drm-intel-gt-next-2022-02-17):
-------------------------------------------------
UAPI Changes:

- Weak parallel submission support for execlists

  Minimal implementation of the parallel submission support for
  execlists backend that was previously only implemented for GuC.
  Support one sibling non-virtual engine.

Core Changes:

- Two backmerges of drm/drm-next for header file renames/changes and
  i915_regs reorganization

Driver Changes:

- Add new DG2 subplatform: DG2-G12 (Matt R)
- Add new DG2 workarounds (Matt R, Ram, Bruce)
- Handle pre-programmed WOPCM registers for DG2+ (Daniele)
- Update guc shim control programming on XeHP SDV+ (Daniele)
- Add RPL-S C0/D0 stepping information (Anusha)
- Improve GuC ADS initialization to work on ARM64 on dGFX (Lucas)

- Fix KMD and GuC race on accessing PMU busyness (Umesh)
- Use PM timestamp instead of RING TIMESTAMP for reference in PMU with GuC (Umesh)
- Report error on invalid reset notification from GuC (John)
- Avoid WARN splat by holding RPM wakelock during PXP unbind (Juston)
- Fixes to parallel submission implementation (Matt B.)
- Improve GuC loading status check/error reports (John)
- Tweak TTM LRU priority hint selection (Matt A.)
- Align the plane_vma to min_page_size of stolen mem (Ram)

- Introduce vma resources and implement async unbinding (Thomas)
- Use struct vma_resource instead of struct vma_snapshot (Thomas)
- Return some TTM accel move errors instead of trying memcpy move (Thomas)
- Fix a race between vma / object destruction and unbinding (Thomas)
- Remove short-term pins from execbuf (Maarten)
- Update to GuC version 69.0.3 (John, Michal Wa.)
- Improvements to GT reset paths in GuC backend (Matt B.)
- Use shrinker_release_pages instead of writeback in shmem object hooks (Matt A., Tvrtko)
- Use trylock instead of blocking lock when freeing GEM objects (Maarten)
- Allocate intel_engine_coredump_alloc with ALLOW_FAIL (Matt B.)
- Fixes to object unmapping and purging (Matt A)
- Check for wedged device in GuC backend (John)
- Avoid lockdep splat by locking dpt_obj around set_cache_level (Maarten)
- Allow dead vm to unbind vma's without lock (Maarten)
- s/engine->i915/i915/ for DG2 engine workarounds (Matt R)

- Use to_gt() helper for GGTT accesses (Michal Wi.)
- Selftest improvements (Matt B., Thomas, Ram)
- Coding style and compiler warning fixes (Matt B., Jasmine, Andi, Colin, Gustavo, Dan)

----------------------------------------------------------------
Andi Shyti (2):
      drm/i915: Remove unused i915->ggtt
      drm/i915: fix header file inclusion for might_alloc()

Anusha Srivatsa (1):
      drm/i915/rpl-s: Add stepping info

Bruce Chang (1):
      drm/i915/dg2: Add Wa_22011100796

Chuansheng Liu (1):
      drm/i915/dg1: Update DMC_DEBUG3 register

Colin Ian King (1):
      i915: make array flex_regs static const

Dan Carpenter (1):
      drm/i915: delete shadow "ret" variable

Daniele Ceraolo Spurio (2):
      drm/i915/wopcm: Handle pre-programmed WOPCM registers
      drm/i915/guc: Update guc shim control programming on newer platforms

Gustavo A. R. Silva (1):
      drm/i915/guc: Use struct_size() helper in kmalloc()

Imre Deak (2):
      drm/i915: Disconnect PHYs left connected by BIOS on disabled ports
      drm/i915/adlp: Add TypeC PHY TBT->DP-alt/legacy mode switch workaround

Jani Nikula (46):
      drm/i915: group i915_drv.h forward declarations together
      drm/i915/color: hide struct intel_color_funcs
      drm/i915/hpd: hide struct intel_hotplug_funcs
      drm/i915/fdi: hide struct intel_fdi_funcs
      drm/i915/dpll: add intel_dpll_crtc_compute_clock()
      drm/i915/dpll: hide struct intel_dpll_funcs
      drm/i915/pm: hide struct drm_i915_clock_gating_funcs
      drm/i915: move intel_hws_csb_write_index() out of i915_drv.h
      drm/i915: move the DRIVER_* macros to i915_driver.[ch]
      drm/i915/opregion: check port number bounds for SWSCI display power state
      drm/i915/opregion: abstract the check for valid swsci function
      drm/i915/opregion: early exit from encoder notify if SWSCI isn't there
      drm/i915/opregion: handle SWSCI Mailbox #2 obsoletion
      drm/i915/opregion: debug log about Mailbox #2 for backlight
      drm/dp: add drm_dp_128b132b_read_aux_rd_interval()
      drm/dp: add 128b/132b link status helpers from DP 2.0 E11
      drm/dp: add some new DPCD macros from DP 2.0 E11
      drm/i915/dp: move intel_dp_prepare_link_train() call
      drm/i915/dp: rewrite DP 2.0 128b/132b link training based on errata
      drm/i915/dp: add 128b/132b support to link status checks
      drm/i915/mst: update slot information for 128b/132b
      drm/i915: split out i915_gem_internal.h from i915_drv.h
      drm/i915: remove leftover i915_gem_pm.h declarations from i915_drv.h
      drm/i915: split out gem/i915_gem_dmabuf.h from i915_drv.h
      drm/i915: split out gem/i915_gem_create.h from i915_drv.h
      drm/i915: split out gem/i915_gem_domain.h from i915_drv.h
      drm/i915: move i915_cache_level_str() static in i915_debugfs.c
      drm/i915: move i915_gem_vm_lookup() where it's used
      drm/i915: move i915_reset_count()/i915_reset_engine_count() out of i915_drv.h
      drm/i915: split out i915_file_private.h from i915_drv.h
      drm/i915: don't include drm_cache.h in i915_drv.h
      drm/i915: include shmem_fs.h only where needed
      drm/i915: include some drm headers only where needed
      drm/i915: axe lots of unnecessary includes from i915_drv.h
      drm/i915: fix drm_i915.h include grouping and sorting
      drm/i915/gvt: #include drm_edid.h for drm_edid_block_valid()
      drm/i915/fbdev: add intel_fbdev_framebuffer() helper
      drm/i915/fbdev: hide struct intel_fbdev in intel_fbdev.c
      drm/i915/lrc: move lrc_get_runtime() to intel_lrc.c
      drm/i915/lrc: replace include with forward declarations
      drm/i915/dp: remove accidental static on what should be a local variable
      drm/i915/dsi: disassociate VBT video transfer mode from register values
      drm/i915/dsi: add separate init timer mask definition for ICL DSI
      drm/i915/reg: split out vlv_dsi_regs.h and vlv_dsi_pll_regs.h
      drm/i915/reg: split out icl_dsi_regs.h
      drm/i915/pxp: prefer forward declaration over includes

Jasmine Newsome (1):
      drm/i915/gem: Use local pointer ttm for __i915_ttm_move

John Harrison (5):
      drm/i915/guc: Report error on invalid reset notification
      drm/i915/guc: Check for wedged before doing stuff
      drm/i915/guc: Temporarily bump the GuC load timeout
      drm/i915/guc: Update to GuC version 69.0.3
      drm/i915/guc: Improve GuC loading status check/error reports

Joonas Lahtinen (1):
      Merge drm/drm-next into drm-intel-gt-next

José Roberto de Souza (3):
      drm/i915/display/tgl+: Implement new PLL programming step
      drm/i915/display: Group PSR2 prog sequences and workarounds
      drm/i915/display: Implement Wa_16013835468

Jouni Högander (1):
      drm/i915: Fix for PHY_MISC_TC1 offset

Juston Li (1):
      drm/i915/pxp: Hold RPM wakelock during PXP unbind

Lucas De Marchi (4):
      drm/i915/guc: Prepare for error propagation
      drm/i915/guc: Use a single pass to calculate regset
      iosys-map: Add offset to iosys_map_memcpy_to()
      iosys-map: Add a few more helpers

Maarten Lankhorst (8):
      drm/i915: Call i915_gem_evict_vm in vm_fault_gtt to prevent new ENOSPC errors, v2.
      drm/i915: Add locking to i915_gem_evict_vm(), v3.
      drm/i915: Add object locking to i915_gem_evict_for_node and i915_gem_evict_something, v2.
      drm/i915: Add i915_vma_unbind_unlocked, and take obj lock for i915_vma_unbind, v2.
      drm/i915: Remove support for unlocked i915_vma unbind
      drm/i915: Remove short-term pins from execbuf, v6.
      drm/i915: Lock dpt_obj around set_cache_level, v2.
      drm/i915: Allow dead vm to unbind vma's without lock.

Manasi Navare (1):
      drm/i915/display/vrr: Reset VRR capable property on a long hpd

Matt Roper (16):
      drm/i915/dg2: Add Wa_18018781329
      drm/i915/dg2: Add Wa_14015227452
      drm/i915/dg2: s/engine->i915/i915/ for engine workarounds
      drm/i915: Introduce G12 subplatform of DG2
      drm/i915/gt: Drop duplicate register definition for VDBOX_CGCTL3F18
      drm/i915/gt: Move SFC lock bits to intel_engine_regs.h
      drm/i915/gt: Use parameterized RING_MI_MODE
      drm/i915/gt: Cleanup spacing of intel_gt_regs.h
      drm/i915/gt: Use consistent offset notation in intel_gt_regs.h
      drm/i915/gt: Order GT registers by MMIO offset
      drm/i915: Define MCH registers relative to MCHBAR_MIRROR_BASE
      drm/i915: Move MCHBAR registers to their own header
      drm/i915: Add missing intel_mchbar_regs.h
      drm/i915/dg2: Print PHY name properly on calibration error
      drm/i915/dg2: Drop 38.4 MHz MPLLB tables
      drm/i915/dg2: Enable 5th port

Matthew Auld (7):
      drm/i915: remove writeback hook
      drm/i915: clean up shrinker_release_pages
      drm/i915: don't call free_mmap_offset when purging
      drm/i915/ttm: only fault WILLNEED objects
      drm/i915/ttm: add unmap_virtual callback
      drm/i915/ttm: ensure we unmap when purging
      drm/i915/ttm: tweak priority hint selection

Matthew Brost (11):
      drm/i915/execlists: Weak parallel submission support for execlists
      drm/i915: Fix possible uninitialized variable in parallel extension
      drm/i915: Increment composite fence seqno
      drm/i915/selftests: Add a cancel request selftest that triggers a reset
      drm/i915/guc: Remove hacks for reset and schedule disable G2H being received out of order
      drm/i915: Allocate intel_engine_coredump_alloc with ALLOW_FAIL
      drm/i915/guc: Add work queue to trigger a GT reset
      drm/i915/guc: Flush G2H handler during a GT reset
      drm/i915: Lock timeline mutex directly in error path of eb_pin_timeline
      drm/i915/guc: Ensure multi-lrc fini breadcrumb math is correct
      drm/i915/selftests: Use less in contexts steal guc id test

Michał Winiarski (5):
      drm/i915/gt: Use to_gt() helper for GGTT accesses
      drm/i915: Use to_gt() helper for GGTT accesses
      drm/i915/gem: Use to_gt() helper for GGTT accesses
      drm/i915/display: Use to_gt() helper for GGTT accesses
      drm/i915/selftests: Use to_gt() helper for GGTT accesses

Ramalingam C (3):
      drm/i915/dg2: Add Wa_22011450934
      drm/i915: align the plane_vma to min_page_size of stolen mem
      drm/i915: More gt idling time with guc submission

Rodrigo Vivi (1):
      Merge tag 'drm-intel-gt-next-2022-02-17' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next

Tejas Upadhyay (1):
      drm/i915/adl-n: Add PCH Support for Alder Lake N

Thomas Hellström (9):
      drm/i915: Initial introduction of vma resources
      drm/i915: Use the vma resource as argument for gtt binding / unbinding
      drm/i915: Don't pin the object pages during pending vma binds
      drm/i915: Use vma resources for async unbinding
      drm/i915: Asynchronous migration selftest
      drm/i915: Use struct vma_resource instead of struct vma_snapshot
      drm/i915: Fix vma resource freeing
      drm/i915: Fix a race between vma / object destruction and unbinding
      drm/i915/ttm: Return some errors instead of trying memcpy move

Tong Zhang (1):
      drm/i915: fix build issue when using clang

Tvrtko Ursulin (1):
      Merge drm/drm-next into drm-intel-gt-next

Umesh Nerlige Ramappa (2):
      drm/i915/pmu: Use PM timestamp instead of RING TIMESTAMP for reference
      drm/i915/pmu: Fix KMD and GuC race on accessing busyness

Ville Syrjälä (52):
      drm/i915: Fix dbuf slice config lookup
      drm/i915: Fix mbus join config lookup
      drm/i915: Move vblank waits out from IPS code
      drm/i915: Change IPS calling convention
      drm/i915: Hoover the IPS enable/disable calls into the pre/post update hooks
      drm/i915: Move the IPS code to its own file
      drm/i915: Extract hsw_ips_get_config()
      drm/i915: Fix IPS disable in intel_plane_disable_noatomic()
      drm/i915/fbc: Fix the plane end Y offset check
      drm/i915/selftests: Disable runtime pm wakeref tracking for the mock device
      drm/i915: Drop pointless dev_priv argument
      drm/i915: Extract skl_ddb_entry_init()
      drm/i915: Fix plane relative_data_rate calculation
      drm/i915: Introduce skl_plane_ddb_iter
      drm/i915: Extract skl_allocate_plane_ddb()
      drm/i915: Extract skl_crtc_calc_dbuf_bw()
      drm/i915/hdmi: Simplify intel_hdmi_mode_clock_valid()
      drm/i915/dp: Reuse intel_hdmi_tmds_clock()
      drm/i915: Flag crtc scaling_filter changes as modeset
      drm/i915: Fix bigjoiner state copy fails
      drm/i915: Remove weird code from intel_atomic_check_bigjoiner()
      drm/i915: Clean up the bigjoiner state copy logic
      drm/i915: Nuke some dead code
      drm/i915: Introduce intel_crtc_is_bigjoiner_{slave,master}()
      drm/i915: Convert for_each_intel_crtc_mask() to take a pipe mask instead
      drm/i915: Use for_each_intel_crtc_in_pipe_mask() more
      drm/i915: Return both master and slave pipes from enabled_bigjoiner_pipes()
      drm/i915: Change bigjoiner state tracking to use the pipe bitmask
      drm/i915: Move intel_plane_atomic_calc_changes() & co. out
      drm/i915: Introduce scaled_planes bitmask
      drm/i915: Use {active,scaled}_planes to compute ilk watermarks
      drm/i915: Add REG_GENMASK64() and REG_FIELD_GET64()
      drm/i915: Widen the QGV point mask
      drm/i915: Unconfuse pre-icl vs. icl+ intel_sagv_{pre,post}_plane_update()
      drm/i915: Clean up SSKPD/MLTR defines
      drm/i915: Introduce intel_crtc_planes_update_arm()
      drm/i915: Polish ilk+ wm register bits
      drm/i915: Move PIPE_CHICKEN RMW out from the vblank evade critical section
      drm/i915: Make the pipe/output CSC register writes lockless
      drm/i915: Make the CHV CGM CSC register writes lockless
      drm/i915: Drop pointless i830 PIPECONF read
      drm/i915: Correctly populate use_sagv_wm for all pipes
      drm/i915: Fix bw atomic check when switching between SAGV vs. no SAGV
      drm/i915: Split pre-icl vs. icl+ SAGV hooks apart
      drm/i915: Pimp icl+ sagv pre/post update
      Revert "drm/i915/display/vrr: Reset VRR capable property on a long hpd"
      drm/i915: Dump the crtc hw state always
      drm/i915: Dump hw.enable and pipe_mode
      drm/i915: Remove odd any_ms=true assignment
      drm/i915: Properly clear crtc state when disabling it fully, again
      drm/i915: Extract icl_qgv_points_mask()
      drm/i915: Extract intel_bw_check_data_rate()

 .../bindings/display/bridge/lvds-codec.yaml        |    1 +
 .../bindings/display/bridge/ti,sn65dsi83.yaml      |    5 +-
 .../bindings/display/panel/panel-simple.yaml       |    2 +
 .../bindings/display/panel/sony,acx424akp.yaml     |   11 +-
 Documentation/gpu/drm-internals.rst                |    6 +
 Documentation/gpu/drm-kms-helpers.rst              |   26 +-
 Documentation/gpu/drm-kms.rst                      |    6 +-
 Documentation/gpu/drm-mm.rst                       |    2 +-
 Documentation/gpu/i915.rst                         |    1 +
 Documentation/gpu/todo.rst                         |   15 +
 Documentation/gpu/vkms.rst                         |    2 -
 drivers/char/agp/ati-agp.c                         |    8 +-
 drivers/char/agp/backend.c                         |    2 +
 drivers/char/agp/frontend.c                        |    4 +-
 drivers/char/agp/nvidia-agp.c                      |    3 +-
 drivers/char/agp/sworks-agp.c                      |    5 +-
 drivers/char/agp/via-agp.c                         |    3 -
 drivers/dma-buf/dma-resv.c                         |   46 +-
 drivers/dma-buf/st-dma-resv.c                      |   26 +-
 drivers/dma-buf/udmabuf.c                          |    4 +
 drivers/firmware/sysfb_simplefb.c                  |    2 +-
 drivers/gpu/drm/Kconfig                            |   15 +
 drivers/gpu/drm/Makefile                           |   14 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_connectors.c     |    4 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_cs.c             |    5 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_display.c        |    6 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_gem.c            |    6 -
 drivers/gpu/drm/amd/amdgpu/amdgpu_gtt_mgr.c        |    5 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_ids.c            |    2 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_mode.h           |    4 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_preempt_mgr.c    |    3 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_ttm.c            |   10 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_vram_mgr.c       |    5 +-
 drivers/gpu/drm/amd/amdgpu/atombios_dp.c           |    2 +-
 drivers/gpu/drm/amd/display/amdgpu_dm/amdgpu_dm.c  |    4 +-
 drivers/gpu/drm/amd/display/amdgpu_dm/amdgpu_dm.h  |    2 +-
 .../amd/display/amdgpu_dm/amdgpu_dm_mst_types.c    |    4 +-
 drivers/gpu/drm/amd/display/dc/core/dc_link_dpcd.c |    2 +-
 drivers/gpu/drm/amd/display/dc/dsc/dc_dsc.c        |    2 +-
 drivers/gpu/drm/amd/display/dc/os_types.h          |    2 +-
 drivers/gpu/drm/amd/display/include/dpcd_defs.h    |    2 +-
 drivers/gpu/drm/amd/display/modules/hdcp/hdcp.h    |    2 +-
 .../gpu/drm/arm/display/komeda/d71/d71_component.c |   12 +-
 drivers/gpu/drm/arm/display/komeda/komeda_drv.c    |    3 +-
 drivers/gpu/drm/arm/hdlcd_drv.c                    |    3 +-
 drivers/gpu/drm/arm/malidp_drv.c                   |    3 +-
 drivers/gpu/drm/ast/ast_drv.c                      |   18 +-
 drivers/gpu/drm/ast/ast_main.c                     |    2 +
 drivers/gpu/drm/ast/ast_mode.c                     |    5 +-
 drivers/gpu/drm/bridge/Kconfig                     |    5 +
 drivers/gpu/drm/bridge/adv7511/adv7511.h           |    1 +
 drivers/gpu/drm/bridge/adv7511/adv7511_drv.c       |   31 +-
 drivers/gpu/drm/bridge/adv7511/adv7533.c           |    2 +-
 drivers/gpu/drm/bridge/analogix/Kconfig            |    2 +
 drivers/gpu/drm/bridge/analogix/analogix-anx6345.c |    2 +-
 drivers/gpu/drm/bridge/analogix/analogix-anx78xx.c |    2 +-
 .../gpu/drm/bridge/analogix/analogix-i2c-dptx.c    |    2 +-
 drivers/gpu/drm/bridge/analogix/analogix_dp_core.c |    4 +-
 drivers/gpu/drm/bridge/analogix/analogix_dp_core.h |    2 +-
 drivers/gpu/drm/bridge/analogix/anx7625.c          |  440 +++-
 drivers/gpu/drm/bridge/analogix/anx7625.h          |   80 +-
 drivers/gpu/drm/bridge/cadence/Kconfig             |    1 +
 .../gpu/drm/bridge/cadence/cdns-mhdp8546-core.c    |   20 +-
 .../gpu/drm/bridge/cadence/cdns-mhdp8546-core.h    |    2 +-
 drivers/gpu/drm/bridge/chipone-icn6211.c           |   39 +-
 drivers/gpu/drm/bridge/ite-it66121.c               |    3 -
 drivers/gpu/drm/bridge/lontium-lt9611.c            |    4 +-
 drivers/gpu/drm/bridge/lontium-lt9611uxc.c         |    4 +-
 drivers/gpu/drm/bridge/nwl-dsi.c                   |    8 +-
 drivers/gpu/drm/bridge/parade-ps8640.c             |   33 +-
 drivers/gpu/drm/bridge/sii902x.c                   |  129 +-
 drivers/gpu/drm/bridge/sil-sii8620.c               |    2 +-
 drivers/gpu/drm/bridge/synopsys/dw-hdmi.c          |   16 +-
 drivers/gpu/drm/bridge/synopsys/dw-mipi-dsi.c      |   25 +-
 drivers/gpu/drm/bridge/tc358767.c                  |    2 +-
 drivers/gpu/drm/bridge/tc358775.c                  |    4 +-
 drivers/gpu/drm/bridge/ti-sn65dsi83.c              |   53 +-
 drivers/gpu/drm/bridge/ti-sn65dsi86.c              |    4 +-
 drivers/gpu/drm/dp/Makefile                        |    9 +
 drivers/gpu/drm/{drm_dp_helper.c => dp/drm_dp.c}   |   89 +-
 drivers/gpu/drm/{ => dp}/drm_dp_aux_bus.c          |    4 +-
 drivers/gpu/drm/{ => dp}/drm_dp_aux_dev.c          |    6 +-
 drivers/gpu/drm/{ => dp}/drm_dp_cec.c              |    2 +-
 drivers/gpu/drm/{ => dp}/drm_dp_dual_mode_helper.c |    2 +-
 drivers/gpu/drm/dp/drm_dp_helper_internal.h        |   33 +
 drivers/gpu/drm/dp/drm_dp_helper_mod.c             |   22 +
 drivers/gpu/drm/{ => dp}/drm_dp_mst_topology.c     |    8 +-
 .../drm/{ => dp}/drm_dp_mst_topology_internal.h    |    2 +-
 drivers/gpu/drm/drm_buddy.c                        |  535 ++++
 drivers/gpu/drm/drm_cache.c                        |    2 +-
 drivers/gpu/drm/drm_color_mgmt.c                   |    4 +
 drivers/gpu/drm/drm_crtc_helper_internal.h         |   27 -
 drivers/gpu/drm/drm_dsc.c                          |    2 +-
 drivers/gpu/drm/drm_edid.c                         |  104 +-
 drivers/gpu/drm/drm_fb_helper.c                    |    2 +-
 drivers/gpu/drm/drm_kms_helper_common.c            |   14 -
 drivers/gpu/drm/drm_plane.c                        |    9 +-
 drivers/gpu/drm/drm_privacy_screen.c               |    5 +-
 drivers/gpu/drm/drm_privacy_screen_x86.c           |   17 +
 drivers/gpu/drm/etnaviv/etnaviv_gem_submit.c       |    3 +-
 drivers/gpu/drm/exynos/Kconfig                     |    1 +
 drivers/gpu/drm/exynos/exynos_drm_dsi.c            |   13 +-
 drivers/gpu/drm/gma500/cdv_intel_dp.c              |   14 +-
 drivers/gpu/drm/gma500/gma_display.c               |    6 +-
 drivers/gpu/drm/gma500/intel_bios.c                |    2 +-
 drivers/gpu/drm/gma500/mmu.c                       |    8 +-
 drivers/gpu/drm/hisilicon/hibmc/hibmc_drm_drv.c    |    3 +-
 drivers/gpu/drm/i915/Kconfig                       |    2 +
 drivers/gpu/drm/i915/Makefile                      |    5 +-
 drivers/gpu/drm/i915/display/hsw_ips.c             |  271 ++
 drivers/gpu/drm/i915/display/hsw_ips.h             |   26 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |   14 +-
 drivers/gpu/drm/i915/display/icl_dsi_regs.h        |  342 +++
 drivers/gpu/drm/i915/display/intel_atomic.c        |   11 -
 drivers/gpu/drm/i915/display/intel_atomic.h        |    2 -
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  217 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   14 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |  167 +-
 drivers/gpu/drm/i915/display/intel_bw.h            |    8 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |    2 +
 drivers/gpu/drm/i915/display/intel_color.c         |  119 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   33 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  981 +++-----
 drivers/gpu/drm/i915/display/intel_display.h       |   11 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   39 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |    1 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   33 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   95 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |    4 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  307 ++-
 .../gpu/drm/i915/display/intel_dp_link_training.h  |    6 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   33 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   12 +
 drivers/gpu/drm/i915/display/intel_dpll.h          |    1 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   44 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    3 +
 drivers/gpu/drm/i915/display/intel_dpt.c           |   37 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |    2 +
 drivers/gpu/drm/i915/display/intel_dsi.h           |    4 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   11 +-
 drivers/gpu/drm/i915/display/intel_fb_pin.c        |    9 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    5 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   27 +-
 drivers/gpu/drm/i915/display/intel_fbdev.h         |    7 +
 drivers/gpu/drm/i915/display/intel_fdi.c           |    5 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   16 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   49 +-
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    1 +
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    7 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |    4 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |   78 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |    1 +
 drivers/gpu/drm/i915/display/intel_plane_initial.c |   14 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  119 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |  212 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |   26 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    8 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   47 +-
 drivers/gpu/drm/i915/display/intel_vdsc.h          |    1 -
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   34 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |    1 +
 drivers/gpu/drm/i915/display/vlv_dsi_pll_regs.h    |  109 +
 drivers/gpu/drm/i915/display/vlv_dsi_regs.h        |  480 ++++
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |    2 +
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |   27 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_create.c         |    3 +
 drivers/gpu/drm/i915/gem/i915_gem_create.h         |   17 +
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.c         |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.h         |   18 +
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |    5 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.h         |   15 +
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |  249 +-
 drivers/gpu/drm/i915/gem/i915_gem_internal.c       |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_internal.h       |   23 +
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |   39 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   23 +
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   51 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   12 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |   20 +-
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |   30 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_throttle.c       |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   15 +-
 drivers/gpu/drm/i915/gem/i915_gem_ttm.c            |   18 +-
 drivers/gpu/drm/i915/gem/i915_gem_ttm_move.c       |   27 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   42 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |    4 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    3 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_migrate.c  |  192 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   29 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_object.c   |    2 +-
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.c |    1 +
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |    1 +
 drivers/gpu/drm/i915/gt/gen6_ppgtt.c               |   21 +-
 drivers/gpu/drm/i915/gt/gen8_ppgtt.c               |   37 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |    4 +-
 drivers/gpu/drm/i915/gt/intel_context_sseu.c       |    1 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |    2 +
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   21 +-
 drivers/gpu/drm/i915/gt/intel_engine_regs.h        |   23 +
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |   40 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |  133 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |    8 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   14 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_buffer_pool.c     |    1 +
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |    1 +
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            | 2630 ++++++++++----------
 drivers/gpu/drm/i915/gt/intel_gtt.c                |    5 +
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   19 +-
 drivers/gpu/drm/i915/gt/intel_llc.c                |    1 +
 drivers/gpu/drm/i915/gt/intel_lrc.c                |   45 +
 drivers/gpu/drm/i915/gt/intel_lrc.h                |   16 +-
 drivers/gpu/drm/i915/gt/intel_ppgtt.c              |   22 +-
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |    5 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |    2 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |   25 +-
 drivers/gpu/drm/i915/gt/intel_ring.c               |    1 +
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |    4 +
 drivers/gpu/drm/i915/gt/intel_rps.c                |    1 +
 drivers/gpu/drm/i915/gt/intel_timeline.c           |    5 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   45 +-
 drivers/gpu/drm/i915/gt/selftest_execlists.c       |    1 +
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |    3 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |    2 +
 drivers/gpu/drm/i915/gt/selftest_migrate.c         |    2 +
 drivers/gpu/drm/i915/gt/selftest_reset.c           |    2 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             |    2 +
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |    1 +
 drivers/gpu/drm/i915/gt/uc/abi/guc_actions_abi.h   |   80 +-
 drivers/gpu/drm/i915/gt/uc/abi/guc_errors_abi.h    |   23 +
 drivers/gpu/drm/i915/gt/uc/abi/guc_klvs_abi.h      |   82 +
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |  126 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |   25 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |  204 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.c          |  143 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |   38 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h        |   37 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |   31 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.h         |    3 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_reg.h         |    7 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_slpc.c        |    3 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |  262 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |    1 +
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |   31 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   45 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h           |    2 +-
 drivers/gpu/drm/i915/gt/uc/selftest_guc.c          |    2 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |    2 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    7 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |    2 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    2 +
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |    2 +
 drivers/gpu/drm/i915/i915_buddy.c                  |  466 ----
 drivers/gpu/drm/i915/i915_buddy.h                  |  143 --
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    2 +
 drivers/gpu/drm/i915/i915_debugfs.c                |   19 +-
 drivers/gpu/drm/i915/i915_driver.c                 |   28 +-
 drivers/gpu/drm/i915/i915_driver.h                 |    5 +
 drivers/gpu/drm/i915/i915_drv.h                    |  328 +--
 drivers/gpu/drm/i915/i915_file_private.h           |  108 +
 drivers/gpu/drm/i915/i915_gem.c                    |   44 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |  101 +-
 drivers/gpu/drm/i915/i915_gem_evict.h              |    6 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   16 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    4 +
 drivers/gpu/drm/i915/i915_getparam.c               |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   91 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   11 +
 drivers/gpu/drm/i915/i915_irq.c                    |   17 +-
 drivers/gpu/drm/i915/i915_irq.h                    |    1 +
 drivers/gpu/drm/i915/i915_mitigations.c            |    1 +
 drivers/gpu/drm/i915/i915_module.c                 |    7 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    2 +
 drivers/gpu/drm/i915/i915_perf.c                   |   11 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 1203 +--------
 drivers/gpu/drm/i915/i915_reg_defs.h               |   27 +
 drivers/gpu/drm/i915/i915_request.c                |   13 +-
 drivers/gpu/drm/i915/i915_request.h                |    6 +-
 drivers/gpu/drm/i915/i915_scatterlist.c            |   11 +-
 drivers/gpu/drm/i915/i915_ttm_buddy_manager.c      |   37 +-
 drivers/gpu/drm/i915/i915_ttm_buddy_manager.h      |    4 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  569 +++--
 drivers/gpu/drm/i915/i915_vma.h                    |   34 +-
 drivers/gpu/drm/i915/i915_vma_resource.c           |  418 ++++
 drivers/gpu/drm/i915/i915_vma_resource.h           |  234 ++
 drivers/gpu/drm/i915/i915_vma_snapshot.c           |  134 -
 drivers/gpu/drm/i915/i915_vma_snapshot.h           |  112 -
 drivers/gpu/drm/i915/i915_vma_types.h              |   19 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    4 +-
 drivers/gpu/drm/i915/intel_dram.c                  |    1 +
 drivers/gpu/drm/i915/intel_mchbar_regs.h           |  228 ++
 drivers/gpu/drm/i915/intel_pch.c                   |    1 +
 drivers/gpu/drm/i915/intel_pch.h                   |    1 +
 drivers/gpu/drm/i915/intel_pm.c                    |  477 ++--
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    3 +
 drivers/gpu/drm/i915/intel_runtime_pm.h            |    1 +
 drivers/gpu/drm/i915/intel_step.c                  |   15 +
 drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |   42 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_pm.h            |    2 +-
 drivers/gpu/drm/i915/selftests/i915_buddy.c        |  787 ------
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   11 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   29 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |  210 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 -
 drivers/gpu/drm/i915/selftests/i915_request.c      |  120 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |    1 +
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   31 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |    2 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |    1 +
 .../gpu/drm/i915/selftests/intel_memory_region.c   |   13 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   13 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   21 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.h          |    3 +-
 drivers/gpu/drm/imx/dcss/dcss-drv.c                |    3 +-
 drivers/gpu/drm/ingenic/ingenic-drm-drv.c          |   62 +-
 drivers/gpu/drm/ingenic/ingenic-drm.h              |   38 +
 drivers/gpu/drm/meson/meson_drv.c                  |   25 +-
 drivers/gpu/drm/meson/meson_osd_afbcd.c            |   41 +-
 drivers/gpu/drm/meson/meson_osd_afbcd.h            |    1 +
 drivers/gpu/drm/mgag200/mgag200_mode.c             |    5 +-
 drivers/gpu/drm/msm/Kconfig                        |    1 +
 drivers/gpu/drm/msm/dp/dp_audio.c                  |    2 +-
 drivers/gpu/drm/msm/dp/dp_aux.h                    |    2 +-
 drivers/gpu/drm/msm/dp/dp_catalog.c                |    2 +-
 drivers/gpu/drm/msm/dp/dp_ctrl.c                   |    2 +-
 drivers/gpu/drm/msm/edp/edp.h                      |   77 +
 drivers/gpu/drm/msm/edp/edp_ctrl.c                 | 1373 ++++++++++
 drivers/gpu/drm/mxsfb/mxsfb_drv.c                  |    9 +
 drivers/gpu/drm/nouveau/Kconfig                    |    1 +
 drivers/gpu/drm/nouveau/dispnv50/disp.c            |    2 +-
 drivers/gpu/drm/nouveau/nouveau_connector.h        |    2 +-
 drivers/gpu/drm/nouveau/nouveau_dp.c               |   17 +-
 drivers/gpu/drm/nouveau/nouveau_encoder.h          |    4 +-
 drivers/gpu/drm/nouveau/nouveau_mem.c              |    3 +-
 drivers/gpu/drm/nouveau/nouveau_mem.h              |    3 +-
 drivers/gpu/drm/nouveau/nouveau_ttm.c              |   13 +-
 drivers/gpu/drm/nouveau/nvkm/engine/disp/dp.c      |  317 ++-
 drivers/gpu/drm/nouveau/nvkm/engine/disp/dp.h      |   35 +-
 drivers/gpu/drm/nouveau/nvkm/engine/disp/sorg94.c  |   13 +-
 .../gpu/drm/nouveau/nvkm/engine/disp/sorga102.c    |    4 +
 .../gpu/drm/nouveau/nvkm/engine/disp/sorgf119.c    |   14 +-
 .../gpu/drm/nouveau/nvkm/engine/disp/sorgm107.c    |   18 +-
 drivers/gpu/drm/nouveau/nvkm/subdev/acr/hsfw.c     |    9 +-
 drivers/gpu/drm/omapdrm/omap_gem_dmabuf.c          |    1 +
 drivers/gpu/drm/panel/panel-boe-tv101wum-nl6.c     |    8 +-
 drivers/gpu/drm/panel/panel-edp.c                  |   21 +-
 drivers/gpu/drm/panel/panel-samsung-atna33xc20.c   |    4 +-
 drivers/gpu/drm/panel/panel-simple.c               |   33 +
 drivers/gpu/drm/panfrost/panfrost_features.h       |  212 +-
 drivers/gpu/drm/panfrost/panfrost_gpu.c            |   32 +-
 drivers/gpu/drm/radeon/atombios_dp.c               |    2 +-
 drivers/gpu/drm/radeon/radeon_connectors.c         |    4 +-
 drivers/gpu/drm/radeon/radeon_dp_mst.c             |    2 +-
 drivers/gpu/drm/radeon/radeon_mode.h               |    4 +-
 drivers/gpu/drm/radeon/radeon_ttm.c                |    4 +-
 drivers/gpu/drm/rockchip/Kconfig                   |    2 +
 drivers/gpu/drm/rockchip/analogix_dp-rockchip.c    |    4 +-
 drivers/gpu/drm/rockchip/cdn-dp-core.c             |    2 +-
 drivers/gpu/drm/rockchip/cdn-dp-core.h             |    2 +-
 drivers/gpu/drm/rockchip/rockchip_lvds.c           |    2 +-
 drivers/gpu/drm/rockchip/rockchip_rgb.c            |    2 +-
 drivers/gpu/drm/selftests/test-drm_dp_mst_helper.c |    8 +-
 drivers/gpu/drm/selftests/test-drm_plane_helper.c  |    4 +
 drivers/gpu/drm/stm/drv.c                          |    5 +
 drivers/gpu/drm/stm/dw_mipi_dsi-stm.c              |  114 +-
 drivers/gpu/drm/stm/ltdc.c                         |  810 ++++--
 drivers/gpu/drm/stm/ltdc.h                         |   12 +-
 drivers/gpu/drm/tegra/Kconfig                      |    1 +
 drivers/gpu/drm/tegra/dp.c                         |    2 +-
 drivers/gpu/drm/tegra/dpaux.c                      |    2 +-
 drivers/gpu/drm/tegra/sor.c                        |    2 +-
 drivers/gpu/drm/tilcdc/tilcdc_drv.c                |    4 +-
 drivers/gpu/drm/tiny/bochs.c                       |   20 +-
 drivers/gpu/drm/tiny/cirrus.c                      |   17 +-
 drivers/gpu/drm/tiny/simpledrm.c                   |   22 +-
 drivers/gpu/drm/ttm/ttm_bo_util.c                  |    7 +-
 drivers/gpu/drm/ttm/ttm_range_manager.c            |    4 +-
 drivers/gpu/drm/ttm/ttm_resource.c                 |   35 +
 drivers/gpu/drm/ttm/ttm_sys_manager.c              |    3 +-
 drivers/gpu/drm/v3d/v3d_drv.c                      |    8 +-
 drivers/gpu/drm/vc4/vc4_bo.c                       |    2 -
 drivers/gpu/drm/vc4/vc4_drv.c                      |   33 +-
 drivers/gpu/drm/vc4/vc4_hdmi.c                     |  132 +-
 drivers/gpu/drm/vc4/vc4_hdmi.h                     |    5 +-
 drivers/gpu/drm/vc4/vc4_regs.h                     |    3 +
 drivers/gpu/drm/virtio/virtgpu_gem.c               |    3 +
 drivers/gpu/drm/vkms/vkms_drv.h                    |    2 +
 drivers/gpu/drm/vkms/vkms_output.c                 |   29 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_gmrid_manager.c      |    4 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_system_manager.c     |    3 +-
 drivers/gpu/drm/xlnx/Kconfig                       |    1 +
 drivers/gpu/drm/xlnx/zynqmp_dp.c                   |    2 +-
 drivers/platform/chrome/Kconfig                    |   11 +
 drivers/platform/chrome/Makefile                   |    1 +
 drivers/platform/chrome/chromeos_privacy_screen.c  |  153 ++
 drivers/platform/x86/thinkpad_acpi.c               |    2 +-
 drivers/video/fbdev/asiliantfb.c                   |    2 +-
 drivers/video/fbdev/core/fbmem.c                   |   29 +-
 drivers/video/fbdev/s3c-fb.c                       |    2 +-
 drivers/video/fbdev/simplefb.c                     |   65 +-
 drivers/video/fbdev/vga16fb.c                      |    5 +
 include/drm/bridge/dw_mipi_dsi.h                   |    4 +-
 include/drm/{ => dp}/drm_dp_aux_bus.h              |    0
 include/drm/{ => dp}/drm_dp_dual_mode_helper.h     |    0
 include/drm/{ => dp}/drm_dp_helper.h               |   31 +-
 include/drm/{ => dp}/drm_dp_mst_helper.h           |    2 +-
 include/drm/drm_buddy.h                            |  150 ++
 include/drm/drm_connector.h                        |   18 +-
 include/drm/drm_crtc.h                             |   10 +
 include/drm/drm_dsc.h                              |    2 +-
 include/drm/drm_edid.h                             |    4 +-
 include/drm/drm_mipi_dbi.h                         |    2 +-
 include/drm/drm_modeset_lock.h                     |    1 +
 include/drm/drm_module.h                           |  125 +
 include/drm/drm_plane.h                            |    2 +-
 include/drm/drm_privacy_screen_driver.h            |   13 +-
 include/drm/ttm/ttm_resource.h                     |   23 +-
 include/linux/dma-buf-map.h                        |  266 ++
 include/linux/dma-resv.h                           |    4 +-
 include/linux/fb.h                                 |    1 +
 include/linux/iosys-map.h                          |  218 +-
 include/linux/rwsem.h                              |    2 +-
 include/soc/bcm2835/raspberrypi-firmware.h         |    1 +
 include/uapi/drm/panfrost_drm.h                    |    4 +-
 431 files changed, 14323 insertions(+), 8342 deletions(-)
 create mode 100644 drivers/gpu/drm/dp/Makefile
 rename drivers/gpu/drm/{drm_dp_helper.c => dp/drm_dp.c} (97%)
 rename drivers/gpu/drm/{ => dp}/drm_dp_aux_bus.c (99%)
 rename drivers/gpu/drm/{ => dp}/drm_dp_aux_dev.c (98%)
 rename drivers/gpu/drm/{ => dp}/drm_dp_cec.c (99%)
 rename drivers/gpu/drm/{ => dp}/drm_dp_dual_mode_helper.c (99%)
 create mode 100644 drivers/gpu/drm/dp/drm_dp_helper_internal.h
 create mode 100644 drivers/gpu/drm/dp/drm_dp_helper_mod.c
 rename drivers/gpu/drm/{ => dp}/drm_dp_mst_topology.c (99%)
 rename drivers/gpu/drm/{ => dp}/drm_dp_mst_topology_internal.h (94%)
 create mode 100644 drivers/gpu/drm/drm_buddy.c
 create mode 100644 drivers/gpu/drm/i915/display/hsw_ips.c
 create mode 100644 drivers/gpu/drm/i915/display/hsw_ips.h
 create mode 100644 drivers/gpu/drm/i915/display/icl_dsi_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/vlv_dsi_pll_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/vlv_dsi_regs.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_create.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_domain.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_internal.h
 create mode 100644 drivers/gpu/drm/i915/gt/uc/abi/guc_klvs_abi.h
 delete mode 100644 drivers/gpu/drm/i915/i915_buddy.c
 delete mode 100644 drivers/gpu/drm/i915/i915_buddy.h
 create mode 100644 drivers/gpu/drm/i915/i915_file_private.h
 create mode 100644 drivers/gpu/drm/i915/i915_vma_resource.c
 create mode 100644 drivers/gpu/drm/i915/i915_vma_resource.h
 delete mode 100644 drivers/gpu/drm/i915/i915_vma_snapshot.c
 delete mode 100644 drivers/gpu/drm/i915/i915_vma_snapshot.h
 create mode 100644 drivers/gpu/drm/i915/intel_mchbar_regs.h
 delete mode 100644 drivers/gpu/drm/i915/selftests/i915_buddy.c
 create mode 100644 drivers/gpu/drm/msm/edp/edp.h
 create mode 100644 drivers/gpu/drm/msm/edp/edp_ctrl.c
 create mode 100644 drivers/platform/chrome/chromeos_privacy_screen.c
 rename include/drm/{ => dp}/drm_dp_aux_bus.h (100%)
 rename include/drm/{ => dp}/drm_dp_dual_mode_helper.h (100%)
 rename include/drm/{ => dp}/drm_dp_helper.h (98%)
 rename include/drm/{ => dp}/drm_dp_mst_helper.h (99%)
 create mode 100644 include/drm/drm_buddy.h
 create mode 100644 include/drm/drm_module.h
 create mode 100644 include/linux/dma-buf-map.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2022-02-08 14:58 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2022-02-08 14:58 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Thomas Zimmermann,
	Rodrigo Vivi, intel-gfx

Hi Dave and Daniel,

Here goes the first and probably biggest request towards 5.18.

Another request will come in about 2 weeks.

drm-intel-next-2022-02-08:

Cross-subsystem Changes:
------------------------

dma-buf:
- dma-buf-map: Rename to iosys-map (Lucas)

Core Changes:
-------------

drm:
- Always include the debugfs_entry in drm_crtc (Ville)
- Add orientation quirk for GPD Win Max (Anisse)

Driver Changes:
---------------

gvt:
- Constify some pointers. (Rikard Falkeborn)
- Use list_entry to access list members. (Guenter Roeck)
- Fix cmd parser error for Passmark9. (Zhenyu Wang)

i915:
- Various clean-ups including headers and removing unused and unnecessary stuff\
 (Jani, Hans, Andy, Ville)
- Cleaning up on our registers definitions i915_reg.h (Matt)
- More multi-FBC refactoring (Ville)
- Baytrail backlight fix (Hans)
- DG1 OPROM read through SPI controller (Clint)
- ADL-N platform enabling (Tejas)
- Fix slab-out-of-bounds access (Jani)
- Add opregion mailbox #5 support for possible EDID override (Anisse)
- Fix possible NULL dereferences (Harish)
- Updates and fixes around display voltage swing values (Clint, Jose)
- Fix RPM wekeref on PXP code (Juston)
- Many register definitions clean-up, including planes registers (Ville)
- More conversion towards display version over the old gen (Madhumitha, Ville)
- DP MST ESI handling improvements (Jani)
- drm device based logging conversions (Jani)
- Prevent divide by zero (Dan)
- Introduce ilk_pch_pre_enable for complete modeset abstraction (Ville)
- Async flip optimization for DG2 (Stanislav)
- Multiple DSC and bigjoiner fixes and improvements (Ville)
- Fix ADL-P TypeC Phy ready status readout (Imre)
- Fix up DP DFP 4:2:0 handling more display related fixes (Ville)
- Display M/N cleanup (Ville)
- Switch to use VGA definitions from video/vga.h (Jani)
- Fixes and improvements to abstract CPU architecture (Lucas)
- Disable unsused power wells left enabled by BIOS (Imre)
- Allow !join_mbus cases for adlp+ dbuf configuration (Ville)
- Populate pipe dbuf slices more accurately during readout (Ville)
- Workaround broken BIOS DBUF configuration on TGL/RKL (Ville)
- Fix trailing semicolon (Lucas)

Thanks,
Rodrigo.

The following changes since commit 26291c54e111ff6ba87a164d85d4a4e134b7315c:

  Linux 5.17-rc2 (2022-01-30 15:37:07 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2022-02-08

for you to fetch changes up to 7938f4218168ae9fc4bdddb15976f9ebbae41999:

  dma-buf-map: Rename to iosys-map (2022-02-07 16:35:35 -0800)

----------------------------------------------------------------
Cross-subsystem Changes:
------------------------

dma-buf:
- dma-buf-map: Rename to iosys-map (Lucas)

Core Changes:
-------------

drm:
- Always include the debugfs_entry in drm_crtc (Ville)
- Add orientation quirk for GPD Win Max (Anisse)

Driver Changes:
---------------

gvt:
- Constify some pointers. (Rikard Falkeborn)
- Use list_entry to access list members. (Guenter Roeck)
- Fix cmd parser error for Passmark9. (Zhenyu Wang)

i915:
- Various clean-ups including headers and removing unused and unnecessary stuff\
 (Jani, Hans, Andy, Ville)
- Cleaning up on our registers definitions i915_reg.h (Matt)
- More multi-FBC refactoring (Ville)
- Baytrail backlight fix (Hans)
- DG1 OPROM read through SPI controller (Clint)
- ADL-N platform enabling (Tejas)
- Fix slab-out-of-bounds access (Jani)
- Add opregion mailbox #5 support for possible EDID override (Anisse)
- Fix possible NULL dereferences (Harish)
- Updates and fixes around display voltage swing values (Clint, Jose)
- Fix RPM wekeref on PXP code (Juston)
- Many register definitions clean-up, including planes registers (Ville)
- More conversion towards display version over the old gen (Madhumitha, Ville)
- DP MST ESI handling improvements (Jani)
- drm device based logging conversions (Jani)
- Prevent divide by zero (Dan)
- Introduce ilk_pch_pre_enable for complete modeset abstraction (Ville)
- Async flip optimization for DG2 (Stanislav)
- Multiple DSC and bigjoiner fixes and improvements (Ville)
- Fix ADL-P TypeC Phy ready status readout (Imre)
- Fix up DP DFP 4:2:0 handling more display related fixes (Ville)
- Display M/N cleanup (Ville)
- Switch to use VGA definitions from video/vga.h (Jani)
- Fixes and improvements to abstract CPU architecture (Lucas)
- Disable unsused power wells left enabled by BIOS (Imre)
- Allow !join_mbus cases for adlp+ dbuf configuration (Ville)
- Populate pipe dbuf slices more accurately during readout (Ville)
- Workaround broken BIOS DBUF configuration on TGL/RKL (Ville)
- Fix trailing semicolon (Lucas)

----------------------------------------------------------------
Andy Shevchenko (1):
      drm/i915/dsi: Drop double check ACPI companion device for NULL

Anisse Astier (2):
      drm/i915/opregion: add support for mailbox #5 EDID
      drm: Add orientation quirk for GPD Win Max

Clint Taylor (2):
      drm/i915/dg1: Read OPROM via SPI controller
      drm/i915/snps: vswing value refined for SNPS phys

Dan Carpenter (1):
      drm/i915/overlay: Prevent divide by zero bugs in scaling

Guenter Roeck (1):
      drm/i915/gvt: Use list_entry to access list members

Hans de Goede (2):
      drm/i915/backlight: Make ext_pwm_disable_backlight() call intel_backlight_set_pwm_level()
      drm/i915: Remove unused intel_gmbus_set_speed() function

Harish Chegondi (1):
      drm/i915: Fix possible NULL pointer dereferences in i9xx_update_wm()

Imre Deak (2):
      drm/i915/adlp: Fix TypeC PHY-ready status readout
      drm/i915: Disable unused power wells left enabled by BIOS

Jani Nikula (35):
      drm/i915/cdclk: turn around i915_drv.h and intel_cdclk.h dependency
      drm/i915/cdclk: move struct intel_cdclk_funcs to intel_cdclk.c
      drm/i915/bios: fix slab-out-of-bounds access
      drm/i915: stop including i915_irq.h from i915_drv.h
      drm/i915: split out i915_getparam.h from i915_drv.h
      drm/i915: split out i915_cmd_parser.h from i915_drv.h
      drm/i915: split out i915_gem_evict.h from i915_drv.h
      drm/i915: split out gem/i915_gem_userptr.h from i915_drv.h
      drm/i915: split out gem/i915_gem_tiling.h from i915_drv.h
      drm/i915: split out PCI config space registers from i915_reg.h
      drm/i915: split out vlv sideband registers from i915_reg.h
      drm/i915/dp: make intel_dp_pack_aux() static again
      drm/i915/pcode: rename sandybridge_pcode_* to snb_pcode_*
      drm/i915/psr: remove unused lines_to_wait vbt info
      drm/i915/dpll: make intel_shared_dpll_funcs internal to intel_dpll_mgr.c
      drm/i915: split out i915_reg_read_ioctl() to i915_ioctl.[ch]
      drm/i915/mst: fix intel_dp_mst_hpd_irq() indentation
      drm/i915/mst: abstract intel_dp_ack_sink_irq_esi()
      drm/i915/mst: debug log 4 bytes of ESI right after reading
      drm/i915/mst: abstract handling of link status in DP MST
      drm/i915/mst: read link status only when requested by sink in ESI
      drm/i915/mst: ack sink irq ESI for link status changes
      drm/i915/mst: only ack the ESI we actually handled
      drm/i915: nuke local versions of WARN_ON/WARN_ON_ONCE
      drm/i915/snps: convert to drm device based logging
      drm/i915/pps: convert to drm device based logging
      drm/i915/hotplug: convert to drm device based logging
      drm/i915/dp: convert to drm device based logging
      drm/i915/plane: convert to drm device based logging and WARN
      drm/i915/sprite: convert to drm device based logging
      drm/i915/lspcon: convert to drm device based logging
      drm/i915/cdclk: update intel_dump_cdclk_config() logging
      drm/i915/cdclk: convert to drm device based logging
      drm/i915/vga: switch to use VGA definitions from video/vga.h
      drm/i915: remove VGA register definitions

José Roberto de Souza (2):
      drm/i915/display/ehl: Update voltage swing table
      drm/i915/display/adlp: Implement new step in the TC voltage swing prog sequence

Juston Li (1):
      drm/i915/pxp: Hold RPM wakelock during PXP unbind

Lucas De Marchi (4):
      drm/i915: Do not spam log with missing arch support
      drm/i915: Fix header test for !CONFIG_X86
      drm/i915: Fix trailing semicolon
      dma-buf-map: Rename to iosys-map

Madhumitha Tolakanahalli Pradeep (1):
      drm/i915/dmc: Eliminate remnant GEN<n> references

Matt Roper (18):
      drm/i915: Use parameterized GPR register definitions everywhere
      drm/i915: Parameterize PWRCTX_MAXCNT
      drm/i915: Parameterize ECOSKPD
      drm/i915: Use RING_PSMI_CTL rather than per-engine macros
      drm/i915: Replace GFX_MODE_GEN7 with RING_MODE_GEN7
      drm/i915: Introduce i915_reg_defs.h
      drm/i915/gt: Move engine registers to their own header
      drm/i915: Move SNPS PHY registers to their own header
      drm/i915: Move combo PHY registers to their own header
      drm/i915: Move TC PHY registers to their own header
      drm/i915: Drop unused _PORT3 and _PORT4 TC phy register offsets
      drm/i915/perf: Move OA regs to their own header
      drm/i915/perf: Express OA register ranges with i915_range
      drm/i915: Parameterize R_PWR_CLK_STATE register definition
      drm/i915: Parameterize MI_PREDICATE registers
      drm/i915: Move GT registers to their own header file
      drm/i915: Only include i915_reg.h from .c files
      drm/i915: Move [more] GT registers to their own header file

Rikard Falkeborn (9):
      drm/i915/gvt: Constify intel_gvt_gtt_gma_ops
      drm/i915/gvt: Constify intel_gvt_gtt_pte_ops
      drm/i915/gvt: Constify intel_gvt_irq_ops
      drm/i915/gvt: Constify intel_gvt_sched_policy_ops
      drm/i915/gvt: Constify gvt_mmio_block
      drm/i915/gvt: Constify cmd_interrupt_events
      drm/i915/gvt: Constify formats
      drm/i915/gvt: Constify gtt_type_table_entry
      drm/i915/gvt: Constify vgpu_types

Rodrigo Vivi (2):
      Merge tag 'gvt-next-2022-01-12' of https://github.com/intel/gvt-linux into drm-intel-next
      Merge drm/drm-next into drm-intel-next

Stanislav Lisovskiy (4):
      drm/i915: Pass plane to watermark calculation functions
      drm/i915: Introduce do_async_flip flag to intel_plane_state
      drm/i915: Use wm0 only during async flips for DG2
      drm/i915: Don't allocate extra ddb during async flip for DG2

Tejas Upadhyay (1):
      drm/i915/adl-n: Enable ADL-N platform

Ville Syrjälä (67):
      drm/i915/fbc: Parametrize FBC register offsets
      drm/i915/fbc: Loop through FBC instances in various places
      drm/i915/fbc: Introduce device info fbc_mask
      drm/i915/fbc: Register per-crtc debugfs files
      drm: Always include the debugfs dentry in drm_crtc
      drm/i915/fbc: Remember to update FBC state even when not reallocating CFB
      drm/i915: Sipmplify PLANE_STRIDE masking
      drm/i915: Use REG_BIT() & co. for universal plane bits
      drm/i915: Clean up ivb+ sprite plane registers
      drm/i915: Clean up g4x+ sprite plane registers
      drm/i915: Clean up cursor registers
      drm/i915: Extract skl_plane_aux_dist()
      drm/i915: Declutter color key register stuff
      drm/i915: Nuke pointless middle men for skl+ plane programming
      drm/i915: Remove zombie async flip vt-d w/a
      drm/i915/bios: Introduce has_ddi_port_info()
      drm/i915/bios: Use i915->vbt.ports[] on CHV
      drm/i915/bios: Use i915->vbt.ports[] for all g4x+
      drm/i915/bios: Throw out the !has_ddi_port_info() codepaths
      drm/i915/bios: Nuke DEVICE_TYPE_DP_DUAL_MODE_BITS
      drm/i915/hdmi: Ignore DP++ TMDS clock limit for native HDMI ports
      drm/i915: Clean up vlv/chv sprite plane registers
      drm/i915: Clean up pre-skl primary plane registers
      drm/i915/hdmi: Clean up TMDS clock limit exceeding user mode handling
      drm/i915: Nuke dg2_ddi_pre_enable_dp()
      drm/i915: Introduce ilk_pch_pre_enable()
      drm/i915: Skip dsc readout if the transcoder is disabled
      drm/i915: Simplify intel_dsc_source_support()
      drm/i915: Use per-device debugs for bigjoiner stuff
      drm/i915: Extract hsw_configure_cpu_transcoder()
      drm/i915: Move dsc/joiner enable into hsw_crtc_enable()
      drm/i915: Fix up pixel_rate vs. clock confusion in wm calculations
      drm/i915: Use the correct plane source width in watermark calculations
      drm/i915: Use single_enabled_crtc() in i9xx_update_wm()
      drm/i915: Bump DSL linemask to 20 bits
      drm/i915: Clean up PIPEMISC register defines
      drm/i915: Clean up SKL_BOTTOM_COLOR defines
      drm/i915: Clean up PIPECONF bit defines
      drm/i915: Clean up PCH_TRANSCONF/TRANS_DP_CTL bit defines
      drm/i915: Clean up PIPESRC defines
      drm/i915: Extract intel_{get,set}_m_n()
      drm/i915: Clean up M/N register defines
      drm/i915: s/gmch_{m,n}/data_{m,n}/
      drm/i915: Move drrs hardware bit frobbing to small helpers
      drm/i915: Fix oops due to missing stack depot
      drm/i915: Enable rpm wakeref tracking whether runtime pm is enabled or not
      drm/i915: Nuke intel_dp_set_m_n()
      drm/i915: Nuke intel_dp_get_m_n()
      drm/i915: Nuke ilk_get_fdi_m_n_config()
      drm/i915: Split intel_cpu_transcoder_set_m_n() into M1/N1 vs. M2/N2 variants
      drm/i915: Split intel_cpu_transcoder_get_m_n() into M1/N1 vs. M2/N2 variants
      drm/i915: Pass crtc+cpu_transcoder to intel_cpu_transcoder_set_m_n()
      drm/i915: Move PCH transcoder M/N setup into the PCH code
      drm/i915: Move M/N setup to a more logical place on ddi platforms
      drm/i915: Extract {i9xx,ilk}_configure_cpu_transcoder()
      drm/i915: Disable DRRS on IVB/HSW port != A
      drm/i915: Extract can_enable_drrs()
      drm/i915: Fix transcoder_has_m2_n2()
      drm/i915: Clear DP M2/N2 when not doing DRRS
      drm/i915: Program pch transcoder m2/n2
      drm/i915: Dump dp_m2_n2 always
      drm/i915: Always check dp_m2_n2 on pre-bdw
      drm/i915: Document BDW+ DRRS M/N programming requirements
      drm/i915: s/GRAPHICS_VER/DISPLAY_VER/ where appropriate
      drm/i915: Allow !join_mbus cases for adlp+ dbuf configuration
      drm/i915: Populate pipe dbuf slices more accurately during readout
      drm/i915: Workaround broken BIOS DBUF configuration on TGL/RKL

Zhenyu Wang (1):
      drm/i915/gvt: Fix cmd parser error for Passmark9

 Documentation/driver-api/device-io.rst             |    9 +
 Documentation/driver-api/dma-buf.rst               |    9 -
 Documentation/gpu/todo.rst                         |   20 +-
 MAINTAINERS                                        |    9 +-
 arch/x86/kernel/early-quirks.c                     |    1 +
 drivers/dma-buf/dma-buf.c                          |   22 +-
 drivers/dma-buf/heaps/cma_heap.c                   |   10 +-
 drivers/dma-buf/heaps/system_heap.c                |   10 +-
 drivers/gpu/drm/ast/ast_drv.h                      |    2 +-
 drivers/gpu/drm/ast/ast_mode.c                     |    8 +-
 drivers/gpu/drm/drm_cache.c                        |   18 +-
 drivers/gpu/drm/drm_client.c                       |    9 +-
 drivers/gpu/drm/drm_fb_helper.c                    |   12 +-
 drivers/gpu/drm/drm_gem.c                          |   12 +-
 drivers/gpu/drm/drm_gem_cma_helper.c               |    9 +-
 drivers/gpu/drm/drm_gem_framebuffer_helper.c       |   16 +-
 drivers/gpu/drm/drm_gem_shmem_helper.c             |   15 +-
 drivers/gpu/drm/drm_gem_ttm_helper.c               |    4 +-
 drivers/gpu/drm/drm_gem_vram_helper.c              |   25 +-
 drivers/gpu/drm/drm_internal.h                     |    6 +-
 drivers/gpu/drm/drm_mipi_dbi.c                     |    8 +-
 drivers/gpu/drm/drm_panel_orientation_quirks.c     |    6 +
 drivers/gpu/drm/drm_prime.c                        |    4 +-
 drivers/gpu/drm/etnaviv/etnaviv_drv.h              |    2 +-
 drivers/gpu/drm/etnaviv/etnaviv_gem_prime.c        |    8 +-
 drivers/gpu/drm/gud/gud_pipe.c                     |    4 +-
 drivers/gpu/drm/hyperv/hyperv_drm_modeset.c        |    5 +-
 drivers/gpu/drm/i915/Makefile                      |    3 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |   18 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.h            |    2 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |  105 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |    7 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    2 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    8 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    1 +
 drivers/gpu/drm/i915/display/intel_backlight.c     |    3 +
 drivers/gpu/drm/i915/display/intel_bios.c          |  215 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   14 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   65 +-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |    9 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |    1 +
 .../gpu/drm/i915/display/intel_combo_phy_regs.h    |  162 +
 drivers/gpu/drm/i915/display/intel_crt.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |    1 +
 drivers/gpu/drm/i915/display/intel_cursor.c        |   25 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  143 +-
 drivers/gpu/drm/i915/display/intel_ddi.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |   42 +-
 drivers/gpu/drm/i915/display/intel_de.h            |    1 -
 drivers/gpu/drm/i915/display/intel_display.c       |  571 ++-
 drivers/gpu/drm/i915/display/intel_display.h       |   36 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |    7 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   45 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |    2 +-
 drivers/gpu/drm/i915/display/intel_display_trace.h |    1 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   22 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |   14 +-
 drivers/gpu/drm/i915/display/intel_dmc.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  165 +-
 drivers/gpu/drm/i915/display/intel_dp.h            |    2 -
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |    8 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.h        |    4 -
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |    2 -
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   36 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   46 +-
 drivers/gpu/drm/i915/display/intel_drrs.c          |  115 +-
 drivers/gpu/drm/i915/display/intel_dsb.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   29 +-
 drivers/gpu/drm/i915/display/intel_dvo_dev.h       |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  238 +-
 drivers/gpu/drm/i915/display/intel_fbc.h           |    7 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    7 -
 drivers/gpu/drm/i915/display/intel_gmbus.h         |    1 -
 drivers/gpu/drm/i915/display/intel_hdcp.c          |    3 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  100 +-
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    6 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |   14 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |  144 +-
 drivers/gpu/drm/i915/display/intel_lvds.h          |    2 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |   56 +-
 drivers/gpu/drm/i915/display/intel_opregion.h      |   10 +
 drivers/gpu/drm/i915/display/intel_overlay.c       |    4 +
 drivers/gpu/drm/i915/display/intel_pch_display.c   |   88 +-
 drivers/gpu/drm/i915/display/intel_pch_display.h   |    8 +
 drivers/gpu/drm/i915/display/intel_pps.c           |   22 +-
 drivers/gpu/drm/i915/display/intel_sdvo.h          |    2 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   30 +-
 drivers/gpu/drm/i915/display/intel_snps_phy_regs.h |   75 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |   65 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |    5 +-
 drivers/gpu/drm/i915/display/intel_tc_phy_regs.h   |  280 ++
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   26 -
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    7 +-
 drivers/gpu/drm/i915/display/intel_vga.c           |    9 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  195 +-
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.c         |    8 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    2 +
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |    2 +
 drivers/gpu/drm/i915/gem/i915_gem_tiling.h         |   18 +
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_userptr.h        |   14 +
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |    3 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    3 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_dmabuf.c   |    6 +-
 drivers/gpu/drm/i915/gem/selftests/mock_dmabuf.c   |    6 +-
 drivers/gpu/drm/i915/gt/gen2_engine_cs.c           |    2 +
 drivers/gpu/drm/i915/gt/gen6_engine_cs.c           |    1 +
 drivers/gpu/drm/i915/gt/gen6_ppgtt.c               |    2 +
 drivers/gpu/drm/i915/gt/gen7_renderclear.c         |    1 +
 drivers/gpu/drm/i915/gt/gen8_engine_cs.c           |    3 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |    1 -
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |    4 +-
 drivers/gpu/drm/i915/gt/intel_engine_regs.h        |  223 ++
 .../gpu/drm/i915/gt/intel_execlists_submission.c   |    2 +
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |    1 +
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |    2 +
 drivers/gpu/drm/i915/gt/intel_gt.c                 |    4 +-
 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c     |    2 +
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |   10 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.c          |    1 +
 drivers/gpu/drm/i915/gt/intel_gt_regs.h            | 1554 ++++++++
 drivers/gpu/drm/i915/gt/intel_gtt.c                |    1 +
 drivers/gpu/drm/i915/gt/intel_llc.c                |   10 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |    2 +
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |   15 -
 drivers/gpu/drm/i915/gt/intel_mocs.c               |    2 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |   16 +-
 drivers/gpu/drm/i915/gt/intel_rc6.h                |    2 +-
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |    1 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |    4 +
 drivers/gpu/drm/i915/gt/intel_ring.c               |    1 +
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   12 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   10 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |    3 +-
 drivers/gpu/drm/i915/gt/intel_sseu_debugfs.c       |    1 +
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |    8 +-
 drivers/gpu/drm/i915/gt/intel_workarounds_types.h  |    2 +-
 drivers/gpu/drm/i915/gt/selftest_engine_pm.c       |    1 +
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    1 +
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |    1 +
 drivers/gpu/drm/i915/gt/selftest_llc.c             |    5 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             |    6 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |    1 +
 .../gpu/drm/i915/gt/uc/abi/guc_actions_slpc_abi.h  |    1 -
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |    2 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |    2 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |    1 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |    1 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_reg.h         |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_slpc.c        |    2 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    4 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.h             |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |    1 +
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |    1 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    4 +-
 drivers/gpu/drm/i915/gvt/display.c                 |   17 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |   19 +-
 drivers/gpu/drm/i915/gvt/edid.c                    |    1 +
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |   49 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   70 +-
 drivers/gpu/drm/i915/gvt/gtt.h                     |    4 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    2 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   36 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |   11 +-
 drivers/gpu/drm/i915/gvt/interrupt.h               |    4 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |    3 +
 drivers/gpu/drm/i915/gvt/mmio_context.c            |    6 +-
 drivers/gpu/drm/i915/gvt/mmio_context.h            |    2 +-
 drivers/gpu/drm/i915/gvt/reg.h                     |    1 -
 drivers/gpu/drm/i915/gvt/sched_policy.c            |    2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |    2 +
 drivers/gpu/drm/i915/gvt/scheduler.h               |    2 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    4 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   76 +-
 drivers/gpu/drm/i915/i915_cmd_parser.h             |   26 +
 drivers/gpu/drm/i915/i915_debugfs.c                |    1 +
 drivers/gpu/drm/i915/i915_driver.c                 |    3 +
 drivers/gpu/drm/i915/i915_drv.h                    |   90 +-
 drivers/gpu/drm/i915/i915_gem.c                    |    1 +
 drivers/gpu/drm/i915/i915_gem_evict.c              |    1 +
 drivers/gpu/drm/i915/i915_gem_evict.h              |   24 +
 drivers/gpu/drm/i915/i915_gem_gtt.c                |    1 +
 drivers/gpu/drm/i915/i915_getparam.c               |    2 +
 drivers/gpu/drm/i915/i915_getparam.h               |   15 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |    2 +
 drivers/gpu/drm/i915/i915_ioc32.c                  |    1 +
 drivers/gpu/drm/i915/i915_ioctl.c                  |   94 +
 drivers/gpu/drm/i915/i915_ioctl.h                  |   14 +
 drivers/gpu/drm/i915/i915_irq.c                    |    8 +-
 drivers/gpu/drm/i915/i915_mm.h                     |    4 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   24 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  137 +-
 drivers/gpu/drm/i915/i915_perf_oa_regs.h           |  137 +
 drivers/gpu/drm/i915/i915_perf_types.h             |    2 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |    2 +
 drivers/gpu/drm/i915/i915_reg.h                    | 4102 +++-----------------
 drivers/gpu/drm/i915/i915_reg_defs.h               |  101 +
 drivers/gpu/drm/i915/i915_request.c                |    1 +
 drivers/gpu/drm/i915/i915_suspend.c                |    1 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |    1 +
 drivers/gpu/drm/i915/i915_utils.h                  |   15 -
 drivers/gpu/drm/i915/i915_vma.c                    |    3 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   13 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    5 +-
 drivers/gpu/drm/i915/intel_dram.c                  |    7 +-
 drivers/gpu/drm/i915/intel_pci_config.h            |   85 +
 drivers/gpu/drm/i915/intel_pcode.c                 |   32 +-
 drivers/gpu/drm/i915/intel_pcode.h                 |   12 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  397 +-
 drivers/gpu/drm/i915/intel_pm.h                    |    2 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    7 +-
 drivers/gpu/drm/i915/intel_sbi.c                   |    1 +
 drivers/gpu/drm/i915/intel_uncore.c                |   73 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    2 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_irq.c           |    1 +
 drivers/gpu/drm/i915/vlv_sideband.c                |    1 +
 drivers/gpu/drm/i915/vlv_sideband.h                |    2 +
 drivers/gpu/drm/i915/vlv_sideband_reg.h            |  180 +
 drivers/gpu/drm/i915/vlv_suspend.c                 |    2 +
 drivers/gpu/drm/lima/lima_gem.c                    |    3 +-
 drivers/gpu/drm/lima/lima_sched.c                  |    4 +-
 drivers/gpu/drm/mediatek/mtk_drm_gem.c             |    7 +-
 drivers/gpu/drm/mediatek/mtk_drm_gem.h             |    5 +-
 drivers/gpu/drm/mgag200/mgag200_mode.c             |    4 +-
 drivers/gpu/drm/msm/msm_drv.h                      |    4 +-
 drivers/gpu/drm/msm/msm_gem_prime.c                |    6 +-
 drivers/gpu/drm/panfrost/panfrost_perfcnt.c        |   13 +-
 drivers/gpu/drm/qxl/qxl_display.c                  |    8 +-
 drivers/gpu/drm/qxl/qxl_draw.c                     |    6 +-
 drivers/gpu/drm/qxl/qxl_drv.h                      |   10 +-
 drivers/gpu/drm/qxl/qxl_object.c                   |    8 +-
 drivers/gpu/drm/qxl/qxl_object.h                   |    4 +-
 drivers/gpu/drm/qxl/qxl_prime.c                    |    4 +-
 drivers/gpu/drm/radeon/radeon_gem.c                |    1 +
 drivers/gpu/drm/rockchip/rockchip_drm_gem.c        |    9 +-
 drivers/gpu/drm/rockchip/rockchip_drm_gem.h        |    5 +-
 drivers/gpu/drm/tegra/gem.c                        |   10 +-
 drivers/gpu/drm/tiny/cirrus.c                      |    8 +-
 drivers/gpu/drm/tiny/gm12u320.c                    |    7 +-
 drivers/gpu/drm/ttm/ttm_bo_util.c                  |   16 +-
 drivers/gpu/drm/ttm/ttm_resource.c                 |   42 +-
 drivers/gpu/drm/ttm/ttm_tt.c                       |    8 +-
 drivers/gpu/drm/udl/udl_modeset.c                  |    3 +-
 drivers/gpu/drm/vboxvideo/vbox_mode.c              |    4 +-
 drivers/gpu/drm/vkms/vkms_composer.c               |    4 +-
 drivers/gpu/drm/vkms/vkms_drv.h                    |    6 +-
 drivers/gpu/drm/vkms/vkms_plane.c                  |    2 +-
 drivers/gpu/drm/vkms/vkms_writeback.c              |    2 +-
 drivers/gpu/drm/xen/xen_drm_front_gem.c            |    7 +-
 drivers/gpu/drm/xen/xen_drm_front_gem.h            |    6 +-
 .../media/common/videobuf2/videobuf2-dma-contig.c  |    8 +-
 drivers/media/common/videobuf2/videobuf2-dma-sg.c  |    9 +-
 drivers/media/common/videobuf2/videobuf2-vmalloc.c |   11 +-
 drivers/misc/fastrpc.c                             |    4 +-
 include/drm/drm_cache.h                            |    6 +-
 include/drm/drm_client.h                           |    7 +-
 include/drm/drm_crtc.h                             |    2 -
 include/drm/drm_gem.h                              |    6 +-
 include/drm/drm_gem_atomic_helper.h                |    6 +-
 include/drm/drm_gem_cma_helper.h                   |    6 +-
 include/drm/drm_gem_framebuffer_helper.h           |    8 +-
 include/drm/drm_gem_shmem_helper.h                 |   12 +-
 include/drm/drm_gem_ttm_helper.h                   |    6 +-
 include/drm/drm_gem_vram_helper.h                  |    9 +-
 include/drm/drm_prime.h                            |    6 +-
 include/drm/i915_pciids.h                          |    6 +
 include/drm/ttm/ttm_bo_api.h                       |   10 +-
 include/drm/ttm/ttm_kmap_iter.h                    |   10 +-
 include/drm/ttm/ttm_resource.h                     |    6 +-
 include/linux/dma-buf-map.h                        |  266 --
 include/linux/dma-buf.h                            |   12 +-
 include/linux/iosys-map.h                          |  257 ++
 274 files changed, 6341 insertions(+), 6120 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_combo_phy_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_snps_phy_regs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_tc_phy_regs.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_tiling.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_userptr.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_regs.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_regs.h
 create mode 100644 drivers/gpu/drm/i915/i915_cmd_parser.h
 create mode 100644 drivers/gpu/drm/i915/i915_gem_evict.h
 create mode 100644 drivers/gpu/drm/i915/i915_getparam.h
 create mode 100644 drivers/gpu/drm/i915/i915_ioctl.c
 create mode 100644 drivers/gpu/drm/i915/i915_ioctl.h
 create mode 100644 drivers/gpu/drm/i915/i915_perf_oa_regs.h
 create mode 100644 drivers/gpu/drm/i915/i915_reg_defs.h
 create mode 100644 drivers/gpu/drm/i915/intel_pci_config.h
 create mode 100644 drivers/gpu/drm/i915/vlv_sideband_reg.h
 delete mode 100644 include/linux/dma-buf-map.h
 create mode 100644 include/linux/iosys-map.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-12-14 15:37 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2021-12-14 15:37 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Tvrtko Ursulin, dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

drm-intel-next-2021-12-14:
drm/i915 feature pull #2 for v5.17:

Features and functionality:
- Add eDP privacy screen support (Hans)
- Add Raptor Lake S (RPL-S) support (Anusha)
- Add CD clock squashing support (Mika)
- Properly support ADL-P without force probe (Clint)
- Enable pipe color support (10 bit gamma) for display 13 platforms (Uma)
- Update ADL-P DMC firmware to v2.14 (Madhumitha)

Refactoring and cleanups:
- More FBC refactoring preparing for multiple FBC instances (Ville)
- Plane register cleanups (Ville)
- Header refactoring and include cleanups (Jani)
- Crtc helper and vblank wait function cleanups (Jani, Ville)
- Move pipe/transcoder/abox masks under intel_device_info.display (Ville)

Fixes:
- Add a delay to let eDP source OUI write take effect (Lyude)
- Use div32 version of MPLLB word clock for UHBR on SNPS PHY (Jani)
- Fix DMC firmware loader overflow check (Harshit Mogalapalli)
- Fully disable FBC on FIFO underruns (Ville)
- Disable FBC with double wide pipe as mutually exclusive (Ville)
- DG2 workarounds (Matt)
- Non-x86 build fixes (Siva)
- Fix HDR plane max width for NV12 (Vidya)
- Disable IRQ for selftest timestamp calculation (Anshuman)
- ADL-P VBT DDC pin mapping fix (Tejas)

Merges:
- Backmerge drm-next for privacy screen plumbing (Jani)

BR,
Jani.

The following changes since commit c8a04cbeedbc9f71c475141baa656f14f4879792:

  Merge tag 'drm-misc-next-2021-11-29' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2021-12-09 09:31:45 +0100)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-12-14

for you to fetch changes up to 96db14432d979532be4cb6d5d52a127317e68b3f:

  drm/i915: Fix implicit use of struct pci_dev (2021-12-14 10:38:29 +0200)

----------------------------------------------------------------
drm/i915 feature pull #2 for v5.17:

Features and functionality:
- Add eDP privacy screen support (Hans)
- Add Raptor Lake S (RPL-S) support (Anusha)
- Add CD clock squashing support (Mika)
- Properly support ADL-P without force probe (Clint)
- Enable pipe color support (10 bit gamma) for display 13 platforms (Uma)
- Update ADL-P DMC firmware to v2.14 (Madhumitha)

Refactoring and cleanups:
- More FBC refactoring preparing for multiple FBC instances (Ville)
- Plane register cleanups (Ville)
- Header refactoring and include cleanups (Jani)
- Crtc helper and vblank wait function cleanups (Jani, Ville)
- Move pipe/transcoder/abox masks under intel_device_info.display (Ville)

Fixes:
- Add a delay to let eDP source OUI write take effect (Lyude)
- Use div32 version of MPLLB word clock for UHBR on SNPS PHY (Jani)
- Fix DMC firmware loader overflow check (Harshit Mogalapalli)
- Fully disable FBC on FIFO underruns (Ville)
- Disable FBC with double wide pipe as mutually exclusive (Ville)
- DG2 workarounds (Matt)
- Non-x86 build fixes (Siva)
- Fix HDR plane max width for NV12 (Vidya)
- Disable IRQ for selftest timestamp calculation (Anshuman)
- ADL-P VBT DDC pin mapping fix (Tejas)

Merges:
- Backmerge drm-next for privacy screen plumbing (Jani)

----------------------------------------------------------------
Anshuman Gupta (1):
      drm/i915/selftest: Disable IRQ for timestamp calculation

Anusha Srivatsa (3):
      drm/i915/rpl-s: Add PCI IDS for Raptor Lake S
      drm/i915/rpl-s: Add PCH Support for Raptor Lake S
      drm/i915/rpl-s: Enable guc submission by default

Clint Taylor (1):
      drm/i915/adlp: Remove require_force_probe protection

Hans de Goede (2):
      drm/i915: Add intel_modeset_probe_defer() helper
      drm/i915: Add privacy-screen support (v3)

Harshit Mogalapalli (1):
      drm/i915/display: Fix an unsigned subtraction which can never be negative.

Jani Nikula (24):
      drm/i915/display: add intel_crtc_wait_for_next_vblank() and use it
      drm/i915/crtc: rename intel_get_crtc_for_pipe() to intel_crtc_for_pipe()
      drm/i915/crtc: rename intel_get_crtc_for_plane() to intel_crtc_for_plane()
      drm/i915/display: remove intel_wait_for_vblank()
      drm/i915/crtc: un-inline some crtc functions and move to intel_crtc.[ch]
      drm/i915/fb: move intel_fb_uses_dpt to intel_fb.c and un-inline
      drm/i915: split out intel_pm_types.h
      drm/i915: move enum hpd_pin to intel_display.h
      drm/i915/display: convert dp_to_i915() to a macro
      drm/i915/display: stop including i915_drv.h from intel_display_types.h
      drm/i915/snps: use div32 version of MPLLB word clock for UHBR
      drm/i915/ddi: add use_edp_hobl() and use_edp_low_vswing() helpers
      drm/i915/trace: clean up boilerplate organization
      drm/i915/trace: split out display trace to a separate file
      Merge drm/drm-next into drm-intel-next
      drm/i915/reset: include intel_display.h instead of intel_display_types.h
      drm/i915/active: remove useless i915_utils.h include
      drm/i915/psr: avoid intel_frontbuffer.h include with declaration
      drm/i915/fbc: avoid intel_frontbuffer.h include with declaration
      drm/i915/fb: reduce include dependencies
      drm/i915/pxp: un-inline intel_pxp_is_enabled()
      drm/i915/pxp: remove useless includes
      drm/i915/cdclk: move intel_atomic_check_cdclk() to intel_cdclk.c
      drm/i915/cdclk: hide struct intel_cdclk_vals

Lyude Paul (1):
      drm/i915/dp: Perform 30ms delay after source OUI write

Madhumitha Tolakanahalli Pradeep (2):
      drm/i915/dmc: Change max DMC FW size on ADL-P
      drm/i915/dmc: Update DMC to v2.14 on ADL-P

Mark Brown (1):
      drm/i915: Fix implicit use of struct pci_dev

Matt Atwood (1):
      drm/i915/dg2: extend Wa_1409120013 to DG2

Matt Roper (2):
      drm/i915/dg2: s/DISP_STEPPING/DISPLAY_STEPPING/
      drm/i915/dg2: Add Wa_14010547955

Mika Kahola (4):
      drm/i915/display/dg2: Introduce CD clock squashing table
      drm/i915/display/dg2: Sanitize CD clock
      drm/i915/display/dg2: Set CD clock squashing registers
      drm/i915/display/dg2: Read CD clock from squasher table

Siva Mullati (1):
      drm/i915: Skip remap_io_mapping() for non-x86 platforms

Tejas Upadhyay (1):
      drm/i915/adl_p: Add ddc pin mapping

Uma Shankar (3):
      drm/i915/xelpd: Enable Pipe color support for D13 platform
      drm/i915/xelpd: Enable Pipe Degamma
      drm/i915/xelpd: Add Pipe Color Lut caps to platform config

Vidya Srinivas (1):
      drm/i915: Add PLANE_CUS_CTL restriction in max_width

Ville Syrjälä (29):
      drm/i915: Get rid of the 64bit PLANE_CC_VAL mmio
      drm/i915: Rename plane YUV order bits
      drm/i915: Rename PLANE_CUS_CTL Y plane bits
      drm/i915/fbc: Eliminate racy intel_fbc_is_active() usage
      drm/i915/fbc: Pass whole plane state to intel_fbc_min_limit()
      drm/i915/fbc: Nuke lots of crap from intel_fbc_state_cache
      drm/i915/fbc: Relocate intel_fbc_override_cfb_stride()
      drm/i915/fbc: Nuke more FBC state
      drm/i915/fbc: Reuse the same struct for the cache and params
      drm/i915/fbc: Pass around FBC instance instead of crtc
      drm/i915/fbc: Track FBC usage per-plane
      drm/i915/fbc: Flatten __intel_fbc_pre_update()
      drm/i915/fbc: Pass i915 instead of FBC instance to FBC underrun stuff
      drm/i915/fbc: Move FBC debugfs stuff into intel_fbc.c
      drm/i915/fbc: Introduce intel_fbc_add_plane()
      drm/i915/fbc: Allocate intel_fbc dynamically
      drm/i915/fbc: Move stuff from intel_fbc_can_enable() into intel_fbc_check_plane()
      drm/i915/fbc: Disable FBC fully on FIFO underrun
      drm/i915/fbc: Nuke state_cache
      drm/i915/fbc: Move plane pointer into intel_fbc_state
      drm/i915/fbc: s/parms/fbc_state/
      drm/i915/fbc: No FBC+double wide pipe
      drm/i915/fbc: Pimp the FBC debugfs output
      drm/i915: Get rid of the "sizes are 0 based" stuff
      drm/i915: Allow cdclk squasher to be reconfigured live
      drm/i915: Nuke {pipe,plane}_to_crtc_mapping[]
      drm/i915: Relocate intel_crtc_for_plane()
      drm/i915: s/intel_get_first_crtc/intel_first_crtc/
      drm/i915: Move pipe/transcoder/abox masks under intel_device_info.display

 arch/x86/kernel/early-quirks.c                     |    1 +
 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |    1 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |    1 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |   15 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    1 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    7 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |    3 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   14 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  200 +++-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |   10 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   23 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |    6 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |   48 +-
 drivers/gpu/drm/i915/display/intel_crtc.h          |    7 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |   16 +
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |   94 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  153 ++-
 drivers/gpu/drm/i915/display/intel_display.h       |   30 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   54 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |    4 +-
 drivers/gpu/drm/i915/display/intel_display_trace.c |    9 +
 drivers/gpu/drm/i915/display/intel_display_trace.h |  587 ++++++++++
 drivers/gpu/drm/i915/display/intel_display_types.h |   79 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |   10 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   14 +-
 drivers/gpu/drm/i915/display/intel_dp.h            |    2 +
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    6 +
 .../gpu/drm/i915/display/intel_dp_link_training.c  |    2 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_dsi.c           |    2 +
 drivers/gpu/drm/i915/display/intel_fb.c            |   11 +
 drivers/gpu/drm/i915/display/intel_fb.h            |    2 +
 drivers/gpu/drm/i915/display/intel_fb_pin.c        |   10 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           | 1177 ++++++++++----------
 drivers/gpu/drm/i915/display/intel_fbc.h           |   16 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |    5 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |   14 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    7 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |    2 +-
 drivers/gpu/drm/i915/display/intel_plane_initial.c |    5 +-
 drivers/gpu/drm/i915/display/intel_psr.h           |   11 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |    1 +
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |    2 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |   34 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    8 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    7 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   17 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   61 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |    1 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |    2 +-
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    4 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |    2 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |    2 +-
 drivers/gpu/drm/i915/i915_active_types.h           |    2 -
 drivers/gpu/drm/i915/i915_debugfs.c                |    1 -
 drivers/gpu/drm/i915/i915_driver.c                 |    1 -
 drivers/gpu/drm/i915/i915_drv.h                    |  210 +---
 drivers/gpu/drm/i915/i915_irq.c                    |    8 +-
 drivers/gpu/drm/i915/i915_mm.c                     |   28 +-
 drivers/gpu/drm/i915/i915_mm.h                     |   35 +
 drivers/gpu/drm/i915/i915_pci.c                    |   91 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   48 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  577 +---------
 drivers/gpu/drm/i915/intel_device_info.c           |   31 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   12 +-
 drivers/gpu/drm/i915/intel_pch.c                   |    1 +
 drivers/gpu/drm/i915/intel_pch.h                   |    1 +
 drivers/gpu/drm/i915/intel_pm.c                    |   41 +-
 drivers/gpu/drm/i915/intel_pm_types.h              |   76 ++
 drivers/gpu/drm/i915/pxp/intel_pxp.c               |    5 +
 drivers/gpu/drm/i915/pxp/intel_pxp.h               |   15 +-
 drivers/gpu/drm/i915/pxp/intel_pxp_types.h         |    2 -
 include/drm/i915_pciids.h                          |    9 +
 75 files changed, 2104 insertions(+), 1895 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_trace.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_trace.h
 create mode 100644 drivers/gpu/drm/i915/i915_mm.h
 create mode 100644 drivers/gpu/drm/i915/intel_pm_types.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-10-15 18:45 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2021-10-15 18:45 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Rodrigo Vivi, Sean Paul,
	Maarten Lankhorst, Maxime Ripard, dri-devel, intel-gfx,
	dim-tools

Hi Dave and Daniel,

Here goes drm-intel-next-2021-10-15:

Likely the last one towards 5.15.

UAPI Changes:

- No Functional change, but a clarification around I915_TILING values (Matt).

Driver Changes:

- Changes around async flip VT-d w/a (Ville)
- Delete bogus NULL check in intel_ddi_encoder_destroy (Dan)
- DP link training improvements and DP per-lane driver settings (Ville)
- Free the returned object of acpi_evaluate_dsm (Zenghui)
- Fixes and improvements around DP's UHBR and MST (Jani)
- refactor plane config + pin out (Dave)
- remove unused include in intel_dsi_vbt.c (Lucas)
- some code clean up (Lucas, Jani)
- gracefully disable dual eDP (Jani)
- Remove memory frequency calculation (Jose)
- Fix oops on platforms w/o hpd support (Ville)
- Clean up PXP Kconfig info (Rodrigo)

Thanks,
Rodrigo.

The following changes since commit 1176d15f0f6e556d54ced510ac4a91694960332b:

  Merge tag 'drm-intel-gt-next-2021-10-08' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2021-10-11 18:09:39 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-10-15

for you to fetch changes up to c974cf01b248c6f4220bfadd57cce74058453aea:

  drm/i915: Clean up PXP Kconfig info. (2021-10-15 14:22:11 -0400)

----------------------------------------------------------------
UAPI Changes:

- No Functional change, but a clarification around I915_TILING values (Matt).

Driver Changes:

- Changes around async flip VT-d w/a (Ville)
- Delete bogus NULL check in intel_ddi_encoder_destroy (Dan)
- DP link training improvements and DP per-lane driver settings (Ville)
- Free the returned object of acpi_evaluate_dsm (Zenghui)
- Fixes and improvements around DP's UHBR and MST (Jani)
- refactor plane config + pin out (Dave)
- remove unused include in intel_dsi_vbt.c (Lucas)
- some code clean up (Lucas, Jani)
- gracefully disable dual eDP (Jani)
- Remove memory frequency calculation (Jose)
- Fix oops on platforms w/o hpd support (Ville)
- Clean up PXP Kconfig info (Rodrigo)

----------------------------------------------------------------
Dan Carpenter (1):
      drm/i915/tc: Delete bogus NULL check in intel_ddi_encoder_destroy()

Dave Airlie (5):
      drm/i915/display: move plane prepare/cleanup to intel_atomic_plane.c
      drm/i915/display: let intel_plane_uses_fence be used from other places.
      drm/i915/display: refactor out initial plane config for crtcs
      drm/i915/display: refactor initial plane config to a separate file
      drm/i915/display: move pin/unpin fb/plane code to a new file.

Jani Nikula (9):
      drm/i915/dg2: fix snps buf trans for uhbr
      drm/i915/dp: take LTTPR into account in 128b/132b rates
      drm/i915/mst: abstract intel_dp_mst_source_support()
      drm/i915/dp: abstract intel_dp_lane_max_vswing_reached()
      drm/i915/dg2: update link training for 128b/132b
      drm/i915: split out vlv sideband to a separate file
      drm/i915/bios: gracefully disable dual eDP for now
      drm/i915: split out intel_pcode.[ch] to separate file
      drm/i915: rename intel_sideband.[ch] to intel_sbi.[ch]

José Roberto de Souza (1):
      drm/i915: Remove memory frequency calculation

Lucas De Marchi (1):
      drm/i915/display: remove unused intel-mid.h include

Matt Roper (1):
      drm/i915/uapi: Add comment clarifying purpose of I915_TILING_* values

Rodrigo Vivi (2):
      Merge drm/drm-next into drm-intel-next
      drm/i915: Clean up PXP Kconfig info.

Ville Syrjälä (14):
      drm/i915: Extend the async flip VT-d w/a to skl/bxt
      drm/i195: Make the async flip VT-d workaround dynamic
      drm/i915: Tweak the DP "max vswing reached?" condition
      drm/i915: Show LTTPR in the TPS debug print
      drm/i915: Print the DP vswing adjustment request
      drm/i915: Pimp link training debug prints
      drm/i915: Call intel_dp_dump_link_status() for CR failures
      drm/i915: Remove pointless extra namespace from dkl/snps buf trans structs
      drm/i915: Shrink {icl_mg,tgl_dkl}_phy_ddi_buf_trans
      drm/i915: Use standard form terminating condition for lane for loops
      drm/i915: Remove dead DKL_TX_LOADGEN_SHARING_PMD_DISABLE stuff
      drm/i915: Extract icl_combo_phy_loadgen_select()
      drm/i915: Add all per-lane register definitions for icl combo phy
      drm/i915: Fix oops on platforms w/o hpd support

Zenghui Yu (1):
      drm/i915: Free the returned object of acpi_evaluate_dsm()

 drivers/gpu/drm/i915/Kconfig                       |  10 +-
 drivers/gpu/drm/i915/Makefile                      |   6 +-
 drivers/gpu/drm/i915/display/g4x_dp.c              |   4 +-
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   2 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  14 +-
 drivers/gpu/drm/i915/display/intel_acpi.c          |   7 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  | 209 ++++++
 drivers/gpu/drm/i915/display/intel_bios.c          |  47 ++
 drivers/gpu/drm/i915/display/intel_bw.c            |   2 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   3 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |   8 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |   2 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  76 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |   4 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h |  18 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 784 ++-------------------
 drivers/gpu/drm/i915/display/intel_display.h       |  15 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   6 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   6 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   1 -
 drivers/gpu/drm/i915/display/intel_dp.c            |  29 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  | 352 ++++++---
 .../gpu/drm/i915/display/intel_dp_link_training.h  |   1 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  15 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |   4 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   5 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   2 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |   3 +-
 drivers/gpu/drm/i915/display/intel_fb_pin.c        | 274 +++++++
 drivers/gpu/drm/i915/display/intel_fb_pin.h        |  28 +
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   1 +
 drivers/gpu/drm/i915/display/intel_fdi.c           |   2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   6 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |   2 +-
 drivers/gpu/drm/i915/display/intel_plane_initial.c | 283 ++++++++
 drivers/gpu/drm/i915/display/intel_plane_initial.h |  13 +
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |   6 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   2 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   2 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_debugfs.c      |   3 +-
 drivers/gpu/drm/i915/gt/intel_llc.c                |   2 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |   2 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   3 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   1 -
 drivers/gpu/drm/i915/i915_drv.c                    |   2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  24 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   1 -
 drivers/gpu/drm/i915/intel_dram.c                  |  32 +-
 drivers/gpu/drm/i915/intel_pcode.c                 | 235 ++++++
 drivers/gpu/drm/i915/intel_pcode.h                 |  26 +
 drivers/gpu/drm/i915/intel_pm.c                    |  17 +-
 drivers/gpu/drm/i915/intel_sbi.c                   |  73 ++
 drivers/gpu/drm/i915/intel_sbi.h                   |  23 +
 drivers/gpu/drm/i915/intel_sideband.c              | 577 ---------------
 drivers/gpu/drm/i915/vlv_sideband.c                | 266 +++++++
 .../drm/i915/{intel_sideband.h => vlv_sideband.h}  |  34 +-
 include/uapi/drm/i915_drm.h                        |   6 +
 57 files changed, 1974 insertions(+), 1607 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_fb_pin.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_fb_pin.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_plane_initial.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_plane_initial.h
 create mode 100644 drivers/gpu/drm/i915/intel_pcode.c
 create mode 100644 drivers/gpu/drm/i915/intel_pcode.h
 create mode 100644 drivers/gpu/drm/i915/intel_sbi.c
 create mode 100644 drivers/gpu/drm/i915/intel_sbi.h
 delete mode 100644 drivers/gpu/drm/i915/intel_sideband.c
 create mode 100644 drivers/gpu/drm/i915/vlv_sideband.c
 rename drivers/gpu/drm/i915/{intel_sideband.h => vlv_sideband.h} (77%)

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-10-04 19:01 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2021-10-04 19:01 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Rodrigo Vivi, Sean Paul,
	Maarten Lankhorst, Maxime Ripard, dri-devel, intel-gfx,
	dim-tools

Hi Dave and Daniel,

Here goes an accumulated pull request. A special highlight to
the ADL-P (XE_LPD) and DG2 display support preparation and on
a big clean-up in the display portion of the driver.

Here goes drm-intel-next-2021-10-04:

Cross-subsystem Changes:
- fbdev/efifb: Release PCI device's runtime PM ref during FB destr\
oy (Imre)

i915 Core Driver Changes:
- Only access SFC_DONE in media when not fused off for graphics 12 and newer.
- Double Memory latency values from pcode for DG2 (Matt Roper)
- ADL-S PCI ID update (Tejas)
- New DG1 PCI ID (Jose)
- Fix regression with uncore refactoring (Dave)

i915 Display Changes:
- ADL-P display (XE_LPD) fixes and updates (Ankit, Jani, Matt Roper, Anusham, Jose, Imre, Vandita)
- DG2 display fixes (Ankit, Jani)
- Expand PCH_CNP tweaked display workaround to all newer displays (Anshuman)
- General display simplifications and clean-ups (Jani, Swati, Jose, Ville)
- PSR Clean-ups, dropping support for BDW/HSD and enable PSR2 selective fetch by default (Jose, Gwan-gyeong)
- Nuke ORIGIN_GTT (Jose)
- Return proper DPRX link training result (Lee)
- FBC related refactor and fixes (Ville)
- Yet another attempt to solve the fast+narrow vs slow+wide eDP link training (Kai-Heng)
- DP 2.0 preparation work (Jani)
- Silence __iomem sparse warn (Ville)
- Clean up DPLL stuff (Ville)
- Fix various dp/edp max rates (Matt Atwood, Animesh, Jani)
- Remove VBT ddi_port_info caching (Jani)
- DSI driver improvements (Lee)
- HDCP fixes (Juston)
- Associate ACPI connector nodes with connector entries (Heikki)
- Add support for out-of-bound hotplug events (Hans)
- VESA vendor block and drm/i915 MSO use of it (Jani)
- Fixes for bigjoiner (Ville)
- Update memory bandwidth parameters (RK)
- DMC related fixes (Chris, Jose)
- HDR related fixes and improvements (Tejas)
- g4x/vlv/chv CxSR/wm fixes/cleanups (Ville)
- Use BIOS provided value for RKL Audio's HDA link (Kai-Heng)
- Fix the dsc check while selecting min_cdclk (Vandita)
- Split and constify vtable (Dave)
- Add ww context to intel_dpt_pin (Maarten)
- Fix bdb version check (Lukasz)
- DP per-lane drive settings prep work and other DP fixes (Ville)

Thanks,
Rodrigo.

The following changes since commit 6880fa6c56601bb8ed59df6c30fd390cc5f6dd8f:

  Linux 5.15-rc1 (2021-09-12 16:28:37 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-10-04

for you to fetch changes up to 104c1b3d6fb6a794babd5e2ffd6a5183b5a3d6c7:

  drm/i915: Allow per-lane drive settings with LTTPRs (2021-10-04 13:04:36 +0300)

----------------------------------------------------------------
Cross-subsystem Changes:
- fbdev/efifb: Release PCI device's runtime PM ref during FB destr\
oy (Imre)

i915 Core Driver Changes:
- Only access SFC_DONE in media when not fused off for graphics 12 and newer.
- Double Memory latency values from pcode for DG2 (Matt Roper)
- ADL-S PCI ID update (Tejas)
- New DG1 PCI ID (Jose)
- Fix regression with uncore refactoring (Dave)

i915 Display Changes:
- ADL-P display (XE_LPD) fixes and updates (Ankit, Jani, Matt Roper, Anusham, Jose, Imre, Vandita)
- DG2 display fixes (Ankit, Jani)
- Expand PCH_CNP tweaked display workaround to all newer displays (Anshuman)
- General display simplifications and clean-ups (Jani, Swati, Jose, Ville)
- PSR Clean-ups, dropping support for BDW/HSD and enable PSR2 selective fetch by default (Jose, Gwan-gyeong)
- Nuke ORIGIN_GTT (Jose)
- Return proper DPRX link training result (Lee)
- FBC related refactor and fixes (Ville)
- Yet another attempt to solve the fast+narrow vs slow+wide eDP link training (Kai-Heng)
- DP 2.0 preparation work (Jani)
- Silence __iomem sparse warn (Ville)
- Clean up DPLL stuff (Ville)
- Fix various dp/edp max rates (Matt Atwood, Animesh, Jani)
- Remove VBT ddi_port_info caching (Jani)
- DSI driver improvements (Lee)
- HDCP fixes (Juston)
- Associate ACPI connector nodes with connector entries (Heikki)
- Add support for out-of-bound hotplug events (Hans)
- VESA vendor block and drm/i915 MSO use of it (Jani)
- Fixes for bigjoiner (Ville)
- Update memory bandwidth parameters (RK)
- DMC related fixes (Chris, Jose)
- HDR related fixes and improvements (Tejas)
- g4x/vlv/chv CxSR/wm fixes/cleanups (Ville)
- Use BIOS provided value for RKL Audio's HDA link (Kai-Heng)
- Fix the dsc check while selecting min_cdclk (Vandita)
- Split and constify vtable (Dave)
- Add ww context to intel_dpt_pin (Maarten)
- Fix bdb version check (Lukasz)
- DP per-lane drive settings prep work and other DP fixes (Ville)

----------------------------------------------------------------
Animesh Manna (3):
      drm/i915/dg2: UHBR tables added for pll programming
      drm/i915/dp: fix EHL/JSL max source rates calculation
      drm/i915/dp: fix for ADL_P/S dp/edp max source rates

Ankit Nautiyal (2):
      drm/i915/display: Fix the 12 BPC bits for PIPE_MISC reg
      drm/i915/dg2: Configure PCON in DP pre-enable path

Anshuman Gupta (1):
      drm/i915: Tweaked Wa_14010685332 for all PCHs

Anusha Srivatsa (1):
      drm/i915/dmc: Update to DMC v2.12

Chris Wilson (1):
      drm/i915: Free all DMC payloads

Dave Airlie (25):
      drm/i915/uncore: split the fw get function into separate vfunc
      drm/i915/pm: drop get_fifo_size vfunc.
      drm/i915: make update_wm take a dev_priv.
      drm/i915/wm: provide wrappers around watermark vfuncs calls (v3)
      drm/i915: add wrappers around cdclk vtable funcs.
      drm/i915/display: add intel_fdi_link_train wrapper.
      drm/i915: split clock gating init from display vtable
      drm/i915: split watermark vfuncs from display vtable.
      drm/i915: split color functions from display vtable
      drm/i915: split audio functions from display vtable
      drm/i915: split cdclk functions from display vtable.
      drm/i915: split irq hotplug function from display vtable
      drm/i915: split fdi link training from display vtable.
      drm/i915: split the dpll clock compute out from display vtable.
      drm/i915: constify fdi link training vtable
      drm/i915: constify hotplug function vtable.
      drm/i915: constify color function vtable.
      drm/i915: constify the audio function vtable
      drm/i915: constify the dpll clock vtable
      drm/i915: constify the cdclk vtable
      drm/i915: drop unused function ptr and comments.
      drm/i915: constify display function vtable
      drm/i915: constify clock gating init vtable.
      drm/i915: constify display wm vtable
      drm/i915: fix regression with uncore refactoring.

Gwan-gyeong Mun (1):
      drm/i915/display/psr: Do full fetch when handling multi-planar formats

Hans de Goede (1):
      drm/i915/dp: Add support for out-of-bound hotplug events

Heikki Krogerus (1):
      drm/i915: Associate ACPI connector nodes with connector entries (v2)

Imre Deak (19):
      fbdev/efifb: Release PCI device's runtime PM ref during FB destroy
      drm/i915: Use tile block based dimensions for CCS origin x, y check
      drm/i915/adlp: Require always a power-of-two sized CCS surface stride
      drm/i915/adlp: Assert that VMAs in DPT start at 0
      drm/i915: Follow a new->old platform check order in intel_fb_stride_alignment
      drm/i915/adlp: Add support for remapping CCS FBs
      drm/i915/tc: Fix TypeC port init/resume time sanitization
      drm/i915/adlp/tc: Fix PHY connected check for Thunderbolt mode
      drm/i915/tc: Remove waiting for PHY complete during releasing ownership
      drm/i915/tc: Check for DP-alt, legacy sinks before taking PHY ownership
      drm/i915/tc: Add/use helpers to retrieve TypeC port properties
      drm/i915/tc: Don't keep legacy TypeC ports in connected state w/o a sink
      drm/i915/tc: Add a mode for the TypeC PHY's disconnected state
      drm/i915/tc: Refactor TC-cold block/unblock helpers
      drm/i915/tc: Avoid using legacy AUX PW in TBT mode
      drm/i915/icl/tc: Remove the ICL special casing during TC-cold blocking
      drm/i915/tc: Fix TypeC PHY connect/disconnect logic on ADL-P
      drm/i915/tc: Drop extra TC cold blocking from intel_tc_port_connected()
      drm/i915/tc: Fix system hang on ADL-P during TypeC PHY disconnect

Jani Nikula (81):
      drm/i915/edp: fix eDP MSO pipe sanity checks for ADL-P
      drm/i915/mst: use intel_de_rmw() to simplify VC payload alloc set/clear
      drm/i915/dp: pass crtc_state to intel_ddi_dp_level()
      drm/i915/dg2: use existing mechanisms for SNPS PHY translations
      drm/i915/dg2: add SNPS PHY translations for UHBR link rates
      Merge drm/drm-next into drm-intel-next
      drm/i915/dp: remove superfluous EXPORT_SYMBOL()
      drm/i915/irq: reduce inlines to reduce header dependencies
      drm/i915: intel_runtime_pm.h does not actually need intel_display.h
      drm/i915/pm: use forward declaration to remove an include
      drm/i915/panel: move intel_panel_use_ssc() out of headers
      drm/i915/fdi: move intel_fdi_link_freq() to intel_fdi.[ch]
      drm/i915/dp: use actual link rate values in struct link_config_limits
      drm/i915/dp: read sink UHBR rates
      drm/i915/dg2: add TRANS_DP2_CTL register definition
      drm/i915/dg2: add TRANS_DP2_VFREQHIGH and TRANS_DP2_VFREQLOW
      drm/i915/dg2: add DG2 UHBR source rates
      drm/i915/dp: add max data rate calculation for UHBR rates
      drm/i915/fdi: make intel_fdi_link_freq() return int
      drm/i915/display: split out dpt out of intel_display.c
      drm/i915: add HAS_ASYNC_FLIPS feature macro
      drm/i915/fb: move intel_tile_width_bytes() to intel_fb.c
      drm/i915/fb: move intel_fb_align_height() to intel_fb.c
      drm/i915/fb: move intel_surf_alignment() to intel_fb.c
      drm/i915/fb: move user framebuffer stuff to intel_fb.c
      drm/i915/backlight: extract backlight code to a separate file
      drm/i915/backlight: mass rename functions to have intel_backlight_ prefix
      drm/i915/panel: mass rename functions to have intel_panel_ prefix
      drm/i915/snps: constify struct intel_mpllb_state arrays harder
      drm/i915/fdi: move intel_update_fdi_pll_freq to intel_fdi.c
      drm/i915/fdi: move fdi bc bifurcation functions to intel_fdi.c
      drm/i915/fdi: move more FDI stuff to FDI link train hooks
      drm/i915/fdi: move fdi mphy reset and programming to intel_fdi.c
      drm/i915/fdi: convert BUG()'s to MISSING_CASE()
      drm/i915: remove unused i915->active_pipes
      drm/i915/dp: fix DG2 max source rate check
      drm/i915/debugfs: clean up LPSP status
      drm/i915/debugfs: clean up LPSP capable
      drm/i915/dp: fix TGL and ICL max source rates
      drm/i915/dp: fix DG1 and RKL max source rates
      drm/i915/bios: use hdmi level shift directly from child data
      drm/i915/bios: use max tmds clock directly from child data
      drm/i915/bios: use dp max link rate directly from child data
      drm/i915/bios: use alternate aux channel directly from child data
      drm/i915/bios: move ddc pin mapping code next to ddc pin sanitize
      drm/i915/bios: use ddc pin directly from child data
      drm/i915/bios: get rid of vbt ddi_port_info
      drm/displayid: re-align data block macros
      drm/displayid: add DisplayID v2.0 data blocks and primary use cases
      drm/edid: abstract OUI conversion to 24-bit int
      drm/edid: parse the DisplayID v2.0 VESA vendor block for MSO
      drm/i915/edp: postpone MSO init until after EDID read
      drm/i915/edp: use MSO pixel overlap from DisplayID data
      drm/dp: add DP 2.0 UHBR link rate and bw code conversions
      drm/dp: use more of the extended receiver cap
      drm/dp: add LTTPR DP 2.0 DPCD addresses
      drm/dp: add helper for extracting adjust 128b/132b TX FFE preset
      drm/i915/dg2: add DG2+ TRANS_DDI_FUNC_CTL DP 2.0 128b/132b mode
      drm/i915/dp: add helper for checking for UHBR link rate
      drm/i915/dp: use 128b/132b TPS2 for UHBR+ link rates
      drm/i915/dp: select 128b/132b channel encoding for UHBR rates
      drm/i915/dg2: configure TRANS_DP2_CTL for DP 2.0
      drm/i915/dp: add HAS_DP20 macro
      drm/i915/dg2: use 128b/132b transcoder DDI mode
      drm/i915/dg2: configure TRANS_DP2_VFREQ{HIGH,LOW} for 128b/132b
      drm/i915/fdi: move fdi modeset asserts to intel_fdi.c
      drm/i915/pps: move pps (panel) modeset asserts to intel_pps.c
      drm/i915/dpll: move dpll modeset asserts to intel_dpll.c
      drm/i915/dsi: move dsi pll modeset asserts to vlv_dsi_pll.c
      drm/i915/dsi: pass struct mipi_dsi_packet pointer, not the entire struct
      drm/i915/dsi: fuse dsi_send_pkt_payld() and add_payld_to_queue()
      drm/i915/dsi: return -EBUSY instead of -1
      drm/i915/hdmi: return -EINVAL instead of -1
      drm/i915/drv: return -EIO instead of -1
      drm/i915/dram: return -EINVAL instead of -1
      drm/i915/fdi: use -EAGAIN instead of local special return value
      drm/i915/hdmi: convert intel_hdmi_to_dev to intel_hdmi_to_i915
      drm/i915/debugfs: register LPSP capability on all platforms
      drm/i915/display: stop returning errors from debugfs registration
      drm/i915/debugfs: pass intel_connector to intel_connector_debugfs_add()
      drm/i915/reg: add AUD_TCA_DP_2DOT0_CTRL registers

José Roberto de Souza (24):
      drm/i915/display: Fix sel fetch plane offset calculation
      drm/i915: Nuke ORIGIN_GTT
      drm/i915/display: Drop PSR support from HSW and BDW
      drm/i915/display: Move DRRS code its own file
      drm/i915/display: Renaming DRRS functions to intel_drrs_*()
      drm/i915/display: Some code improvements and code style fixes for DRRS
      drm/i915/display: Share code between intel_drrs_flush and intel_drrs_invalidate
      drm/i915/display: Prepare DRRS for frontbuffer rendering drop
      drm/i915/dg1: Add new PCI id
      drm/i915/display/adlp: Fix PSR2_MAN_TRK_CTL_SU_REGION_END_ADDR calculation
      drm/i915/display: Wait at least 2 frames before selective update
      drm/i915/display: Workaround cursor left overs with PSR2 selective fetch enabled
      drm/i915/display/psr: Use drm damage helpers to calculate plane damaged area
      drm/i915/display/adlp: Add new PSR2 workarounds
      drm/i915/display/dmc: Set DC_STATE_DEBUG_MASK_CORES after firmware load
      drm/i915/display: Match PSR2 selective fetch sequences with specification
      drm/i915/display: Only keep PSR enabled if there is active planes
      drm/i915/display/psr: Handle plane and pipe restrictions at every page flip
      drm/i915/display: Drop unnecessary frontbuffer flushes
      drm/i915/display: Handle frontbuffer rendering when PSR2 selective fetch is enabled
      drm/i915/display: Fix glitches when moving cursor with PSR2 selective fetch enabled
      drm/i915/display/adlp: Optimize PSR2 power-savings in corner cases
      drm/i915/display/adlp: Allow PSR2 to be enabled
      drm/i915/display: Enable PSR2 selective fetch by default

Juston Li (3):
      drm/i915/hdcp: update cp_irq_count_cached in intel_dp_hdcp2_read_msg()
      drm/i915/hdcp: read RxInfo once when reading RepeaterAuth_Send_ReceiverID_List
      drm/i915/hdcp: reuse rx_info for mst stream type1 capability check

Kai-Heng Feng (2):
      drm/i915/dp: Use max params for panels < eDP 1.4
      drm/i915/audio: Use BIOS provided value for RKL HDA link

Lee Shawn C (6):
      drm/i915/dp: return proper DPRX link training result
      drm/i915/dsi: wait for header and payload credit available
      drm/i915/dsi: refine send MIPI DCS command sequence
      drm/i915: Get proper min cdclk if vDSC enabled
      drm/i915/dsi: Retrieve max brightness level from VBT
      drm/i915/dsi: Read/write proper brightness value via MIPI DCS command

Lukasz Majczak (1):
      drm/i915/bdb: Fix version check

Maarten Lankhorst (1):
      drm/i915: Add ww context to intel_dpt_pin, v2.

Matt Atwood (1):
      drm/i915/dp: Fix eDP max rate for display 11+

Matt Roper (3):
      drm/i915: Only access SFC_DONE when media domain is not fused off
      drm/i915/adl_p: Also disable underrun recovery with MSO
      drm/i915/dg2: Memory latency values from pcode must be doubled

Radhakrishna Sripada (1):
      drm/i915: Update memory bandwidth parameters

Rodrigo Vivi (2):
      Merge tag 'drm-misc-intel-oob-hotplug-v1' of git://git.kernel.org/pub/scm/linux/kernel/git/hansg/linux into drm-intel-next
      Merge drm/drm-next into drm-intel-next

Swati Sharma (1):
      drm/i915/dp: Drop redundant debug print

Tejas Upadhyay (3):
      drm/i915/adl_s: Update ADL-S PCI IDs
      drm/i915/display: Add HDR mode helper function
      drm/i915/gen11: Disable cursor clock gating in HDR mode

Vandita Kulkarni (4):
      drm/i915/display: Update small joiner ram size
      drm/i915/dsi/xelpd: Add WA to program LP to HS wakeup guardband
      drm/i915/dsi/xelpd: Enable mipi dsi support.
      drm/i915/display: Fix the dsc check while selecting min_cdclk

Ville Syrjälä (63):
      drm/i915/fbc: Rewrite the FBC tiling check a bit
      drm/i915/fbc: Extract intel_fbc_update()
      drm/i915/fbc: Move the "recompress on activate" to a central place
      drm/i915/fbc: Polish the skl+ FBC stride override handling
      drm/i915: Silence __iomem sparse warn
      drm/i915: Set output_types to EDP for vlv/chv DPLL forcing
      drm/i915: Clean up gen2 DPLL readout
      drm/i915: Extract ilk_update_pll_dividers()
      drm/i915: Constify struct dpll all over
      drm/i915: Clean dpll calling convention
      drm/i915: Clean up variable names in old dpll functions
      drm/i915: Remove the 'reg' local variable
      drm/i915: Program DPLL P1 dividers consistently
      drm/i915: Call {vlv,chv}_prepare_pll() from {vlv,chv}_enable_pll()
      drm/i915: Reuse ilk_needs_fb_cb_tune() for the reduced clock as well
      drm/i915: Fold i9xx_set_pll_dividers() into i9xx_enable_pll()
      drm/i915: Fold ibx_pch_dpll_prepare() into ibx_pch_dpll_enable()
      drm/i915: Nuke intel_prepare_shared_dpll()
      drm/i915: Extract intel_dp_need_bigjoiner()
      drm/i915: Flatten hsw_crtc_compute_clock()
      drm/i915: s/pipe/transcoder/ when dealing with PIPECONF/TRANSCONF
      drm/i915: Introduce with_intel_display_power_if_enabled()
      drm/i915: Adjust intel_dsc_power_domain() calling convention
      drm/i915: Extract hsw_panel_transcoders()
      drm/i915: s/crtc_state/new_crtc_state/ etc.
      drm/i915: Use u8 consistently for active_planes bitmask
      drm/i915: Fix g4x cxsr enable condition
      drm/i915: Apply WaUse32BppForSRWM to elk as well as ctg
      drm/i915: Fix HPLL watermark readout for g4x
      drm/i915/fbc: Rework cfb stride/size calculations
      drm/i915/fbc: Align FBC segments to 512B on glk+
      drm/i915/fbc: Implement Wa_16011863758 for icl+
      drm/i915/fbc: Allow higher compression limits on FBC1
      drm/i915: Extract intel_panel_mode_valid()
      drm/i915: Use intel_panel_mode_valid() for DSI/LVDS/(s)DVO
      drm/i915: Reject modes that don't match fixed_mode vrefresh
      drm/i915: Introduce intel_panel_compute_config()
      drm/i915: Reject user modes that don't match fixed mode's refresh rate
      drm/i915: Drop pointless fixed_mode checks from dsi code
      drm/i915: Enable TPS3/4 on all platforms that support them
      drm/i915/fbc: Allow FBC with Yf tiling
      drm/i915: Pimp HSW+ transcoder state readout
      drm/i915: Configure TRANSCONF just the once with bigjoiner
      drm/i915: Clear leftover DP vswing/preemphasis values before modeset
      drm/i915: Call intel_ddi_init_dp_buf_reg() earlier
      drm/i915: Remove DP_PORT_EN stuff from link training code
      drm/i915: Nuke local copies/pointers of intel_dp->DP
      drm/i915: s/ddi_translations/trans/
      drm/i915: Use standard form -EDEADLK check
      drm/i915: Adjust intel_crtc_compute_config() debug message
      drm/i915: Move WaPruneModeWithIncorrectHsyncOffset into intel_mode_valid()
      drm/i915: Stop force enabling pipe bottom color gammma/csc
      drm/i915: Fix DP clock recovery "voltage_tries" handling
      drm/i915: Introduce has_iboost()
      drm/i915: Introduce has_buf_trans_select()
      drm/i915: Generalize .set_signal_levels()
      drm/i915: Nuke useless .set_signal_levels() wrappers
      drm/i915: De-wrapper bxt_ddi_phy_set_signal_levels()
      drm/i915: Hoover the level>=n_entries WARN into intel_ddi_level()
      drm/i915: Nuke intel_ddi_hdmi_num_entries()
      drm/i915: Pass the lane to intel_ddi_level()
      drm/i915: Prepare link training for per-lane drive settings
      drm/i915: Allow per-lane drive settings with LTTPRs

 Documentation/gpu/i915.rst                         |   25 +-
 drivers/gpu/drm/drm_connector.c                    |   79 +
 drivers/gpu/drm/drm_crtc_internal.h                |    2 +
 drivers/gpu/drm/drm_dp_helper.c                    |   42 +-
 drivers/gpu/drm/drm_edid.c                         |   89 +-
 drivers/gpu/drm/drm_sysfs.c                        |   87 +-
 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |   86 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  161 +-
 drivers/gpu/drm/i915/display/intel_acpi.c          |   46 +
 drivers/gpu/drm/i915/display/intel_acpi.h          |    3 +
 drivers/gpu/drm/i915/display/intel_audio.c         |   48 +-
 drivers/gpu/drm/i915/display/intel_backlight.c     | 1776 +++++++++++++++++++
 drivers/gpu/drm/i915/display/intel_backlight.h     |   52 +
 drivers/gpu/drm/i915/display/intel_bios.c          |  397 +++--
 drivers/gpu/drm/i915/display/intel_bw.c            |   19 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  345 ++--
 drivers/gpu/drm/i915/display/intel_cdclk.h         |    4 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  140 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    6 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |    9 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  479 +++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |    7 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |  670 +++----
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h |   11 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1713 +++++-------------
 drivers/gpu/drm/i915/display/intel_display.h       |   32 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  121 +-
 .../gpu/drm/i915/display/intel_display_debugfs.h   |   10 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |    9 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |    4 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   41 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |   25 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  753 +++-----
 drivers/gpu/drm/i915/display/intel_dp.h            |   22 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |    6 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   12 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |   78 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  159 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   30 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   28 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.h      |    5 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |  672 +++----
 drivers/gpu/drm/i915/display/intel_dpll.h          |   26 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   46 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   11 -
 drivers/gpu/drm/i915/display/intel_dpt.c           |  239 +++
 drivers/gpu/drm/i915/display/intel_dpt.h           |   19 +
 drivers/gpu/drm/i915/display/intel_drrs.c          |  437 +++++
 drivers/gpu/drm/i915/display/intel_drrs.h          |   36 +
 drivers/gpu/drm/i915/display/intel_dsi.c           |   16 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |    3 +
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |   33 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   24 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |  606 ++++++-
 drivers/gpu/drm/i915/display/intel_fb.h            |   20 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  292 ++--
 drivers/gpu/drm/i915/display/intel_fbc.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |    3 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |  321 +++-
 drivers/gpu/drm/i915/display/intel_fdi.h           |   17 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    5 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |    4 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   64 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   20 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    4 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |   33 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    5 +-
 drivers/gpu/drm/i915/display/intel_panel.c         | 1835 +-------------------
 drivers/gpu/drm/i915/display/intel_panel.h         |   48 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |   59 +
 drivers/gpu/drm/i915/display/intel_pps.h           |    3 +
 drivers/gpu/drm/i915/display/intel_psr.c           |  476 ++---
 drivers/gpu/drm/i915/display/intel_psr.h           |   13 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   19 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |  225 ++-
 drivers/gpu/drm/i915/display/intel_snps_phy.h      |    4 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |  290 ++--
 drivers/gpu/drm/i915/display/intel_tc.h            |    6 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    2 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    5 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   77 +-
 drivers/gpu/drm/i915/display/intel_vdsc.h          |    6 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |    9 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   51 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   23 +
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   29 +-
 drivers/gpu/drm/i915/i915_drv.c                    |    7 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  161 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   94 +-
 drivers/gpu/drm/i915/i915_irq.h                    |   51 +-
 drivers/gpu/drm/i915/i915_params.h                 |    2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    4 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   77 +-
 drivers/gpu/drm/i915/i915_vma_types.h              |    7 +-
 drivers/gpu/drm/i915/intel_dram.c                  |    4 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  290 ++--
 drivers/gpu/drm/i915/intel_pm.h                    |    3 +-
 drivers/gpu/drm/i915/intel_runtime_pm.h            |    2 -
 drivers/gpu/drm/i915/intel_uncore.c                |   72 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    7 +-
 drivers/usb/typec/altmodes/Kconfig                 |    1 +
 drivers/usb/typec/altmodes/displayport.c           |   58 +-
 drivers/video/fbdev/efifb.c                        |   21 +-
 include/drm/drm_connector.h                        |   37 +
 include/drm/drm_displayid.h                        |  101 +-
 include/drm/drm_dp_helper.h                        |    6 +
 include/drm/i915_pciids.h                          |    8 +-
 109 files changed, 8213 insertions(+), 6576 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_backlight.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_backlight.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dpt.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dpt.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_drrs.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_drrs.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-08-10 13:51 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2021-08-10 13:51 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: Jani Nikula, Joonas Lahtinen, Rodrigo Vivi, Sean Paul,
	Maarten Lankhorst, Maxime Ripard, dri-devel, intel-gfx,
	dim-tools


Hi Dave & Daniel -

drm-intel-next-2021-08-10-1:
drm/i915 changes for v5.15:

Features:
- Basic DG2 platform enabling (Matt, Animesh, Gwan-gyeong, José)
- Add PSF GV point support for display bandwidth calculation (Stan)
- Add platform release id version support (Lucas)
- Add support for forcing DSC BPP for testing (Vandita, Patnana)

Refactoring and cleanups:
- Remove CNL support completely (Lucas)
- Revid/stepping cleanup (Matt, Anusha)
- Make display stepping check upper bounds exclusive (Matt)
- Remove old GEN macros (Lucas)
- Refactor DG1 interrupt handler (Paulo)
- Refactor DMC stepping info (Anusha)

Fixes:
- Fix XELPD color capability reporting; it's not yet enabled (Uma)
- Fix DG1 memory bandwidth computation (Clint)
- Fix mux on certain HP laptops (Kai-Heng)
- Various display workarounds (José, Matt, Imre)
- Fix error state dumps wrt SFC_DONE (Matt)
- Fix DG1 and XEPLD audio power domains (Anshuman)
- Fix ADL-P and ADL-S ddi buf translation tables (Matt)
- Fix DP/HDMI modeset sequences causing issues on ADL-P (José)
- PSR2 fixes (José)
- Fix DP MST modeset with FEC on TGL+
- Fix MBUS DBOX A credits on ADL-P (José)
- Fix DP PHY test training set programming (Khaled)
- Fix dgfx pcode uncore init done wait (Badal)
- Fix DSC disable fuse check on GLK (Lucas)
- Fix shared dpll mismatch for bigjoiner secondary pipe (Manasi)
- Fix ADL-P underrun recovery (Matt)
- Fix permissions on FEC support debugfs file (Vandita)

Misc:
- Backmerge drm-next (Rodrigo)
- Bump RKL and TGL DMC firmware version (Anusha)

BR,
Jani.

The following changes since commit e73f0f0ee7541171d89f2e2491130c7771ba58d3:

  Linux 5.14-rc1 (2021-07-11 15:07:40 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-08-10-1

for you to fetch changes up to 3bfa7d40ce736ffbbfe07127061f54b359ee2b12:

  drm/i915/dg2: Add support for new DG2-G11 revid 0x5 (2021-08-06 09:03:10 -0700)

----------------------------------------------------------------
drm/i915 changes for v5.15:

Features:
- Basic DG2 platform enabling (Matt, Animesh, Gwan-gyeong, José)
- Add PSF GV point support for display bandwidth calculation (Stan)
- Add platform release id version support (Lucas)
- Add support for forcing DSC BPP for testing (Vandita, Patnana)

Refactoring and cleanups:
- Remove CNL support completely (Lucas)
- Revid/stepping cleanup (Matt, Anusha)
- Make display stepping check upper bounds exclusive (Matt)
- Remove old GEN macros (Lucas)
- Refactor DG1 interrupt handler (Paulo)
- Refactor DMC stepping info (Anusha)

Fixes:
- Fix XELPD color capability reporting; it's not yet enabled (Uma)
- Fix DG1 memory bandwidth computation (Clint)
- Fix mux on certain HP laptops (Kai-Heng)
- Various display workarounds (José, Matt, Imre)
- Fix error state dumps wrt SFC_DONE (Matt)
- Fix DG1 and XEPLD audio power domains (Anshuman)
- Fix ADL-P and ADL-S ddi buf translation tables (Matt)
- Fix DP/HDMI modeset sequences causing issues on ADL-P (José)
- PSR2 fixes (José)
- Fix DP MST modeset with FEC on TGL+
- Fix MBUS DBOX A credits on ADL-P (José)
- Fix DP PHY test training set programming (Khaled)
- Fix dgfx pcode uncore init done wait (Badal)
- Fix DSC disable fuse check on GLK (Lucas)
- Fix shared dpll mismatch for bigjoiner secondary pipe (Manasi)
- Fix ADL-P underrun recovery (Matt)
- Fix permissions on FEC support debugfs file (Vandita)

Misc:
- Backmerge drm-next (Rodrigo)
- Bump RKL and TGL DMC firmware version (Anusha)

----------------------------------------------------------------
Animesh Manna (1):
      drm/i915/dg2: Update to bigjoiner path

Anshuman Gupta (2):
      drm/i915/debugfs: DISPLAY_VER 13 lpsp capability
      drm/i915/dg1: Adjust the AUDIO power domain

Anusha Srivatsa (5):
      drm/i915/step: s/<platform>_revid_tbl/<platform>_revids
      drm/i915/step: Add macro magic for handling steps
      drm/i915/dmc: Change intel_get_stepping_info()
      drm/i915/firmware: Update to DMC v2.12 on TGL
      drm/i915/firmware: Update to DMC v2.03 on RKL

Badal Nilawar (1):
      drm/i915: dgfx cards need to wait on pcode's uncore init done

Clint Taylor (1):
      drm/i915/dg1: Compute MEM Bandwidth using MCHBAR

Gwan-gyeong Mun (1):
      drm/i915/dg2: Update lane disable power state during PSR

Imre Deak (2):
      drm/i915/adlp: Add workaround to disable CMTG clock gating
      drm/i915: Apply CMTG clock disabling WA while DPLL0 is enabled

Jani Nikula (1):
      drm/i915/plane: add intel_plane_helper_add() helper

José Roberto de Souza (10):
      drm/i915/display: Settle on "adl-x" in WA comments
      drm/i915: Limit Wa_22010178259 to affected platforms
      drm/i915/display/xelpd: Extend Wa_14011508470
      drm/i915/display/adl_p: Implement PSR changes
      drm/i915/display: Disable FBC when PSR2 is enabled display 12 and newer
      drm/i915/dg2: Add DG2 to the PSR2 defeature list
      drm/i915/display/psr2: Mark as updated all planes that intersect with pipe_clip
      drm/i915/display/psr2: Fix cursor updates using legacy apis
      drm/i915/display: Disable audio, DRRS and PSR before planes
      drm/i915/display/adl_p: Correctly program MBUS DBOX A credits

Kai-Heng Feng (1):
      drm/i915: Invoke another _DSM to enable MUX on HP Workstation laptops

Khaled Almahallawy (1):
      drm/i915/dp: DPTX writes Swing/Pre-emphs(DPCD 0x103-0x106) requested during PHY Layer testing

Lucas De Marchi (33):
      drm/i915: do not abbreviate version in debugfs
      drm/i915: Add release id version
      drm/i915: do not abbreviate version in debugfs
      drm/i915: Add release id version
      drm/i915: Add XE_HP initial definitions
      drm/i915/xehpsdv: add initial XeHP SDV definitions
      drm/i915: fix not reading DSC disable fuse in GLK
      drm/i915/display: split DISPLAY_VER 9 and 10 in intel_setup_outputs()
      drm/i915/display: remove PORT_F workaround for CNL
      drm/i915/display: remove explicit CNL handling from intel_cdclk.c
      drm/i915/display: remove explicit CNL handling from intel_color.c
      drm/i915/display: remove explicit CNL handling from intel_combo_phy.c
      drm/i915/display: remove explicit CNL handling from intel_crtc.c
      drm/i915/display: remove explicit CNL handling from intel_ddi.c
      drm/i915/display: remove explicit CNL handling from intel_display_debugfs.c
      drm/i915/display: remove explicit CNL handling from intel_dmc.c
      drm/i915/display: remove explicit CNL handling from intel_dp.c
      drm/i915/display: remove explicit CNL handling from intel_dpll_mgr.c
      drm/i915/display: remove explicit CNL handling from intel_vdsc.c
      drm/i915/display: remove explicit CNL handling from skl_universal_plane.c
      drm/i915/display: remove explicit CNL handling from intel_display_power.c
      drm/i915/display: remove CNL ddi buf translation tables
      drm/i915/display: rename CNL references in skl_scaler.c
      drm/i915: remove explicit CNL handling from i915_irq.c
      drm/i915: remove explicit CNL handling from intel_pm.c
      drm/i915: remove explicit CNL handling from intel_pch.c
      drm/i915: remove explicit CNL handling from intel_wopcm.c
      drm/i915: rename CNL references in intel_dram.c
      drm/i915: replace random CNL comments
      drm/i915: switch num_scalers/num_sprites to consider DISPLAY_VER
      drm/i915: remove GRAPHICS_VER == 10
      drm/i915: rename/remove CNL registers
      drm/i915: finish removal of CNL

Manasi Navare (1):
      drm/i915/display: Fix shared dpll mismatch for bigjoiner slave

Matt Roper (40):
      drm/i915: Handle cdclk crawling flag in standard manner
      drm/i915: Make pre-production detection use direct revid comparison
      drm/i915/skl: Use revid->stepping tables
      drm/i915/kbl: Drop pre-production revision from stepping table
      drm/i915/bxt: Use revid->stepping tables
      drm/i915/glk: Use revid->stepping tables
      drm/i915/icl: Use revid->stepping tables
      drm/i915/jsl_ehl: Use revid->stepping tables
      drm/i915/rkl: Use revid->stepping tables
      drm/i915/dg1: Use revid->stepping tables
      drm/i915/cnl: Drop all workarounds
      drm/i915/icl: Drop workarounds that only apply to pre-production steppings
      Merge branch 'topic/revid_steppings' into drm-intel-next
      drm/i915/adl_s: Wa_14011765242 is also needed on A1 display stepping
      drm/i915/rkl: Wa_1409767108 also applies to RKL
      drm/i915/rkl: Wa_1408330847 no longer applies to RKL
      drm/i915: Make display workaround upper bounds exclusive
      drm/i915/dg2: add DG2 platform info
      Merge branch 'topic/xehp-dg2-definitions-2021-07-21' into drm-intel-next
      drm/i915/dg2: Add fake PCH
      drm/i915/dg2: Add cdclk table and reference clock
      drm/i915/dg2: Skip shared DPLL handling
      drm/i915/dg2: Don't wait for AUX power well enable ACKs
      drm/i915/dg2: Setup display outputs
      drm/i915/dg2: Add dbuf programming
      drm/i915/dg2: Don't program BW_BUDDY registers
      drm/i915/dg2: Don't read DRAM info
      drm/i915/dg2: DG2 has fixed memory bandwidth
      drm/i915/dg2: Classify DG2 PHY types
      drm/i915: Program chicken bit during DP MST sequence on TGL+
      drm/i915/adl_p: Allow underrun recovery when possible
      drm/i915/adl_s: Update ddi buf translation tables
      drm/i915/adl_p: Add ddi buf translation tables for combo PHY
      drm/i915/dg2: Add MPLLB programming for SNPS PHY
      drm/i915/dg2: Add MPLLB programming for HDMI
      drm/i915/dg2: Add vswing programming for SNPS phys
      drm/i915/dg2: Update modeset sequences
      drm/i915/dg2: Wait for SNPS PHY calibration during display init
      drm/i915: Correct SFC_DONE register offset
      drm/i915/dg2: Add support for new DG2-G11 revid 0x5

Patnana Venkata Sai (1):
      drm/i915/display/dsc: Add Per connector debugfs node for DSC BPP enable

Paulo Zanoni (1):
      drm/i915: Fork DG1 interrupt handler

Rodrigo Vivi (2):
      Merge drm/drm-next into drm-intel-next
      drm/i915/bios: Fix ports mask

Stanislav Lisovskiy (2):
      drm/i915: Extend QGV point restrict mask to 0x3
      drm/i915: Implement PSF GV point support

Uma Shankar (1):
      drm/i915/display/xelpd: Fix incorrect color capability reporting

Vandita Kulkarni (2):
      drm/i915/display: Add write permissions for fec support
      drm/i915/display/dsc: Force dsc BPP

 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |    2 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   46 +-
 drivers/gpu/drm/i915/display/intel_acpi.c          |   19 +
 drivers/gpu/drm/i915/display/intel_acpi.h          |    3 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    7 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    3 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |    4 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   11 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |  178 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  107 +-
 drivers/gpu/drm/i915/display/intel_color.c         |    5 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  106 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |    3 +
 drivers/gpu/drm/i915/display/intel_crtc.c          |   10 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |   12 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  630 +++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |    4 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c | 2475 +++++++++++---------
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h |   70 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  371 ++-
 drivers/gpu/drm/i915/display/intel_display.h       |    1 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  118 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  568 ++---
 drivers/gpu/drm/i915/display/intel_display_power.h |   15 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   32 +-
 drivers/gpu/drm/i915/display/intel_dmc.c           |  232 +-
 drivers/gpu/drm/i915/display/intel_dmc.h           |   23 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   65 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |    1 -
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   43 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  627 ++---
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    3 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  219 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   96 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   25 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    4 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   53 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    4 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    3 +
 drivers/gpu/drm/i915/display/intel_psr.c           |  265 ++-
 drivers/gpu/drm/i915/display/intel_quirks.c        |   34 +
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    4 +-
 drivers/gpu/drm/i915/display/intel_snps_phy.c      |  862 +++++++
 drivers/gpu/drm/i915/display/intel_snps_phy.h      |   35 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |   34 +-
 drivers/gpu/drm/i915/display/intel_tc.h            |    2 +
 drivers/gpu/drm/i915/display/intel_tv.c            |   13 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    2 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    5 +-
 drivers/gpu/drm/i915/display/intel_vga.c           |    3 +
 drivers/gpu/drm/i915/display/skl_scaler.c          |   49 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |   28 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   13 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    1 -
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |    2 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  111 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |    8 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   17 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  165 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  170 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  138 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   22 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  404 ++--
 drivers/gpu/drm/i915/intel_device_info.c           |   43 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   16 +-
 drivers/gpu/drm/i915/intel_dram.c                  |   42 +-
 drivers/gpu/drm/i915/intel_pch.c                   |    8 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    2 +
 drivers/gpu/drm/i915/intel_pm.c                    |  284 ++-
 drivers/gpu/drm/i915/intel_sideband.c              |   13 +-
 drivers/gpu/drm/i915/intel_sideband.h              |    2 +-
 drivers/gpu/drm/i915/intel_step.c                  |  149 +-
 drivers/gpu/drm/i915/intel_step.h                  |   33 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |   10 +-
 79 files changed, 5320 insertions(+), 3886 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_snps_phy.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_snps_phy.h

-- 
Jani Nikula, Intel Open Source Graphics Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-06-09 21:30 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2021-06-09 21:30 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

Here goes the last pull request towards 5.14.
Mostly it is ADL-P enabling related and a few other things.

drm-intel-next-2021-06-09:

Cross-subsystem Changes:

-  x86/gpu: add JasperLake to gen11 early quirks
  (Although the patch lacks the Ack info, it has been Acked by Borislav)

Driver Changes:

- General DMC improves (Anusha)
- More ADL-P enabling (Vandita, Matt, Jose, Mika, Anusha, Imre, Lucas, Jani, Manasi, Ville, Stanislav)
- Introduce MBUS relative dbuf offset (Ville)
- PSR fixes and improvements (Gwan, Jose, Ville)
- Re-enable LTTPR non-transparent LT mode for DPCD_REV < 1.4 (Ville)
- Remove duplicated declarations (Shaokun, Wan)
- Check HDMI sink deep color capabilities during .mode_valid (Ville)
- Fix display flicker screan related to console and FBC (Chris)
- Remaining conversions of GRAPHICS_VER (Lucas)
- Drop invalid FIXME (Jose)
- Fix bigjoiner check in dsc_disable (Vandita)

Thanks,
Rodrigo.

The following changes since commit 9a91e5e0af5e03940d0eec72c36364a1701de240:

  Merge tag 'amd-drm-next-5.14-2021-05-21' of https://gitlab.freedesktop.org/agd5f/linux into drm-next (2021-05-21 15:59:05 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-06-09

for you to fetch changes up to 0d6695b112762aa7aad28c46e65561389b6f50d6:

  drm/i915/adl_p: Same slices mask is not same Dbuf state (2021-06-09 17:24:58 +0300)

----------------------------------------------------------------
Cross-subsystem Changes:

-  x86/gpu: add JasperLake to gen11 early quirks
  (Although the patch lacks the Ack info, it has been Acked by Borislav)

Driver Changes:

- General DMC improves (Anusha)
- More ADL-P enabling (Vandita, Matt, Jose, Mika, Anusha, Imre, Lucas, Jani, Manasi, Ville, Stanislav)
- Introduce MBUS relative dbuf offset (Ville)
- PSR fixes and improvements (Gwan, Jose, Ville)
- Re-enable LTTPR non-transparent LT mode for DPCD_REV < 1.4 (Ville)
- Remove duplicated declarations (Shaokun, Wan)
- Check HDMI sink deep color capabilities during .mode_valid (Ville)
- Fix display flicker screan related to console and FBC (Chris)
- Remaining conversions of GRAPHICS_VER (Lucas)
- Drop invalid FIXME (Jose)
- Fix bigjoiner check in dsc_disable (Vandita)

----------------------------------------------------------------
Anusha Srivatsa (13):
      drm/i915/dmc: s/intel_csr/intel_dmc
      drm/i915/dmc: s/HAS_CSR/HAS_DMC
      drm/i915/dmc: Rename macro names containing csr
      drm/i915/dmc: Rename functions names having "csr"
      drm/i915/dmc: s/intel_csr.c/intel_dmc.c and s/intel_csr.h/intel_dmc.h
      drm/i915/adl_p: Setup ports/phys
      drm/i915/adl_p: Add PLL Support
      drm/i915/adlp: Add PIPE_MISC2 programming
      drm/i915/adl_p: Update memory bandwidth parameters
      drm/i915/gvt: Add missing macro name changes
      drm/i915/dmc: s/DRM_ERROR/drm_err
      drm/i915/dmc: Add intel_dmc_has_payload() helper
      drm/i915/dmc: Move struct intel_dmc to intel_dmc.h

Chris Wilson (1):
      drm/i915/display: relax 2big checking around initial fb

Gwan-gyeong Mun (4):
      drm/i915/display: Replace dc3co_enabled with dc3co_exitline on intel_psr struct
      drm/i915/display: Add PSR interrupt error check function
      drm/i915/display: Remove a redundant function argument from intel_psr_enable_source()
      drm/i915/display: Introduce new intel_psr_pause/resume function

Imre Deak (9):
      drm/i915/adl_p: Program DP/HDMI link rate to DDI_BUF_CTL
      drm/i915: Reenable LTTPR non-transparent LT mode for DPCD_REV<1.4
      drm/i915/adlp: Require DPT FB CCS color planes to be 2MB aligned
      drm/i915/adlp: Fix GEM VM asserts for DPT VMs
      drm/i915/debugfs: Print remap info for DPT VMAs as well
      drm/i915/adlp: Add missing TBT AUX -> PW#2 power domain dependencies
      drm/i915/ddi: Flush encoder power domain ref puts during driver unload
      drm/i915: Fix incorrect assert about pending power domain async-put work
      drm/i915/adlp: Fix AUX power well -> PHY mapping

Jani Nikula (1):
      drm/i915/adl_p: enable MSO on pipe B

José Roberto de Souza (10):
      drm/i915/adl_p: Implement TC sequences
      drm/i915/adl_p: Don't config MBUS and DBUF during display initialization
      drm/i915/display/adl_p: Drop earlier return in tc_has_modular_fia()
      drm/i915/adl_p: Handle TC cold
      drm/i915: WA for zero memory channel
      drm/i915/display/adl_p: Allow DC3CO in pipe and port B
      drm/i915/display/adl_p: Disable PSR2
      drm/i915/display: Fix fastsets involving PSR
      drm/i915/display: Allow fastsets when DP_SDP_VSC infoframe do not match with PSR enabled
      drm/i915/display: Drop FIXME about turn off infoframes

Lucas De Marchi (5):
      drm/i915/display: fix typo when returning table
      drm/i915/gvt: replace IS_GEN and friends with GRAPHICS_VER
      drm/i915/display: replace IS_GEN() in commented code
      drm/i915: replace IS_GEN and friends with GRAPHICS_VER
      drm/i915: Add remaining conversions to GRAPHICS_VER

Manasi Navare (2):
      drm/i915/xelpd: Add VRR guardband for VRR CTL
      drm/i915: Initialize the mbus_offset to fix Klockwork issue

Matt Roper (2):
      drm/i915/adl_p: Add dedicated SAGV watermarks
      drm/i915/xelpd: Enhanced pipe underrun reporting

Mika Kahola (2):
      drm/i915/adl_p: Tx escape clock with DSI
      drm/i915/adl_p: Define and use ADL-P specific DP translation tables

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Shaokun Zhang (1):
      drm/i915: Remove the repeated declaration

Stanislav Lisovskiy (2):
      drm/i915/adl_p: CDCLK crawl support for ADL
      drm/i915/adl_p: Same slices mask is not same Dbuf state

Tejas Upadhyay (1):
      x86/gpu: add JasperLake to gen11 early quirks

Vandita Kulkarni (5):
      drm/i915/xelpd: Calculate VDSC RC parameters
      drm/i915/xelpd: Add rc_qp_table for rcparams calculation
      drm/i915/adl_p: Add ddb allocation support
      drm/i915/adl_p: MBUS programming
      drm/i915/dsc: Fix bigjoiner check in dsc_disable

Ville Syrjälä (10):
      drm/i915: Introduce MBUS relative dbuf offsets
      drm/i915: Extract intel_hdmi_bpc_possible()
      drm/i915: Move has_hdmi_sink check into intel_hdmi_bpc_possible()
      drm/i915: Move platform checks into intel_hdmi_bpc_possible()
      drm/i915: Check sink deep color capabilitis during HDMI .mode_valid()
      drm/i915: Move the TMDS clock division into intel_hdmi_mode_clock_valid()
      drm/i915: Drop redundant has_hdmi_sink check
      drm/i915/adl_p: Disable FIFO underrun recovery
      drm/i915/adl_p: Implement Wa_22012358565
      drm/i915: Disable PSR around cdclk changes

Wan Jiabing (1):
      drm/i915/display: remove duplicated argument

 Documentation/gpu/i915.rst                         |  12 +-
 arch/x86/kernel/early-quirks.c                     |   1 +
 drivers/gpu/drm/i915/Makefile                      |   3 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  21 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |  20 ++
 drivers/gpu/drm/i915/display/intel_atomic.h        |   1 +
 drivers/gpu/drm/i915/display/intel_bw.c            |   4 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  85 ++++-
 drivers/gpu/drm/i915/display/intel_csr.h           |  21 --
 drivers/gpu/drm/i915/display/intel_cursor.c        |   4 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |  53 ++-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |  53 +++
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h |   4 +
 drivers/gpu/drm/i915/display/intel_display.c       | 143 +++++++-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  30 +-
 drivers/gpu/drm/i915/display/intel_display_power.c | 139 ++++----
 drivers/gpu/drm/i915/display/intel_display_types.h |   5 +-
 .../drm/i915/display/{intel_csr.c => intel_dmc.c}  | 386 +++++++++++----------
 drivers/gpu/drm/i915/display/intel_dmc.h           |  43 +++
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  71 ++--
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  69 +++-
 drivers/gpu/drm/i915/display/intel_fb.c            |  13 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |  57 ++-
 drivers/gpu/drm/i915/display/intel_hdmi.c          | 104 +++---
 drivers/gpu/drm/i915/display/intel_psr.c           | 187 +++++++---
 drivers/gpu/drm/i915/display/intel_psr.h           |   2 +
 drivers/gpu/drm/i915/display/intel_qp_tables.c     | 309 +++++++++++++++++
 drivers/gpu/drm/i915/display/intel_qp_tables.h     |  14 +
 drivers/gpu/drm/i915/display/intel_tc.c            | 152 +++++++-
 drivers/gpu/drm/i915/display/intel_tc.h            |   2 +
 drivers/gpu/drm/i915/display/intel_tv.c            |   2 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          | 117 ++++++-
 drivers/gpu/drm/i915/display/intel_vrr.c           |  58 +++-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  27 ++
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   3 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   8 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |   2 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |  10 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   4 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |  14 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |   2 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |  10 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   4 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   4 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  10 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  47 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |  28 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  64 ++--
 drivers/gpu/drm/i915/i915_gem.c                    |   4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  92 ++---
 drivers/gpu/drm/i915/i915_irq.c                    |  53 +--
 drivers/gpu/drm/i915/i915_irq.h                    |   1 +
 drivers/gpu/drm/i915/i915_pci.c                    |   5 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  44 +--
 drivers/gpu/drm/i915/i915_pmu.c                    |   8 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 200 ++++++++---
 drivers/gpu/drm/i915/i915_request.c                |   4 +-
 drivers/gpu/drm/i915/i915_suspend.c                |  16 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   2 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |   2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   2 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   7 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  24 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
 drivers/gpu/drm/i915/intel_dram.c                  |  14 +-
 drivers/gpu/drm/i915/intel_pch.c                   |  10 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 323 +++++++++++++++--
 drivers/gpu/drm/i915/intel_pm.h                    |   4 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  24 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |  10 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   4 +-
 drivers/gpu/drm/i915/selftests/i915_perf.c         |   6 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |   8 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |  12 +-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   2 +-
 76 files changed, 2421 insertions(+), 888 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/display/intel_csr.h
 rename drivers/gpu/drm/i915/display/{intel_csr.c => intel_dmc.c} (61%)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dmc.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_qp_tables.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_qp_tables.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-05-19 19:10 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2021-05-19 19:10 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

Here goes the first pull request targeting 5.14.

Main highlight goes to the ADL-P platform and display XeLPD IP
enabling patches. Also with a refactor on how we handle the graphics
and display IP versions.

drm-intel-next-2021-05-19-1:
Core Changes:

- drm: Rename DP_PSR_SELECTIVE_UPDATE to better mach eDP spec (Jose).

Driver Changes:

- Display plane clock rates fixes and improvements (Ville).
- Uninint DMC FW loader state during shutdown (Imre).
- Convert snprintf to sysfs_emit (Xuezhi).
- Fix invalid access to ACPI _DSM objects (Takashi).
- A big refactor around how i915 addresses the graphics
  and display IP versions. (Matt, Lucas).
- Backlight fix (Lyude).
- Display watermark and DBUF fixes (Ville).
- HDCP fix (Anshuman).
- Improve cases where display is not available (Jose).
- Defeature PSR2 for RKL and ALD-S (Jose).
- VLV DSI panel power fixes and improvements (Hans).
- display-12 workaround (Jose).
- Fix modesetting (Imre).
- Drop redundant address-of op before lttpr_common_caps array (Imre).
- Fix compiler checks (Jose, Jason).
- GLK display fixes (Ville).
- Fix error code returns (Dan).
- eDP novel: back again to slow and wide link training everywhere (Kai-Heng).
- Abstract DMC FW path (Rodrigo).
- Preparation and changes for upcoming
  XeLPD display IP (Jose, Matt, Ville, Juha-Pekka, Animesh).
- Fix comment typo in DSI code (zuoqilin).
- Simplify CCS and UV plane alignment handling (Imre).
- PSR Fixes on TGL (Gwan-gyeong, Jose).
- Add intel_dp_hdcp.h and rename init (Jani).
- Move crtc and dpll declarations around (Jani).
- Fix pre-skl DP AUX precharge length (Ville).
- Remove stray newlines from random files (Ville).
- crtc->index and intel_crtc+drm_crtc pointer clean-up (Ville).
- Add frontbuffer tracking tracepoints (Ville).
- ADL-S PCI ID updates (Anand).
- Use unique backlight device names (Jani).
- A few clean-ups on i915/audio (Jani).
- Use intel_framebuffer instead of drm one on intel_fb functions (Imre).
- Add the missing MC CCS/XYUV8888 format support on display >= 12 (Imre).
- Nuke display error state (Ville).
- ADL-P initial enablement patches
  starting to land (Clint, Imre, Jose, Umesh, Vandita, Mika).
- Display clean-up around VBT and the strap bits (Lucas).
- Try YCbCr420 color when RGB fails (Werner).
- More PSR fixes and improvements (Jose).
- Other generic display code clean-up (Jose, Ville).
- Use correct downstream caps for check Src-Ctl mode for PCON (Ankit).
- Disable HiZ Raw Stall Optimization on broken gen7 (Simon).

Thanks,
Rodrigo.

The following changes since commit 6efb943b8616ec53a5e444193dccf1af9ad627b5:

  Linux 5.13-rc1 (2021-05-09 14:17:44 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-05-19-1

for you to fetch changes up to ec279384c6a02cf04a96054e82b1294a7aad6577:

  drm/i915: Initialize err in remap_io_sg() (2021-05-18 11:00:07 -0700)

----------------------------------------------------------------
Core Changes:

- drm: Rename DP_PSR_SELECTIVE_UPDATE to better mach eDP spec (Jose).

Driver Changes:

- Display plane clock rates fixes and improvements (Ville).
- Uninint DMC FW loader state during shutdown (Imre).
- Convert snprintf to sysfs_emit (Xuezhi).
- Fix invalid access to ACPI _DSM objects (Takashi).
- A big refactor around how i915 addresses the graphics
  and display IP versions. (Matt, Lucas).
- Backlight fix (Lyude).
- Display watermark and DBUF fixes (Ville).
- HDCP fix (Anshuman).
- Improve cases where display is not available (Jose).
- Defeature PSR2 for RKL and ALD-S (Jose).
- VLV DSI panel power fixes and improvements (Hans).
- display-12 workaround (Jose).
- Fix modesetting (Imre).
- Drop redundant address-of op before lttpr_common_caps array (Imre).
- Fix compiler checks (Jose, Jason).
- GLK display fixes (Ville).
- Fix error code returns (Dan).
- eDP novel: back again to slow and wide link training everywhere (Kai-Heng).
- Abstract DMC FW path (Rodrigo).
- Preparation and changes for upcoming
  XeLPD display IP (Jose, Matt, Ville, Juha-Pekka, Animesh).
- Fix comment typo in DSI code (zuoqilin).
- Simplify CCS and UV plane alignment handling (Imre).
- PSR Fixes on TGL (Gwan-gyeong, Jose).
- Add intel_dp_hdcp.h and rename init (Jani).
- Move crtc and dpll declarations around (Jani).
- Fix pre-skl DP AUX precharge length (Ville).
- Remove stray newlines from random files (Ville).
- crtc->index and intel_crtc+drm_crtc pointer clean-up (Ville).
- Add frontbuffer tracking tracepoints (Ville).
- ADL-S PCI ID updates (Anand).
- Use unique backlight device names (Jani).
- A few clean-ups on i915/audio (Jani).
- Use intel_framebuffer instead of drm one on intel_fb functions (Imre).
- Add the missing MC CCS/XYUV8888 format support on display >= 12 (Imre).
- Nuke display error state (Ville).
- ADL-P initial enablement patches
  starting to land (Clint, Imre, Jose, Umesh, Vandita, Mika).
- Display clean-up around VBT and the strap bits (Lucas).
- Try YCbCr420 color when RGB fails (Werner).
- More PSR fixes and improvements (Jose).
- Other generic display code clean-up (Jose, Ville).
- Use correct downstream caps for check Src-Ctl mode for PCON (Ankit).
- Disable HiZ Raw Stall Optimization on broken gen7 (Simon).

----------------------------------------------------------------
Anand Moon (1):
      drm/i915/adl_s: ADL-S platform Update PCI ids for Mobile BGA

Animesh Manna (3):
      drm/i915/bigjoiner: Mode validation with uncompressed pipe joiner
      drm/i915/bigjoiner: Avoid dsc_compute_config for uncompressed bigjoiner
      drm/i915/bigjoiner: atomic commit changes for uncompressed joiner

Ankit Nautiyal (1):
      drm/i915: Use correct downstream caps for check Src-Ctl mode for PCON

Anshuman Gupta (1):
      drm/i915/hdcp: Fix uninitialized symbol 'msg_end'

Anusha Srivatsa (1):
      drm/i915/adl_p: Add cdclk support for ADL-P

Clinton Taylor (3):
      drm/i915/adl_p: Add PCI Devices IDs
      drm/i915/adl_p: ADL_P device info enabling
      drm/i915/adl_p: Add PCH support

Dan Carpenter (1):
      drm/i915: fix an error code in intel_overlay_do_put_image()

Gwan-gyeong Mun (2):
      drm/i915/display/psr: Disable DC3CO when the PSR2 is used
      drm/i915/display: Disable PSR2 if TGL Display stepping is B1 from A0

Hans de Goede (2):
      drm/i915/display/vlv_dsi: Do not skip panel_pwr_cycle_delay when disabling the panel
      drm/i915/display/vlv_dsi: Move panel_pwr_cycle_delay to next panel-on

Imre Deak (9):
      drm/i915: Uninit the DMC FW loader state during shutdown
      drm/i915: Fix modesetting in case of unexpected AUX timeouts
      drm/i915: Drop redundant address-of op before lttpr_common_caps array
      drm/i915: Simplify CCS and UV plane alignment handling
      drm/i915: Pass intel_framebuffer instad of drm_framebuffer to intel_fill_fb_info()
      drm/i915/tgl+: Add the missing MC CCS/XYUV8888 format support
      drm/i915/adl_p: Disable support for 90/270 FB rotation
      drm/i915/adl_p: Require a minimum of 8 tiles stride for DPT FBs
      drm/i915/adl_p: Enable remapping to pad DPT FB strides to POT

Jani Nikula (7):
      Merge drm/drm-next into drm-intel-next
      drm/i915/hdcp: add intel_dp_hdcp.h and rename init accordingly
      drm/i915/display: move crtc and dpll declarations where they belong
      drm/i915/backlight: clean up backlight device register
      drm/i915/backlight: use unique backlight device names
      drm/i915/audio: simplify, don't mask out in all branches
      drm/i915/audio: fix indentation, remove extra braces

Jason Ekstrand (1):
      drm/i915/pm: Make the wm parameter of print_wm_latency a pointer

José Roberto de Souza (25):
      drm/i915: Skip display interruption setup when display is not available
      drm/i915: Do not set any power wells when there is no display
      drm/i915: skip display initialization when there is no display
      drm/i915/display: Defeature PSR2 for RKL and ADL-S
      drm/i915/display: Implement Wa_14013723622
      Revert "drm/i915/tgl/psr: Fix glitches when doing frontbuffer modifications"
      drm/i915/display/psr: Fix cppcheck warnings
      drm/i915/display/xelpd: Do not program EDP_Y_COORDINATE_ENABLE
      drm: Rename DP_PSR_SELECTIVE_UPDATE to better mach eDP spec
      drm/i915/display: Disable PSR2 sel fetch in TGL pre-production
      drm/i915/xelpd: Fallback to plane stride limitations when using DPT
      drm/i915/adl_p: Add stride restriction when using DPT
      drm/i915/display: Fill PSR state during hardware configuration read out
      drm/i915/display: Replace intel_psr_enabled() calls by intel_crtc_state check
      drm/i915/display: Drop duplicated code in intel_dp_set_infoframes()
      drm/i915/display: Drop dead code from hsw_read_infoframe()
      drm/i915/display/xelpd: Implement Wa_14013475917
      drm/i915/xelpd: Provide port/phy mapping for vbt
      drm/i915/display/tc: Rename safe_mode functions ownership
      drm/i915/adl_p: Enable modular fia
      drm/i915/adl_p: Add IPs stepping mapping
      drm/i915/adl_p: Implement Wa_22011091694
      drm/i915/display/adl_p: Implement Wa_22011320316
      drm/i915/adl_p: Disable CCS on a-step (Wa_22011186057)
      drm/i915: Initialize err in remap_io_sg()

Juha-Pekka Heikkilä (1):
      drm/i915/xelpd: Support 128k plane stride

Kai-Heng Feng (1):
      drm/i915/dp: Use slow and wide link training for everything

Lucas De Marchi (16):
      drm/i915/display: use DISPLAY_VER() on remaining users
      drm/i915: rename display.version to display.ver
      drm/i915/display: rename display version macros
      drm/i915: add macros for graphics and media versions
      drm/i915/gt: replace gen use in intel_engine_cs
      drm/i915/selftests: replace unused mask with simple version
      drm/i915/selftests: eliminate use of gen_mask
      drm/i915: finish removal of gen_mask
      drm/i915: eliminate remaining uses of intel_device_info->gen
      drm/i915: finish removal of gen from intel_device_info
      drm/i915: add media and display versions to device_info print
      drm/i915: split dgfx features from gen 12
      drm/i915/display: move vbt check to intel_ddi_init()
      drm/i915/display: remove FIXME comment for intended feature
      drm/i915/display: remove strap checks from gen 9
      drm/i915/display: hide workaround for broken vbt in intel_bios.c

Lyude Paul (1):
      drm/i915/dpcd_bl: Don't try vesa interface unless specified by VBT

Matt Roper (11):
      drm/i915/display: Eliminate IS_GEN9_{BC,LP}
      drm/i915/display: Eliminate IS_GEN9_{BC,LP}
      drm/i915/xelpd: add XE_LPD display characteristics
      drm/i915/xelpd: Handle proper AUX interrupt bits
      drm/i915/xelpd: Define plane capabilities
      drm/i915/xelpd: Add XE_LPD power wells
      drm/i915/xelpd: Required bandwidth increases when VT-d is active
      drm/i915/xelpd: Add Wa_14011503030
      drm/i915/xelpd: Handle new location of outputs D and E
      drm/i915/xelpd: Increase maximum watermark lines to 255
      drm/i915/adl_p: Extend PLANE_WM bits for blocks & lines

Mika Kahola (1):
      drm/i915/adl_p: Enable/disable loadgen sharing

Rodrigo Vivi (3):
      Merge tag 'topic/intel-gen-to-ver-2021-04-19' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next
      drm/i915/dmc: Let's abstract the dmc path.
      Merge drm/drm-next into drm-intel-next

Simon Rettberg (1):
      drm/i915/gt: Disable HiZ Raw Stall Optimization on broken gen7

Takashi Iwai (1):
      drm/i915: Fix invalid access to ACPI _DSM objects

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Enable OA formats for ADL_P

Vandita Kulkarni (3):
      drm/i915/display/dsc: Refactor intel_dp_dsc_compute_bpp
      drm/i915/xelpd: Support DP1.4 compression BPPs
      drm/i915: Get slice height before computing rc params

Ville Syrjälä (33):
      drm/i915: Split out glk_plane_min_cdclk()
      drm/i915: Update plane ratio for icl+
      drm/i915: Don't zero out the Y plane's watermarks
      drm/i915: Restore lost glk FBC 16bpp w/a
      drm/i915: Restore lost glk ccs w/a
      drm/i915: Disable LTTPR detection on GLK once again
      drm/i915: Don't use {skl, cnl}_hpd_pin() for bxt/glk
      drm/i915: Remove a few redundant glk checks
      drm/i915: Extract intel_adjusted_rate()
      drm/i915: Reuse intel_adjusted_rate() for pfit pixel rate adjustment
      drm/i915: Collect dbuf device info into a sub-struct
      drm/i915: Handle dbuf bypass path allocation earlier
      drm/i915: Store dbuf slice mask in device info
      drm/i915: Use intel_dbuf_slice_size()
      drm/i915: Use intel_de_rmw() for DBUF_POWER_REQUEST
      drm/i915: Polish for_each_dbuf_slice()
      drm/i915: Add enabledisable()
      drm/i915: Say "enable foo" instead of "set foo to enabled"
      drm/i915: Fix pre-skl DP AUX precharge length
      drm/i915: Remove stray newlines
      drm/i915: Stop using crtc->index as the pipe
      drm/i915: Add frontbuffer tracking tracepoints
      drm/i915: Don't include intel_de.h from intel_display_types.h
      drm/i915: Include intel_de_{read,write}_fw() in i915_reg_rw traces
      drm/i915: Extract some helpers to compute cdclk register values
      drm/i915: Use intel_de_rmw() in bdw cdclk programming
      drm/i915: Use intel_de_rmw() in skl cdclk programming
      drm/i915: Use intel_de_rmw() in bxt/glk/cnl+ cdclk programming
      drm/i915: Use intel_de_wait_for_*() in cnl+ cdclk programming
      drm/i915: Nuke display error state
      drm/i915: Reorder skl+ scaler vs. plane updates
      drm/i915/xelpd: First stab at DPT support
      drm/i915: Move intel_modeset_all_pipes()

Werner Sembach (3):
      drm/i915/display: New function to avoid duplicate code in upcomming commits
      drm/i915/display: Restructure output format computation for better expandability
      drm/i915/display: Use YCbCr420 as fallback when RGB fails

Xuezhi Zhang (1):
      drm/i915/sysfs: convert snprintf to sysfs_emit

zuoqilin (1):
      drm/i915/dsi: Fix comment typo

 arch/x86/kernel/early-quirks.c                     |   1 +
 drivers/gpu/drm/i915/display/g4x_dp.c              |   1 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |   1 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |   4 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   6 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   2 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  43 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   4 +
 drivers/gpu/drm/i915/display/intel_audio.c         |  32 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  77 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |  22 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 367 ++++-----
 drivers/gpu/drm/i915/display/intel_color.c         |   7 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |   1 +
 drivers/gpu/drm/i915/display/intel_crt.c           |   8 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |   4 +-
 drivers/gpu/drm/i915/display/intel_crtc.h          |   3 +
 drivers/gpu/drm/i915/display/intel_csr.c           |  30 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |   1 +
 drivers/gpu/drm/i915/display/intel_ddi.c           | 109 ++-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |  11 +-
 drivers/gpu/drm/i915/display/intel_de.h            |  41 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 885 +++++++++++++--------
 drivers/gpu/drm/i915/display/intel_display.h       |  31 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   9 +-
 drivers/gpu/drm/i915/display/intel_display_power.c | 551 ++++++++++++-
 drivers/gpu/drm/i915/display/intel_display_power.h |  11 +
 drivers/gpu/drm/i915/display/intel_display_types.h |  26 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 194 ++---
 drivers/gpu/drm/i915/display/intel_dp.h            |   3 -
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  23 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   2 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |   8 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.h       |  15 +
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   2 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   9 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   1 +
 drivers/gpu/drm/i915/display/intel_dpll.c          |   9 +-
 drivers/gpu/drm/i915/display/intel_dpll.h          |   5 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   8 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   1 +
 drivers/gpu/drm/i915/display/intel_dsi.h           |   1 +
 drivers/gpu/drm/i915/display/intel_dvo.c           |   1 +
 drivers/gpu/drm/i915/display/intel_fb.c            | 102 +--
 drivers/gpu/drm/i915/display/intel_fb.h            |   6 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  28 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |   1 +
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |   5 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   5 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |  16 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  10 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          | 150 ++--
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  12 +
 drivers/gpu/drm/i915/display/intel_lspcon.c        |   1 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |   3 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |  11 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  67 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |  56 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |  20 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  95 ++-
 drivers/gpu/drm/i915/display/intel_psr.h           |   3 +
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   2 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |   1 +
 drivers/gpu/drm/i915/display/intel_tc.c            |  45 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   7 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  45 +-
 drivers/gpu/drm/i915/display/intel_vdsc.h          |   2 +
 drivers/gpu/drm/i915/display/intel_vrr.c           |   1 +
 drivers/gpu/drm/i915/display/skl_scaler.c          |   1 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c | 184 +++--
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  79 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |  22 +-
 drivers/gpu/drm/i915/gt/gen7_renderclear.c         |   5 +-
 drivers/gpu/drm/i915/gt/gen8_ppgtt.h               |   7 +
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  40 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   7 +-
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   5 +
 drivers/gpu/drm/i915/gt/selftest_engine_cs.c       |  18 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |  10 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.c |   1 -
 drivers/gpu/drm/i915/i915_drv.c                    |  42 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  51 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   6 -
 drivers/gpu/drm/i915/i915_gpu_error.h              |   2 -
 drivers/gpu/drm/i915/i915_irq.c                    |  77 +-
 drivers/gpu/drm/i915/i915_mm.c                     |   2 +-
 drivers/gpu/drm/i915/i915_params.h                 |   1 -
 drivers/gpu/drm/i915/i915_pci.c                    |  52 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   1 +
 drivers/gpu/drm/i915/i915_reg.h                    |  51 +-
 drivers/gpu/drm/i915/i915_suspend.c                |   6 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |  30 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  39 +
 drivers/gpu/drm/i915/i915_utils.h                  |   5 +
 drivers/gpu/drm/i915/i915_vma_types.h              |   1 -
 drivers/gpu/drm/i915/intel_device_info.c           |   7 +-
 drivers/gpu/drm/i915/intel_device_info.h           |  13 +-
 drivers/gpu/drm/i915/intel_pch.c                   |   6 +-
 drivers/gpu/drm/i915/intel_pch.h                   |   1 +
 drivers/gpu/drm/i915/intel_pm.c                    | 128 +--
 drivers/gpu/drm/i915/intel_step.c                  |  12 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   8 +-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   8 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   2 +-
 include/drm/drm_dp_helper.h                        |  16 +-
 include/drm/i915_pciids.h                          |  23 +
 107 files changed, 2805 insertions(+), 1429 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_hdcp.h

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-04-01  9:06 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2021-04-01  9:06 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: , dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

The final drm-intel-next feature pull for v5.13. Or, truthfully, a pull
request of refactoring both to clean up and prepare for future.

Joonas will still send a drm-intel-gt-next pull request, probably next
week due to easter holidays.

We'll do backmerges to sync up after everything's in drm-next.


BR,
Jani.


drm-intel-next-2021-04-01:
Features:
- Add support for FBs requiring a power-of-two stride padding (Imre)

Refactoring:
- Disassociate display version from gen (Matt)
- Refactor legacy DP and HDMI code to separate files (Ville)
- Refactor FB plane code to a separate file (Imre)
- Refactor VBT child device info parsing and usage (Jani)
- Refactor KBL/TGL/ADL-S display and gt stepping schemes (Jani)

Fixes:
- DP Link-Training Tunable PHY Repeaters (LTTPR) fixes (Imre)
- HDCP fixes (Anshuman)
- DP 2.0 HDMI 2.1 PCON Fixed Rate Link (FRL) fixes (Ankit)
- Set HDA link parameters in driver (Kai)
- Fix enabled_planes bitmask (Ville)
- Fix transposed arguments to skl_plane_wm_level() (Ville)
- Stop adding planes to the commit needlessly (Ville)

BR,
Jani.

The following changes since commit 2b25fb31a38d4fe8e745754036052ef8b16fe712:

  Merge tag 'gvt-next-2021-03-16' of https://github.com/intel/gvt-linux into drm-intel-next (2021-03-16 13:42:33 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-04-01

for you to fetch changes up to 81f1f8f1e1489c0bf051d5241ec10da07869b911:

  drm/i915: Fix docbook header for __intel_runtime_pm_get_if_active() (2021-03-31 16:57:33 +0300)

----------------------------------------------------------------
Features:
- Add support for FBs requiring a power-of-two stride padding (Imre)

Refactoring:
- Disassociate display version from gen (Matt)
- Refactor legacy DP and HDMI code to separate files (Ville)
- Refactor FB plane code to a separate file (Imre)
- Refactor VBT child device info parsing and usage (Jani)
- Refactor KBL/TGL/ADL-S display and gt stepping schemes (Jani)

Fixes:
- DP Link-Training Tunable PHY Repeaters (LTTPR) fixes (Imre)
- HDCP fixes (Anshuman)
- DP 2.0 HDMI 2.1 PCON Fixed Rate Link (FRL) fixes (Ankit)
- Set HDA link parameters in driver (Kai)
- Fix enabled_planes bitmask (Ville)
- Fix transposed arguments to skl_plane_wm_level() (Ville)
- Stop adding planes to the commit needlessly (Ville)

----------------------------------------------------------------
Ankit Nautiyal (3):
      drm/i915/display: Remove FRL related code from disable DP sequence for older platforms
      drm/dp_helper: Define options for FRL training for HDMI2.1 PCON
      drm/i915/display: Configure HDMI2.1 Pcon for FRL only if Src-Ctl mode is available

Anshuman Gupta (6):
      drm/i915/hdcp: mst streams type1 capability check
      drm/i915/hdcp: HDCP2.2 MST Link failure recovery
      drm/i915/hdcp: link hdcp2 recovery on link enc stopped
      drm/i915/hdcp: return correct error code
      drm/i915/hdcp: Add DP HDCP2.2 timeout to read entire msg
      drm/hdcp: DP HDCP2.2 errata LC_Send_L_Prime=16

Bhaskar Chowdhury (1):
      drm/i915/display: Fix a typo

Imre Deak (29):
      drm/i915/ilk-glk: Fix link training on links with LTTPRs
      drm/i915: Disable LTTPR support when the DPCD rev < 1.4
      drm/i915: Disable LTTPR support when the LTTPR rev < 1.4
      drm/i915: Fix the GT fence revocation runtime PM logic
      drm/i915: Fix rotation setup during plane HW readout
      drm/i915/selftest: Fix error handling in igt_vma_remapped_gtt()
      drm/i915/selftest: Fix debug message in igt_vma_remapped_gtt()
      drm/i915: Make sure i915_ggtt_view is inited when creating an FB
      drm/i915/selftest: Make sure to init i915_ggtt_view in igt_vma_rotate_remap()
      drm/i915/intel_fb: Pull FB plane functions from intel_display_types.h
      drm/i915/intel_fb: Pull FB plane functions from skl_universal_plane.c
      drm/i915/intel_fb: Pull is_surface_linear() from intel_display.c/skl_universal_plane.c
      drm/i915/intel_fb: Pull FB plane functions from intel_sprite.c
      drm/i915/intel_fb: Pull FB plane functions from intel_display.c
      drm/i915/intel_fb: Unexport intel_fb_check_stride()
      drm/i915/intel_fb: s/dev_priv/i915/
      drm/i915/intel_fb: Factor out convert_plane_offset_to_xy()
      drm/i915/intel_fb: Factor out calc_plane_aligned_offset()
      drm/i915/intel_fb: Factor out calc_plane_normal_size()
      drm/i915: Unify the FB and plane state view information into one struct
      drm/i915: Store the normal view FB pitch in FB's intel_fb_view
      drm/i915: Simplify copying the FB view state to the plane state
      drm/i915/intel_fb: Factor out calc_plane_remap_info()
      drm/i915: Shrink the size of intel_remapped_plane_info struct
      drm/i915/selftest: Unify use of intel_remapped_plane_info in igt_vma_rotate_remap()
      drm/i915: s/stride/src_stride/ in the intel_remapped_plane_info struct
      drm/i915: Add support for FBs requiring a POT stride alignment
      drm/i915/selftest: Add remap/rotate vma subtests when dst_stride!=width/height
      drm/i915: Fix docbook header for __intel_runtime_pm_get_if_active()

Jani Nikula (23):
      drm/i915: remove unused ADLS_REVID_* macros
      drm/i915/bios: mass convert dev_priv to i915
      drm/i915/bios: store bdb version in i915
      drm/i915/bios: reduce indent in sanitize_ddc_pin and sanitize_aux_ch
      drm/i915/bios: move aux ch and ddc checks at a lower level
      drm/i915/bios: limit default outputs by platform on missing VBT
      drm/i915/bios: limit default outputs to ports A through F
      drm/i915/bios: create fake child devices on missing VBT
      drm/i915/bios: rename display_device_data to intel_bios_encoder_data
      drm/i915/bios: add i915 backpointer to intel_bios_encoder_data
      drm/i915/bios: add helper functions to check output support
      drm/i915/bios: save a higher level pointer in ddi_vbt_port_info[]
      drm/i915/bios: start using the intel_bios_encoder_data directly
      drm/i915/bios: start using intel_bios_encoder_data for Type-C USB and TBT
      drm/i915/bios: add intel_bios_encoder_data to encoder, use for iboost
      drm/i915/dsc: fix DSS CTL register usage for ICL DSI transcoders
      drm/i915: split out stepping info to a new file
      drm/i915: add new helpers for accessing stepping info
      drm/i915: switch KBL to the new stepping scheme
      drm/i915: switch TGL and ADL to the new stepping scheme
      drm/i915: rename DISP_STEPPING->DISPLAY_STEP and GT_STEPPING->GT_STEP
      drm/i915: rename disp_stepping->display_step and gt_stepping->gt_step
      drm/i915: rename i915_rev_steppings->intel_step_info

Kai Vehmanen (1):
      drm/i915/audio: set HDA link parameters in driver

Matt Roper (6):
      drm/i915/display: Convert gen5/gen6 tests to IS_IRONLAKE/IS_SANDYBRIDGE
      drm/i915: Add DISPLAY_VER() and related macros
      drm/i915/display: Eliminate most usage of INTEL_GEN()
      drm/i915: Convert INTEL_GEN() to DISPLAY_VER() as appropriate in intel_pm.c
      drm/i915: Convert INTEL_GEN() to DISPLAY_VER() as appropriate in i915_irq.c
      drm/i915/display: Simplify GLK display version tests

Ville Syrjälä (10):
      drm/i915: Remove dead TPS3->TPS2 fallback code
      drm/i915: Remove dead signal level debugs
      drm/i915: Relocate intel_dp_program_link_training_pattern()
      drm/i915: Split intel_ddi_encoder_reset() from intel_dp_encoder_reset()
      drm/i915: Introduce g4x_dp.c
      drm/i915: Introduce g4x_hdmi.c
      drm/i915: Give g4x_{dp,hdmi}.c g4x_ namespace
      drm/i915: Fix enabled_planes bitmask
      drm/i915: Fix transposed arguments to skl_plane_wm_level()
      drm/i915: Stop adding planes to the commit needlessly

Wan Jiabing (1):
      drm/i915: Remove repeated declaration

 drivers/gpu/drm/drm_dp_helper.c                    |   24 +-
 drivers/gpu/drm/i915/Makefile                      |    4 +
 drivers/gpu/drm/i915/display/g4x_dp.c              | 1432 ++++++++++++++++
 drivers/gpu/drm/i915/display/g4x_dp.h              |   30 +
 drivers/gpu/drm/i915/display/g4x_hdmi.c            |  616 +++++++
 drivers/gpu/drm/i915/display/g4x_hdmi.h            |   19 +
 drivers/gpu/drm/i915/display/i9xx_plane.c          |   77 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   14 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    7 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    5 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   48 +-
 drivers/gpu/drm/i915/display/intel_bios.c          | 1114 +++++++------
 drivers/gpu/drm/i915/display/intel_bios.h          |   17 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   10 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   66 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   32 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |    8 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   12 +-
 drivers/gpu/drm/i915/display/intel_crt.h           |    1 -
 drivers/gpu/drm/i915/display/intel_crtc.c          |   20 +-
 drivers/gpu/drm/i915/display/intel_csr.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |   29 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  143 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c |    4 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1160 ++-----------
 drivers/gpu/drm/i915/display/intel_display.h       |   14 -
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   47 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   54 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  100 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 1718 ++------------------
 drivers/gpu/drm/i915/display/intel_dp.h            |   11 -
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |   19 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |   84 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  108 +-
 .../gpu/drm/i915/display/intel_dp_link_training.h  |    5 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   20 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   22 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    4 +-
 drivers/gpu/drm/i915/display/intel_fb.c            |  962 +++++++++++
 drivers/gpu/drm/i915/display/intel_fb.h            |   54 +
 drivers/gpu/drm/i915/display/intel_fbc.c           |   68 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |    6 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |    8 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   54 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  647 +-------
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    3 -
 drivers/gpu/drm/i915/display/intel_lvds.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   12 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |   18 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |   16 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |    7 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   51 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   74 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |    1 -
 drivers/gpu/drm/i915/display/intel_tc.c            |    8 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    8 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   22 +-
 drivers/gpu/drm/i915/display/intel_vga.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_vrr.h           |    1 -
 drivers/gpu/drm/i915/display/skl_scaler.c          |    8 +-
 drivers/gpu/drm/i915/display/skl_universal_plane.c |  182 +--
 drivers/gpu/drm/i915/display/skl_universal_plane.h |    2 -
 drivers/gpu/drm/i915/gt/gen8_engine_cs.c           |    2 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   56 +-
 drivers/gpu/drm/i915/gt/intel_ggtt_fencing.c       |   13 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   55 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   16 +-
 drivers/gpu/drm/i915/i915_drv.c                    |    3 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  150 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   66 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    3 +-
 drivers/gpu/drm/i915/i915_vma_types.h              |   12 +-
 drivers/gpu/drm/i915/intel_device_info.c           |    2 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    6 +
 drivers/gpu/drm/i915/intel_pm.c                    |  146 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   29 +-
 drivers/gpu/drm/i915/intel_runtime_pm.h            |    5 +
 drivers/gpu/drm/i915/intel_step.c                  |  106 ++
 drivers/gpu/drm/i915/intel_step.h                  |   40 +
 drivers/gpu/drm/i915/selftests/i915_vma.c          |  219 ++-
 include/drm/drm_dp_helper.h                        |    6 +-
 include/drm/drm_hdcp.h                             |    5 +-
 85 files changed, 5466 insertions(+), 4826 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/g4x_dp.c
 create mode 100644 drivers/gpu/drm/i915/display/g4x_dp.h
 create mode 100644 drivers/gpu/drm/i915/display/g4x_hdmi.c
 create mode 100644 drivers/gpu/drm/i915/display/g4x_hdmi.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_fb.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_fb.h
 create mode 100644 drivers/gpu/drm/i915/intel_step.c
 create mode 100644 drivers/gpu/drm/i915/intel_step.h

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-03-16 16:24 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2021-03-16 16:24 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: , dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

drm-intel-next-2021-03-16:
Highlights:
- Alderlake S enabling, via topic branch (Aditya, Anusha, Caz, José, Lucas, Matt, Tejas)
- Refactor display code to shrink intel_display.c etc. (Dave)
- Support more gen 9 and Tigerlake PCH combinations (Lyude, Tejas)
- Add eDP MSO support (Jani)

Display:
- Refactor to support multiple PSR instances (Gwan-gyeong)
- Link training debug logging updates (Sean)
- Updates to eDP fixed mode handling (Jani)
- Disable PSR2 on JSL/EHL (Edmund)
- Support DDR5 and LPDDR5 for bandwidth computation (Clint, José)
- Update VBT DP max link rate table (Shawn)
- Disable the QSES check for HDCP2.2 over MST (Juston)
- PSR updates, refactoring, selective fetch (José, Gwan-gyeong)
- Display init sequence refactoring (Lucas)
- Limit LSPCON to gen 9 and 10 platforms (Ankit)
- Fix DDI lane polarity per VBT info (Uma)
- Fix HDMI vswing programming location in mode set (Ville)
- Various display improvements and refactorings and cleanups (Ville)
- Clean up DDI clock routing and readout (Ville)
- Workaround async flip + VT-d corruption on HSW/BDW (Ville)
- SAGV watermark fixes and cleanups (Ville)
- Silence pipe tracepoint WARNs (Ville)

Other:
- Remove require_force_probe protection from RKL, may need to be revisited (Tejas)
- Detect loss of MMIO access (Matt)
- GVT display improvements
- drm/i915: Disable runtime power management during shutdown (Imre)
- Perf/OA updates (Umesh)
- Remove references to struct drm_device.pdev, via topic branch (Thomas)
- Backmerge (Jani)

BR,
Jani.

The following changes since commit a38fd8748464831584a19438cbb3082b5a2dab15:

  Linux 5.12-rc2 (2021-03-05 17:33:41 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-03-16

for you to fetch changes up to 2b25fb31a38d4fe8e745754036052ef8b16fe712:

  Merge tag 'gvt-next-2021-03-16' of https://github.com/intel/gvt-linux into drm-intel-next (2021-03-16 13:42:33 +0200)

----------------------------------------------------------------
Highlights:
- Alderlake S enabling, via topic branch (Aditya, Anusha, Caz, José, Lucas, Matt, Tejas)
- Refactor display code to shrink intel_display.c etc. (Dave)
- Support more gen 9 and Tigerlake PCH combinations (Lyude, Tejas)
- Add eDP MSO support (Jani)

Display:
- Refactor to support multiple PSR instances (Gwan-gyeong)
- Link training debug logging updates (Sean)
- Updates to eDP fixed mode handling (Jani)
- Disable PSR2 on JSL/EHL (Edmund)
- Support DDR5 and LPDDR5 for bandwidth computation (Clint, José)
- Update VBT DP max link rate table (Shawn)
- Disable the QSES check for HDCP2.2 over MST (Juston)
- PSR updates, refactoring, selective fetch (José, Gwan-gyeong)
- Display init sequence refactoring (Lucas)
- Limit LSPCON to gen 9 and 10 platforms (Ankit)
- Fix DDI lane polarity per VBT info (Uma)
- Fix HDMI vswing programming location in mode set (Ville)
- Various display improvements and refactorings and cleanups (Ville)
- Clean up DDI clock routing and readout (Ville)
- Workaround async flip + VT-d corruption on HSW/BDW (Ville)
- SAGV watermark fixes and cleanups (Ville)
- Silence pipe tracepoint WARNs (Ville)

Other:
- Remove require_force_probe protection from RKL, may need to be revisited (Tejas)
- Detect loss of MMIO access (Matt)
- GVT display improvements
- drm/i915: Disable runtime power management during shutdown (Imre)
- Perf/OA updates (Umesh)
- Remove references to struct drm_device.pdev, via topic branch (Thomas)
- Backmerge (Jani)

----------------------------------------------------------------
Aditya Swarup (8):
      drm/i915/tgl: Use TGL stepping info for applying WAs
      drm/i915/adl_s: Configure DPLL for ADL-S
      drm/i915/adl_s: Configure Port clock registers for ADL-S
      drm/i915/adl_s: Initialize display for ADL-S
      drm/i915/adl_s: Add adl-s ddc pin mapping
      drm/i915/adl_s: Add vbt port and aux channel settings for adls
      drm/i915/adl_s: Add display WAs for ADL-S
      drm/i915/adl_s: Add GT and CTX WAs for ADL-S

Ankit Nautiyal (1):
      drm/i915: Fix HAS_LSPCON macro for platforms between GEN9 and GEN10

Anshuman Gupta (1):
      drm/i915/debugfs: HDCP capability enc NULL check

Anusha Srivatsa (4):
      drm/i915/adl_s: Add PCH support
      drm/i915/adl_s: Add Interrupt Support
      drm/i915/adl_s: Add PHYs for Alderlake S
      drm/i915/adl_s: Load DMC

Bhaskar Chowdhury (1):
      drm/i915/gvt: Fixed couple of spellings in the file gtt.c

Caz Yokoyama (2):
      drm/i915/adl_s: Add ADL-S platform info and PCI ids
      x86/gpu: Add Alderlake-S stolen memory support

Clint Taylor (1):
      drm/i915/display: support ddr5 mem types

Colin Ian King (1):
      drm/i915/display: fix spelling mistake "Couldnt" -> "Couldn't"

Colin Xu (2):
      drm/i915/gvt: Get accurate vGPU virtual display refresh rate from vreg
      drm/i915/gvt: Refactor GVT vblank emulator for vGPU virtual display

Dave Airlie (9):
      drm/i915: refactor ddi translations into a separate file (v2)
      drm/i915: migrate hsw fdi code to new file.
      drm/i915: migrate skl planes code new file (v5)
      drm/i915: move pipe update code into crtc. (v2)
      drm/i915: split fb scalable checks into g4x and skl versions
      drm/i915: move is_ccs_modifier to an inline
      drm/i915: migrate pll enable/disable code to intel_dpll.[ch]
      drm/i915: migrate i9xx plane get config
      drm/i915: refactor skylake scaler code into new file.

Edmund Dea (1):
      drm/i915/display: Remove PSR2 on JSL and EHL

Gwan-gyeong Mun (3):
      drm/i915/display: Support PSR Multiple Instances
      drm/i915/display: Support Multiple Transcoders' PSR status on debugfs
      drm/i915/display: Do not allow DC3CO if PSR SF is enabled

Imre Deak (3):
      drm/i915: Disable runtime power management during shutdown
      drm/i915/tgl+: Make sure TypeC FIA is powered up when initializing it
      drm/i915/tgl+: Sanitize the DDI LANES/IO and AUX power domain names

Jani Nikula (14):
      drm/i915/bios: tidy up child device debug logging
      Merge tag 'topic/adl-s-enabling-2021-02-01-1' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next
      Merge tag 'topic/drm-device-pdev-2021-02-02' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next
      drm/dp: add MSO related DPCD registers
      drm/i915/edp: reject modes with dimensions other than fixed mode
      drm/i915/edp: always add fixed mode to probed modes in ->get_modes()
      drm/i915/edp: read sink MSO configuration for eDP 1.4+
      drm/i915/reg: add stream splitter configuration definitions
      drm/i915/mso: add splitter state readout for platforms that support it
      drm/i915/mso: add splitter state check
      drm/i915/edp: modify fixed and downclock modes for MSO
      drm/i915/edp: enable eDP MSO during link training
      Merge drm/drm-next into drm-intel-next
      Merge tag 'gvt-next-2021-03-16' of https://github.com/intel/gvt-linux into drm-intel-next

José Roberto de Souza (9):
      drm/i915/display: Add HAS_D12_PLANE_MINIMIZATION
      drm/i915: Make psr_safest_params and enable_psr2_sel_fetch parameters read only
      drm/i915/display: Add DDR5 and LPDDR5 BW buddy page entries
      drm/i915: Remove dead code from skl_pipe_wm_get_hw_state()
      drm/i915/display: Allow PSR2 selective fetch to be enabled at run-time
      drm/i915/display: Rename for_each_intel_encoder.*_can_psr to for_each_intel_encoder.*_with_psr
      drm/i915/display: Only write to register in intel_psr2_program_trans_man_trk_ctl()
      drm/i915/display: Remove some redundancy around CAN_PSR()
      drm/i915/display: Set source_support even if panel do not support PSR

Juston Li (1):
      drm/i915/hdcp: disable the QSES check for HDCP2.2 over MST

Lee Shawn C (1):
      drm/i915/vbt: update DP max link rate table

Lucas De Marchi (6):
      drm/i915/adl_s: Add power wells
      drm/i915/display: fix comment on skl straps
      drm/i915: stop registering if drm_dev_register() fails
      drm/i915: group display-related register calls
      drm/i915/display: move register functions to display/
      drm/i915: move intel_init_audio_hooks inside display

Lyude Paul (5):
      drm/i915/gen9_bc: Recognize TGP PCH + CML combos
      drm/i915/gen9_bc: Introduce TGP PCH DDC pin mappings
      drm/i915/gen9_bc: Introduce HPD pin mappings for TGP PCH + CML combos
      drm/i915/gen9_bc: Add W/A for missing STRAP config on TGP PCH + CML combos
      drm/i915/icp+: Use icp_hpd_irq_setup() instead of spt_hpd_irq_setup()

Matt Roper (5):
      drm/i915/adl_s: Update combo PHY master/slave relationships
      drm/i915/adl_s: Update PHY_MISC programming
      drm/i915/adl_s: Re-use TGL GuC/HuC firmware
      drm/i915: FPGA_DBG is display-specific
      drm/i915: Try to detect sudden loss of MMIO access

Nathan Chancellor (1):
      drm/i915: Enable -Wuninitialized

Sean Paul (2):
      drm/i915/dp_link_training: Add newlines to debug messages
      drm/i915/dp_link_training: Convert DRM_DEBUG_KMS to drm_dbg_kms

Tejas Upadhyay (3):
      drm/i915/adl_s: Update memory bandwidth parameters
      drm/i915/rkl: Remove require_force_probe protection
      drm/i915/gen9bc: Handle TGP PCH during suspend/resume

Thomas Zimmermann (3):
      drm/i915: Remove references to struct drm_device.pdev
      drm/i915/gt: Remove references to struct drm_device.pdev
      drm/i915/gvt: Remove references to struct drm_device.pdev

Uma Shankar (1):
      drm/i915/display: Handle lane polarity for DDI port

Umesh Nerlige Ramappa (5):
      i915/perf: Store a mask of valid OA formats for a platform
      i915/perf: Move OA formats to single array
      i915/perf: Add additional OA formats for gen12
      i915/perf: Drop the check for report reason in OA
      i915/perf: Start hrtimer only if sampling the OA buffer

Ville Syrjälä (53):
      drm/i915: Skip vswing programming for TBT
      drm/i915: Extract intel_ddi_power_up_lanes()
      drm/i915: Power up combo PHY lanes for for HDMI as well
      drm/i915: Move HDMI vswing programming to the right place
      drm/i915: Don't check tc_mode unless dealing with a TC PHY
      drm/i915: Reject 446-480MHz HDMI clock on GLK
      drm/i915: Index min_{cdclk,voltage_level}[] with pipe
      drm/i915: Use intel_hdmi_port_clock() more
      drm/i915: Disallow plane x+w>stride on ilk+ with X-tiling
      drm/i915: Fix overlay frontbuffer tracking
      drm/i915: Warn when releasing a frontbuffer while in use
      drm/i915: Use intel_ddi_clk_select() for FDI
      drm/i915: Introduce .{enable,disable}_clock() encoder vfuncs
      drm/i915: Extract hsw_ddi_{enable,disable}_clock()
      drm/i915: Extract skl_ddi_{enable,disable}_clock()
      drm/i195: Extract cnl_ddi_{enable,disable}_clock()
      drm/i915: Convert DG1 over to .{enable,disable}_clock()
      drm/i915: Extract icl+ .{enable,disable}_clock() vfuncs
      drm/i915: Use intel_de_rmw() for DDI clock routing
      drm/i915: Sprinkle a few missing locks around shared DDI clock registers
      drm/i915: Sprinkle WARN(!pll) into icl/dg1 .clock_enable()
      drm/i915: Extract _cnl_ddi_{enable,disable}_clock()
      drm/i915: Split adl-s/rkl from icl_ddi_combo_{enable,disable}_clock()
      drm/i915: Use .disable_clock() for pll sanitation
      drm/i915: Relocate icl_sanitize_encoder_pll_mapping()
      drm/i915: s/dev_priv/i915/ for the remainder of DDI clock routing
      drm/i915: Nuke INTEL_OUTPUT_FORMAT_INVALID
      drm/i915: Wait for scanout to stop when sanitizing planes
      drm/i915: Readout conn_state->max_bpc
      drm/i915: Fix TGL+ plane SAGV watermark programming
      drm/i915: Zero out SAGV wm when we don't have enough DDB for it
      drm/i915: Print wm changes if sagv_wm0 changes
      drm/i915: Stuff SAGV watermark into a sub-structure
      drm/i915: Introduce SAGV transtion watermark
      drm/i915: Check tgl+ SAGV watermarks properly
      drm/i915: Clean up verify_wm_state()
      drm/i915: Move pipe enable/disable tracepoints to intel_crtc_vblank_{on,off}()
      drm/i915: Don't try to query the frame counter for disabled pipes
      drm/i915: Return zero as the scanline counter for disabled pipes
      drm/i915: Fix DSI TE max_vblank_count handling
      drm/i915: Call primary encoder's .get_config() from MST .get_config()
      drm/i915: Do intel_dpll_readout_hw_state() after encoder readout
      drm/i915: Use pipes instead crtc indices in PLL state tracking
      drm/i915: Move DDI clock readout to encoder->get_config()
      drm/i915: Add encoder->is_clock_enabled()
      drm/i915: Extend icl_sanitize_encoder_pll_mapping() to all DDI platforms
      drm/i915: Tolerate bogus DPLL selection
      drm/i915: Workaround async flip + VT-d corruption on HSW/BDW
      drm/i915: Tighten SAGV constraint for pre-tgl
      drm/i915: Check SAGV wm min_ddb_alloc rather than plane_res_b
      drm/i915: Calculate min_ddb_alloc for trans_wm
      drm/i915: Extract skl_check_wm_level() and skl_check_nv12_wm_level()
      drm/i915: s/plane_res_b/blocks/ etc.

 arch/x86/kernel/early-quirks.c                     |    1 +
 drivers/gpu/drm/i915/Makefile                      |    5 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |  123 +-
 drivers/gpu/drm/i915/display/i9xx_plane.h          |    4 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |   28 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  166 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |    2 +
 drivers/gpu/drm/i915/display/intel_bw.c            |   22 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   22 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |   23 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |    6 +-
 drivers/gpu/drm/i915/display/intel_crtc.c          |  276 +-
 drivers/gpu/drm/i915/display/intel_csr.c           |   12 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 2658 +++++++-------------
 drivers/gpu/drm/i915/display/intel_ddi.h           |   23 +-
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c | 1394 ++++++++++
 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h |  100 +
 drivers/gpu/drm/i915/display/intel_display.c       | 2637 ++-----------------
 drivers/gpu/drm/i915/display/intel_display.h       |   48 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  132 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  231 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |   32 +
 drivers/gpu/drm/i915/display/intel_display_types.h |  117 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  134 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |   31 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   17 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |    3 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          |  509 ++++
 drivers/gpu/drm/i915/display/intel_dpll.h          |   18 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   95 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    9 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |  138 +
 drivers/gpu/drm/i915/display/intel_fdi.h           |    3 +
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    2 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   67 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |    5 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    6 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |    2 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |    4 +-
 drivers/gpu/drm/i915/display/intel_pps.c           |    1 +
 drivers/gpu/drm/i915/display/intel_psr.c           |  611 +++--
 drivers/gpu/drm/i915/display/intel_psr.h           |   10 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        | 1718 +------------
 drivers/gpu/drm/i915/display/intel_sprite.h        |    7 -
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   27 +-
 drivers/gpu/drm/i915/display/intel_vga.c           |    8 +-
 drivers/gpu/drm/i915/display/skl_scaler.c          |  556 ++++
 drivers/gpu/drm/i915/display/skl_scaler.h          |   29 +
 drivers/gpu/drm/i915/display/skl_universal_plane.c | 2266 +++++++++++++++++
 drivers/gpu/drm/i915/display/skl_universal_plane.h |   37 +
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |    6 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    2 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |    2 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   10 +-
 drivers/gpu/drm/i915/gt/intel_ppgtt.c              |    2 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |    4 +-
 drivers/gpu/drm/i915/gt/intel_region_lmem.c        |    8 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |    6 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   68 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |    4 +-
 drivers/gpu/drm/i915/gvt/cfg_space.c               |    5 +-
 drivers/gpu/drm/i915/gvt/display.c                 |  107 +-
 drivers/gpu/drm/i915/gvt/display.h                 |   14 +-
 drivers/gpu/drm/i915/gvt/firmware.c                |   10 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   16 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |   31 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   13 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |  261 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |   37 -
 drivers/gpu/drm/i915/gvt/interrupt.h               |    7 -
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |    4 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    2 -
 drivers/gpu/drm/i915/i915_debugfs.c                |    2 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   84 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  130 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |    5 +-
 drivers/gpu/drm/i915/i915_getparam.c               |    5 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |    2 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  106 +-
 drivers/gpu/drm/i915/i915_params.h                 |    4 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   18 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   97 +-
 drivers/gpu/drm/i915/i915_perf_types.h             |    8 +
 drivers/gpu/drm/i915/i915_pmu.c                    |    2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   76 +-
 drivers/gpu/drm/i915/i915_suspend.c                |    4 +-
 drivers/gpu/drm/i915/i915_switcheroo.c             |    4 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    2 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   11 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    3 +-
 drivers/gpu/drm/i915/intel_dram.c                  |    6 +
 drivers/gpu/drm/i915/intel_pch.c                   |   11 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    3 +
 drivers/gpu/drm/i915/intel_pm.c                    |  422 ++--
 drivers/gpu/drm/i915/intel_pm.h                    |    5 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    2 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   20 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    2 +-
 include/drm/drm_dp_helper.h                        |    5 +
 include/drm/i915_pciids.h                          |   11 +
 107 files changed, 8885 insertions(+), 7172 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_ddi_buf_trans.h
 create mode 100644 drivers/gpu/drm/i915/display/skl_scaler.c
 create mode 100644 drivers/gpu/drm/i915/display/skl_scaler.h
 create mode 100644 drivers/gpu/drm/i915/display/skl_universal_plane.c
 create mode 100644 drivers/gpu/drm/i915/display/skl_universal_plane.h

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-01-29 22:53 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2021-01-29 22:53 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

On my last pull request I incorrectly stated that
Async flips were enabled for all ilk+ platforms, while it
was only on SKL. I'm sorry about that.

I hope there's still time to include a few changes including
the actual patches that make this statement true for 5.12.

Along with other fixes and clean-up as described below:

Here goes drm-intel-next-2021-01-29:
- WARN if plane src coords are too big (Ville)
- Prevent double YUV range correction on HDR planes (Andres)
- DP MST related Fixes (Sean, Imre)
- More clean-up around DRAM detection code (Jose)
- Actually async flips enable for all ilk+ platforms (Ville)

Sorry and Thanks,
Rodrigo.

The following changes since commit 784953a46589276b38d7e6dcb5ebf7e29db72ff1:

  drm/i915/display/vrr: Skip the VRR HW state readout on DSI transcoder (2021-01-26 16:34:53 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-01-29

for you to fetch changes up to 3b7bbb3619d2cc92f04ba10ad27d3b616aabf175:

  drm/i915/dp: Prevent setting the LTTPR LT mode if no LTTPRs are detected (2021-01-29 22:00:07 +0200)

----------------------------------------------------------------
- WARN if plane src coords are too big (Ville)
- Prevent double YUV range correction on HDR planes (Andres)
- DP MST related Fixes (Sean, Imre)
- More clean-up around DRAM detection code (Jose)
- Actually async flips enable for all ilk+ platforms (Ville)

----------------------------------------------------------------
Andres Calderon Jaramillo (1):
      drm/i915/display: Prevent double YUV range correction on HDR planes

Imre Deak (3):
      drm/dp/mst: Export drm_dp_get_vc_payload_bw()
      drm/i915: Fix the MST PBN divider calculation
      drm/i915/dp: Prevent setting the LTTPR LT mode if no LTTPRs are detected

José Roberto de Souza (3):
      drm/i915: Nuke not needed members of dram_info
      drm/i915/gen11+: Only load DRAM information from pcode
      drm/i915: Rename is_16gb_dimm to wm_lv_0_adjust_needed

Sean Paul (1):
      drm/i915/hdcp: Disable the QSES check for HDCP 1.4 over MST

Ville Syrjälä (6):
      drm/i915: WARN if plane src coords are too big
      drm/i915: Limit plane stride to below TILEOFF.x limit
      drm/i915: Implement async flips for bdw
      drm/i915: Implement async flip for ivb/hsw
      drm/i915: Implement async flip for ilk/snb
      drm/i915: Implement async flips for vlv/chv

 drivers/gpu/drm/drm_dp_mst_topology.c              |  24 ++-
 drivers/gpu/drm/i915/display/i9xx_plane.c          | 213 ++++++++++++++++++++-
 drivers/gpu/drm/i915/display/i9xx_plane.h          |   2 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |  80 +-------
 drivers/gpu/drm/i915/display/intel_display.c       |  16 +-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  12 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  36 ++--
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   4 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  98 ++++------
 drivers/gpu/drm/i915/i915_drv.c                    |   9 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   6 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  39 ++--
 drivers/gpu/drm/i915/i915_reg.h                    |   3 +
 drivers/gpu/drm/i915/intel_dram.c                  | 136 +++++++++----
 drivers/gpu/drm/i915/intel_pm.c                    |   2 +-
 include/drm/drm_dp_mst_helper.h                    |   1 +
 16 files changed, 434 insertions(+), 247 deletions(-)
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2021-01-27 14:08 Rodrigo Vivi
@ 2021-01-27 21:51 ` Ville Syrjälä
  0 siblings, 0 replies; 265+ messages in thread
From: Ville Syrjälä @ 2021-01-27 21:51 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: dim-tools, Daniel Vetter, intel-gfx, Sean Paul, dri-devel

On Wed, Jan 27, 2021 at 09:08:22AM -0500, Rodrigo Vivi wrote:
> Hi Dave and Daniel,
> 
> Hopefully this is the last pull request towards 5.12.
> 
> Please notice this contains a drm/framebuffer change needed for
> supporting clear color support for TGL Render Decompression.
> 
> Here goes drm-intel-next-2021-01-27:
> 
...
> - Async flips for all ilk+ platforms (Ville)

Not quite yet. Still missing one rb so couldn't push the full thing.
So still limited to skl+ I'm afraid.

-- 
Ville Syrjälä
Intel
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-01-27 14:08 Rodrigo Vivi
  2021-01-27 21:51 ` Ville Syrjälä
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2021-01-27 14:08 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

Hopefully this is the last pull request towards 5.12.

Please notice this contains a drm/framebuffer change needed for
supporting clear color support for TGL Render Decompression.

Here goes drm-intel-next-2021-01-27:

- HDCP 2.2 and HDCP 1.4 Gen12 DP MST support (Anshuman)
- Fix DP vswing settings and handling (Imre, Ville)
- Various display code clean-up (Jani, Ville)
- Various display refactoring, including split out of pps, aux, and fdi (Ja\
ni, Dave)
- Add DG1 missing workarounds (Jose)
- Fix display color conversion (Chris, Ville)
- Try to guess PCH type even without ISA bridge (Zhenyu)
- More backlight refactor (Lyude)
- Support two CSC module on gen11 and later (Lee)
- Async flips for all ilk+ platforms (Ville)
- Clear color support for TGL (RK)
- Add a helper to read data from a GEM object page (Imre)
- VRR/Adaptive Sync Enabling on DP/eDP for TGL+ (Manasi, Ville Aditya)

Thanks,
Rodrigo.

The following changes since commit fb5cfcaa2efbb4c71abb1dfbc8f4da727e0bfd89:

  Merge tag 'drm-intel-gt-next-2021-01-14' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2021-01-15 15:03:36 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-01-27

for you to fetch changes up to 784953a46589276b38d7e6dcb5ebf7e29db72ff1:

  drm/i915/display/vrr: Skip the VRR HW state readout on DSI transcoder (2021-01-26 16:34:53 -0800)

----------------------------------------------------------------
- HDCP 2.2 and HDCP 1.4 Gen12 DP MST support (Anshuman)
- Fix DP vswing settings and handling (Imre, Ville)
- Various display code clean-up (Jani, Ville)
- Various display refactoring, including split out of pps, aux, and fdi (Ja\
ni, Dave)
- Add DG1 missing workarounds (Jose)
- Fix display color conversion (Chris, Ville)
- Try to guess PCH type even without ISA bridge (Zhenyu)
- More backlight refactor (Lyude)
- Support two CSC module on gen11 and later (Lee)
- Async flips for all ilk+ platforms (Ville)
- Clear color support for TGL (RK)
- Add a helper to read data from a GEM object page (Imre)
- VRR/Adaptive Sync Enabling on DP/eDP for TGL+ (Manasi, Ville Aditya)

----------------------------------------------------------------
Aditya Swarup (1):
      drm/i915/display/dp: Attach and set drm connector VRR property

Anshuman Gupta (21):
      drm/i915/hdcp: Update CP property in update_pipe
      drm/i915/hdcp: Get conn while content_type changed
      drm/i915/hotplug: Handle CP_IRQ for DP-MST
      drm/i915/hdcp: No HDCP when encoder is't initialized
      drm/i915/hdcp: DP MST transcoder for link and stream
      drm/i915/hdcp: Move HDCP enc status timeout to header
      drm/i915/hdcp: HDCP stream encryption support
      drm/i915/hdcp: Configure HDCP1.4 MST steram encryption status
      drm/i915/hdcp: Enable Gen12 HDCP 1.4 DP MST support
      drm/i915/hdcp: Pass dig_port to intel_hdcp_init
      drm/i915/hdcp: Encapsulate hdcp_port_data to dig_port
      misc/mei/hdcp: Fix AUTH_STREAM_REQ cmd buffer len
      drm/hdcp: Max MST content streams
      drm/i915/hdcp: MST streams support in hdcp port_data
      drm/i915/hdcp: Pass connector to check_2_2_link
      drm/i915/hdcp: Add HDCP 2.2 stream register
      drm/i915/hdcp: Support for HDCP 2.2 MST shim callbacks
      drm/i915/hdcp: Configure HDCP2.2 MST steram encryption status
      drm/i915/hdcp: Enable HDCP 2.2 MST support
      drm/i915/hdcp: Fix WARN_ON(data->k > INTEL_NUM_PIPES)
      drm/i915/hdcp: Fix uninitialized symbol

Chris Wilson (1):
      drm/i915/display: Bitwise or the conversion colour specifier together

Dave Airlie (3):
      drm/i915: refactor some crtc code out of intel display. (v2)
      drm/i915: refactor pll code out into intel_dpll.c
      drm/i915: split fdi code out from intel_display.c

Imre Deak (3):
      drm/i915/dp: Move intel_dp_set_signal_levels() to intel_dp_link_training.c
      drm/i915/dp: Fix LTTPR vswing/pre-emp setting in non-transparent mode
      drm/i915/gem: Add a helper to read data from a GEM object page

Jani Nikula (20):
      drm/i915/display: remove useless use of inline
      drm/i915/display: fix the uint*_t types that have crept in
      drm/i915/pps: abstract panel power sequencer from intel_dp.c
      drm/i915/pps: rename pps_{,un}lock -> intel_pps_{,un}lock
      drm/i915/pps: rename intel_edp_backlight_* to intel_pps_backlight_*
      drm/i915/pps: rename intel_edp_panel_* to intel_pps_*
      drm/i915/pps: rename edp_panel_* to intel_pps_*_unlocked
      drm/i915/pps: abstract intel_pps_vdd_off_sync
      drm/i915/pps: add higher level intel_pps_init() call
      drm/i915/pps: abstract intel_pps_encoder_reset()
      drm/i915/pps: rename intel_dp_check_edp to intel_pps_check_power_unlocked
      drm/i915/pps: rename intel_power_sequencer_reset to intel_pps_reset_all
      drm/i915/pps: add locked intel_pps_wait_power_cycle
      drm/i915/pps: rename vlv_init_panel_power_sequencer to vlv_pps_init
      drm/i915/pps: rename intel_dp_init_panel_power_sequencer* functions
      drm/i915/pps: refactor init abstractions
      drm/i915/pps: move pps code over from intel_display.c and refactor
      drm/i915/dp: abstract struct intel_dp pps members to a sub-struct
      drm/i915/dp: split out aux functionality to intel_dp_aux.c
      drm/msm/dp: fix build after dp quirk helper change

José Roberto de Souza (1):
      drm/i915/dg1: Apply WA 1409120013 and 14011059788

Lee Shawn C (1):
      drm/i915: support two CSC module on gen11 and later

Lyude Paul (6):
      drm/i915: Pass port to intel_panel_bl_funcs.get()
      drm/i915: Keep track of pwm-related backlight hooks separately
      drm/i915/dp: Enable Intel's HDR backlight interface (only SDR for now)
      drm/i915/dp: Allow forcing specific interfaces through enable_dpcd_backlight
      drm/dp: Revert "drm/dp: Introduce EDID-based quirks"
      drm/i915/dp: Don't use DPCD backlights that need PWM enable/disable

Manasi Navare (9):
      drm/i915/display/vrr: Create VRR file and add VRR capability check
      drm/i915/display/dp: Compute VRR state in atomic_check
      drm/i915/display/dp: Do not enable PSR if VRR is enabled
      drm/i915/display/vrr: Configure and enable VRR in modeset enable
      drm/i915/display/vrr: Send VRR push to flip the frame
      drm/i915/display/vrr: Disable VRR in modeset disable path
      drm/i915/display/vrr: Set IGNORE_MSA_PAR state in DP Sink
      drm/i915/display: Add HW state readout for VRR
      drm/i915/display/vrr: Skip the VRR HW state readout on DSI transcoder

Radhakrishna Sripada (2):
      drm/framebuffer: Format modifier for Intel Gen 12 render compression with Clear Color
      drm/i915/tgl: Add Clear Color support for TGL Render Decompression

Rodrigo Vivi (1):
      Merge drm/drm-next into drm-intel-next

Ville Syrjälä (29):
      drm/i915: Drop one more useless master_transcoder assignment
      drm/i915: Only enable DFP 4:4:4->4:2:0 conversion when outputting YCbCr 4:4:4
      drm/i915: Disable TRAINING_PATTERN_SET before stopping the TPS transmission
      drm/i915: Fix the training pattern debug print
      drm/i915: Fix the PHY compliance test vs. hotplug mishap
      drm/i915: Drop redundant parens
      drm/i915: Generalize the async flip capability check
      drm/i915: Add plane vfuncs to enable/disable flip_done interrupt
      drm/i915: Move the async_flip bit setup into the .async_flip() hook
      drm/i915: Reuse the async_flip() hook for the async flip disable w/a
      drm/i915: Fix ICL MG PHY vswing handling
      drm/i915: Unify the sanity checks for the buf trans tables
      drm/i915: Store framestart_delay in dev_priv
      drm/i915: Extract intel_mode_vblank_start()
      drm/i915: Extract intel_crtc_scanlines_since_frame_timestamp()
      drm/i915/display: VRR + DRRS cannot be enabled together
      drm/i915: Rename VRR_CTL reg fields
      drm/i915/display: Helpers for VRR vblank min and max start
      drm/i915: Add vrr state dump
      drm/i915: Fix vblank timestamps with VRR
      drm/i915: Fix vblank evasion with vrr
      drm/i915: Extract intel_crtc_ddb_weight()
      drm/i915: Pass the crtc to skl_compute_dbuf_slices()
      drm/i915: Introduce intel_dbuf_slice_size()
      drm/i915: Introduce skl_ddb_entry_for_slices()
      drm/i915: Add pipe ddb entries into the dbuf state
      drm/i915: Extract intel_crtc_dbuf_weights()
      drm/i915: Encapsulate dbuf state handling harder
      drm/i915: Do a bit more initial readout for dbuf

Zhenyu Wang (1):
      drm/i915: Try to guess PCH type even without ISA bridge

 drivers/gpu/drm/drm_dp_helper.c                    |   83 +-
 drivers/gpu/drm/drm_dp_mst_topology.c              |    3 +-
 drivers/gpu/drm/i915/Makefile                      |    6 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    2 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   16 +
 drivers/gpu/drm/i915/display/intel_crtc.c          |  325 +++
 drivers/gpu/drm/i915/display/intel_crtc.h          |   22 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |   81 +-
 drivers/gpu/drm/i915/display/intel_ddi.h           |    6 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 2807 ++------------------
 drivers/gpu/drm/i915/display/intel_display.h       |    6 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |    9 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |    6 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  141 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 2315 +---------------
 drivers/gpu/drm/i915/display/intel_dp.h            |   10 +-
 drivers/gpu/drm/i915/display/intel_dp_aux.c        |  692 +++++
 drivers/gpu/drm/i915/display/intel_dp_aux.h        |   18 +
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |  297 ++-
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  186 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   38 +-
 .../gpu/drm/i915/display/intel_dp_link_training.h  |    3 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   12 +-
 drivers/gpu/drm/i915/display/intel_dpll.c          | 1363 ++++++++++
 drivers/gpu/drm/i915/display/intel_dpll.h          |   23 +
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_fdi.c           |  683 +++++
 drivers/gpu/drm/i915/display/intel_fdi.h           |   22 +
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  309 ++-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |    8 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   19 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  396 +--
 drivers/gpu/drm/i915/display/intel_panel.h         |    4 +
 drivers/gpu/drm/i915/display/intel_pps.c           | 1406 ++++++++++
 drivers/gpu/drm/i915/display/intel_pps.h           |   52 +
 drivers/gpu/drm/i915/display/intel_psr.c           |   12 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   67 +-
 drivers/gpu/drm/i915/display/intel_vrr.c           |  209 ++
 drivers/gpu/drm/i915/display/intel_vrr.h           |   33 +
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   65 +
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    8 +
 drivers/gpu/drm/i915/i915_drv.c                    |    1 +
 drivers/gpu/drm/i915/i915_drv.h                    |   20 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   81 +-
 drivers/gpu/drm/i915/i915_irq.h                    |    3 -
 drivers/gpu/drm/i915/i915_params.c                 |    2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   63 +-
 drivers/gpu/drm/i915/intel_pch.c                   |   39 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  554 ++--
 drivers/gpu/drm/i915/intel_pm.h                    |    7 +-
 drivers/gpu/drm/msm/dp/dp_ctrl.c                   |    6 +-
 drivers/misc/mei/hdcp/mei_hdcp.c                   |    3 +-
 include/drm/drm_dp_helper.h                        |   21 +-
 include/drm/drm_hdcp.h                             |    8 +-
 include/uapi/drm/drm_fourcc.h                      |   19 +
 56 files changed, 6978 insertions(+), 5616 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_crtc.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_crtc.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_aux.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_aux.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_dpll.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dpll.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_fdi.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_fdi.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_pps.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_pps.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_vrr.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_vrr.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-01-12 17:51 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2021-01-12 17:51 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

A very short collection of patches, mostly with display fixes. Plus GVT.
The goal is to get both drm-intel-next and drm-intel-gt-next in sync again
through drm-next backports so we can continue with ADL enabling in a topic
branch.

Please be aware that there's a drm only patch here:
commit 7d8ac172d7f1 ("drm: Add function to convert rect in 16.16 fixed format to regular format")

Here goes drm-intel-next-2021-01-12:
- PSR fixes and improvements for selective fetch (Jose)
- GVT build fixed and cleanup (Jani)
- RKL display fixes (Lee, Matt)
- DSI fix (Hans)
- Panel Power and Backlight fixes (Anshuman, Jani)
- RPM fix (Chris)
- Fix HTI port checking (Jose)
- Clean-up in cursor code (Ville)
- Once again, trying to use fast+narrow link on eDP (Ville)
- DG1 display fix (Matt)

Thanks,
Rodrigo.

The following changes since commit cb3cfbf79aff7decb4e5ee69a7c74864497f61dc:

  Merge tag 'drm-misc-next-2021-01-06' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2021-01-07 13:40:20 +0100)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-01-12

for you to fetch changes up to cce73665eae238791f4342b29ca54188227717c8:

  drm/i915/dg1: Update voltage swing tables for DP (2021-01-11 19:20:18 -0800)

----------------------------------------------------------------
- PSR fixes and improvements for selective fetch (Jose)
- GVT build fixed and cleanup (Jani)
- RKL display fixes (Lee, Matt)
- DSI fix (Hans)
- Panel Power and Backlight fixes (Anshuman, Jani)
- RPM fix (Chris)
- Fix HTI port checking (Jose)
- Clean-up in cursor code (Ville)
- Once again, trying to use fast+narrow link on eDP (Ville)
- DG1 display fix (Matt)

----------------------------------------------------------------
Anshuman Gupta (1):
      drm/i915/pps: Reuse POWER_DOMAIN_DISPLAY_CORE in pps_{lock, unlock}

Chris Wilson (1):
      drm/i915: Disable RPM wakeref assertions during driver shutdown

Hans de Goede (1):
      drm/i915/dsi: Use unconditional msleep for the panel_on_delay when there is no reset-deassert MIPI-sequence

Jani Nikula (10):
      drm/i915/gvt: avoid useless use of inline
      drm/i915/gvt: make execlist.h self-contained
      drm/i915/gvt: make fb_decoder.h self-contained
      drm/i915/gvt: make gtt.h self-contained
      drm/i915/gvt: make interrupt.h self-contained
      drm/i915/gvt: make mmio_context.h self-contained
      drm/i915/gvt: make gvt.h self-contained
      drm/i915/gvt: make scheduler.h self-contained
      drm/i915/gvt: make mpt.h self-contained
      drm/i915/backlight: fix CPU mode backlight takeover on LPT

José Roberto de Souza (5):
      drm: Add function to convert rect in 16.16 fixed format to regular format
      drm/i915/display/psr: Use plane damage clips to calculate damaged area
      drm/i915/display: Split and export main surface calculation from skl_check_main_surface()
      drm/i915/display/psr: Program plane's calculated offset to plane SF register
      drm/i915: Fix HTI port checking

Lee Shawn C (1):
      drm/i915/rkl: new rkl ddc map for different PCH

Matt Roper (2):
      drm/i915/rkl: Add DP vswing programming tables
      drm/i915/dg1: Update voltage swing tables for DP

Rodrigo Vivi (2):
      Merge tag 'gvt-next-fixes-2020-12-25' of https://github.com/intel/gvt-linux into drm-intel-next
      Merge drm/drm-next into drm-intel-next

Ville Syrjälä (2):
      drm/i915: Fix checkpatch warns in cursor code
      drm/i915: Try to use fast+narrow link on eDP again and fall back to the old max strategy on failure

 drivers/gpu/drm/i915/Makefile                      |  10 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  10 ++
 drivers/gpu/drm/i915/display/intel_cursor.c        |   6 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  79 ++++++++++++-
 drivers/gpu/drm/i915/display/intel_display.c       |  78 ++++++++-----
 drivers/gpu/drm/i915/display/intel_display.h       |   2 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   1 +
 drivers/gpu/drm/i915/display/intel_dp.c            |  83 +++++++++++---
 drivers/gpu/drm/i915/display/intel_panel.c         |   9 +-
 drivers/gpu/drm/i915/display/intel_psr.c           | 127 ++++++++++++++++++---
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   2 +
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  16 ++-
 drivers/gpu/drm/i915/gvt/execlist.h                |   3 -
 drivers/gpu/drm/i915/gvt/fb_decoder.h              |   6 +-
 drivers/gpu/drm/i915/gvt/gtt.h                     |  11 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   4 +
 drivers/gpu/drm/i915/gvt/handlers.c                |   3 +-
 drivers/gpu/drm/i915/gvt/interrupt.h               |   5 +-
 drivers/gpu/drm/i915/gvt/mmio_context.h            |  11 ++
 drivers/gpu/drm/i915/gvt/mpt.h                     |   2 +
 drivers/gpu/drm/i915/gvt/scheduler.h               |   5 +
 drivers/gpu/drm/i915/i915_drv.c                    |   4 +
 drivers/gpu/drm/i915/i915_reg.h                    |   3 +-
 include/drm/drm_rect.h                             |  13 +++
 24 files changed, 396 insertions(+), 97 deletions(-)
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2021-01-04 21:10 Rodrigo Vivi
@ 2021-01-07 12:02 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2021-01-07 12:02 UTC (permalink / raw)
  To: Rodrigo Vivi; +Cc: dim-tools, Daniel Vetter, dri-devel, Sean Paul, intel-gfx

On Mon, Jan 04, 2021 at 01:10:18PM -0800, Rodrigo Vivi wrote:
> Hi Dave and Daniel,
> 
> Happy New Year.
> 
> Here goes the first pull request targeting 5.12.
> 
> drm-intel-next-2021-01-04:
> - Display hotplug fix for gen2/gen3 (Chris)
> - Remove trailing semicolon (Tom)
> - Suppress display warnings for old ifwi presend on our CI (Chris)
> - OA/Perf related workaround (Lionel)
> - Replace I915_READ/WRITE per new uncore and display read/write functions (Jani)\
> .
> - PSR improvements (Jose)
> - HDR and other color changes on LSPCON (Uma, Ville)
> - FBC fixes for TGL (Uma)
> - Record plane update times for debugging (Chris)
> - Refactor panel backlight control functions (Dave)
> - Display power improvements (Imre)
> - Add VRR register definition (Manasi)
> - Atomic modeset improvements for bigjoiner pipes (Ville)
> - Switch off the scanout during driver unregister (Chris)
> - Clean-up DP's FEW enable (Manasi)
> - Fix VDSCP slice count (Manasi)
> - Fix and clean up around rc_model_size for DSC (Jani)
> - Remove Type-C noisy debug warn message (Sean)
> - Display HPD code clean-up (Ville)
> - Refactor Intel Display (Dave)
> - Start adding support for Intel's eDP backlight controls (Lyude)

Pulled, thanks.
-Daniel

> 
> Thanks,
> Rodrigo.
> 
> The following changes since commit b3bf99daaee96a141536ce5c60a0d6dba6ec1d23:
> 
>   drm/i915/display: Defer initial modeset until after GGTT is initialised (2020-11-26 11:01:52 +0000)
> 
> are available in the Git repository at:
> 
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-01-04
> 
> for you to fetch changes up to b3304591f14b437b6bccd8dbff06006c11837031:
> 
>   drm/i915/dp: Track pm_qos per connector (2020-12-30 21:22:55 +0000)
> 
> ----------------------------------------------------------------
> - Display hotplug fix for gen2/gen3 (Chris)
> - Remove trailing semicolon (Tom)
> - Suppress display warnings for old ifwi presend on our CI (Chris)
> - OA/Perf related workaround (Lionel)
> - Replace I915_READ/WRITE per new uncore and display read/write functions (Jani)\
> .
> - PSR improvements (Jose)
> - HDR and other color changes on LSPCON (Uma, Ville)
> - FBC fixes for TGL (Uma)
> - Record plane update times for debugging (Chris)
> - Refactor panel backlight control functions (Dave)
> - Display power improvements (Imre)
> - Add VRR register definition (Manasi)
> - Atomic modeset improvements for bigjoiner pipes (Ville)
> - Switch off the scanout during driver unregister (Chris)
> - Clean-up DP's FEW enable (Manasi)
> - Fix VDSCP slice count (Manasi)
> - Fix and clean up around rc_model_size for DSC (Jani)
> - Remove Type-C noisy debug warn message (Sean)
> - Display HPD code clean-up (Ville)
> - Refactor Intel Display (Dave)
> - Start adding support for Intel's eDP backlight controls (Lyude)
> 
> ----------------------------------------------------------------
> Chris Wilson (6):
>       Revert "drm/i915: re-order if/else ladder for hpd_irq_setup"
>       drm/i915/display: Suppress "Combo PHY A HW state changed unexpectedly"
>       drm/i915/display: Record the plane update times for debugging
>       drm/i915/gem: Spring clean debugfs
>       drm/i915: Disable outputs during unregister
>       drm/i915/dp: Track pm_qos per connector
> 
> Dave Airlie (6):
>       drm/i915: refactor panel backlight control functions. (v2)
>       drm/i915/display: move needs_modeset to an inline in header
>       drm/i915/display: move to_intel_frontbuffer to header
>       drm/i915/display: fix misused comma
>       drm/i915: refactor cursor code out of i915_display.c
>       drm/i915: refactor i915 plane code into separate file.
> 
> Imre Deak (10):
>       drm/i915: Use CRTC index consistently during getting/putting CRTC power domains
>       drm/i915: Factor out helpers to get/put a set of tracked power domains
>       drm/i915: Track power references taken for enabled CRTCs
>       drm/i915/ddi: Track power reference taken for encoder DDI IO use
>       drm/i915/ddi: Track power reference taken for encoder main lane AUX use
>       drm/i915: Track power reference taken for eDP VDD
>       drm/i915: Rename power_domains.wakeref to init_wakeref
>       drm/i915: Track power reference taken to disable power well functionality
>       drm/i915: Make intel_display_power_put_unchecked() an internal-only function
>       drm/i915/icl: Fix initing the DSI DSC power refcount during HW readout
> 
> Jani Nikula (15):
>       drm/i915/debugfs: remove RPS autotuning details from i915_rps_boost_info
>       drm/i915: remove last traces of I915_READ_FW() and I915_WRITE_FW()
>       drm/i915/cdclk: prefer intel_de_write() over I915_WRITE()
>       drm/i915/debugfs: remove the i915_cache_sharing debugfs file
>       drm/i915/debugfs: replace I915_READ() with intel_uncore_read()
>       drm/i915/suspend: replace I915_READ()/WRITE() with intel_de_read()/write()
>       drm/i915/pm: replace I915_READ()/WRITE() with intel_uncore_read()/write()
>       drm/i915/irq: replace I915_READ()/WRITE() with intel_uncore_read()/write()
>       drm/i915/gvt: replace I915_WRITE with intel_uncore_write
>       drm/i915: remove last traces of I915_READ(), I915_WRITE() and POSTING_READ()
>       drm/dsc: use rc_model_size from DSC config for PPS
>       drm/i915/dsc: configure hardware using specified rc_model_size
>       drm/i915/dsc: make rc_model_size an encoder defined value
>       drm/dsc: add helper for calculating rc buffer size from DPCD
>       drm/i915/bios: fill in DSC rc_model_size from VBT
> 
> José Roberto de Souza (1):
>       drm/i915/display/psr: Calculate selective fetch plane registers
> 
> Lionel Landwerlin (1):
>       drm/i915/perf: also include Gen11 in OATAILPTR workaround
> 
> Lyude Paul (5):
>       drm/i915/dp: Program source OUI on eDP panels
>       drm/i915: Rename pwm_* backlight callbacks to ext_pwm_*
>       drm/i915: Pass down brightness values to enable/disable backlight callbacks
>       drm/i915/dp: Rename eDP VESA backlight interface functions
>       drm/i915/dp: Add register definitions for Intel HDR backlight interface
> 
> Manasi Navare (3):
>       drm/i915: Add VRR_CTL_LINE_COUNT field to VRR_CTL register def
>       drm/i915/dp: No need to poll FEC Enable Live bit
>       drm/i915/display/dp: Compute the correct slice count for VDSC on DP
> 
> Sean Paul (1):
>       drm/i915/display/tc: Only WARN once for bogus tc port flag
> 
> Tom Rix (1):
>       drm/i915: remove trailing semicolon in macro definition
> 
> Uma Shankar (15):
>       drm/i915/display: Add HDR Capability detection for LSPCON
>       drm/i915/display: Enable HDR on gen9 devices with MCA Lspcon
>       drm/i915/display: Attach HDR property for capable Gen9 devices
>       drm/i915/display: Fixes quantization range for YCbCr output
>       drm/i915/display: Add a WARN for invalid output range and format
>       drm/i915/display: Attach content type property for LSPCON
>       drm/i915/display: Enable colorspace programming for LSPCON devices
>       drm/i915/display: Nuke bogus lspcon check
>       drm/i915/display: Enable HDR for Parade based lspcon
>       drm/i915/lspcon: Create separate infoframe_enabled helper
>       drm/i915/display: Implement infoframes readback for LSPCON
>       drm/i915/display: Implement DRM infoframe read for LSPCON
>       drm/i915/lspcon: Do not send DRM infoframes to non-HDMI sinks
>       drm/i915/display/tgl: Disable FBC with PSR2
>       Revert "drm/i915/display/fbc: Disable fbc by default on TGL"
> 
> Ville Syrjälä (6):
>       drm/i915: Split intel_attach_colorspace_property() into HDMI vs. DP variants
>       drm/i915: Track logically enabled planes for hw state
>       drm/i915: Add intel_atomic_add_affected_planes()
>       drm/i915: Properly flag modesets for all bigjoiner pipes
>       drm/i915: Call kill_bigjoiner_slave() earlier
>       drm/i915: Reduce duplicated switch cases in hpd code
> 
>  drivers/gpu/drm/drm_dsc.c                          |   30 +-
>  drivers/gpu/drm/i915/Makefile                      |    4 +-
>  drivers/gpu/drm/i915/display/i9xx_plane.c          |  704 ++++++++
>  drivers/gpu/drm/i915/display/i9xx_plane.h          |   24 +
>  drivers/gpu/drm/i915/display/icl_dsi.c             |    7 +-
>  drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    3 +
>  drivers/gpu/drm/i915/display/intel_bios.c          |   11 +-
>  drivers/gpu/drm/i915/display/intel_cdclk.c         |    7 +-
>  drivers/gpu/drm/i915/display/intel_combo_phy.c     |   20 +-
>  drivers/gpu/drm/i915/display/intel_connector.c     |   29 +-
>  drivers/gpu/drm/i915/display/intel_connector.h     |    3 +-
>  drivers/gpu/drm/i915/display/intel_cursor.c        |  806 +++++++++
>  drivers/gpu/drm/i915/display/intel_cursor.h        |   17 +
>  drivers/gpu/drm/i915/display/intel_ddi.c           |   83 +-
>  drivers/gpu/drm/i915/display/intel_display.c       | 1794 ++------------------
>  drivers/gpu/drm/i915/display/intel_display.h       |   13 +-
>  .../gpu/drm/i915/display/intel_display_debugfs.c   |  124 ++
>  .../gpu/drm/i915/display/intel_display_debugfs.h   |    3 +
>  drivers/gpu/drm/i915/display/intel_display_power.c |  127 +-
>  drivers/gpu/drm/i915/display/intel_display_power.h |   37 +-
>  drivers/gpu/drm/i915/display/intel_display_types.h |   57 +-
>  drivers/gpu/drm/i915/display/intel_dp.c            |   95 +-
>  .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |  115 +-
>  .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |   21 +-
>  drivers/gpu/drm/i915/display/intel_dvo.c           |    4 -
>  drivers/gpu/drm/i915/display/intel_fbc.c           |   19 +-
>  drivers/gpu/drm/i915/display/intel_hdmi.c          |   26 +-
>  drivers/gpu/drm/i915/display/intel_lspcon.c        |  162 +-
>  drivers/gpu/drm/i915/display/intel_lspcon.h        |   12 +
>  drivers/gpu/drm/i915/display/intel_panel.c         |  226 ++-
>  drivers/gpu/drm/i915/display/intel_psr.c           |   22 +-
>  drivers/gpu/drm/i915/display/intel_sprite.c        |   50 +-
>  drivers/gpu/drm/i915/display/intel_sprite.h        |   10 +
>  drivers/gpu/drm/i915/display/intel_tc.c            |    2 +-
>  drivers/gpu/drm/i915/display/intel_vdsc.c          |    4 +-
>  drivers/gpu/drm/i915/gvt/handlers.c                |    2 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                |  582 +------
>  drivers/gpu/drm/i915/i915_drv.c                    |    8 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |   41 +-
>  drivers/gpu/drm/i915/i915_irq.c                    |  382 ++---
>  drivers/gpu/drm/i915/i915_perf.c                   |    2 +-
>  drivers/gpu/drm/i915/i915_reg.h                    |    7 +-
>  drivers/gpu/drm/i915/i915_suspend.c                |   33 +-
>  drivers/gpu/drm/i915/intel_device_info.c           |    2 +-
>  drivers/gpu/drm/i915/intel_pm.c                    |  552 +++---
>  drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
>  drivers/gpu/drm/i915/intel_uncore.c                |    4 +-
>  drivers/gpu/drm/i915/intel_uncore.h                |    6 +-
>  include/drm/drm_dsc.h                              |    1 +
>  49 files changed, 3222 insertions(+), 3075 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/display/i9xx_plane.c
>  create mode 100644 drivers/gpu/drm/i915/display/i9xx_plane.h
>  create mode 100644 drivers/gpu/drm/i915/display/intel_cursor.c
>  create mode 100644 drivers/gpu/drm/i915/display/intel_cursor.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2021-01-04 21:10 Rodrigo Vivi
  2021-01-07 12:02 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2021-01-04 21:10 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

Happy New Year.

Here goes the first pull request targeting 5.12.

drm-intel-next-2021-01-04:
- Display hotplug fix for gen2/gen3 (Chris)
- Remove trailing semicolon (Tom)
- Suppress display warnings for old ifwi presend on our CI (Chris)
- OA/Perf related workaround (Lionel)
- Replace I915_READ/WRITE per new uncore and display read/write functions (Jani)\
.
- PSR improvements (Jose)
- HDR and other color changes on LSPCON (Uma, Ville)
- FBC fixes for TGL (Uma)
- Record plane update times for debugging (Chris)
- Refactor panel backlight control functions (Dave)
- Display power improvements (Imre)
- Add VRR register definition (Manasi)
- Atomic modeset improvements for bigjoiner pipes (Ville)
- Switch off the scanout during driver unregister (Chris)
- Clean-up DP's FEW enable (Manasi)
- Fix VDSCP slice count (Manasi)
- Fix and clean up around rc_model_size for DSC (Jani)
- Remove Type-C noisy debug warn message (Sean)
- Display HPD code clean-up (Ville)
- Refactor Intel Display (Dave)
- Start adding support for Intel's eDP backlight controls (Lyude)

Thanks,
Rodrigo.

The following changes since commit b3bf99daaee96a141536ce5c60a0d6dba6ec1d23:

  drm/i915/display: Defer initial modeset until after GGTT is initialised (2020-11-26 11:01:52 +0000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2021-01-04

for you to fetch changes up to b3304591f14b437b6bccd8dbff06006c11837031:

  drm/i915/dp: Track pm_qos per connector (2020-12-30 21:22:55 +0000)

----------------------------------------------------------------
- Display hotplug fix for gen2/gen3 (Chris)
- Remove trailing semicolon (Tom)
- Suppress display warnings for old ifwi presend on our CI (Chris)
- OA/Perf related workaround (Lionel)
- Replace I915_READ/WRITE per new uncore and display read/write functions (Jani)\
.
- PSR improvements (Jose)
- HDR and other color changes on LSPCON (Uma, Ville)
- FBC fixes for TGL (Uma)
- Record plane update times for debugging (Chris)
- Refactor panel backlight control functions (Dave)
- Display power improvements (Imre)
- Add VRR register definition (Manasi)
- Atomic modeset improvements for bigjoiner pipes (Ville)
- Switch off the scanout during driver unregister (Chris)
- Clean-up DP's FEW enable (Manasi)
- Fix VDSCP slice count (Manasi)
- Fix and clean up around rc_model_size for DSC (Jani)
- Remove Type-C noisy debug warn message (Sean)
- Display HPD code clean-up (Ville)
- Refactor Intel Display (Dave)
- Start adding support for Intel's eDP backlight controls (Lyude)

----------------------------------------------------------------
Chris Wilson (6):
      Revert "drm/i915: re-order if/else ladder for hpd_irq_setup"
      drm/i915/display: Suppress "Combo PHY A HW state changed unexpectedly"
      drm/i915/display: Record the plane update times for debugging
      drm/i915/gem: Spring clean debugfs
      drm/i915: Disable outputs during unregister
      drm/i915/dp: Track pm_qos per connector

Dave Airlie (6):
      drm/i915: refactor panel backlight control functions. (v2)
      drm/i915/display: move needs_modeset to an inline in header
      drm/i915/display: move to_intel_frontbuffer to header
      drm/i915/display: fix misused comma
      drm/i915: refactor cursor code out of i915_display.c
      drm/i915: refactor i915 plane code into separate file.

Imre Deak (10):
      drm/i915: Use CRTC index consistently during getting/putting CRTC power domains
      drm/i915: Factor out helpers to get/put a set of tracked power domains
      drm/i915: Track power references taken for enabled CRTCs
      drm/i915/ddi: Track power reference taken for encoder DDI IO use
      drm/i915/ddi: Track power reference taken for encoder main lane AUX use
      drm/i915: Track power reference taken for eDP VDD
      drm/i915: Rename power_domains.wakeref to init_wakeref
      drm/i915: Track power reference taken to disable power well functionality
      drm/i915: Make intel_display_power_put_unchecked() an internal-only function
      drm/i915/icl: Fix initing the DSI DSC power refcount during HW readout

Jani Nikula (15):
      drm/i915/debugfs: remove RPS autotuning details from i915_rps_boost_info
      drm/i915: remove last traces of I915_READ_FW() and I915_WRITE_FW()
      drm/i915/cdclk: prefer intel_de_write() over I915_WRITE()
      drm/i915/debugfs: remove the i915_cache_sharing debugfs file
      drm/i915/debugfs: replace I915_READ() with intel_uncore_read()
      drm/i915/suspend: replace I915_READ()/WRITE() with intel_de_read()/write()
      drm/i915/pm: replace I915_READ()/WRITE() with intel_uncore_read()/write()
      drm/i915/irq: replace I915_READ()/WRITE() with intel_uncore_read()/write()
      drm/i915/gvt: replace I915_WRITE with intel_uncore_write
      drm/i915: remove last traces of I915_READ(), I915_WRITE() and POSTING_READ()
      drm/dsc: use rc_model_size from DSC config for PPS
      drm/i915/dsc: configure hardware using specified rc_model_size
      drm/i915/dsc: make rc_model_size an encoder defined value
      drm/dsc: add helper for calculating rc buffer size from DPCD
      drm/i915/bios: fill in DSC rc_model_size from VBT

José Roberto de Souza (1):
      drm/i915/display/psr: Calculate selective fetch plane registers

Lionel Landwerlin (1):
      drm/i915/perf: also include Gen11 in OATAILPTR workaround

Lyude Paul (5):
      drm/i915/dp: Program source OUI on eDP panels
      drm/i915: Rename pwm_* backlight callbacks to ext_pwm_*
      drm/i915: Pass down brightness values to enable/disable backlight callbacks
      drm/i915/dp: Rename eDP VESA backlight interface functions
      drm/i915/dp: Add register definitions for Intel HDR backlight interface

Manasi Navare (3):
      drm/i915: Add VRR_CTL_LINE_COUNT field to VRR_CTL register def
      drm/i915/dp: No need to poll FEC Enable Live bit
      drm/i915/display/dp: Compute the correct slice count for VDSC on DP

Sean Paul (1):
      drm/i915/display/tc: Only WARN once for bogus tc port flag

Tom Rix (1):
      drm/i915: remove trailing semicolon in macro definition

Uma Shankar (15):
      drm/i915/display: Add HDR Capability detection for LSPCON
      drm/i915/display: Enable HDR on gen9 devices with MCA Lspcon
      drm/i915/display: Attach HDR property for capable Gen9 devices
      drm/i915/display: Fixes quantization range for YCbCr output
      drm/i915/display: Add a WARN for invalid output range and format
      drm/i915/display: Attach content type property for LSPCON
      drm/i915/display: Enable colorspace programming for LSPCON devices
      drm/i915/display: Nuke bogus lspcon check
      drm/i915/display: Enable HDR for Parade based lspcon
      drm/i915/lspcon: Create separate infoframe_enabled helper
      drm/i915/display: Implement infoframes readback for LSPCON
      drm/i915/display: Implement DRM infoframe read for LSPCON
      drm/i915/lspcon: Do not send DRM infoframes to non-HDMI sinks
      drm/i915/display/tgl: Disable FBC with PSR2
      Revert "drm/i915/display/fbc: Disable fbc by default on TGL"

Ville Syrjälä (6):
      drm/i915: Split intel_attach_colorspace_property() into HDMI vs. DP variants
      drm/i915: Track logically enabled planes for hw state
      drm/i915: Add intel_atomic_add_affected_planes()
      drm/i915: Properly flag modesets for all bigjoiner pipes
      drm/i915: Call kill_bigjoiner_slave() earlier
      drm/i915: Reduce duplicated switch cases in hpd code

 drivers/gpu/drm/drm_dsc.c                          |   30 +-
 drivers/gpu/drm/i915/Makefile                      |    4 +-
 drivers/gpu/drm/i915/display/i9xx_plane.c          |  704 ++++++++
 drivers/gpu/drm/i915/display/i9xx_plane.h          |   24 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |    7 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    3 +
 drivers/gpu/drm/i915/display/intel_bios.c          |   11 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |    7 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |   20 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |   29 +-
 drivers/gpu/drm/i915/display/intel_connector.h     |    3 +-
 drivers/gpu/drm/i915/display/intel_cursor.c        |  806 +++++++++
 drivers/gpu/drm/i915/display/intel_cursor.h        |   17 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |   83 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1794 ++------------------
 drivers/gpu/drm/i915/display/intel_display.h       |   13 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  124 ++
 .../gpu/drm/i915/display/intel_display_debugfs.h   |    3 +
 drivers/gpu/drm/i915/display/intel_display_power.c |  127 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |   37 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   57 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   95 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |  115 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |   21 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    4 -
 drivers/gpu/drm/i915/display/intel_fbc.c           |   19 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   26 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |  162 +-
 drivers/gpu/drm/i915/display/intel_lspcon.h        |   12 +
 drivers/gpu/drm/i915/display/intel_panel.c         |  226 ++-
 drivers/gpu/drm/i915/display/intel_psr.c           |   22 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   50 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |   10 +
 drivers/gpu/drm/i915/display/intel_tc.c            |    2 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    4 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  582 +------
 drivers/gpu/drm/i915/i915_drv.c                    |    8 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   41 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  382 ++---
 drivers/gpu/drm/i915/i915_perf.c                   |    2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |    7 +-
 drivers/gpu/drm/i915/i915_suspend.c                |   33 +-
 drivers/gpu/drm/i915/intel_device_info.c           |    2 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  552 +++---
 drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    4 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    6 +-
 include/drm/drm_dsc.h                              |    1 +
 49 files changed, 3222 insertions(+), 3075 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/i9xx_plane.c
 create mode 100644 drivers/gpu/drm/i915/display/i9xx_plane.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_cursor.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_cursor.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-09-18 17:30 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2020-09-18 17:30 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

here goes our last pull request targeting 5.10.

drm-intel-next-2020-09-17:
Driver Changes:

- Reduce INTEL_DISPLAY_ENABLED to just removed outputs treating it as disconnected (Ville)
- Introducing new AUX, DVO, and TC ports and refactoring code around hot plug interrupts for those. (Ville)
- Centralize PLL_ENABLE register lookup (Anusha)
- Improvements around DP downstream facing ports (DFP). (Ville)
- Enable YCbCr 444->420 conversion for HDMI DFPs. Ville
- Remove the old global state on Display's atomic modeset (Ville)
- Nuke force_min_cdclk_changed (Ville)
- Extend a TGL W/A to all SKUs and to RKL (Swathi)
drm-intel-next-2020-09-14-1:
GVT changes:

- Cleanup command access flag (Yan)
- New workaround cmd access fix (Colin)
- MIA reset state fix (Colin)

Cross-subsystem Changes:

- ACPI / LPSS: Cherry Trail PWM controller fixes for suspend/resume. (Hans)
- pwm lpss: changes for remove suspend/resume handers. (Hans)
- pwm crc: Fixes for better handling of pwm crcs. (Hans)

Driver Changes:

- HDCP improvements (Anshuman)
- Gen12 W/A addition and fixes (Clint, Jose)
- Spelling fixes (Colin)
- DRRS improvements (Jose)
- EHL and TGL voltage swing table fixes (Jose)
- Fix on returns and errors handling (Nathan)
- TGL PCI ID split for perf queries (Lionel)
- HDCP refactor with the addition of 1.4 support for MST connectors (Sean)
- Fix GLK display audio regression (Kai)
- More display refactor for better split away from gem (Jani)
- Honor the VBT PWM values for better backlight handling. (Hans)
- Disable all display features when no display and other changes around it (Jani)
- Some code clean up removing unused stuff (Ville)
- Some g4x+ changes for better sprite upscaling and color format (Ville)
drm-intel-next-2020-09-14:
UAPI Changes:

Cross-subsystem Changes:

Core Changes:

Driver Changes:
The following changes since commit 166774a2c2c6b82da5d984f587567071ff00c1f3:

  drm/i915: Fix slightly botched merge in __reloc_entry_gpu (2020-09-10 15:19:10 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-09-17

for you to fetch changes up to 8fea92536e3efff14fa4cde7ed37c595b40a52b5:

  drm/i915: Update DRIVER_DATE to 20200917 (2020-09-17 16:43:57 -0400)

----------------------------------------------------------------
Driver Changes:

- Reduce INTEL_DISPLAY_ENABLED to just removed outputs treating it as disconnected (Ville)
- Introducing new AUX, DVO, and TC ports and refactoring code around hot plug interrupts for those. (Ville)
- Centralize PLL_ENABLE register lookup (Anusha)
- Improvements around DP downstream facing ports (DFP). (Ville)
- Enable YCbCr 444->420 conversion for HDMI DFPs. Ville
- Remove the old global state on Display's atomic modeset (Ville)
- Nuke force_min_cdclk_changed (Ville)
- Extend a TGL W/A to all SKUs and to RKL (Swathi)

----------------------------------------------------------------
Anshuman Gupta (2):
      drm/i915/hdcp: Add update_pipe early return
      drm/i915/hdcp: No direct access to power_well desc

Anusha Srivatsa (1):
      drm/i915/pll: Centralize PLL_ENABLE register lookup

Chris Wilson (2):
      drm/i915: Initialise outparam for error return from wait_for_register
      drm/i915/selftests: Push the fake iommu device from the stack to data

Clint Taylor (1):
      drm/i915/gt: Implement WA_1406941453

Colin Ian King (1):
      drm/i915/vlv_dsi_pll: fix spelling mistake "Cant" -> "Can't"

Colin Xu (2):
      drm/i915/gvt: Add F_CMD_ACCESS for some GEN9 SKU WA MMIO access
      drm/i915/gvt: Init vreg GUC_STATUS to GS_MIA_IN_RESET

Hans de Goede (17):
      ACPI / LPSS: Resume Cherry Trail PWM controller in no-irq phase
      ACPI / LPSS: Save Cherry Trail PWM ctx registers only once (at activation)
      pwm: lpss: Fix off by one error in base_unit math in pwm_lpss_prepare()
      pwm: lpss: Add range limit check for the base_unit register value
      pwm: lpss: Add pwm_lpss_prepare_enable() helper
      pwm: lpss: Make pwm_lpss_apply() not rely on existing hardware state
      pwm: lpss: Remove suspend/resume handlers
      pwm: crc: Fix period / duty_cycle times being off by a factor of 256
      pwm: crc: Fix off-by-one error in the clock-divider calculations
      pwm: crc: Fix period changes not having any effect
      pwm: crc: Enable/disable PWM output on enable/disable
      pwm: crc: Implement apply() method to support the new atomic PWM API
      pwm: crc: Implement get_state() method
      drm/i915: panel: Add get_vbt_pwm_freq() helper
      drm/i915: panel: Honor the VBT PWM frequency for devs with an external PWM controller
      drm/i915: panel: Honor the VBT PWM min setting for devs with an external PWM controller
      drm/i915: panel: Use atomic PWM API for devs with an external PWM controller

Jani Nikula (7):
      drm/i915: split intel_modeset_init() pre/post gem init
      drm/i915: move more display related probe to intel_modeset_init_noirq()
      drm/i915: split out intel_modeset_driver_remove_nogem() and simplify
      drm/i915: remove the extra modeset init layer
      drm/i915: disable all display features when no display
      drm/i915: move gen4 GCDGMBUS save/restore to display save/restore
      drm/i915: move gmbus restore to i915_restore_display

José Roberto de Souza (7):
      drm/i915/display: Compute has_drrs after compute has_psr
      drm/i915/display: Disable DRRS when needed in fastsets
      drm/i915/display: Fix DRRS debugfs
      drm/i915/tgl: Fix stepping WA matching
      drm/i915/display/tgl: Use TGL DP tables for eDP ports without low power support
      drm/i915/display/ehl: Use EHL DP tables for eDP ports without low power support
      drm/i915/ehl: Update voltage swing table

Kai Vehmanen (1):
      drm/i915: fix regression leading to display audio probe failure on GLK

Lionel Landwerlin (1):
      drm/i915: break TGL pci-ids in GT 1 & 2

Nathan Chancellor (1):
      drm/i915/display: Ensure that ret is always initialized in icl_combo_phy_verify_state

Rodrigo Vivi (5):
      Merge drm/drm-next into drm-intel-next-queued
      Merge tag 'gvt-next-2020-09-10' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200914
      drm/i915: Update DRIVER_DATE to 20200914
      drm/i915: Update DRIVER_DATE to 20200917

Sean Paul (17):
      drm/i915: Fix sha_text population code
      drm/i915: Clear the repeater bit on HDCP disable
      drm/i915: WARN if HDCP signalling is enabled upon disable
      drm/i915: Intercept Aksv writes in the aux hooks
      drm/i915: Use the cpu_transcoder in intel_hdcp to toggle HDCP signalling
      drm/i915: Factor out hdcp->value assignments
      drm/i915: Protect workers against disappearing connectors
      drm/i915: Clean up intel_hdcp_disable
      drm/i915: Don't fully disable HDCP on a port if multiple pipes are using it
      drm/i915: Support DP MST in enc_to_dig_port() function
      drm/i915: Use ddi_update_pipe in intel_dp_mst
      drm/i915: Factor out HDCP shim functions from dp for use by dp_mst
      drm/i915: Plumb port through hdcp init
      drm/i915: Add connector to hdcp_shim->check_link()
      drm/mst: Add support for QUERY_STREAM_ENCRYPTION_STATUS MST sideband message
      drm/i915: Print HDCP version info for all connectors
      drm/i915: Add HDCP 1.4 support for MST connectors

Swathi Dhanavanthri (1):
      drm/i915/tgl, rkl: Make Wa_1606700617/22010271021 permanent

Ville Syrjälä (41):
      drm/i915: Nuke dpio_phy_iosf_port[]
      drm/i915: Kill unused savePCH_PORT_HOTPLUG
      drm/i915: Nuke the magic FBC_CONTROL save/restore
      drm/i915: Nuke MI_ARB_STATE save/restore
      drm/i915: Nuke CACHE_MODE_0 save/restore
      drm/i915: Fix g4x+ sprite dotclock limit for upscaling
      drm/i915: Use fb->format->is_yuv for the g4x+ sprite RGB vs. YUV check
      drm/i915: Reduce INTEL_DISPLAY_ENABLED to just removing the outputs
      drm/i915: Reduce INTEL_DISPLAY_ENABLED to just treat outputs as disconnected
      drm/i915: Add more AUX CHs to the enum
      drm/i915: Add PORT_{H,I} to intel_port_to_power_domain()
      drm/i915: Add AUX_CH_{H,I} power domain handling
      drm/i915: Add VBT DVO ports H and I
      drm/i915: Add VBT AUX CH H and I
      drm/i915: Nuke the redundant TC/TBT HPD bit defines
      drm/i915: Configure GEN11_{TBT,TC}_HOTPLUG_CTL for ports TC5/6
      drm/i915: Split icp_hpd_detection_setup() into ddi vs. tc parts
      drm/i915: Move hpd_pin setup to encoder init
      drm/i915: Introduce HPD_PORT_TC<n>
      drm/i915: Introduce intel_hpd_hotplug_irqs()
      drm/i915: Nuke pointless variable
      drm/dp: Dump downstream facing port caps
      drm/i915/lspcon: Do not send infoframes to non-HDMI sinks
      drm/dp: Define protocol converter DPCD registers
      drm/dp: Define more downstream facing port caps
      drm/i915: Reworkd DFP max bpc handling
      drm/dp: Add helpers to identify downstream facing port types
      drm/dp: Pimp drm_dp_downstream_max_bpc()
      drm/dp: Redo drm_dp_downstream_max_clock() as drm_dp_downstream_max_dotclock()
      drm/i915: Reworkd DP DFP clock handling
      drm/dp: Add drm_dp_downstream_{min,max}_tmds_clock()
      drm/i915: Deal with TMDS DFP clock limits
      drm/i915: Configure DP 1.3+ protocol converted HDMI mode
      drm/dp: Add drm_dp_downstream_mode()
      drm/i915: Handle downstream facing ports w/o EDID
      drm/i915: Extract intel_hdmi_has_audio()
      drm/i915: DP->HDMI TMDS clock limits vs. deep color
      drm/dp: Add helpers for DFP YCbCr 4:2:0 handling
      drm/i915: Do YCbCr 444->420 conversion via DP protocol converters
      drm/i915: Remove the old global state stuff
      drm/i915: Nuke force_min_cdclk_changed

Yan Zhao (4):
      drm/i915/gvt: rename F_IN_CTX flag to F_SR_IN_CTX
      drm/i915/gvt: remove flag F_CMD_ACCESSED
      drm/i915/gvt: add/modify interfaces for flag F_CMD_ACCESS
      drm/i915/gvt: remove F_CMD_ACCESS flag for some registers

 drivers/acpi/acpi_lpss.c                           |   22 +-
 drivers/gpu/drm/drm_dp_helper.c                    |  394 ++++++-
 drivers/gpu/drm/drm_dp_mst_topology.c              |  150 +++
 drivers/gpu/drm/drm_edid.c                         |   28 +
 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |    1 +
 drivers/gpu/drm/i915/display/intel_atomic.c        |   39 -
 drivers/gpu/drm/i915/display/intel_atomic.h        |    4 -
 drivers/gpu/drm/i915/display/intel_audio.c         |    5 -
 drivers/gpu/drm/i915/display/intel_bios.c          |   10 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |    1 -
 drivers/gpu/drm/i915/display/intel_crt.c           |    3 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |  190 +++-
 drivers/gpu/drm/i915/display/intel_ddi.h           |    2 +
 drivers/gpu/drm/i915/display/intel_display.c       |  134 ++-
 drivers/gpu/drm/i915/display/intel_display.h       |    6 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   36 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |    2 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   48 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 1078 +++++++-------------
 drivers/gpu/drm/i915/display/intel_dp.h            |   12 +
 drivers/gpu/drm/i915/display/intel_dp_hdcp.c       |  703 +++++++++++++
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   23 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   35 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    6 +
 drivers/gpu/drm/i915/display/intel_fbdev.c         |    3 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  208 ++--
 drivers/gpu/drm/i915/display/intel_hdcp.h          |    2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  117 ++-
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    2 +
 drivers/gpu/drm/i915/display/intel_hotplug.c       |   28 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  106 +-
 drivers/gpu/drm/i915/display/intel_panel.h         |    2 +
 drivers/gpu/drm/i915/display/intel_psr.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    6 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |    9 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    3 +
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   10 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    1 +
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |    2 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   35 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    6 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   44 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   32 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |    3 +
 drivers/gpu/drm/i915/gvt/mmio_context.c            |    2 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  155 +--
 drivers/gpu/drm/i915/i915_drv.h                    |   66 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  227 ++---
 drivers/gpu/drm/i915/i915_reg.h                    |   38 +-
 drivers/gpu/drm/i915/i915_suspend.c                |   46 +-
 drivers/gpu/drm/i915/intel_device_info.c           |    8 +
 drivers/gpu/drm/i915/intel_pm.c                    |    2 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   16 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    3 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   12 +-
 drivers/gpu/drm/nouveau/nouveau_dp.c               |    4 +-
 drivers/gpu/drm/selftests/test-drm_dp_mst_helper.c |   17 +
 drivers/pwm/pwm-crc.c                              |  128 ++-
 drivers/pwm/pwm-lpss-platform.c                    |    1 -
 drivers/pwm/pwm-lpss.c                             |   85 +-
 drivers/pwm/pwm-lpss.h                             |    3 -
 include/drm/drm_dp_helper.h                        |   66 +-
 include/drm/drm_dp_mst_helper.h                    |   44 +
 include/drm/drm_edid.h                             |    4 +
 include/drm/i915_pciids.h                          |   14 +-
 69 files changed, 2861 insertions(+), 1648 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dp_hdcp.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-08-26 23:27 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2020-08-26 23:27 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

here goes the first pull request towards 5.10:

As requested, the gem patches have been separated into
a drm-intel/topic/drm-intel-gem-next that will be sent separately
by the gem team later.

Thanks,
Rodrigo.

drm-intel-next-2020-08-24-1:
UAPI Changes:

- Introduce a mechanism to extend execbuf2 (Lionel)
- Add syncobj timeline support (Lionel)

Driver Changes:

- Limit stolen mem usage on the compressed frame buffer (Ville)
- Some clean-up around display's cdclk (Ville)
- Some DDI changes for better DP link training according
  to spec (Imre)
- Provide the perf pmu.module (Chris)
- Remove dobious Valleyview PCI IDs (Alexei)
- Add new display power saving feature for gen12+ called
  HOBL (Jose)
- Move SKL's clock gating w/a to skl_init_clock_gating() (Ville)
- Rocket Lake display additions (Matt)
- Selftest: temporarily downgrade on severity of frequency
            scaling tests (Chris)
- Introduce a new display workaround for fixing FLR related
  issues on new PCH. (Jose)
- Temporarily disable FBC on TGL. It was the culprit of random
  underruns. (Uma).
- Copy default modparams to mock i915_device (Chris)
- Add compiler paranoia for checking HWSP values (Chris)
- Remove useless gen check before calling intel_rps_boost (Chris)
- Fix a null pointer dereference (Chris)
- Add a couple of missing i915_active_fini() (Chris)
- Update TGL display power's bw_buddy table according to
  update spec (Matt)
- Fix couple wrong return values (Tianjia)
- Selftest: Avoid passing random 0 into ilog2 (George)
- Many Tiger Lake display fixes and improvements for Type-C and
  DP compliance (Imre, Jose)
- Start the addition of PSR2 selective fetch (Jose)
- Update a few DMC and HuC firmware versions (Jose)
- Add gen11+ w/a to fix underuns (Matt)
- Fix cmd parser desc matching with mask (Mika)
The following changes since commit 62975d27d647a40c58d3b96c29b911fc4f33c310:

  drm/ttm: revert "drm/ttm: make TT creation purely optional v3" (2020-08-12 13:26:28 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-08-24-1

for you to fetch changes up to ced026e959bec5046afa310d6474e147b6294da2:

  drm/i915: Update DRIVER_DATE to 20200824 (2020-08-24 14:26:38 -0400)

----------------------------------------------------------------
UAPI Changes:

- Introduce a mechanism to extend execbuf2 (Lionel)
- Add syncobj timeline support (Lionel)

Driver Changes:

- Limit stolen mem usage on the compressed frame buffer (Ville)
- Some clean-up around display's cdclk (Ville)
- Some DDI changes for better DP link training according
  to spec (Imre)
- Provide the perf pmu.module (Chris)
- Remove dobious Valleyview PCI IDs (Alexei)
- Add new display power saving feature for gen12+ called
  HOBL (Jose)
- Move SKL's clock gating w/a to skl_init_clock_gating() (Ville)
- Rocket Lake display additions (Matt)
- Selftest: temporarily downgrade on severity of frequency
            scaling tests (Chris)
- Introduce a new display workaround for fixing FLR related
  issues on new PCH. (Jose)
- Temporarily disable FBC on TGL. It was the culprit of random
  underruns. (Uma).
- Copy default modparams to mock i915_device (Chris)
- Add compiler paranoia for checking HWSP values (Chris)
- Remove useless gen check before calling intel_rps_boost (Chris)
- Fix a null pointer dereference (Chris)
- Add a couple of missing i915_active_fini() (Chris)
- Update TGL display power's bw_buddy table according to
  update spec (Matt)
- Fix couple wrong return values (Tianjia)
- Selftest: Avoid passing random 0 into ilog2 (George)
- Many Tiger Lake display fixes and improvements for Type-C and
  DP compliance (Imre, Jose)
- Start the addition of PSR2 selective fetch (Jose)
- Update a few DMC and HuC firmware versions (Jose)
- Add gen11+ w/a to fix underuns (Matt)
- Fix cmd parser desc matching with mask (Mika)

----------------------------------------------------------------
Alexei Podtelezhnikov (1):
      drm/i915: Remove dubious Valleyview PCI IDs

Chris Wilson (7):
      drm/i915: Provide the perf pmu.module
      drm/i915/selftests: Downgrade severity of CS/SRM frequency scaling tests
      drm/i915: Copy default modparams to mock i915_device
      drm/i915/selftests: Add compiler paranoia for checking HWSP values
      drm/i915: Remove gen check before calling intel_rps_boost
      drm/i915/display: Check for an LPSP encoder before dereferencing
      drm/i915: Add a couple of missing i915_active_fini()

George Spelvin (1):
      drm/i915/selftests: Avoid passing a random 0 into ilog2

Imre Deak (4):
      drm/i915/ddi: Don't frob the DP link scramble disabling flag
      drm/i915/ddi: Don't rewrite DDI_BUF_CTL reg during DP link training
      drm/i915/tgl: Make sure TC-cold is blocked before enabling TC AUX power wells
      drm/i915/tgl: Fix TC-cold block/unblock sequence

José Roberto de Souza (8):
      drm/i915/display: Implement HOBL
      drm/i915: Implement WA 14011294188
      drm/i915/tgl: Set subplatforms
      drm/i915/tgl: Add new voltage swing table
      drm/i915: Initial implementation of PSR2 selective fetch
      drm/i915/display: Implement WA 1408330847
      drm/i915: Update TGL and RKL DMC firmware versions
      drm/i915: Update TGL and RKL HuC firmware versions

Lionel Landwerlin (2):
      drm/i915: introduce a mechanism to extend execbuf2
      drm/i915: add syncobj timeline support

Matt Atwood (1):
      drm/i915: Apply Wa_14011264657:gen11+

Matt Roper (8):
      drm/i915/rkl: Handle new DPCLKA_CFGCR0 layout
      drm/i915/rkl: Add initial workarounds
      drm/i915/rkl: Add DPLL4 support
      drm/i915/rkl: Handle HTI
      drm/i915/rkl: Add Wa_14011224835 for PHY B initialization
      drm/i915: Update bw_buddy pagemask table
      Revert "drm/i915/rkl: Add Wa_14011224835 for PHY B initialization"
      drm/i915/kbl: Fix revision ID checks

Mika Kuoppala (1):
      drm/i915: Fix cmd parser desc matching with masks

Rodrigo Vivi (1):
      drm/i915: Update DRIVER_DATE to 20200824

Tianjia Zhang (2):
      drm/i915: Fix wrong return value
      drm/i915: Fix wrong return value in intel_atomic_check()

Uma Shankar (1):
      drm/i915/display/fbc: Disable fbc by default on TGL

Ville Syrjälä (5):
      drm/i915/fbc: Limit cfb to the first 256MiB of stolen on g4x+
      drm/i915: Pack struct intel_cdclk_vals
      drm/i915: Fix some whitespace
      drm/i915: Make i830 .get_cdclk() assignment less confusing
      drm/i915: Move WaDisableDopClockGating:skl to skl_init_clock_gating()

 drivers/gpu/drm/i915/display/intel_cdclk.c         |  11 +-
 drivers/gpu/drm/i915/display/intel_cdclk.h         |   2 +-
 drivers/gpu/drm/i915/display/intel_csr.c           |   8 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 111 ++++++-
 drivers/gpu/drm/i915/display/intel_display.c       |  90 ++++-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  10 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  30 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   6 +
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  19 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  52 ++-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  17 +
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   2 +
 drivers/gpu/drm/i915/display/intel_psr.c           | 110 +++++-
 drivers/gpu/drm/i915/display/intel_psr.h           |   5 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |   5 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     | 367 ++++++++++++++++-----
 drivers/gpu/drm/i915/gt/intel_lrc.c                |   2 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        | 113 +++++--
 .../gpu/drm/i915/gt/selftest_engine_heartbeat.c    |   5 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             |   4 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |  24 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   4 +-
 drivers/gpu/drm/i915/i915_active.c                 |   7 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  14 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   5 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  54 ++-
 drivers/gpu/drm/i915/i915_getparam.c               |   1 +
 drivers/gpu/drm/i915/i915_params.c                 |   5 +
 drivers/gpu/drm/i915/i915_params.h                 |   1 +
 drivers/gpu/drm/i915/i915_pci.c                    |   1 +
 drivers/gpu/drm/i915/i915_pmu.c                    |   7 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  32 +-
 drivers/gpu/drm/i915/i915_request.c                |   7 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  19 ++
 drivers/gpu/drm/i915/intel_device_info.h           |   1 +
 drivers/gpu/drm/i915/intel_pm.c                    |  14 +-
 drivers/gpu/drm/i915/selftests/i915_buddy.c        |  18 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |   2 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   3 +
 include/drm/i915_pciids.h                          |   4 +-
 include/uapi/drm/i915_drm.h                        |  59 +++-
 41 files changed, 978 insertions(+), 273 deletions(-)
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-07-15 13:33 ` Jani Nikula
@ 2020-07-15 14:05   ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2020-07-15 14:05 UTC (permalink / raw)
  To: Jani Nikula, Lyude
  Cc: DRM maintainer tools announcements, discussion, and development,
	intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

On Wed, Jul 15, 2020 at 3:34 PM Jani Nikula <jani.nikula@intel.com> wrote:
>
>
> Argh, failed to mention:
>
> On Wed, 15 Jul 2020, Jani Nikula <jani.nikula@intel.com> wrote:
> > Lee Shawn C (1):
> >       drm/i915/mst: filter out the display mode exceed sink's capability
>
> The above depends on:
>
> > Lyude Paul (1):
> >       drm/probe_helper: Add drm_connector_helper_funcs.mode_valid_ctx
>
> Which has changes outside of i915:
>
> >  drivers/gpu/drm/drm_crtc_helper_internal.h         |   7 +-
> >  drivers/gpu/drm/drm_probe_helper.c                 |  97 +--
>
> and does not have an explicit ack recorded, though drm-misc maintainers
> have been Cc'd. :(
>
> I decided they were benign enough, but needed to be mentioned.

Yeah looks all fine, adding Lyude just as fyi.
-Daniel

>
> BR,
> Jani.
>
>
> --
> Jani Nikula, Intel Open Source Graphics Center



-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-07-15 13:19 Jani Nikula
@ 2020-07-15 13:33 ` Jani Nikula
  2020-07-15 14:05   ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2020-07-15 13:33 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul


Argh, failed to mention:

On Wed, 15 Jul 2020, Jani Nikula <jani.nikula@intel.com> wrote:
> Lee Shawn C (1):
>       drm/i915/mst: filter out the display mode exceed sink's capability

The above depends on:

> Lyude Paul (1):
>       drm/probe_helper: Add drm_connector_helper_funcs.mode_valid_ctx

Which has changes outside of i915:

>  drivers/gpu/drm/drm_crtc_helper_internal.h         |   7 +-
>  drivers/gpu/drm/drm_probe_helper.c                 |  97 +--

and does not have an explicit ack recorded, though drm-misc maintainers
have been Cc'd. :(

I decided they were benign enough, but needed to be mentioned.

BR,
Jani.


-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-07-15 13:19 Jani Nikula
  2020-07-15 13:33 ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2020-07-15 13:19 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: , dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

The 2nd and presumably the last i915 feature pull for v5.9.

drm-intel-next-2020-07-15:
drm/i915 features for v5.9, batch #2

Highlights:
- Very early DG1 enabling (Abdiel, Lucas, Anusha)

Gem/GT:
- Fix spinlock recursion on signaling a signaled request (Chris)
- Perf: Use GTT when saving/restoring engine GPR (Umesh Nerlige Ramappa)

- SSEU refactoring, debugfs move under gt/ (Daniele, Venkata Sandeep Dhanalakota)
- Various GT refactoring and cleanup, preparation for future changes (Daniele)
- Adjust HuC state accordingly after GuC fetch error (Michał Winiarski)
- UC debugfs updates (Michał Winiarski)
- Only revoke the GGTT mmappings on aperture detiling changes (Chris)
- Only revoke mmap handlers if active (Chris)
- Split the context's obj:vma lut into its own mutex (Chris)
- Various memory, mmap and performance optimisations (Chris)
- Improve system stability in case of false CS events (Chris)
- Various refactorings and cleanup (Chris)
- Always reset the engine on execlist failures (Chris)
- Trace placement of timeline HWSP (Chris)
- Update dma-attributes for our sg DMA (Chris)

Display:
- TGL CDCLK workaround tweaks to unbreak 8K display support (Stanislav)
- A number of FBC fixes, along with i865 FBC enabling (Ville)
- Validate MST modes against PBN limits (Lyude, Shawn Lee)
- Do not access non-existing swizzle registers (Lucas)
- Revert GEN11+ HBR3 rate fix that caused issues on TGL (Matt Atwood)
- Update TGL+ combo phy initialization to match spec update (José)
- Fix HDCP Content Protection property state machine (Anshuman)
- Fix HDCP revoked keys handling (Ram)
- Improve DDI BUF status checks and waits (Manasi)
- Various SDVO+HDMI+DVI fixes around colorimetry, clocking, pixel repeat etc. (Ville)
- DP voltage swing function refactoring (José)
- WARN if max vswing/pre-emphasis violates the DP spec (Ville)

Other:
- Add new EHL PCI IDs (José)
- Unify struct intel_digital_port variable naming (Lucas)
- Various taint updates to aid debugging and improve CI (Michał Winiarski)
- Straggler conversions to new mmio register accessors (Daniele)

BR,
Jani.

The following changes since commit d524b87f77364db096855d7eb714ffacec974ddf:

  drm/i915: Update DRIVER_DATE to 20200702 (2020-07-02 21:25:28 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-07-15

for you to fetch changes up to e57bd05ec0d2d82d63725dedf9f5a063f879de25:

  drm/i915: Update DRIVER_DATE to 20200715 (2020-07-15 14:18:02 +0300)

----------------------------------------------------------------
drm/i915 features for v5.9, batch #2

Highlights:
- Very early DG1 enabling (Abdiel, Lucas, Anusha)

Gem/GT:
- Fix spinlock recursion on signaling a signaled request (Chris)
- Perf: Use GTT when saving/restoring engine GPR (Umesh Nerlige Ramappa)

- SSEU refactoring, debugfs move under gt/ (Daniele, Venkata Sandeep Dhanalakota)
- Various GT refactoring and cleanup, preparation for future changes (Daniele)
- Adjust HuC state accordingly after GuC fetch error (Michał Winiarski)
- UC debugfs updates (Michał Winiarski)
- Only revoke the GGTT mmappings on aperture detiling changes (Chris)
- Only revoke mmap handlers if active (Chris)
- Split the context's obj:vma lut into its own mutex (Chris)
- Various memory, mmap and performance optimisations (Chris)
- Improve system stability in case of false CS events (Chris)
- Various refactorings and cleanup (Chris)
- Always reset the engine on execlist failures (Chris)
- Trace placement of timeline HWSP (Chris)
- Update dma-attributes for our sg DMA (Chris)

Display:
- TGL CDCLK workaround tweaks to unbreak 8K display support (Stanislav)
- A number of FBC fixes, along with i865 FBC enabling (Ville)
- Validate MST modes against PBN limits (Lyude, Shawn Lee)
- Do not access non-existing swizzle registers (Lucas)
- Revert GEN11+ HBR3 rate fix that caused issues on TGL (Matt Atwood)
- Update TGL+ combo phy initialization to match spec update (José)
- Fix HDCP Content Protection property state machine (Anshuman)
- Fix HDCP revoked keys handling (Ram)
- Improve DDI BUF status checks and waits (Manasi)
- Various SDVO+HDMI+DVI fixes around colorimetry, clocking, pixel repeat etc. (Ville)
- DP voltage swing function refactoring (José)
- WARN if max vswing/pre-emphasis violates the DP spec (Ville)

Other:
- Add new EHL PCI IDs (José)
- Unify struct intel_digital_port variable naming (Lucas)
- Various taint updates to aid debugging and improve CI (Michał Winiarski)
- Straggler conversions to new mmio register accessors (Daniele)

----------------------------------------------------------------
Abdiel Janulgue (2):
      drm/i915/dg1: add initial DG-1 definitions
      drm/i915/dg1: Add DG1 PCI IDs

Anshuman Gupta (1):
      drm/i915/hdcp: Update CP as per the kernel internal state

Anusha Srivatsa (1):
      drm/i915/dg1: Remove SHPD_FILTER_CNT register programming

Chris Wilson (22):
      drm/i915/gem: Only revoke the GGTT mmappings on aperture detiling changes
      drm/i915/gem: Only revoke mmap handlers if active
      drm/i915/gem: Drop forced struct_mutex from shrinker_taints_mutex
      drm/i915: Also drop vm.ref along error paths for vma construction
      drm/i915/gem: Split the context's obj:vma lut into its own mutex
      drm/i915: Export ppgtt_bind_vma
      drm/i915/gt: Pin the rings before marking active
      drm/i915: Update dma-attributes for our sg DMA
      drm/i915/gem: Unpin idle contexts from kswapd reclaim
      drm/i915/gt: Replace opencoded i915_gem_object_pin_map()
      drm/i915: Release shortlived maps of longlived objects
      drm/i915: Remove i915_gem_object_get_dirty_page()
      drm/i915/gt: Optimise aliasing-ppgtt allocations
      drm/i915/selftest: Check that GPR are restored across noa_wait
      drm/i915/gt: Be defensive in the face of false CS events
      drm/i915: Pull printing GT capabilities on error to err_print_gt
      drm/i915/gt: Always reset the engine, even if inactive, on execlists failure
      drm/i915/gt: Ignore irq enabling on the virtual engines
      drm/i915/gt: Only swap to a random sibling once upon creation
      drm/i915: Skip signaling a signaled request
      drm/i915/gt: Trace placement of timeline HWSP
      drm/i915/gt: Assert the kernel context is using the HWSP

Colin Ian King (1):
      drm/i915/selftest: fix an error return path where err is not being set

Dan Carpenter (1):
      drm/i915/selftest: Fix an error code in live_noa_gpr()

Daniele Ceraolo Spurio (8):
      drm/i915: Convert device_info to uncore/de_read
      drm/i915: Use the gt in HAS_ENGINE
      drm/i915: Move engine-related mmio init to engines_init_mmio
      drm/i915: Move the engine mask to intel_gt_info
      drm/i915: Introduce gt_init_mmio
      drm/i915/sseu: Move sseu detection and dump to intel_sseu
      drm/i915: gt-fy sseu debugfs
      drm/i915: Move sseu debugfs under gt/

Flavio Suligoi (1):
      drm/i915: Fix spelling mistake in i915_reg.h

Jani Nikula (1):
      drm/i915: Update DRIVER_DATE to 20200715

José Roberto de Souza (6):
      drm/i915/display: Implement new combo phy initialization step
      drm/i915/ehl: Add new PCI ids
      drm/i915/tgl: Implement WAs 18011464164 and 22010931296
      drm/i915/display: Replace drm_i915_private in voltage swing functions by intel_encoder
      drm/i915/display: Remove port and phy from voltage swing functions
      drm/i915/bios: Parse HOBL parameter

Lee Shawn C (1):
      drm/i915/mst: filter out the display mode exceed sink's capability

Lucas De Marchi (4):
      drm/i915/display: prefer dig_port to reference intel_digital_port
      drm/i915: do not read swizzle info if unavailable
      drm/i915/dg1: add support for the master unit interrupt
      drm/i915/dg1: Add fake PCH

Lyude Paul (1):
      drm/probe_helper: Add drm_connector_helper_funcs.mode_valid_ctx

Maarten Lankhorst (1):
      drm/i915: Move cec_notifier to intel_hdmi_connector_unregister, v2.

Manasi Navare (2):
      drm/i915/dp: Helper for checking DDI_BUF_CTL Idle status
      drm/i915/dp: Helper to check for DDI BUF status to get active

Matt Atwood (1):
      Revert "drm/i915/dp: Correctly advertise HBR3 for GEN11+"

Michał Winiarski (6):
      drm/i915/guc: Expand guc_info debugfs with more information
      drm/i915: Reboot CI if we get wedged during driver init
      drm/i915: Print caller when tainting for CI
      drm/i915: Don't taint when using fault injection
      drm/i915/uc: Extract uc usage details into separate debugfs
      drm/i915/huc: Adjust HuC state accordingly after GuC fetch error

Ramalingam C (1):
      drm/i915/hdcp: Fix the return handling of drm_hdcp_check_ksvs_revoked

Stanislav Lisovskiy (1):
      drm/i915/tgl: Clamp min_cdclk to max_cdclk_freq to unblock 8K

Stuart Summers (1):
      drm/i915: Add has_master_unit_irq flag

Sudeep Holla (1):
      drm/i915/selftests: Fix compare functions provided for sorting

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Use GTT when saving/restoring engine GPR

Venkata Sandeep Dhanalakota (1):
      drm/i915/sseu: Move sseu_info under gt_info

Ville Syrjälä (16):
      drm/i915/fbc: Use the correct plane stride
      drm/i915/fbc: Fix nuke for pre-snb platforms
      drm/i915/fbc: Enable fbc on i865
      drm/i915/fbc: Allow FBC to recompress after a 3D workload on i85x/i865
      drm/i915/sdvo: Fix SDVO colorimetry bit defines
      drm/i915/sdvo: Implement limited color range for SDVO HDMI properly
      drm/i915: Reject DRM_MODE_FLAG_DBLCLK with DVI sinks
      drm/i915/sdvo: Make SDVO deal with HDMI pixel repeat
      drm/i915/sdvo: Make .get_modes() return the number of modes
      drm/i915/dvo: Make .get_modes() return the number of modes
      drm/i915: Move all FBC w/as to .init_clock_gating()
      drm/i915: Don't do WaFbcTurnOffFbcWatermark for glk
      drm/i915: Limit WaFbcHighMemBwCorruptionAvoidance to skl and bxt
      drm/i915: Document FBC related w/as more thoroughly
      drm/i915: WARN if max vswing/pre-emphasis violates the DP spec
      drm/i915: Recalculate FBC w/a stride when needed

YueHaibing (1):
      drm/i915: Remove unused inline function drain_delayed_work()

 drivers/gpu/drm/drm_crtc_helper_internal.h         |   7 +-
 drivers/gpu/drm/drm_probe_helper.c                 |  97 +--
 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/display/intel_bios.c          |   3 +
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  11 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  25 +
 drivers/gpu/drm/i915/display/intel_ddi.c           | 348 ++++++-----
 drivers/gpu/drm/i915/display/intel_display.c       |  12 +-
 drivers/gpu/drm/i915/display/intel_display.h       |   2 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  12 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |   4 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  40 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 366 ++++++------
 drivers/gpu/drm/i915/display/intel_dp.h            |   4 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   9 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 129 ++--
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |   6 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |  38 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |  14 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  98 +++-
 drivers/gpu/drm/i915/display/intel_hdcp.c          | 153 ++---
 drivers/gpu/drm/i915/display/intel_hdmi.c          | 277 +++++----
 drivers/gpu/drm/i915/display/intel_hdmi.h          |   6 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |   8 +-
 drivers/gpu/drm/i915/display/intel_lspcon.h        |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          | 145 +++--
 drivers/gpu/drm/i915/display/intel_sdvo_regs.h     |   8 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   1 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   8 +-
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |   9 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  18 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |  22 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |  15 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.h           |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |  41 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |   6 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |  29 +-
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |  36 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   2 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |   5 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |   4 +-
 drivers/gpu/drm/i915/gt/debugfs_gt.c               |   2 +
 drivers/gpu/drm/i915/gt/gen6_ppgtt.c               |  22 +-
 drivers/gpu/drm/i915/gt/gen7_renderclear.c         |   2 +-
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   7 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |  12 +-
 drivers/gpu/drm/i915/gt/intel_context_sseu.c       |   2 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  91 ++-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   1 +
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   4 +
 drivers/gpu/drm/i915/gt/intel_engine_user.c        |   2 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |  49 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |  18 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |  17 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |   5 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   2 +-
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   9 +-
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |  11 +
 drivers/gpu/drm/i915/gt/intel_gtt.h                |  13 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  92 +--
 drivers/gpu/drm/i915/gt/intel_ppgtt.c              |  19 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |   2 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |  23 +-
 drivers/gpu/drm/i915/gt/intel_reset.h              |  10 +-
 drivers/gpu/drm/i915/gt/intel_reset_types.h        |   7 +-
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   4 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   3 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               | 591 ++++++++++++++++++-
 drivers/gpu/drm/i915/gt/intel_sseu.h               |  10 +-
 drivers/gpu/drm/i915/gt/intel_sseu_debugfs.c       | 306 ++++++++++
 drivers/gpu/drm/i915/gt/intel_sseu_debugfs.h       |  17 +
 drivers/gpu/drm/i915/gt/intel_timeline.c           |   7 +
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  21 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |   8 +-
 drivers/gpu/drm/i915/gt/selftest_rc6.c             |   2 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             |   8 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |  13 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |  10 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  11 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.c      |  29 +
 drivers/gpu/drm/i915/gvt/handlers.c                |   4 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |   2 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 286 +--------
 drivers/gpu/drm/i915/i915_drv.c                    |   9 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  32 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   2 +
 drivers/gpu/drm/i915/i915_getparam.c               |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  34 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   3 +
 drivers/gpu/drm/i915/i915_irq.c                    |  59 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  55 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  14 +-
 drivers/gpu/drm/i915/i915_query.c                  |   2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  18 +-
 drivers/gpu/drm/i915/i915_request.c                |  23 +-
 drivers/gpu/drm/i915/i915_utils.c                  |  10 +
 drivers/gpu/drm/i915/i915_utils.h                  |  16 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  24 +-
 drivers/gpu/drm/i915/i915_vma_types.h              |   1 -
 drivers/gpu/drm/i915/intel_device_info.c           | 653 +--------------------
 drivers/gpu/drm/i915/intel_device_info.h           |  16 +-
 drivers/gpu/drm/i915/intel_pch.c                   |   6 +
 drivers/gpu/drm/i915/intel_pch.h                   |   4 +
 drivers/gpu/drm/i915/intel_pm.c                    | 108 +++-
 drivers/gpu/drm/i915/intel_uncore.c                |  20 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   4 +-
 drivers/gpu/drm/i915/selftests/i915_perf.c         | 133 +++++
 drivers/gpu/drm/i915/selftests/i915_request.c      |   2 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   3 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |  12 +-
 include/drm/drm_modeset_helper_vtables.h           |  42 ++
 include/drm/i915_pciids.h                          |   8 +
 117 files changed, 2989 insertions(+), 2115 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_sseu_debugfs.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_sseu_debugfs.h

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-07-02 18:29 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2020-07-02 18:29 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: , dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

Here's the first batch of i915 features for v5.9.


BR,
Jani.


drm-intel-next-2020-07-02:
drm/i915 features for v5.9

Highlights:
- Rocket Lake (RKL) platform enabling (Matt Roper, Lucas, José, Aditya)

Gem/GT:
- Numerous selftest fixes and improvements (Chris)
- TGL, RKL, EHL workaround updates (Matts Atwood and Roper, Clint, Swathi Dhanavanthri, Chris)
- Retry faulthandlers on ENOSPC to avoid oomkiller (Chris)
- Numerous refactorings and cleanups (Chris)
- Several GT fixes around init/suspend/resume/shutdown (Chris)
- Whitelist CTX_TIMESTAMP register on non-RCS (Chris)
- Track if an engine requires forcewake w/a (Chris)
- Locking improvements (Chris)
- Timeslicing improvements (Chris)
- Add a safety submission flush in the heartbeat (Chris)
- Flush gen3 relocs harder (Chris)
- Discard a misplaced GGTT vma (Chris)
- Reduce relocation paths to async GPU relocations only (Chris)
- It's all build up with no pay off (Chris' own words...)

Display:
- A plethora of DP MST fixes (Imre)
- Implement proper dbuf global state (Ville)
- Consider dbuf bandwidth when calculating CDCLK (Stan)
- FBC fixes and refactoring (Ville)
- PSR fixes and improvements (José, Gwan-gyeong)
- Cursor size fixes (Ville)
- Overlay color and gamma fixes (Ville)
- Fix and improve FSB and HRAWCLK read out (Ville)
- Pre allocate and late cleanup of DSB cmd buffer (Animesh)
- Stop using mode->private_flags (Ville)
- Add plane color encoding support for YCBCR_BT2020 (Kishore Kadiyala)
- Update TGL Type-C DP and DKL HBR and HBR+ vswing tables (José)
- Fix DSI connector init error path (Vivek)
- A plethora of DP vswing/preemph fixes and refactoring (Ville)
- Fix TGL DKL vswing sequence selection (Vandita)
- Fix ICL hotplug interrupt disabling after storm detection (Imre)
- Retry HDCP link integrity check on failure (Oliver Barta)
- Fix TBT DPLL fractional divider (Imre)
- Fix ICL+ HBR3 source rate (Matt Atwood)
- Fix gen2 spurious underruns (Ville)
- Fix potential NULL dereference, some spelling fixes (Colin Ian King)
- Fix NULL dereference on encoder state probe (Chris)

Other:
- Backmerge to get mmap locking API (Jani)
- Distinguish Comet Lake from Coffee Lake (Chris)
- Various compiler warning fixes (Arnd Bergmann, Nathan Chancellor)
- WARN* conversions to drm_WARN* (Pankaj)
- Switch to device specific parameters with debugfs access (Jani)
- Fix agp/intel error path leak (Qiushi Wu)
- Forcewake power optimization (Chris)
- Irq handler optimization (Chris)

BR,
Jani.

The following changes since commit 0a19b068acc47d05212f03e494381926dc0381e2:

  Merge tag 'drm-misc-next-2020-06-19' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2020-06-24 15:45:51 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-07-02

for you to fetch changes up to d524b87f77364db096855d7eb714ffacec974ddf:

  drm/i915: Update DRIVER_DATE to 20200702 (2020-07-02 21:25:28 +0300)

----------------------------------------------------------------
drm/i915 features for v5.9

Highlights:
- Rocket Lake (RKL) platform enabling (Matt Roper, Lucas, José, Aditya)

Gem/GT:
- Numerous selftest fixes and improvements (Chris)
- TGL, RKL, EHL workaround updates (Matts Atwood and Roper, Clint, Swathi Dhanavanthri, Chris)
- Retry faulthandlers on ENOSPC to avoid oomkiller (Chris)
- Numerous refactorings and cleanups (Chris)
- Several GT fixes around init/suspend/resume/shutdown (Chris)
- Whitelist CTX_TIMESTAMP register on non-RCS (Chris)
- Track if an engine requires forcewake w/a (Chris)
- Locking improvements (Chris)
- Timeslicing improvements (Chris)
- Add a safety submission flush in the heartbeat (Chris)
- Flush gen3 relocs harder (Chris)
- Discard a misplaced GGTT vma (Chris)
- Reduce relocation paths to async GPU relocations only (Chris)
- It's all build up with no pay off (Chris' own words...)

Display:
- A plethora of DP MST fixes (Imre)
- Implement proper dbuf global state (Ville)
- Consider dbuf bandwidth when calculating CDCLK (Stan)
- FBC fixes and refactoring (Ville)
- PSR fixes and improvements (José, Gwan-gyeong)
- Cursor size fixes (Ville)
- Overlay color and gamma fixes (Ville)
- Fix and improve FSB and HRAWCLK read out (Ville)
- Pre allocate and late cleanup of DSB cmd buffer (Animesh)
- Stop using mode->private_flags (Ville)
- Add plane color encoding support for YCBCR_BT2020 (Kishore Kadiyala)
- Update TGL Type-C DP and DKL HBR and HBR+ vswing tables (José)
- Fix DSI connector init error path (Vivek)
- A plethora of DP vswing/preemph fixes and refactoring (Ville)
- Fix TGL DKL vswing sequence selection (Vandita)
- Fix ICL hotplug interrupt disabling after storm detection (Imre)
- Retry HDCP link integrity check on failure (Oliver Barta)
- Fix TBT DPLL fractional divider (Imre)
- Fix ICL+ HBR3 source rate (Matt Atwood)
- Fix gen2 spurious underruns (Ville)
- Fix potential NULL dereference, some spelling fixes (Colin Ian King)
- Fix NULL dereference on encoder state probe (Chris)

Other:
- Backmerge to get mmap locking API (Jani)
- Distinguish Comet Lake from Coffee Lake (Chris)
- Various compiler warning fixes (Arnd Bergmann, Nathan Chancellor)
- WARN* conversions to drm_WARN* (Pankaj)
- Switch to device specific parameters with debugfs access (Jani)
- Fix agp/intel error path leak (Qiushi Wu)
- Forcewake power optimization (Chris)
- Irq handler optimization (Chris)

----------------------------------------------------------------
Aditya Swarup (1):
      drm/i915/rkl: Don't try to read out DSI transcoders

Animesh Manna (1):
      drm/i915/dsb: Pre allocate and late cleanup of cmd buffer

Arnd Bergmann (3):
      drm/i915: avoid unused scale_user_to_hw() warning
      drm/i915/pmu: avoid an maybe-uninitialized warning
      drm/i915: work around false-positive maybe-uninitialized warning

Chris Wilson (96):
      drm/i915/gem: Retry faulthandlers on ENOSPC
      drm/i915/selftests: Refactor sibling selection
      drm/i915/gt: Reuse the tasklet priority for virtual as their siblings
      drm/i915/display: Return error from dbuf allocation failure
      drm/i915/selftests: Measure dispatch latency
      drm/i915: Don't set queue-priority hint when supressing the reschedule
      drm/i915/selftests: Change priority overflow detection
      drm/i915/selftests: Restore to default heartbeat
      drm/i915/selftests: Check for an initial-breadcrumb in wait_for_submit()
      drm/i915/selftests: Add tests for timeslicing virtual engines
      drm/i915/gt: Kick virtual siblings on timeslice out
      drm/i915/gt: Incorporate the virtual engine into timeslicing
      drm/i915/gt: Remove errant assertion in __intel_context_do_pin
      drm/i915/selftests: Measure CS_TIMESTAMP
      drm/i915/selftests: Flush the submission, not cancel it!
      drm/i915: Disable semaphore inter-engine sync without timeslicing
      drm/i915: Avoid using rq->engine after free during i915_fence_release
      drm/i915: Remove PIN_UPDATE for i915_vma_pin
      drm/i915/gem: Avoid iterating an empty list
      drm/i915/gt: Cancel the flush worker more thoroughly
      drm/i915/gem: Suppress some random warnings
      drm/i915/gt: Stop cross-polluting PIN_GLOBAL with PIN_USER with no-ppgtt
      drm/i915/display: Fix early deref of 'dsb'
      drm/i915/gt: Force the GT reset on shutdown
      drm/i915/execlists: Shortcircuit queue_prio() for no internal levels
      drm/i915: Improve execute_cb struct packing
      drm/i915/display: Only query DP state of a DDI encoder
      drm/i915: Reorder await_execution before await_request
      drm/i915/gt: Do not schedule normal requests immediately along virtual
      drm/i915/gt: Clear LOCAL_BIND from shared GGTT on resume
      drm/i915/gt: Prevent timeslicing into unpreemptable requests
      drm/i915/gt: Restore both GGTT bindings on resume
      drm/i915/gt: Remove local entries from GGTT on suspend
      drm/i915/gt: Don't declare hangs if engine is stalled
      drm/i915/gt: Start timeslice on partial submission
      drm/i915: Add a few asserts around handling of i915_request_is_active()
      drm/i915: Check for awaits on still currently executing requests
      drm/i915/gem: Taint all shrinkable object locks
      drm/i915/gem: Give each object class a friendly name
      drm/i915: Handle very early engine initialisation failure
      drm/i915: Relinquish forcewake immediately after manual grouping
      drm/i915: Trim the ironlake+ irq handler
      drm/i915: Whitelist context-local timestamp in the gen9 cmdparser
      drm/i915/gt: Split low level gen2-7 CS emitters
      drm/i915/gt: Move legacy context wa to intel_workarounds
      drm/i915/selftests: Ignore autoincrementing timestamp on verfifying whitelists
      drm/i915: Identify Cometlake platform
      drm/i915/gt: Make the CTX_TIMESTAMP readable on !rcs
      drm/i915/gt: Suppress the error message for GT init failure on error injection
      drm/i915: Drop i915_request.i915 backpointer
      drm/i915/selftests: Exercise all copy engines with the blt routines
      drm/i915/gem: Mark the buffer pool as active for the cmdparser
      drm/i915: Trim set_timer_ms() intervals
      drm/i915/gt: Track if an engine requires forcewake w/a
      drm/i915/gem: Async GPU relocations only
      drm/i915/gt: Include the engine's fw-domains in the debug info
      drm/i915/gt: Set timeslicing priority from queue
      drm/i915/gt: Always check to enable timeslicing if not submitting
      drm/i915: Discard a misplaced GGTT vma
      drm/i915: Correct discard i915_vma_compare assertion
      drm/i915/gem: Delete unused code
      drm/i915/selftests: Make the hanging request non-preemptible
      drm/i915/gt: Incrementally check for rewinding
      drm/i915/selftests: Teach hang-self to target only itself
      drm/i915/gt: Include context status in debug dumps
      drm/i915/selftests: Remove live_suppress_wait_preempt
      drm/i915/gt: Move hsw GT workarounds from init_clock_gating to workarounds
      drm/i915/gt: Move ivb GT workarounds from init_clock_gating to workarounds
      drm/i915/gt: Move vlv GT workarounds from init_clock_gating to workarounds
      drm/i915/gt: Move snb GT workarounds from init_clock_gating to workarounds
      drm/i915/gt: Move ilk GT workarounds from init_clock_gating to workarounds
      drm/i915/gt: Move gen4 GT workarounds from init_clock_gating to workarounds
      drm/i915: Leave vma intact as they are discarded
      drm/i915/execlists: Lift opportunistic process_csb to before engine lock
      drm/i915/selftests: Trim execlists runtime
      drm/i915/gt: Flush gen3 relocs harder, again
      drm/i915/selftests: Disable preemptive heartbeats over preemption tests
      drm/i915/selftests: Dump engine state and trace upon hanging after reset
      drm/i915/gt: Add a safety submission flush in the heartbeat
      drm/i915/gt: Don't flush the tasklet if not setup
      drm/i915: Mark up inline getters as taking a const i915_request
      drm/i915/selftests: Exercise far preemption rollbacks
      drm/i915/selftests: Use friendly request names for live_timeslice_rewind
      drm/i915/selftests: Check preemption rollback of different ring queue depths
      drm/i915/selftests: Enable selftesting of busy-stats
      drm/i915/gt: Always report the sample time for busy-stats
      drm/i915/gt: Initialise rps timestamp
      drm/i915/gt: Show the culmative runtime as part of the engine info
      drm/i915/gvt: Drop redundant prepare_write/pin_pages
      drm/i915/gt: Replace manual kmap_atomic() with pin_map for renderstate
      drm/i915: Skip stale object handle for debugfs per-file-stats
      drm/i915/gem: Avoid kmalloc under i915->mm_lock
      drm/i915/gem: Move obj->lut_list under its own lock
      drm/i915/gt: Harden the heartbeat against a stuck driver
      drm/i915/gt: Move the heartbeat into the high priority system wq
      drm/i915: Drop vm.ref for duplicate vma on construction

Clint Taylor (1):
      drm/i915/tgl: Implement WA_16011163337

Colin Ian King (3):
      drm/i915/selftests: fix spelling mistake "submited" -> "submitted"
      drm/i915: fix a couple of spelling mistakes in kernel parameter help text
      drm/i915/display: fix missing null check on allocated dsb object

Gustavo A. R. Silva (2):
      drm/i915/selftests: Fix inconsistent IS_ERR and PTR_ERR
      drm/i915/query: Use struct_size() helper

Gwan-gyeong Mun (1):
      drm/i915/psr: Program default IO buffer Wake and Fast Wake

Imre Deak (13):
      drm/i915: Fix AUX power domain toggling across TypeC mode resets
      drm/i915/icl: Disable DIP on MST ports with the transcoder clock still on
      drm/i915: Fix the i915_dsc_fec_support debugfs file for DP MST connectors
      drm/i915/dp_mst: Fix disabling MST on a port
      drm/i915/icl+: Fix hotplug interrupt disabling after storm detection
      drm/i915/tgl+: Use the correct DP_TP_* register instances in MST encoders
      drm/i915/dp_mst: Disable link training fallback on MST links
      drm/i915/dp_mst: Move clearing the ACT sent flag closer to its polling
      drm/i915/dp_mst: Clear only the ACT sent flag from DP_TP_STATUS
      drm/i915/dp_mst: Clear the ACT sent flag during encoder disabling too
      drm/i915/dp_mst: Enable VC payload allocation after transcoder is enabled
      drm/i915/tgl+: Fix TBT DPLL fractional divider for 38.4MHz ref clock
      drm/i915/icl+: Simplify combo/TBT PLL calculation call-chain

Jani Nikula (7):
      drm/i915/params: don't expose inject_probe_failure in debugfs
      drm/i915/params: fix i915.fake_lmem_start module param sysfs permissions
      drm/i915/params: prevent changing module params runtime
      drm/i915/params: fix i915.reset module param type
      drm/i915/params: switch to device specific parameters
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200702

José Roberto de Souza (7):
      drm/i915/tgl: Update TC DP vswing table
      drm/i915/tgl: Add HBR and HBR2+ voltage swing table
      drm/i915/rkl: Disable PSR2
      drm/i915: Add psr_safest_params
      drm/i915: Add plane damage clips property
      drm/i915: Reorder intel_psr2_config_valid()
      drm/i915: Add PSR2 selective fetch registers

Kees Cook (1):
      drm/i915: Fix comments mentioning typo in IS_ENABLED()

Khaled Almahallawy (1):
      drm/i915/tc: fix the reset of ln0

Kishore Kadiyala (1):
      drm/i915: Add Plane color encoding support for YCBCR_BT2020

Lucas De Marchi (2):
      drm/i915/rkl: provide port/phy mapping for vbt
      drm/i915/display: remove alias to dig_port

Matt Atwood (3):
      drm/i915/ehl: Wa_22010271021
      drm/i915/gen12: implement Wa_14011508470
      drm/i915/dp: Correctly advertise HBR3 for GEN11+

Matt Roper (18):
      drm/i915/rkl: Add RKL platform info and PCI ids
      drm/i915/rkl: Re-use TGL GuC/HuC firmware
      drm/i915/rkl: Load DMC firmware for Rocket Lake
      drm/i915/rkl: Add PCH support
      x86/gpu: add RKL stolen memory support
      drm/i915/rkl: Update memory bandwidth parameters
      drm/i915/rkl: Limit number of universal planes to 5
      drm/i915/rkl: Add power well support
      drm/i915/rkl: RKL only uses PHY_MISC for PHY's A and B
      drm/i915/rkl: Set transcoder mask properly
      drm/i915/rkl: Add DDC pin mapping
      drm/i915/rkl: Handle comp master/slave relationships for PHYs
      drm/i915/rkl: Setup ports/phys
      drm/i915/rkl: Don't try to access transcoder D
      drm/i915: Restore DP-E to VBT mapping table
      drm/i915/rkl: RKL uses ABOX0 for pixel transfers
      drm/i915/rkl: Update TGP's pin mapping when paired with RKL
      drm/i915: Extend Wa_14010685332 to all ICP+ PCH's

Nathan Chancellor (1):
      drm/i915: Mark check_shadow_context_ppgtt as maybe unused

Oliver Barta (1):
      drm/i915: HDCP: retry link integrity check on failure

Pankaj Bharadiya (9):
      drm/i915/display/display_power: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/dp: Prefer drm_WARN* over WARN*
      drm/i915/display/sdvo: Prefer drm_WARN* over WARN*
      drm/i915/display/tc: Prefer drm_WARN_ON over WARN_ON
      drm/i915/gem: Prefer drm_WARN* over WARN*
      drm/i915/i915_drv: Prefer drm_WARN_ON over WARN_ON
      drm/i915/pmu: Prefer drm_WARN_ON over WARN_ON
      drm/i915/pm: Prefer drm_WARN_ON over WARN_ON
      drm/i915/runtime_pm: Prefer drm_WARN* over WARN*

Qiushi Wu (1):
      agp/intel: Fix a memory leak on module initialisation failure

Rodrigo Vivi (1):
      drm/i915: Include asm sources for {ivb, hsw}_clear_kernel.c

Stanislav Lisovskiy (10):
      drm/i915: Decouple cdclk calculation from modeset checks
      drm/i915: Extract cdclk requirements checking to separate function
      drm/i915: Check plane configuration properly
      drm/i915: Plane configuration affects CDCLK in Gen11+
      drm/i915: Introduce for_each_dbuf_slice_in_mask macro
      drm/i915: Adjust CDCLK accordingly to our DBuf bw needs
      drm/i915: Remove unneeded hack now for CDCLK
      drm/i915: Fix includes and local vars order
      drm/i915: Fix wrong CDCLK adjustment changes
      Revert "drm/i915: Remove unneeded hack now for CDCLK"

Swathi Dhanavanthri (1):
      drm/i915/ehl: Extend w/a 14010685332 to JSP/MCC

Tvrtko Ursulin (2):
      drm/i915: Adjust the sentinel assert to match implementation
      drm/i915: Remove redundant i915_request_await_object in blit clears

Vandita Kulkarni (1):
      drm/i915/display: Fix the encoder type check

Ville Syrjälä (34):
      drm/i915: Fix max cursor size for i915g/gm
      drm/i915: Fix overlay colorkey for 30bpp and 8bpp
      drm/i915: Configure overlay cc_out precision based on crtc gamma config
      drm/i915: Enable pipe gamma for the overlay
      drm/i915: Protect overlay colorkey macro arguments
      drm/i915: Make skl_compute_dbuf_slices() behave consistently for all platforms
      drm/i915: Polish some dbuf debugs
      drm/i915: Unify the low level dbuf code
      drm/i915: Introduce proper dbuf state
      drm/i915: Nuke skl_ddb_get_hw_state()
      drm/i915: Move the dbuf pre/post plane update
      drm/i915: Clean up dbuf debugs during .atomic_check()
      drm/i915: Fix dbuf slice mask when turning off all the pipes
      drm/i915: Fix 400 MHz FSB readout on elk
      drm/i915: Document our lackluster FSB frequency readout
      drm/i915: Read out hrawclk on all gen3+ platforms
      drm/i915: Stop using mode->private_flags
      drm/i915: Replace I915_MODE_FLAG_INHERITED with a boolean
      drm/i915: Fix global state use-after-frees with a refcount
      drm/i915: Fix cpt/ppt max pre-emphasis
      drm/i915: Fix ibx max vswing/preemph
      drm/i915: Fix ivb cpu edp vswing
      drm/i915: Add {preemph,voltage}_max() vfuncs
      drm/i915: Reverse preemph vs. voltage swing preference
      drm/i915: Replace some hand rolled max()s
      drm/i915: Fix DP_TRAIN_MAX_{PRE_EMPHASIS,SWING}_REACHED handling
      drm/i915: Clamp linetime wm to <64usec
      drm/i915/fbc: Fix fence_y_offset handling
      drm/i915/fbc: Don't clear busy_bits for origin==GTT
      drm/i915/fbc: Parametrize FBC_CONTROL
      drm/i915/fbc: Store the fbc1 compression interval in the params
      drm/i915/fbc: Reduce fbc1 compression interval to 1 second
      drm/i915: Suppress spurious underruns on gen2
      drm/i915: Fix g4x fbc watermark enable

Vivek Kasireddy (1):
      drm/i915/dsi: Dont forget to clean up the connector on error (v2)

 arch/x86/kernel/early-quirks.c                     |   1 +
 drivers/char/agp/intel-gtt.c                       |   4 +-
 drivers/dma-buf/selftests.h                        |   2 +-
 drivers/gpu/drm/i915/Makefile                      |   2 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |  14 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   4 +
 drivers/gpu/drm/i915/display/intel_bios.c          |  78 +-
 drivers/gpu/drm/i915/display/intel_bw.c            | 151 +++-
 drivers/gpu/drm/i915/display/intel_bw.h            |  10 +
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 100 ++-
 drivers/gpu/drm/i915/display/intel_color.c         |  66 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  55 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   4 +-
 drivers/gpu/drm/i915/display/intel_csr.c           |  20 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 143 ++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |   3 -
 drivers/gpu/drm/i915/display/intel_display.c       | 357 +++++---
 drivers/gpu/drm/i915/display/intel_display.h       |   8 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   |   5 +-
 drivers/gpu/drm/i915/display/intel_display_power.c | 373 +++++++--
 drivers/gpu/drm/i915/display/intel_display_power.h |   7 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  33 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 233 +++---
 drivers/gpu/drm/i915/display/intel_dp.h            |   4 -
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   4 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |  36 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  46 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  77 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           | 256 +++---
 drivers/gpu/drm/i915/display/intel_dsb.h           |  17 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  71 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   7 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  41 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |   9 +
 drivers/gpu/drm/i915/display/intel_lvds.c          |   4 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |   2 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |  30 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |   4 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  94 ++-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  21 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  30 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |  11 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |  14 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |   4 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   4 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   6 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  10 +-
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     | 325 +------
 drivers/gpu/drm/i915/gem/i915_gem_internal.c       |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_lmem.c           |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |  27 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |  52 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   3 +
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |   3 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   1 +
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        | 134 ++-
 .../gpu/drm/i915/gem/selftests/huge_gem_object.c   |   1 +
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    | 145 +---
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   3 -
 .../drm/i915/gem/selftests/i915_gem_execbuffer.c   |  21 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  55 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |  37 +
 drivers/gpu/drm/i915/gem/selftests/mock_context.h  |   4 +
 drivers/gpu/drm/i915/gt/gen2_engine_cs.c           | 329 ++++++++
 drivers/gpu/drm/i915/gt/gen2_engine_cs.h           |  38 +
 drivers/gpu/drm/i915/gt/gen6_engine_cs.c           | 455 ++++++++++
 drivers/gpu/drm/i915/gt/gen6_engine_cs.h           |  39 +
 drivers/gpu/drm/i915/gt/intel_context_sseu.c       |   2 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   4 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  69 +-
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |  41 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |  12 +
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |  46 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   5 +
 drivers/gpu/drm/i915/gt/intel_gt_buffer_pool.c     |   3 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   4 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  57 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |  29 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |   6 +-
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    | 866 +------------------
 drivers/gpu/drm/i915/gt/intel_rps.c                |  11 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  91 +-
 drivers/gpu/drm/i915/gt/selftest_engine_cs.c       |   2 +-
 .../gpu/drm/i915/gt/selftest_engine_heartbeat.c    |  53 +-
 .../gpu/drm/i915/gt/selftest_engine_heartbeat.h    |  14 +
 drivers/gpu/drm/i915/gt/selftest_engine_pm.c       | 101 +++
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           | 132 +++
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |  85 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             | 931 +++++++++++++--------
 drivers/gpu/drm/i915/gt/selftest_mocs.c            |   2 +-
 drivers/gpu/drm/i915/gt/selftest_rc6.c             |   9 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             |  68 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |  25 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |  17 +
 drivers/gpu/drm/i915/gt/shaders/README             |  46 +
 .../gpu/drm/i915/gt/shaders/clear_kernel/hsw.asm   | 119 +++
 .../gpu/drm/i915/gt/shaders/clear_kernel/ivb.asm   | 117 +++
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |  15 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |   4 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  20 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |  37 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  14 +-
 drivers/gpu/drm/i915/gvt/display.c                 |  30 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |   1 +
 drivers/gpu/drm/i915/gvt/edid.c                    |   2 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |  17 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |  55 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   2 -
 drivers/gpu/drm/i915/i915_debugfs.c                |   4 +-
 drivers/gpu/drm/i915/i915_debugfs_params.c         |   7 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   9 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  56 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  18 +
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   1 -
 drivers/gpu/drm/i915/i915_getparam.c               |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   4 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  77 +-
 drivers/gpu/drm/i915/i915_params.c                 |  43 +-
 drivers/gpu/drm/i915/i915_params.h                 |   1 +
 drivers/gpu/drm/i915/i915_pci.c                    |  40 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |  17 +-
 drivers/gpu/drm/i915/i915_query.c                  |   3 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 190 ++++-
 drivers/gpu/drm/i915/i915_request.c                |  40 +-
 drivers/gpu/drm/i915/i915_request.h                |  11 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  10 +-
 drivers/gpu/drm/i915/i915_utils.c                  |   2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  72 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   1 +
 drivers/gpu/drm/i915/intel_device_info.c           |   7 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   5 +
 drivers/gpu/drm/i915/intel_gvt.c                   |  10 +-
 drivers/gpu/drm/i915/intel_pch.c                   |  42 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 414 ++++++---
 drivers/gpu/drm/i915/intel_pm.h                    |  29 +-
 drivers/gpu/drm/i915/intel_region_lmem.c           |   6 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  39 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  10 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   1 +
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   4 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   4 +-
 drivers/gpu/drm/i915/selftests/i915_perf.c         |   2 +-
 .../gpu/drm/i915/selftests/i915_perf_selftests.h   |   2 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      | 871 ++++++++++++++++++-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |  18 +-
 drivers/gpu/drm/i915/selftests/mock_region.c       |   1 +
 include/drm/i915_pciids.h                          |   9 +
 150 files changed, 6046 insertions(+), 3356 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gt/gen2_engine_cs.c
 create mode 100644 drivers/gpu/drm/i915/gt/gen2_engine_cs.h
 create mode 100644 drivers/gpu/drm/i915/gt/gen6_engine_cs.c
 create mode 100644 drivers/gpu/drm/i915/gt/gen6_engine_cs.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine_heartbeat.h
 create mode 100644 drivers/gpu/drm/i915/gt/shaders/README
 create mode 100644 drivers/gpu/drm/i915/gt/shaders/clear_kernel/hsw.asm
 create mode 100644 drivers/gpu/drm/i915/gt/shaders/clear_kernel/ivb.asm

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-05-15 16:07 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2020-05-15 16:07 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave & Daniel,

Here's the last batch heading to v5.8. Indeed a lot of
enabling and fixes building on the previous prepping.

One cross-subsystem patch to video/hdmi for DRM infoframe
unpacking. One sysfs patch to add readout and ability to
restore of kernel compilation time default engine timeouts
by user.

Re-enabling Cherryview full-ppGTT after PTE flush
workaround, hopefully for good now. Missing display and GT
workarounds and plenty of other robustness fixes for
Tigerlake added. SAGV support for Tigerlake.

Display WA for Gen9/GLK, missing WA for ICP/ICL, further
work on the DP SDPs and HDMI infoframes. Optimizations on
the GT side to mitigate the soft-rc6 and general performance
of timeslicing.

The usual bunch of CI found corner case bugfixes on GT side,
overall debugging and selftest improvements.

Also includes GVT pull: support for ppgtt update by LRI
command which gvt replaces by shadow ppgtt, another small
optimization for shadow ctx and one workload destroy cleanup.

Regards, Joonas

PS. Next Thu is a public holiday here so expect a smaller
drm-intel-next-fixes PR earlier.

***

drm-intel-next-2020-05-15:

UAPI Changes:

- drm/i915: Show per-engine default property values in sysfs

    By providing the default values configured into the kernel via sysfs, it
    is much more convenient for userspace to restore those sane defaults, or
    at least know what are considered good baseline. This is useful, for
    example, to cleanup after any failed userspace prior to commencing new
    jobs.

Cross-subsystem Changes:

- video/hdmi: Add Unpack only function for DRM infoframe
- Includes pull request gvt-next-2020-05-12

Driver Changes:

- Restore Cherryview back to full-ppgtt (Chris, Mika)
- Document locking guidelines for i915 (Chris, Daniel, Joonas)
- Fix GitLab #1746: Handle idling during i915_gem_evict_something busy loops (Chris)
- Display WA #1105: Require linear fb stride to be multiple of 512 bytes on
  gen9/glk (Ville)
- Add Wa_14010685332 for ICP/ICL (Matt R)
- Restrict w/a 1607087056 for EHL/JSL (Swathi)
- Fix interrupt handling for DP AUX transactions on Tigerlake (Imre)
- Revert "drm/i915/tgl: Include ro parts of l3 to invalidate" (Mika)
- Fix HDC pipeline flush hardware bit on Gen12 (Mika)
- Flush L3 when flushing render on Gen12 (Mika)
- Invalidate aux table entries forcibly between BB on Gen12 (Mika)
- Add aux table invalidate for all engines on Gen12 (Mika)
- Force pte cacheline to main memory Gen8+ (Mika)
- Add and enable TGL+ SAGV support (Stanislav)
- Implement vm_ops->access on i915 mmaps for GDB (Chris, Kristian)
- Replace zero-length array with flexible-array (Gustavo)
- Improve batch buffer pool effectiveness to mitigate soft-rc6 hit (Chris)
- Remove wait priority boosting (Chris)
- Keep driver module referenced when PMU is active (Chris)
- Sanitize RPS interrupts upon resume (Chris)
- Extend pcode read timeout to 20 ms (Chris)
- Wait for ACT sent before enabling MST pipe (Ville)
- Extend support to async relocations to SNB (Chris)
- Remove CNL pre-prod workarounds (Ville)
- Don't enable WaIncreaseLatencyIPCEnabled when IPC is disabled (Sultan)
- Record the active CCID from before reset (Chris)
- Mark concurrent submissions with a weak-dependency (Chris)
- Peel dma-fence-chains for await to allow engine-to-engine sync (Lionel)
- Prevent using semaphores to chain up to external fences (Chris)
- Fix GLK watermark calculations (Ville)
- Emit await(batch) before MI_BB_START (Chris)
- Reset execlists registers before HWSP (Chris)
- Drop no-semaphore boosting in favor of fast timeslicing (Chris)
- Fix enabled infoframe states of lspcon (Gwan-gyeong)
- Program DP SDPs on pipe updates (Gwan-gyeong)
- Stop sending DP SDPs on ddi disable (Gwan-gyeong)
- Store CS timestamp frequency in Hz (Ville)

- Remove unused HAS_FWTABLE macro (Pascal)
- Use batchbuffer chaining for relocations to save ring space (Chris)
- Try different engines for relocs if MI ops not supported (Chris, Tvrtko)
- Lazily acquire the device wakeref for freeing objects (Chris)
- Streamline display code arithmetics around rounding etc. (Ville)
- Use bw state for per crtc SAGV evaluation (Stanislav)
- Track active_pipes in bw_state (Stanislav)
- Nuke mode.vrefresh usage (Ville)
- Warn if the FBC is still writing to stolen on removal (Chris)
- Added new PCode commands prepping for QGV rescricting (Stansilav)
- Stop holding onto the pinned_default_state (Chris)
- Propagate error from completed fences (Chris)
- Ignore submit-fences on the same timeline (Chris)
- Pull waiting on an external dma-fence into its routine (Chris)
- Replace the hardcoded I915_FENCE_TIMEOUT with Kconfig (Chris)
- Mark up the racy read of execlists->context_tag (Chris)
- Tidy up the return handling for completed dma-fences (Chris)
- Introduce skl_plane_wm_level accessor (Stanislav)
- Extract SKL SAGV checking (Stanislav)
- Make active_pipes check skl specific (Stanislav)
- Suspend tasklets before resume sanitization (Chris)
- Remove redundant exec_fence (Chris)
- Mark the addition of the initial-breadcrumb in the request (Chris)
- Transfer old virtual breadcrumbs to irq_worker (Chris)
- Read the DP SDPs from the video DIP (Gwan-gyeong)
- Program DP SDPs with computed configs (Gwan-gyeong)
- Add state readout for DP VSC and DP HDR Metadata Infoframe SDP
  (Gwan-gyeong)
- Add compute routine for DP PSR VSC SDP (Gwan-gyeong)
- Use new DP VSC SDP compute routine on PSR (Gwan-gyeong)
- Restrict qgv points which don't have enough bandwidth. (Stanislav)
- Nuke pointless div by 64bit (Ville)

- Static checker code fixes (Nathan, Mika, Chris)
- Add logging function for DP VSC SDP (Gwan-gyeong)
- Include HDMI DRM infoframe, DP HDR metadata and DP VSC SDP in the
  crtc state dump (Gwan-gyeong)
- Make timeslicing explicit engine property (Chris, Tvrtko)
- Selftest and debugging improvements (Chris)
- Align variable names with BSpec (Ville)
- Tidy up gen8+ breadcrumb emission code (Chris)
- Turn intel_digital_port_connected() in a vfunc (Ville)
- Use stashed away hpd isr bits in intel_digital_port_connected() (Ville)
- Extract i915_cs_timestamp_{ns_to_ticks,tick_to_ns}() (Ville)

The following changes since commit 230982d8d8df7f9d9aa216840ea2db1df6ad5d37:

  drm/i915: Update DRIVER_DATE to 20200430 (2020-04-30 11:13:21 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-05-15

for you to fetch changes up to 3a36aa237e4ed04553c0998cf5f47eda3e206e4f:

  drm/i915: Update DRIVER_DATE to 20200515 (2020-05-15 14:49:24 +0300)

----------------------------------------------------------------

UAPI Changes:

- drm/i915: Show per-engine default property values in sysfs

    By providing the default values configured into the kernel via sysfs, it
    is much more convenient for userspace to restore those sane defaults, or
    at least know what are considered good baseline. This is useful, for
    example, to cleanup after any failed userspace prior to commencing new
    jobs.

Cross-subsystem Changes:

- video/hdmi: Add Unpack only function for DRM infoframe
- Includes pull request gvt-next-2020-05-12

Driver Changes:

- Restore Cherryview back to full-ppgtt (Chris, Mika)
- Document locking guidelines for i915 (Chris, Daniel, Joonas)
- Fix GitLab #1746: Handle idling during i915_gem_evict_something busy loops (Chris)
- Display WA #1105: Require linear fb stride to be multiple of 512 bytes on
  gen9/glk (Ville)
- Add Wa_14010685332 for ICP/ICL (Matt R)
- Restrict w/a 1607087056 for EHL/JSL (Swathi)
- Fix interrupt handling for DP AUX transactions on Tigerlake (Imre)
- Revert "drm/i915/tgl: Include ro parts of l3 to invalidate" (Mika)
- Fix HDC pipeline flush hardware bit on Gen12 (Mika)
- Flush L3 when flushing render on Gen12 (Mika)
- Invalidate aux table entries forcibly between BB on Gen12 (Mika)
- Add aux table invalidate for all engines on Gen12 (Mika)
- Force pte cacheline to main memory Gen8+ (Mika)
- Add and enable TGL+ SAGV support (Stanislav)
- Implement vm_ops->access on i915 mmaps for GDB (Chris, Kristian)
- Replace zero-length array with flexible-array (Gustavo)
- Improve batch buffer pool effectiveness to mitigate soft-rc6 hit (Chris)
- Remove wait priority boosting (Chris)
- Keep driver module referenced when PMU is active (Chris)
- Sanitize RPS interrupts upon resume (Chris)
- Extend pcode read timeout to 20 ms (Chris)
- Wait for ACT sent before enabling MST pipe (Ville)
- Extend support to async relocations to SNB (Chris)
- Remove CNL pre-prod workarounds (Ville)
- Don't enable WaIncreaseLatencyIPCEnabled when IPC is disabled (Sultan)
- Record the active CCID from before reset (Chris)
- Mark concurrent submissions with a weak-dependency (Chris)
- Peel dma-fence-chains for await to allow engine-to-engine sync (Lionel)
- Prevent using semaphores to chain up to external fences (Chris)
- Fix GLK watermark calculations (Ville)
- Emit await(batch) before MI_BB_START (Chris)
- Reset execlists registers before HWSP (Chris)
- Drop no-semaphore boosting in favor of fast timeslicing (Chris)
- Fix enabled infoframe states of lspcon (Gwan-gyeong)
- Program DP SDPs on pipe updates (Gwan-gyeong)
- Stop sending DP SDPs on ddi disable (Gwan-gyeong)
- Store CS timestamp frequency in Hz (Ville)

- Remove unused HAS_FWTABLE macro (Pascal)
- Use batchbuffer chaining for relocations to save ring space (Chris)
- Try different engines for relocs if MI ops not supported (Chris, Tvrtko)
- Lazily acquire the device wakeref for freeing objects (Chris)
- Streamline display code arithmetics around rounding etc. (Ville)
- Use bw state for per crtc SAGV evaluation (Stanislav)
- Track active_pipes in bw_state (Stanislav)
- Nuke mode.vrefresh usage (Ville)
- Warn if the FBC is still writing to stolen on removal (Chris)
- Added new PCode commands prepping for QGV rescricting (Stansilav)
- Stop holding onto the pinned_default_state (Chris)
- Propagate error from completed fences (Chris)
- Ignore submit-fences on the same timeline (Chris)
- Pull waiting on an external dma-fence into its routine (Chris)
- Replace the hardcoded I915_FENCE_TIMEOUT with Kconfig (Chris)
- Mark up the racy read of execlists->context_tag (Chris)
- Tidy up the return handling for completed dma-fences (Chris)
- Introduce skl_plane_wm_level accessor (Stanislav)
- Extract SKL SAGV checking (Stanislav)
- Make active_pipes check skl specific (Stanislav)
- Suspend tasklets before resume sanitization (Chris)
- Remove redundant exec_fence (Chris)
- Mark the addition of the initial-breadcrumb in the request (Chris)
- Transfer old virtual breadcrumbs to irq_worker (Chris)
- Read the DP SDPs from the video DIP (Gwan-gyeong)
- Program DP SDPs with computed configs (Gwan-gyeong)
- Add state readout for DP VSC and DP HDR Metadata Infoframe SDP
  (Gwan-gyeong)
- Add compute routine for DP PSR VSC SDP (Gwan-gyeong)
- Use new DP VSC SDP compute routine on PSR (Gwan-gyeong)
- Restrict qgv points which don't have enough bandwidth. (Stanislav)
- Nuke pointless div by 64bit (Ville)

- Static checker code fixes (Nathan, Mika, Chris)
- Add logging function for DP VSC SDP (Gwan-gyeong)
- Include HDMI DRM infoframe, DP HDR metadata and DP VSC SDP in the
  crtc state dump (Gwan-gyeong)
- Make timeslicing explicit engine property (Chris, Tvrtko)
- Selftest and debugging improvements (Chris)
- Align variable names with BSpec (Ville)
- Tidy up gen8+ breadcrumb emission code (Chris)
- Turn intel_digital_port_connected() in a vfunc (Ville)
- Use stashed away hpd isr bits in intel_digital_port_connected() (Ville)
- Extract i915_cs_timestamp_{ns_to_ticks,tick_to_ns}() (Ville)

----------------------------------------------------------------
Chris Wilson (40):
      drm/i915/gt: Move the batch buffer pool from the engine to the gt
      drm/i915/pmu: Keep a reference to module while active
      drm/i915/gt: Make timeslicing an explicit engine property
      drm/i915: Implement vm_ops->access for gdb access into mmaps
      drm/i915/gem: Use chained reloc batches
      drm/i915/gem: Use a single chained reloc batches for a single execbuf
      drm/i915/gem: Try an alternate engine for relocations
      drm/i915/gt: Sanitize RPS interrupts upon resume
      drm/i915/gem: Lazily acquire the device wakeref for freeing objects
      drm/i915: Allow some leniency in PCU reads
      drm/i915/gem: Specify address type for chained reloc batches
      drm/i915/gem: Implement legacy MI_STORE_DATA_IMM
      drm/i915/display: Warn if the FBC is still writing to stolen on removal
      drm/i915/selftests: Repeat the rps clock frequency measurement
      drm/i915/gt: Small tidy of gen8+ breadcrumb emission
      drm/i915/execlists: Record the active CCID from before reset
      drm/i915/gt: Stop holding onto the pinned_default_state
      drm/i915: Propagate error from completed fences
      drm/i915: Mark concurrent submissions with a weak-dependency
      drm/i915: Remove wait priority boosting
      drm/i915: Ignore submit-fences on the same timeline
      drm/i915: Pull waiting on an external dma-fence into its routine
      drm/i915/gt: Improve precision on defer_request assert
      drm/i915: Prevent using semaphores to chain up to external fences
      drm/i915: Replace the hardcoded I915_FENCE_TIMEOUT
      drm/i915/gt: Mark up the racy read of execlists->context_tag
      drm/i915: Tidy awaiting on dma-fences
      drm/i915: Emit await(batch) before MI_BB_START
      drm/i915/selftests: Always flush before unpining after writing
      drm/i915/gt: Restore Cherryview back to full-ppgtt
      drm/i915: Handle idling during i915_gem_evict_something busy loops
      drm/i915/gt: Reset execlists registers before HWSP
      drm/i915/gt: Suspend tasklets before resume sanitization
      drm/i915/gem: Remove redundant exec_fence
      drm/i915: Mark the addition of the initial-breadcrumb in the request
      drm/i915: Drop I915_RESET_TIMEOUT and friends
      drm/i915: Drop no-semaphore boosting
      drm/i915: Show per-engine default property values in sysfs
      drm/i915/selftests: Always call the provided engine->emit_init_breadcrumb
      drm/i915/gt: Transfer old virtual breadcrumbs to irq_worker

Gustavo A. R. Silva (1):
      drm/i915: Replace zero-length array with flexible-array

Gwan-gyeong Mun (14):
      video/hdmi: Add Unpack only function for DRM infoframe
      drm/i915/dp: Read out DP SDPs
      drm: Add logging function for DP VSC SDP
      drm/i915: Include HDMI DRM infoframe in the crtc state dump
      drm/i915: Include DP HDR Metadata Infoframe SDP in the crtc state dump
      drm/i915: Include DP VSC SDP in the crtc state dump
      drm/i915: Program DP SDPs with computed configs
      drm/i915: Add state readout for DP HDR Metadata Infoframe SDP
      drm/i915: Add state readout for DP VSC SDP
      drm/i915: Fix enabled infoframe states of lspcon
      drm/i915: Program DP SDPs on pipe updates
      drm/i915: Stop sending DP SDPs on ddi disable
      drm/i915/dp: Add compute routine for DP PSR VSC SDP
      drm/i915/psr: Use new DP VSC SDP compute routine on PSR

Imre Deak (1):
      drm/i915/tgl+: Fix interrupt handling for DP AUX transactions

Joonas Lahtinen (3):
      Merge tag 'gvt-next-2020-05-12' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Document locking guidelines
      drm/i915: Update DRIVER_DATE to 20200515

Lionel Landwerlin (1):
      drm/i915: Peel dma-fence-chains for await

Matt Roper (1):
      drm/i915/icp: Add Wa_14010685332

Mika Kuoppala (7):
      Revert "drm/i915/tgl: Include ro parts of l3 to invalidate"
      drm/i915/gen12: Fix HDC pipeline flush
      drm/i915/gen12: Flush L3
      drm/i915/gen12: Invalidate aux table entries forcibly
      drm/i915/gen12: Add aux table invalidate for all engines
      drm/i915: Make intel_timeline_init static
      drm/i915/gt: Force pte cacheline to main memory

Nathan Chancellor (1):
      drm/i915: Remove duplicate inline specifier on write_pte

Pascal Terjan (1):
      drm/i915: Remove unused HAS_FWTABLE macro

Stanislav Lisovskiy (10):
      drm/i915: Use bw state for per crtc SAGV evaluation
      drm/i915: Track active_pipes in bw_state
      drm/i915: Rename bw_state to new_bw_state
      drm/i915: Added required new PCode commands
      drm/i915: Introduce skl_plane_wm_level accessor.
      drm/i915: Extract skl SAGV checking
      drm/i915: Make active_pipes check skl specific
      drm/i915: Add TGL+ SAGV support
      drm/i915: Restrict qgv points which don't have enough bandwidth.
      drm/i915: Enable SAGV support for Gen12

Sultan Alsawaf (1):
      drm/i915: Don't enable WaIncreaseLatencyIPCEnabled when IPC is disabled

Swathi Dhanavanthri (1):
      drm/i915/ehl: Restrict w/a 1607087056 for EHL/JSL

Ville Syrjälä (13):
      drm/i915/fbc: Require linear fb stride to be multiple of 512 bytes on gen9/glk
      drm/i915: Remove cnl pre-prod workarounds
      drm/i915: Nuke mode.vrefresh usage
      drm/i915: Rename variables to be consistent with bspec
      drm/i915: Streamline the artihmetic
      drm/i915/mst: Wait for ACT sent before enabling the pipe
      drm/i915: Fix glk watermark calculations
      drm/i915: Turn intel_digital_port_connected() in a vfunc
      drm/i915: Stash hpd status bits under dev_priv
      drm/i915: Use stashed away hpd isr bits in intel_digital_port_connected()
      drm/i915: Nuke pointless div by 64bit
      drm/i915: Store CS timestamp frequency in Hz
      drm/i915: Extract i915_cs_timestamp_{ns_to_ticks,tick_to_ns}()

Zhenyu Wang (3):
      drm/i915/gvt: move workload destroy out of execlist complete
      drm/i915/gvt: Support PPGTT table load command
      drm/i915/gvt: use context lrc_reg_state for shadow ppgtt override

 Documentation/gpu/i915.rst                         |  46 ++
 drivers/gpu/drm/drm_dp_helper.c                    | 174 ++++++
 drivers/gpu/drm/i915/Kconfig.profile               |  12 +
 drivers/gpu/drm/i915/Makefile                      |   3 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  77 +--
 drivers/gpu/drm/i915/display/intel_bw.c            | 161 ++++--
 drivers/gpu/drm/i915/display/intel_bw.h            |  18 +
 drivers/gpu/drm/i915/display/intel_ddi.c           |  60 ++-
 drivers/gpu/drm/i915/display/intel_display.c       |  85 ++-
 drivers/gpu/drm/i915/display/intel_display_types.h |   3 +
 drivers/gpu/drm/i915/display/intel_dp.c            | 594 ++++++++-------------
 drivers/gpu/drm/i915/display/intel_dp.h            |  15 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   9 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  12 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |   2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  58 +-
 drivers/gpu/drm/i915/display/intel_psr.h           |   6 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |   7 +-
 drivers/gpu/drm/i915/display/intel_tc.h            |   3 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     | 478 +++++++++++------
 drivers/gpu/drm/i915/gem/i915_gem_fence.c          |   4 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |  34 ++
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   3 -
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |  26 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.h     |   1 -
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   1 +
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  31 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |   2 +
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  49 +-
 .../drm/i915/gem/selftests/i915_gem_execbuffer.c   | 171 ++++++
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c | 124 +++++
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.c |  26 +-
 drivers/gpu/drm/i915/gt/gen8_ppgtt.c               |  15 +-
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |  52 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |  46 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   6 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |  16 +-
 drivers/gpu/drm/i915/gt/intel_engine_pool.h        |  34 --
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |  36 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   3 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   3 +
 ...{intel_engine_pool.c => intel_gt_buffer_pool.c} | 114 ++--
 drivers/gpu/drm/i915/gt/intel_gt_buffer_pool.h     |  37 ++
 ...e_pool_types.h => intel_gt_buffer_pool_types.h} |  15 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |  10 +-
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |  11 +
 drivers/gpu/drm/i915/gt/intel_lrc.c                | 320 +++++++----
 drivers/gpu/drm/i915/gt/intel_rc6.c                |   8 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |  16 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   5 +-
 drivers/gpu/drm/i915/gt/intel_rps.h                |   1 +
 drivers/gpu/drm/i915/gt/intel_timeline.c           |   8 +-
 drivers/gpu/drm/i915/gt/intel_timeline.h           |   5 -
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  28 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |   2 -
 drivers/gpu/drm/i915/gt/selftest_context.c         |  12 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  86 ++-
 drivers/gpu/drm/i915/gt/selftest_ring_submission.c |   2 +
 drivers/gpu/drm/i915/gt/selftest_rps.c             |  56 +-
 drivers/gpu/drm/i915/gt/sysfs_engines.c            |  94 ++++
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |   2 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  45 ++
 drivers/gpu/drm/i915/gvt/execlist.c                |   2 -
 drivers/gpu/drm/i915/gvt/gtt.c                     |   1 +
 drivers/gpu/drm/i915/gvt/gtt.h                     |   1 +
 drivers/gpu/drm/i915/gvt/handlers.c                |   2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               | 115 +++-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
 drivers/gpu/drm/i915/i915_config.c                 |  15 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  11 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  37 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |  26 +-
 drivers/gpu/drm/i915/i915_getparam.c               |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   2 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 227 ++++----
 drivers/gpu/drm/i915/i915_pci.c                    |   2 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  12 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   5 +-
 drivers/gpu/drm/i915/i915_priolist_types.h         |   7 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  13 +
 drivers/gpu/drm/i915/i915_request.c                | 127 +++--
 drivers/gpu/drm/i915/i915_request.h                |  28 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |  29 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |   3 +-
 drivers/gpu/drm/i915/i915_scheduler_types.h        |   3 +-
 drivers/gpu/drm/i915/i915_selftest.h               |   2 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |  10 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  34 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   2 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 328 +++++++++---
 drivers/gpu/drm/i915/intel_pm.h                    |   4 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   5 -
 drivers/gpu/drm/i915/intel_wopcm.c                 |   3 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
 drivers/gpu/drm/i915/selftests/i915_perf.c         |   6 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |  37 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |  29 +
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   3 +-
 drivers/video/hdmi.c                               |  65 ++-
 include/drm/drm_dp_helper.h                        |   3 +
 include/linux/hdmi.h                               |   2 +
 105 files changed, 3097 insertions(+), 1505 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/i915_gem_execbuffer.c
 delete mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool.h
 rename drivers/gpu/drm/i915/gt/{intel_engine_pool.c => intel_gt_buffer_pool.c} (53%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_buffer_pool.h
 rename drivers/gpu/drm/i915/gt/{intel_engine_pool_types.h => intel_gt_buffer_pool_types.h} (54%)
 create mode 100644 drivers/gpu/drm/i915/i915_config.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-05-14  1:28   ` Dave Airlie
@ 2020-05-14 14:55     ` Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2020-05-14 14:55 UTC (permalink / raw)
  To: Dave Airlie, Tomi Sarvela, Martin Peres, Arkadiusz Hiler
  Cc: DRM maintainer tools announcements, discussion, and development,
	Daniel Vetter, dri-devel, Rodrigo Vivi, Sean Paul,
	Intel Graphics Development

Quoting Dave Airlie (2020-05-14 04:28:17)
> On Thu, 14 May 2020 at 03:10, Joonas Lahtinen
> <joonas.lahtinen@linux.intel.com> wrote:
> >
> > Ping for merging this? If there are no issues, I'd prefer to pull in
> > next gvt-next and tag the final pull sooner than later.
> 
> Can you check that I'm correct and this isn;'t in patchwork. I've
> checked both the dri-devel and intel-gfx patchworks for it.

We don't have drm-intel-next going to CI separately as it's a point in
time from drm-intel-next-queued. dinq testing seems to be daily today,
but indeed, I do get a for that day 404:

https://intel-gfx-ci.01.org/tree/drm-intel-next-queued/dinq-20200430/combined-alt.html

I could not locate drm-tip results for that DRIVER_DATE update either.

Tomi and Arek, can you take a look?

All drm-intel-next-fixes tags will still get a separate run, so should
be easier to find.

Regards, Joonas

> Dave.
> >
> > Regards, Joonas
> >
> > Quoting Joonas Lahtinen (2020-04-30 15:49:04)
> > > Hi Dave & Daniel,
> > >
> > > Fix for performance regression GitLab #1698: Iris Plus 655 and
> > > 4K screen. Missing workarounds for Tigerlake, and a fix for
> > > DP display audio WA. Unbreaking enable_dpcd_backlight, fixes
> > > to power code for Icelake+.
> > >
> > > Improvements to the soft-RC6 code to improve power efficiency,
> > > a fix for the timestamp corruption on Tigerlake and plenty of
> > > smaller fixes for CI found corner cases.
> > >
> > > Lots of refactoring that prep for upcoming changes, so I expect
> > > the next PR to be quite busy.
> > >
> > > Includes gvt-next-2020-04-22: Removes left non-upstream xen support
> > > bits which will be kept out of tree instead. And several guest
> > > context shadow optimizations.
> > >
> > > Regards, Joonas
> > >
> > > PS. Noticed the ack for locking rules, thanks! Will merge it.
> > >
> > > ***
> > >
> > > drm-intel-next-2020-04-30:
> > >
> > > Driver Changes:
> > >
> > > - Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
> > >   Iris Plus 655 and 4K screen (Chris)
> > > - Add Wa_14011059788 for Tigerlake (Matt A)
> > > - Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
> > > - Use indirect ctx bb to load cmd buffer control value
> > >   from context image to avoid corruption (Mika)
> > > - Enable DP Display Audio WA (Uma, Jani)
> > > - Update forcewake firmware ranges for Icelake (Radhakrishna)
> > > - Add missing deinitialization cases of load failure for display (Jose)
> > > - Implement TC cold sequences for Icelake and Tigerlake (Jose)
> > > - Unbreak enable_dpcd_backlight modparam (Lyude)
> > > - Move the late flush_submission in retire to the end (Chris)
> > > - Demote "Reducing compressed framebufer size" message to info (Peter)
> > > - Push MST link retraining to the hotplug work (Ville)
> > > - Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
> > > - Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
> > > - Fix skl+ non-scaled pfit modes (Ville)
> > > - Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
> > > - Sanitize GT first before poisoning HWSP (Chris)
> > > - Fix up clock RPS frequency readout (Chris)
> > > - Avoid reusing the same logical CCID (Chris)
> > > - Avoid dereferencing a dead context (Chris)
> > > - Always enable busy-stats for execlists (Chris)
> > > - Apply the aggressive downclocking to parking (Chris)
> > > - Restore aggressive post-boost downclocking (Chris)
> > >
> > > - Scrub execlists state on resume (Chris)
> > > - Add debugfs attributes for LPSP (Ansuman)
> > > - Improvements to kernel selftests (Chris, Mika)
> > > - Add tiled blits selftest (Zbigniew)
> > > - Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
> > > - Add pre/post plane updates for SAGV (Stanislav)
> > > - Add ICL PG3 PW ID for EHL (Anshuman)
> > > - Fix Sphinx build duplicate label warning (Jani)
> > > - Error log non-zero audio power refcount after unbind (Jani)
> > > - Remove object_is_locked assertion from unpin_from_display_plane (Chris)
> > > - Use single set of AUX powerwell ops for gen11+ (Matt R)
> > > - Prefer drm_WARN_ON over WARN_ON (Pankaj)
> > > - Poison residual state [HWSP] across resume (Chris, Tvrtko)
> > > - Convert request-before-CS assertion to debug (Chris)
> > > - Carefully order virtual_submission_tasklet (Chris)
> > > - Check carefully for an idle engine in wait-for-idle (Chris)
> > > - Only close vma we open (Chris)
> > > - Trace RPS events (Chris)
> > > - Use the RPM config register to determine clk frequencies (Chris)
> > > - Drop rq->ring->vma peeking from error capture (Chris)
> > > - Check preempt-timeout target before submit_ports (Chris)
> > > - Check HWSP cacheline is valid before acquiring (Chris)
> > > - Use proper fault mask in interrupt postinstall too (Matt R)
> > > - Keep a no-frills swappable copy of the default context state (Chris)
> > >
> > > - Add atomic helpers for bandwidth (Stanislav)
> > > - Refactor setting dma info to a common helper from device info (Michael)
> > > - Refactor DDI transcoder code for clairty (Ville)
> > > - Extend PG3 power well ID to ICL (Anshuman)
> > > - Refactor PFIT code for readability and future extensibility (Ville)
> > > - Clarify code split between intel_ddi.c and intel_dp.c (Ville)
> > > - Move out code to return the digital_port of the aux ch (Jose)
> > > - Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
> > > - Remove superfluous inlines and dead code (Jani)
> > > - Re-disable -Wframe-address from top-level Makefile (Nick)
> > > - Static checker and spelling fixes (Colin, Nathan)
> > > - Split long lines (Ville)
> > >
> > > The following changes since commit b06ef327e26367b9286a2079b31cde8d2161c0d8:
> > >
> > >   drm/i915: Update DRIVER_DATE to 20200417 (2020-04-17 09:35:00 +0300)
> > >
> > > are available in the Git repository at:
> > >
> > >   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-04-30
> > >
> > > for you to fetch changes up to 230982d8d8df7f9d9aa216840ea2db1df6ad5d37:
> > >
> > >   drm/i915: Update DRIVER_DATE to 20200430 (2020-04-30 11:13:21 +0300)
> > >
> > > ----------------------------------------------------------------
> > > Driver Changes:
> > >
> > > - Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
> > >   Iris Plus 655 and 4K screen (Chris)
> > > - Add Wa_14011059788 for Tigerlake (Matt A)
> > > - Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
> > > - Use indirect ctx bb to load cmd buffer control value
> > >   from context image to avoid corruption (Mika)
> > > - Enable DP Display Audio WA (Uma, Jani)
> > > - Update forcewake firmware ranges for Icelake (Radhakrishna)
> > > - Add missing deinitialization cases of load failure for display (Jose)
> > > - Implement TC cold sequences for Icelake and Tigerlake (Jose)
> > > - Unbreak enable_dpcd_backlight modparam (Lyude)
> > > - Move the late flush_submission in retire to the end (Chris)
> > > - Demote "Reducing compressed framebufer size" message to info (Peter)
> > > - Push MST link retraining to the hotplug work (Ville)
> > > - Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
> > > - Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
> > > - Fix skl+ non-scaled pfit modes (Ville)
> > > - Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
> > > - Sanitize GT first before poisoning HWSP (Chris)
> > > - Fix up clock RPS frequency readout (Chris)
> > > - Avoid reusing the same logical CCID (Chris)
> > > - Avoid dereferencing a dead context (Chris)
> > > - Always enable busy-stats for execlists (Chris)
> > > - Apply the aggressive downclocking to parking (Chris)
> > > - Restore aggressive post-boost downclocking (Chris)
> > >
> > > - Scrub execlists state on resume (Chris)
> > > - Add debugfs attributes for LPSP (Ansuman)
> > > - Improvements to kernel selftests (Chris, Mika)
> > > - Add tiled blits selftest (Zbigniew)
> > > - Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
> > > - Add pre/post plane updates for SAGV (Stanislav)
> > > - Add ICL PG3 PW ID for EHL (Anshuman)
> > > - Fix Sphinx build duplicate label warning (Jani)
> > > - Error log non-zero audio power refcount after unbind (Jani)
> > > - Remove object_is_locked assertion from unpin_from_display_plane (Chris)
> > > - Use single set of AUX powerwell ops for gen11+ (Matt R)
> > > - Prefer drm_WARN_ON over WARN_ON (Pankaj)
> > > - Poison residual state [HWSP] across resume (Chris, Tvrtko)
> > > - Convert request-before-CS assertion to debug (Chris)
> > > - Carefully order virtual_submission_tasklet (Chris)
> > > - Check carefully for an idle engine in wait-for-idle (Chris)
> > > - Only close vma we open (Chris)
> > > - Trace RPS events (Chris)
> > > - Use the RPM config register to determine clk frequencies (Chris)
> > > - Drop rq->ring->vma peeking from error capture (Chris)
> > > - Check preempt-timeout target before submit_ports (Chris)
> > > - Check HWSP cacheline is valid before acquiring (Chris)
> > > - Use proper fault mask in interrupt postinstall too (Matt R)
> > > - Keep a no-frills swappable copy of the default context state (Chris)
> > >
> > > - Add atomic helpers for bandwidth (Stanislav)
> > > - Refactor setting dma info to a common helper from device info (Michael)
> > > - Refactor DDI transcoder code for clairty (Ville)
> > > - Extend PG3 power well ID to ICL (Anshuman)
> > > - Refactor PFIT code for readability and future extensibility (Ville)
> > > - Clarify code split between intel_ddi.c and intel_dp.c (Ville)
> > > - Move out code to return the digital_port of the aux ch (Jose)
> > > - Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
> > > - Remove superfluous inlines and dead code (Jani)
> > > - Re-disable -Wframe-address from top-level Makefile (Nick)
> > > - Static checker and spelling fixes (Colin, Nathan)
> > > - Split long lines (Ville)
> > >
> > > ----------------------------------------------------------------
> > > Anshuman Gupta (5):
> > >       drm/i915: Power well id for ICL PG3
> > >       drm/i915: Add i915_lpsp_capability debugfs
> > >       drm/i915: Add connector dbgfs for all connectors
> > >       drm/i915: Add i915_lpsp_status debugfs attribute
> > >       drm/i915: Add ICL PG3 PW ID for EHL
> > >
> > > Chris Wilson (46):
> > >       drm/i915/gt: Scrub execlists state on resume
> > >       drm/i915/selftests: Delay spinner before waiting for an interrupt
> > >       drm/i915/selftests: Take the engine wakeref around __rps_up_interrupt
> > >       drm/i915/selftests: Move gpu energy measurement into its own little lib
> > >       drm/i915/selftests: Check power consumption at min/max frequencies
> > >       drm/i915/gem: Remove object_is_locked assertion from unpin_from_display_plane
> > >       drm/i915/gt: Move the late flush_submission in retire to the end
> > >       drm/i915/selftests: Verify frequency scaling with RPS
> > >       drm/i915/selftests: Skip energy consumption tests if not controlling freq
> > >       drm/i915/selftests: Check RPS controls
> > >       drm/i915/selftests: Split RPS frequency measurement
> > >       drm/i915/selftests: Show the pcode frequency table on error
> > >       drm/i915/selftests: Exercise dynamic reclocking with RPS
> > >       drm/i915/selftests: Show the pstate limits on any failure to reset min
> > >       drm/i915/selftests: Show the full scaling curve on failure
> > >       drm/i915/selftests: Disable C-states when measuring RPS frequency response
> > >       drm/i915/gt: Poison residual state [HWSP] across resume.
> > >       drm/i915/selftests: Unroll the CS frequency loop
> > >       drm/i915/selftests: Disable heartbeat around RPS interrupt testing
> > >       drm/i915/selftests: Try to detect rollback during batchbuffer preemption
> > >       drm/i915/gem: Hold obj->vma.lock over for_each_ggtt_vma()
> > >       drm/i915/execlists: Drop request-before-CS assertion
> > >       drm/i915/gt: Carefully order virtual_submission_tasklet
> > >       drm/i915/gt: Check carefully for an idle engine in wait-for-idle
> > >       drm/i915/selftests: Add request throughput measurement to perf
> > >       drm/i915: Only close vma we open
> > >       drm/i915/gt: Prefer soft-rc6 over RPS DOWN_TIMEOUT
> > >       drm/i915/gt: Trace RPS events
> > >       drm/i915/gt: Use the RPM config register to determine clk frequencies
> > >       drm/i915: Drop rq->ring->vma peeking from error capture
> > >       drm/i915/execlists: Check preempt-timeout target before submit_ports
> > >       drm/i915/gt: Check cacheline is valid before acquiring
> > >       drm/i915/gt: Sanitize GT first
> > >       drm/i915/gt: Fix up clock frequency
> > >       drm/i915/selftests: Tweak the tolerance for clock ticks to 12.5%
> > >       drm/i915/execlists: Avoid reusing the same logical CCID
> > >       drm/i915/execlists: Track inflight CCID
> > >       drm/i915/execlists: Verify we don't submit two identical CCIDs
> > >       drm/i915: Avoid dereferencing a dead context
> > >       drm/i915/gt: Keep a no-frills swappable copy of the default context state
> > >       drm/i915/gt: Always enable busy-stats for execlists
> > >       drm/i915/gt: Move rps.enabled/active to flags
> > >       drm/i915/gt: Track use of RPS interrupts in flags
> > >       drm/i915/gt: Switch to manual evaluation of RPS
> > >       drm/i915/gt: Apply the aggressive downclocking to parking
> > >       drm/i915/gt: Restore aggressive post-boost downclocking
> > >
> > > Christoph Hellwig (1):
> > >       i915/gvt: remove unused xen bits
> > >
> > > Colin Ian King (2):
> > >       drm/i915: remove redundant assignment to variable test_result
> > >       drm/i915/gt: fix spelling mistake "evalution" -> "evaluation"
> > >
> > > Dan Carpenter (1):
> > >       drm/i915/selftests: fix error handling in __live_lrc_indirect_ctx_bb()
> > >
> > > Imre Deak (1):
> > >       drm/i915/icl: Fix timeout handling during TypeC AUX power well enabling
> > >
> > > Jani Nikula (5):
> > >       drm/i915: fix Sphinx build duplicate label warning
> > >       drm/i915/audio: error log non-zero audio power refcount after unbind
> > >       drm/i915/hdmi: remove unused intel_hdmi_hdcp2_protocol()
> > >       drm/i915: drop a bunch of superfluous inlines
> > >       drm/i915/audio: fix compressed_bpp check
> > >
> > > Joonas Lahtinen (2):
> > >       Merge tag 'gvt-next-2020-04-22' of https://github.com/intel/gvt-linux into drm-intel-next-queued
> > >       drm/i915: Update DRIVER_DATE to 20200430
> > >
> > > José Roberto de Souza (10):
> > >       drm/i915: Add missing deinitialization cases of load failure
> > >       drm/i915/display: Move out code to return the digital_port of the aux ch
> > >       drm/i915/display: Add intel_legacy_aux_to_power_domain()
> > >       drm/i915/display: Split hsw_power_well_enable() into two
> > >       drm/i915/tc/icl: Implement TC cold sequences
> > >       drm/i915/tc: Skip ref held check for TC legacy aux power wells
> > >       drm/i915/tc/tgl: Implement TC cold sequences
> > >       drm/i915/tc: Catch TC users accessing FIA registers without enable aux
> > >       drm/i915/tc: Do not warn when aux power well of static TC ports timeout
> > >       drm/i915/display: Load DP_TP_CTL/STATUS offset before use it
> > >
> > > Lyude Paul (1):
> > >       drm/i915/dpcd_bl: Unbreak enable_dpcd_backlight modparam
> > >
> > > Matt Atwood (1):
> > >       drm/i915/tgl: Wa_14011059788
> > >
> > > Matt Roper (2):
> > >       drm/i915: Use single set of AUX powerwell ops for gen11+
> > >       drm/i915: Use proper fault mask in interrupt postinstall too
> > >
> > > Michael J. Ruhl (1):
> > >       drm/i915: Refactor setting dma info to a common helper
> > >
> > > Mika Kuoppala (6):
> > >       drm/i915/selftests: Add context batchbuffers registers to live_lrc_fixed
> > >       drm/i915: Make define for lrc state offset
> > >       drm/i915: Add engine scratch register to live_lrc_fixed
> > >       drm/i915: Add per ctx batchbuffer wa for timestamp
> > >       drm/i915: Add live selftests for indirect ctx batchbuffers
> > >       drm/i915: Use indirect ctx bb to mend CMD_BUF_CCTL
> > >
> > > Nathan Chancellor (1):
> > >       drm/i915/gt: Avoid uninitialized use of rpcurupei in frequency_show
> > >
> > > Nick Desaulniers (1):
> > >       drm/i915: re-disable -Wframe-address
> > >
> > > Pankaj Bharadiya (9):
> > >       drm/i915/display/icl_dsi: Prefer drm_WARN_ON over WARN_ON
> > >       drm/i915/display/atomic_plane: Prefer drm_WARN_ON over WARN_ON
> > >       drm/i915/display/ddi: Prefer drm_WARN* over WARN*
> > >       drm/i915/display/display: Prefer drm_WARN_ON over WARN_ON
> > >       drm/i915/display/dpll_mgr: Prefer drm_WARN_ON over WARN_ON
> > >       drm/i915/display/frontbuffer: Prefer drm_WARN_ON over WARN_ON
> > >       drm/i915/display/global_state: Prefer drm_WARN* over WARN*
> > >       drm/i915/display/overlay: Prefer drm_WARN_ON over WARN_ON
> > >       drm/i915/display/vlv_dsi: Prefer drm_WARN_ON over WARN_ON
> > >
> > > Peter Jones (1):
> > >       Make the "Reducing compressed framebufer size" message be DRM_INFO_ONCE()
> > >
> > > Radhakrishna Sripada (1):
> > >       drm/i915/icl: Update forcewake firmware ranges
> > >
> > > Stanislav Lisovskiy (3):
> > >       drm/i915: Add intel_atomic_get_bw_*_state helpers
> > >       drm/i915: Prepare to extract gen specific functions from intel_can_enable_sagv
> > >       drm/i915: Add pre/post plane updates for SAGV
> > >
> > > Uma Shankar (1):
> > >       drm/i915/display: Enable DP Display Audio WA
> > >
> > > Ville Syrjälä (16):
> > >       drm/i915: Pass encoder to intel_ddi_enable_pipe_clock()
> > >       drm/i915: Move the TRANS_DDI_FUNC_CTL enable to a later point
> > >       drm/i915: Push TRANS_DDI_FUNC_CTL into the encoder->enable() hook
> > >       drm/i915: Pass encoder all the way to intel_ddi_transcoder_func_reg_val_get()
> > >       drm/i915: Flatten intel_dp_check_mst_status() a bit
> > >       drm/i915: Push MST link retraining to the hotplug work
> > >       drm/i915: Fix skl+ non-scaled pfit modes
> > >       drm/i915: Flatten a bunch of the pfit functions
> > >       drm/i915: Use drm_rect to store the pfit window pos/size
> > >       drm/i915: s/pipe_config/crtc_state/ in pfit functions
> > >       drm/i915: Pass connector state to pfit calculations
> > >       drm/i915: Have pfit calculations return an error code
> > >       drm/i915: Introduce .set_link_train() vfunc
> > >       drm/i915: Introduce .set_signal_levels() vfunc
> > >       drm/i915: Introduce .set_idle_link_train() vfunc
> > >       drm/i915: Split some long lines
> > >
> > > Xiyu Yang (1):
> > >       drm/i915/selftests: Fix i915_address_space refcnt leak
> > >
> > > Yan Zhao (3):
> > >       drm/i915/gvt: access shadow ctx via its virtual address directly
> > >       drm/i915/gvt: combine access to consecutive guest context pages
> > >       drm/i915/gvt: skip populate shadow context if guest context not changed
> > >
> > > Zbigniew Kempczy\u0144ski (1):
> > >       drm/i915/selftests: Add tiled blits selftest
> > >
> > >  drivers/char/agp/intel-gtt.c                       |   17 +-
> > >  drivers/gpu/drm/i915/Makefile                      |    6 +-
> > >  drivers/gpu/drm/i915/display/icl_dsi.c             |   21 +-
> > >  drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    4 +-
> > >  drivers/gpu/drm/i915/display/intel_audio.c         |  145 +++
> > >  drivers/gpu/drm/i915/display/intel_bw.c            |   24 +-
> > >  drivers/gpu/drm/i915/display/intel_bw.h            |    9 +
> > >  drivers/gpu/drm/i915/display/intel_connector.c     |    3 +
> > >  drivers/gpu/drm/i915/display/intel_crt.c           |    4 +-
> > >  drivers/gpu/drm/i915/display/intel_ddi.c           |  244 +++--
> > >  drivers/gpu/drm/i915/display/intel_ddi.h           |    6 +-
> > >  drivers/gpu/drm/i915/display/intel_display.c       |  374 ++++---
> > >  drivers/gpu/drm/i915/display/intel_display.h       |    3 +-
> > >  .../gpu/drm/i915/display/intel_display_debugfs.c   |  100 ++
> > >  drivers/gpu/drm/i915/display/intel_display_power.c |  534 ++++-----
> > >  drivers/gpu/drm/i915/display/intel_display_power.h |    5 +-
> > >  drivers/gpu/drm/i915/display/intel_display_types.h |    6 +-
> > >  drivers/gpu/drm/i915/display/intel_dp.c            |  608 ++++++-----
> > >  .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    1 +
> > >  drivers/gpu/drm/i915/display/intel_dp_mst.c        |    4 +-
> > >  drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |    8 +-
> > >  drivers/gpu/drm/i915/display/intel_dsb.c           |    6 +-
> > >  drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
> > >  drivers/gpu/drm/i915/display/intel_fbc.c           |    5 +-
> > >  drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    6 +-
> > >  drivers/gpu/drm/i915/display/intel_global_state.c  |    4 +-
> > >  drivers/gpu/drm/i915/display/intel_gmbus.c         |    3 +-
> > >  drivers/gpu/drm/i915/display/intel_hdcp.c          |   23 +-
> > >  drivers/gpu/drm/i915/display/intel_hdmi.c          |   56 +-
> > >  drivers/gpu/drm/i915/display/intel_lvds.c          |   16 +-
> > >  drivers/gpu/drm/i915/display/intel_overlay.c       |    6 +-
> > >  drivers/gpu/drm/i915/display/intel_panel.c         |  140 +--
> > >  drivers/gpu/drm/i915/display/intel_panel.h         |   10 +-
> > >  drivers/gpu/drm/i915/display/intel_tc.c            |   95 +-
> > >  drivers/gpu/drm/i915/display/vlv_dsi.c             |   11 +-
> > >  drivers/gpu/drm/i915/gem/i915_gem_context.c        |    4 +-
> > >  drivers/gpu/drm/i915/gem/i915_gem_domain.c         |    7 +-
> > >  drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    2 +-
> > >  drivers/gpu/drm/i915/gem/i915_gem_object.c         |    4 +-
> > >  drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   20 +-
> > >  drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   95 +-
> > >  .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  595 ++++++++++
> > >  .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    1 -
> > >  drivers/gpu/drm/i915/gt/debugfs_gt_pm.c            |   64 +-
> > >  drivers/gpu/drm/i915/gt/intel_context_sseu.c       |    3 +-
> > >  drivers/gpu/drm/i915/gt/intel_context_types.h      |   10 +-
> > >  drivers/gpu/drm/i915/gt/intel_engine.h             |    3 -
> > >  drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   82 +-
> > >  drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   10 +-
> > >  drivers/gpu/drm/i915/gt/intel_engine_types.h       |   41 +-
> > >  drivers/gpu/drm/i915/gt/intel_ggtt.c               |   15 -
> > >  drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    3 +-
> > >  drivers/gpu/drm/i915/gt/intel_gt.c                 |   63 +-
> > >  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c     |  102 ++
> > >  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h     |   27 +
> > >  drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   10 +-
> > >  drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   20 +-
> > >  drivers/gpu/drm/i915/gt/intel_gt_types.h           |    9 +-
> > >  drivers/gpu/drm/i915/gt/intel_lrc.c                |  703 ++++++++----
> > >  drivers/gpu/drm/i915/gt/intel_lrc.h                |    1 +
> > >  drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    6 +-
> > >  drivers/gpu/drm/i915/gt/intel_renderstate.c        |    4 +-
> > >  drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   16 +-
> > >  drivers/gpu/drm/i915/gt/intel_rps.c                |  350 ++++--
> > >  drivers/gpu/drm/i915/gt/intel_rps.h                |   60 ++
> > >  drivers/gpu/drm/i915/gt/intel_rps_types.h          |   14 +-
> > >  drivers/gpu/drm/i915/gt/intel_timeline.c           |   19 +-
> > >  drivers/gpu/drm/i915/gt/intel_timeline.h           |    2 +
> > >  drivers/gpu/drm/i915/gt/selftest_context.c         |    2 +-
> > >  drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    6 +
> > >  drivers/gpu/drm/i915/gt/selftest_lrc.c             |  534 ++++++++-
> > >  drivers/gpu/drm/i915/gt/selftest_rc6.c             |   25 +-
> > >  drivers/gpu/drm/i915/gt/selftest_rps.c             | 1134 +++++++++++++++++++-
> > >  drivers/gpu/drm/i915/gt/selftest_rps.h             |    6 +
> > >  drivers/gpu/drm/i915/gt/shmem_utils.c              |  173 +++
> > >  drivers/gpu/drm/i915/gt/shmem_utils.h              |   23 +
> > >  drivers/gpu/drm/i915/gt/st_shmem_utils.c           |   63 ++
> > >  drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    2 +-
> > >  drivers/gpu/drm/i915/gvt/gtt.c                     |   15 +
> > >  drivers/gpu/drm/i915/gvt/gvt.c                     |    1 -
> > >  drivers/gpu/drm/i915/gvt/gvt.h                     |    5 +
> > >  drivers/gpu/drm/i915/gvt/hypercall.h               |    2 -
> > >  drivers/gpu/drm/i915/gvt/scheduler.c               |  136 ++-
> > >  drivers/gpu/drm/i915/i915_debugfs.c                |   39 +-
> > >  drivers/gpu/drm/i915/i915_drv.c                    |  119 +-
> > >  drivers/gpu/drm/i915/i915_drv.h                    |    4 +-
> > >  drivers/gpu/drm/i915/i915_gpu_error.c              |   43 +-
> > >  drivers/gpu/drm/i915/i915_gpu_error.h              |    1 -
> > >  drivers/gpu/drm/i915/i915_irq.c                    |    6 +-
> > >  drivers/gpu/drm/i915/i915_pci.c                    |   14 +
> > >  drivers/gpu/drm/i915/i915_perf.c                   |    8 +-
> > >  drivers/gpu/drm/i915/i915_pmu.c                    |   32 +-
> > >  drivers/gpu/drm/i915/i915_reg.h                    |   54 +-
> > >  drivers/gpu/drm/i915/i915_vma.c                    |   38 +-
> > >  drivers/gpu/drm/i915/intel_device_info.c           |    1 +
> > >  drivers/gpu/drm/i915/intel_device_info.h           |    2 +
> > >  drivers/gpu/drm/i915/intel_pm.c                    |   88 +-
> > >  drivers/gpu/drm/i915/intel_pm.h                    |    2 +
> > >  drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
> > >  drivers/gpu/drm/i915/intel_uncore.c                |   31 +-
> > >  drivers/gpu/drm/i915/intel_wopcm.c                 |   22 +-
> > >  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   32 +-
> > >  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
> > >  .../gpu/drm/i915/selftests/i915_perf_selftests.h   |    1 +
> > >  drivers/gpu/drm/i915/selftests/i915_request.c      |  572 +++++++++-
> > >  drivers/gpu/drm/i915/selftests/i915_vma.c          |    2 +-
> > >  drivers/gpu/drm/i915/selftests/librapl.c           |   24 +
> > >  drivers/gpu/drm/i915/selftests/librapl.h           |   13 +
> > >  108 files changed, 6193 insertions(+), 1961 deletions(-)
> > >  create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c
> > >  create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h
> > >  create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.c
> > >  create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.h
> > >  create mode 100644 drivers/gpu/drm/i915/gt/st_shmem_utils.c
> > >  create mode 100644 drivers/gpu/drm/i915/selftests/librapl.c
> > >  create mode 100644 drivers/gpu/drm/i915/selftests/librapl.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-05-13 17:10 ` Joonas Lahtinen
@ 2020-05-14  1:28   ` Dave Airlie
  2020-05-14 14:55     ` Joonas Lahtinen
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2020-05-14  1:28 UTC (permalink / raw)
  To: Joonas Lahtinen
  Cc: DRM maintainer tools announcements, discussion, and development,
	Daniel Vetter, dri-devel, Rodrigo Vivi, Sean Paul,
	Intel Graphics Development

On Thu, 14 May 2020 at 03:10, Joonas Lahtinen
<joonas.lahtinen@linux.intel.com> wrote:
>
> Ping for merging this? If there are no issues, I'd prefer to pull in
> next gvt-next and tag the final pull sooner than later.

Can you check that I'm correct and this isn;'t in patchwork. I've
checked both the dri-devel and intel-gfx patchworks for it.

Dave.
>
> Regards, Joonas
>
> Quoting Joonas Lahtinen (2020-04-30 15:49:04)
> > Hi Dave & Daniel,
> >
> > Fix for performance regression GitLab #1698: Iris Plus 655 and
> > 4K screen. Missing workarounds for Tigerlake, and a fix for
> > DP display audio WA. Unbreaking enable_dpcd_backlight, fixes
> > to power code for Icelake+.
> >
> > Improvements to the soft-RC6 code to improve power efficiency,
> > a fix for the timestamp corruption on Tigerlake and plenty of
> > smaller fixes for CI found corner cases.
> >
> > Lots of refactoring that prep for upcoming changes, so I expect
> > the next PR to be quite busy.
> >
> > Includes gvt-next-2020-04-22: Removes left non-upstream xen support
> > bits which will be kept out of tree instead. And several guest
> > context shadow optimizations.
> >
> > Regards, Joonas
> >
> > PS. Noticed the ack for locking rules, thanks! Will merge it.
> >
> > ***
> >
> > drm-intel-next-2020-04-30:
> >
> > Driver Changes:
> >
> > - Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
> >   Iris Plus 655 and 4K screen (Chris)
> > - Add Wa_14011059788 for Tigerlake (Matt A)
> > - Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
> > - Use indirect ctx bb to load cmd buffer control value
> >   from context image to avoid corruption (Mika)
> > - Enable DP Display Audio WA (Uma, Jani)
> > - Update forcewake firmware ranges for Icelake (Radhakrishna)
> > - Add missing deinitialization cases of load failure for display (Jose)
> > - Implement TC cold sequences for Icelake and Tigerlake (Jose)
> > - Unbreak enable_dpcd_backlight modparam (Lyude)
> > - Move the late flush_submission in retire to the end (Chris)
> > - Demote "Reducing compressed framebufer size" message to info (Peter)
> > - Push MST link retraining to the hotplug work (Ville)
> > - Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
> > - Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
> > - Fix skl+ non-scaled pfit modes (Ville)
> > - Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
> > - Sanitize GT first before poisoning HWSP (Chris)
> > - Fix up clock RPS frequency readout (Chris)
> > - Avoid reusing the same logical CCID (Chris)
> > - Avoid dereferencing a dead context (Chris)
> > - Always enable busy-stats for execlists (Chris)
> > - Apply the aggressive downclocking to parking (Chris)
> > - Restore aggressive post-boost downclocking (Chris)
> >
> > - Scrub execlists state on resume (Chris)
> > - Add debugfs attributes for LPSP (Ansuman)
> > - Improvements to kernel selftests (Chris, Mika)
> > - Add tiled blits selftest (Zbigniew)
> > - Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
> > - Add pre/post plane updates for SAGV (Stanislav)
> > - Add ICL PG3 PW ID for EHL (Anshuman)
> > - Fix Sphinx build duplicate label warning (Jani)
> > - Error log non-zero audio power refcount after unbind (Jani)
> > - Remove object_is_locked assertion from unpin_from_display_plane (Chris)
> > - Use single set of AUX powerwell ops for gen11+ (Matt R)
> > - Prefer drm_WARN_ON over WARN_ON (Pankaj)
> > - Poison residual state [HWSP] across resume (Chris, Tvrtko)
> > - Convert request-before-CS assertion to debug (Chris)
> > - Carefully order virtual_submission_tasklet (Chris)
> > - Check carefully for an idle engine in wait-for-idle (Chris)
> > - Only close vma we open (Chris)
> > - Trace RPS events (Chris)
> > - Use the RPM config register to determine clk frequencies (Chris)
> > - Drop rq->ring->vma peeking from error capture (Chris)
> > - Check preempt-timeout target before submit_ports (Chris)
> > - Check HWSP cacheline is valid before acquiring (Chris)
> > - Use proper fault mask in interrupt postinstall too (Matt R)
> > - Keep a no-frills swappable copy of the default context state (Chris)
> >
> > - Add atomic helpers for bandwidth (Stanislav)
> > - Refactor setting dma info to a common helper from device info (Michael)
> > - Refactor DDI transcoder code for clairty (Ville)
> > - Extend PG3 power well ID to ICL (Anshuman)
> > - Refactor PFIT code for readability and future extensibility (Ville)
> > - Clarify code split between intel_ddi.c and intel_dp.c (Ville)
> > - Move out code to return the digital_port of the aux ch (Jose)
> > - Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
> > - Remove superfluous inlines and dead code (Jani)
> > - Re-disable -Wframe-address from top-level Makefile (Nick)
> > - Static checker and spelling fixes (Colin, Nathan)
> > - Split long lines (Ville)
> >
> > The following changes since commit b06ef327e26367b9286a2079b31cde8d2161c0d8:
> >
> >   drm/i915: Update DRIVER_DATE to 20200417 (2020-04-17 09:35:00 +0300)
> >
> > are available in the Git repository at:
> >
> >   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-04-30
> >
> > for you to fetch changes up to 230982d8d8df7f9d9aa216840ea2db1df6ad5d37:
> >
> >   drm/i915: Update DRIVER_DATE to 20200430 (2020-04-30 11:13:21 +0300)
> >
> > ----------------------------------------------------------------
> > Driver Changes:
> >
> > - Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
> >   Iris Plus 655 and 4K screen (Chris)
> > - Add Wa_14011059788 for Tigerlake (Matt A)
> > - Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
> > - Use indirect ctx bb to load cmd buffer control value
> >   from context image to avoid corruption (Mika)
> > - Enable DP Display Audio WA (Uma, Jani)
> > - Update forcewake firmware ranges for Icelake (Radhakrishna)
> > - Add missing deinitialization cases of load failure for display (Jose)
> > - Implement TC cold sequences for Icelake and Tigerlake (Jose)
> > - Unbreak enable_dpcd_backlight modparam (Lyude)
> > - Move the late flush_submission in retire to the end (Chris)
> > - Demote "Reducing compressed framebufer size" message to info (Peter)
> > - Push MST link retraining to the hotplug work (Ville)
> > - Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
> > - Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
> > - Fix skl+ non-scaled pfit modes (Ville)
> > - Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
> > - Sanitize GT first before poisoning HWSP (Chris)
> > - Fix up clock RPS frequency readout (Chris)
> > - Avoid reusing the same logical CCID (Chris)
> > - Avoid dereferencing a dead context (Chris)
> > - Always enable busy-stats for execlists (Chris)
> > - Apply the aggressive downclocking to parking (Chris)
> > - Restore aggressive post-boost downclocking (Chris)
> >
> > - Scrub execlists state on resume (Chris)
> > - Add debugfs attributes for LPSP (Ansuman)
> > - Improvements to kernel selftests (Chris, Mika)
> > - Add tiled blits selftest (Zbigniew)
> > - Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
> > - Add pre/post plane updates for SAGV (Stanislav)
> > - Add ICL PG3 PW ID for EHL (Anshuman)
> > - Fix Sphinx build duplicate label warning (Jani)
> > - Error log non-zero audio power refcount after unbind (Jani)
> > - Remove object_is_locked assertion from unpin_from_display_plane (Chris)
> > - Use single set of AUX powerwell ops for gen11+ (Matt R)
> > - Prefer drm_WARN_ON over WARN_ON (Pankaj)
> > - Poison residual state [HWSP] across resume (Chris, Tvrtko)
> > - Convert request-before-CS assertion to debug (Chris)
> > - Carefully order virtual_submission_tasklet (Chris)
> > - Check carefully for an idle engine in wait-for-idle (Chris)
> > - Only close vma we open (Chris)
> > - Trace RPS events (Chris)
> > - Use the RPM config register to determine clk frequencies (Chris)
> > - Drop rq->ring->vma peeking from error capture (Chris)
> > - Check preempt-timeout target before submit_ports (Chris)
> > - Check HWSP cacheline is valid before acquiring (Chris)
> > - Use proper fault mask in interrupt postinstall too (Matt R)
> > - Keep a no-frills swappable copy of the default context state (Chris)
> >
> > - Add atomic helpers for bandwidth (Stanislav)
> > - Refactor setting dma info to a common helper from device info (Michael)
> > - Refactor DDI transcoder code for clairty (Ville)
> > - Extend PG3 power well ID to ICL (Anshuman)
> > - Refactor PFIT code for readability and future extensibility (Ville)
> > - Clarify code split between intel_ddi.c and intel_dp.c (Ville)
> > - Move out code to return the digital_port of the aux ch (Jose)
> > - Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
> > - Remove superfluous inlines and dead code (Jani)
> > - Re-disable -Wframe-address from top-level Makefile (Nick)
> > - Static checker and spelling fixes (Colin, Nathan)
> > - Split long lines (Ville)
> >
> > ----------------------------------------------------------------
> > Anshuman Gupta (5):
> >       drm/i915: Power well id for ICL PG3
> >       drm/i915: Add i915_lpsp_capability debugfs
> >       drm/i915: Add connector dbgfs for all connectors
> >       drm/i915: Add i915_lpsp_status debugfs attribute
> >       drm/i915: Add ICL PG3 PW ID for EHL
> >
> > Chris Wilson (46):
> >       drm/i915/gt: Scrub execlists state on resume
> >       drm/i915/selftests: Delay spinner before waiting for an interrupt
> >       drm/i915/selftests: Take the engine wakeref around __rps_up_interrupt
> >       drm/i915/selftests: Move gpu energy measurement into its own little lib
> >       drm/i915/selftests: Check power consumption at min/max frequencies
> >       drm/i915/gem: Remove object_is_locked assertion from unpin_from_display_plane
> >       drm/i915/gt: Move the late flush_submission in retire to the end
> >       drm/i915/selftests: Verify frequency scaling with RPS
> >       drm/i915/selftests: Skip energy consumption tests if not controlling freq
> >       drm/i915/selftests: Check RPS controls
> >       drm/i915/selftests: Split RPS frequency measurement
> >       drm/i915/selftests: Show the pcode frequency table on error
> >       drm/i915/selftests: Exercise dynamic reclocking with RPS
> >       drm/i915/selftests: Show the pstate limits on any failure to reset min
> >       drm/i915/selftests: Show the full scaling curve on failure
> >       drm/i915/selftests: Disable C-states when measuring RPS frequency response
> >       drm/i915/gt: Poison residual state [HWSP] across resume.
> >       drm/i915/selftests: Unroll the CS frequency loop
> >       drm/i915/selftests: Disable heartbeat around RPS interrupt testing
> >       drm/i915/selftests: Try to detect rollback during batchbuffer preemption
> >       drm/i915/gem: Hold obj->vma.lock over for_each_ggtt_vma()
> >       drm/i915/execlists: Drop request-before-CS assertion
> >       drm/i915/gt: Carefully order virtual_submission_tasklet
> >       drm/i915/gt: Check carefully for an idle engine in wait-for-idle
> >       drm/i915/selftests: Add request throughput measurement to perf
> >       drm/i915: Only close vma we open
> >       drm/i915/gt: Prefer soft-rc6 over RPS DOWN_TIMEOUT
> >       drm/i915/gt: Trace RPS events
> >       drm/i915/gt: Use the RPM config register to determine clk frequencies
> >       drm/i915: Drop rq->ring->vma peeking from error capture
> >       drm/i915/execlists: Check preempt-timeout target before submit_ports
> >       drm/i915/gt: Check cacheline is valid before acquiring
> >       drm/i915/gt: Sanitize GT first
> >       drm/i915/gt: Fix up clock frequency
> >       drm/i915/selftests: Tweak the tolerance for clock ticks to 12.5%
> >       drm/i915/execlists: Avoid reusing the same logical CCID
> >       drm/i915/execlists: Track inflight CCID
> >       drm/i915/execlists: Verify we don't submit two identical CCIDs
> >       drm/i915: Avoid dereferencing a dead context
> >       drm/i915/gt: Keep a no-frills swappable copy of the default context state
> >       drm/i915/gt: Always enable busy-stats for execlists
> >       drm/i915/gt: Move rps.enabled/active to flags
> >       drm/i915/gt: Track use of RPS interrupts in flags
> >       drm/i915/gt: Switch to manual evaluation of RPS
> >       drm/i915/gt: Apply the aggressive downclocking to parking
> >       drm/i915/gt: Restore aggressive post-boost downclocking
> >
> > Christoph Hellwig (1):
> >       i915/gvt: remove unused xen bits
> >
> > Colin Ian King (2):
> >       drm/i915: remove redundant assignment to variable test_result
> >       drm/i915/gt: fix spelling mistake "evalution" -> "evaluation"
> >
> > Dan Carpenter (1):
> >       drm/i915/selftests: fix error handling in __live_lrc_indirect_ctx_bb()
> >
> > Imre Deak (1):
> >       drm/i915/icl: Fix timeout handling during TypeC AUX power well enabling
> >
> > Jani Nikula (5):
> >       drm/i915: fix Sphinx build duplicate label warning
> >       drm/i915/audio: error log non-zero audio power refcount after unbind
> >       drm/i915/hdmi: remove unused intel_hdmi_hdcp2_protocol()
> >       drm/i915: drop a bunch of superfluous inlines
> >       drm/i915/audio: fix compressed_bpp check
> >
> > Joonas Lahtinen (2):
> >       Merge tag 'gvt-next-2020-04-22' of https://github.com/intel/gvt-linux into drm-intel-next-queued
> >       drm/i915: Update DRIVER_DATE to 20200430
> >
> > José Roberto de Souza (10):
> >       drm/i915: Add missing deinitialization cases of load failure
> >       drm/i915/display: Move out code to return the digital_port of the aux ch
> >       drm/i915/display: Add intel_legacy_aux_to_power_domain()
> >       drm/i915/display: Split hsw_power_well_enable() into two
> >       drm/i915/tc/icl: Implement TC cold sequences
> >       drm/i915/tc: Skip ref held check for TC legacy aux power wells
> >       drm/i915/tc/tgl: Implement TC cold sequences
> >       drm/i915/tc: Catch TC users accessing FIA registers without enable aux
> >       drm/i915/tc: Do not warn when aux power well of static TC ports timeout
> >       drm/i915/display: Load DP_TP_CTL/STATUS offset before use it
> >
> > Lyude Paul (1):
> >       drm/i915/dpcd_bl: Unbreak enable_dpcd_backlight modparam
> >
> > Matt Atwood (1):
> >       drm/i915/tgl: Wa_14011059788
> >
> > Matt Roper (2):
> >       drm/i915: Use single set of AUX powerwell ops for gen11+
> >       drm/i915: Use proper fault mask in interrupt postinstall too
> >
> > Michael J. Ruhl (1):
> >       drm/i915: Refactor setting dma info to a common helper
> >
> > Mika Kuoppala (6):
> >       drm/i915/selftests: Add context batchbuffers registers to live_lrc_fixed
> >       drm/i915: Make define for lrc state offset
> >       drm/i915: Add engine scratch register to live_lrc_fixed
> >       drm/i915: Add per ctx batchbuffer wa for timestamp
> >       drm/i915: Add live selftests for indirect ctx batchbuffers
> >       drm/i915: Use indirect ctx bb to mend CMD_BUF_CCTL
> >
> > Nathan Chancellor (1):
> >       drm/i915/gt: Avoid uninitialized use of rpcurupei in frequency_show
> >
> > Nick Desaulniers (1):
> >       drm/i915: re-disable -Wframe-address
> >
> > Pankaj Bharadiya (9):
> >       drm/i915/display/icl_dsi: Prefer drm_WARN_ON over WARN_ON
> >       drm/i915/display/atomic_plane: Prefer drm_WARN_ON over WARN_ON
> >       drm/i915/display/ddi: Prefer drm_WARN* over WARN*
> >       drm/i915/display/display: Prefer drm_WARN_ON over WARN_ON
> >       drm/i915/display/dpll_mgr: Prefer drm_WARN_ON over WARN_ON
> >       drm/i915/display/frontbuffer: Prefer drm_WARN_ON over WARN_ON
> >       drm/i915/display/global_state: Prefer drm_WARN* over WARN*
> >       drm/i915/display/overlay: Prefer drm_WARN_ON over WARN_ON
> >       drm/i915/display/vlv_dsi: Prefer drm_WARN_ON over WARN_ON
> >
> > Peter Jones (1):
> >       Make the "Reducing compressed framebufer size" message be DRM_INFO_ONCE()
> >
> > Radhakrishna Sripada (1):
> >       drm/i915/icl: Update forcewake firmware ranges
> >
> > Stanislav Lisovskiy (3):
> >       drm/i915: Add intel_atomic_get_bw_*_state helpers
> >       drm/i915: Prepare to extract gen specific functions from intel_can_enable_sagv
> >       drm/i915: Add pre/post plane updates for SAGV
> >
> > Uma Shankar (1):
> >       drm/i915/display: Enable DP Display Audio WA
> >
> > Ville Syrjälä (16):
> >       drm/i915: Pass encoder to intel_ddi_enable_pipe_clock()
> >       drm/i915: Move the TRANS_DDI_FUNC_CTL enable to a later point
> >       drm/i915: Push TRANS_DDI_FUNC_CTL into the encoder->enable() hook
> >       drm/i915: Pass encoder all the way to intel_ddi_transcoder_func_reg_val_get()
> >       drm/i915: Flatten intel_dp_check_mst_status() a bit
> >       drm/i915: Push MST link retraining to the hotplug work
> >       drm/i915: Fix skl+ non-scaled pfit modes
> >       drm/i915: Flatten a bunch of the pfit functions
> >       drm/i915: Use drm_rect to store the pfit window pos/size
> >       drm/i915: s/pipe_config/crtc_state/ in pfit functions
> >       drm/i915: Pass connector state to pfit calculations
> >       drm/i915: Have pfit calculations return an error code
> >       drm/i915: Introduce .set_link_train() vfunc
> >       drm/i915: Introduce .set_signal_levels() vfunc
> >       drm/i915: Introduce .set_idle_link_train() vfunc
> >       drm/i915: Split some long lines
> >
> > Xiyu Yang (1):
> >       drm/i915/selftests: Fix i915_address_space refcnt leak
> >
> > Yan Zhao (3):
> >       drm/i915/gvt: access shadow ctx via its virtual address directly
> >       drm/i915/gvt: combine access to consecutive guest context pages
> >       drm/i915/gvt: skip populate shadow context if guest context not changed
> >
> > Zbigniew Kempczy\u0144ski (1):
> >       drm/i915/selftests: Add tiled blits selftest
> >
> >  drivers/char/agp/intel-gtt.c                       |   17 +-
> >  drivers/gpu/drm/i915/Makefile                      |    6 +-
> >  drivers/gpu/drm/i915/display/icl_dsi.c             |   21 +-
> >  drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    4 +-
> >  drivers/gpu/drm/i915/display/intel_audio.c         |  145 +++
> >  drivers/gpu/drm/i915/display/intel_bw.c            |   24 +-
> >  drivers/gpu/drm/i915/display/intel_bw.h            |    9 +
> >  drivers/gpu/drm/i915/display/intel_connector.c     |    3 +
> >  drivers/gpu/drm/i915/display/intel_crt.c           |    4 +-
> >  drivers/gpu/drm/i915/display/intel_ddi.c           |  244 +++--
> >  drivers/gpu/drm/i915/display/intel_ddi.h           |    6 +-
> >  drivers/gpu/drm/i915/display/intel_display.c       |  374 ++++---
> >  drivers/gpu/drm/i915/display/intel_display.h       |    3 +-
> >  .../gpu/drm/i915/display/intel_display_debugfs.c   |  100 ++
> >  drivers/gpu/drm/i915/display/intel_display_power.c |  534 ++++-----
> >  drivers/gpu/drm/i915/display/intel_display_power.h |    5 +-
> >  drivers/gpu/drm/i915/display/intel_display_types.h |    6 +-
> >  drivers/gpu/drm/i915/display/intel_dp.c            |  608 ++++++-----
> >  .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    1 +
> >  drivers/gpu/drm/i915/display/intel_dp_mst.c        |    4 +-
> >  drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |    8 +-
> >  drivers/gpu/drm/i915/display/intel_dsb.c           |    6 +-
> >  drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
> >  drivers/gpu/drm/i915/display/intel_fbc.c           |    5 +-
> >  drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    6 +-
> >  drivers/gpu/drm/i915/display/intel_global_state.c  |    4 +-
> >  drivers/gpu/drm/i915/display/intel_gmbus.c         |    3 +-
> >  drivers/gpu/drm/i915/display/intel_hdcp.c          |   23 +-
> >  drivers/gpu/drm/i915/display/intel_hdmi.c          |   56 +-
> >  drivers/gpu/drm/i915/display/intel_lvds.c          |   16 +-
> >  drivers/gpu/drm/i915/display/intel_overlay.c       |    6 +-
> >  drivers/gpu/drm/i915/display/intel_panel.c         |  140 +--
> >  drivers/gpu/drm/i915/display/intel_panel.h         |   10 +-
> >  drivers/gpu/drm/i915/display/intel_tc.c            |   95 +-
> >  drivers/gpu/drm/i915/display/vlv_dsi.c             |   11 +-
> >  drivers/gpu/drm/i915/gem/i915_gem_context.c        |    4 +-
> >  drivers/gpu/drm/i915/gem/i915_gem_domain.c         |    7 +-
> >  drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    2 +-
> >  drivers/gpu/drm/i915/gem/i915_gem_object.c         |    4 +-
> >  drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   20 +-
> >  drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   95 +-
> >  .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  595 ++++++++++
> >  .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    1 -
> >  drivers/gpu/drm/i915/gt/debugfs_gt_pm.c            |   64 +-
> >  drivers/gpu/drm/i915/gt/intel_context_sseu.c       |    3 +-
> >  drivers/gpu/drm/i915/gt/intel_context_types.h      |   10 +-
> >  drivers/gpu/drm/i915/gt/intel_engine.h             |    3 -
> >  drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   82 +-
> >  drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   10 +-
> >  drivers/gpu/drm/i915/gt/intel_engine_types.h       |   41 +-
> >  drivers/gpu/drm/i915/gt/intel_ggtt.c               |   15 -
> >  drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    3 +-
> >  drivers/gpu/drm/i915/gt/intel_gt.c                 |   63 +-
> >  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c     |  102 ++
> >  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h     |   27 +
> >  drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   10 +-
> >  drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   20 +-
> >  drivers/gpu/drm/i915/gt/intel_gt_types.h           |    9 +-
> >  drivers/gpu/drm/i915/gt/intel_lrc.c                |  703 ++++++++----
> >  drivers/gpu/drm/i915/gt/intel_lrc.h                |    1 +
> >  drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    6 +-
> >  drivers/gpu/drm/i915/gt/intel_renderstate.c        |    4 +-
> >  drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   16 +-
> >  drivers/gpu/drm/i915/gt/intel_rps.c                |  350 ++++--
> >  drivers/gpu/drm/i915/gt/intel_rps.h                |   60 ++
> >  drivers/gpu/drm/i915/gt/intel_rps_types.h          |   14 +-
> >  drivers/gpu/drm/i915/gt/intel_timeline.c           |   19 +-
> >  drivers/gpu/drm/i915/gt/intel_timeline.h           |    2 +
> >  drivers/gpu/drm/i915/gt/selftest_context.c         |    2 +-
> >  drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    6 +
> >  drivers/gpu/drm/i915/gt/selftest_lrc.c             |  534 ++++++++-
> >  drivers/gpu/drm/i915/gt/selftest_rc6.c             |   25 +-
> >  drivers/gpu/drm/i915/gt/selftest_rps.c             | 1134 +++++++++++++++++++-
> >  drivers/gpu/drm/i915/gt/selftest_rps.h             |    6 +
> >  drivers/gpu/drm/i915/gt/shmem_utils.c              |  173 +++
> >  drivers/gpu/drm/i915/gt/shmem_utils.h              |   23 +
> >  drivers/gpu/drm/i915/gt/st_shmem_utils.c           |   63 ++
> >  drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    2 +-
> >  drivers/gpu/drm/i915/gvt/gtt.c                     |   15 +
> >  drivers/gpu/drm/i915/gvt/gvt.c                     |    1 -
> >  drivers/gpu/drm/i915/gvt/gvt.h                     |    5 +
> >  drivers/gpu/drm/i915/gvt/hypercall.h               |    2 -
> >  drivers/gpu/drm/i915/gvt/scheduler.c               |  136 ++-
> >  drivers/gpu/drm/i915/i915_debugfs.c                |   39 +-
> >  drivers/gpu/drm/i915/i915_drv.c                    |  119 +-
> >  drivers/gpu/drm/i915/i915_drv.h                    |    4 +-
> >  drivers/gpu/drm/i915/i915_gpu_error.c              |   43 +-
> >  drivers/gpu/drm/i915/i915_gpu_error.h              |    1 -
> >  drivers/gpu/drm/i915/i915_irq.c                    |    6 +-
> >  drivers/gpu/drm/i915/i915_pci.c                    |   14 +
> >  drivers/gpu/drm/i915/i915_perf.c                   |    8 +-
> >  drivers/gpu/drm/i915/i915_pmu.c                    |   32 +-
> >  drivers/gpu/drm/i915/i915_reg.h                    |   54 +-
> >  drivers/gpu/drm/i915/i915_vma.c                    |   38 +-
> >  drivers/gpu/drm/i915/intel_device_info.c           |    1 +
> >  drivers/gpu/drm/i915/intel_device_info.h           |    2 +
> >  drivers/gpu/drm/i915/intel_pm.c                    |   88 +-
> >  drivers/gpu/drm/i915/intel_pm.h                    |    2 +
> >  drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
> >  drivers/gpu/drm/i915/intel_uncore.c                |   31 +-
> >  drivers/gpu/drm/i915/intel_wopcm.c                 |   22 +-
> >  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   32 +-
> >  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
> >  .../gpu/drm/i915/selftests/i915_perf_selftests.h   |    1 +
> >  drivers/gpu/drm/i915/selftests/i915_request.c      |  572 +++++++++-
> >  drivers/gpu/drm/i915/selftests/i915_vma.c          |    2 +-
> >  drivers/gpu/drm/i915/selftests/librapl.c           |   24 +
> >  drivers/gpu/drm/i915/selftests/librapl.h           |   13 +
> >  108 files changed, 6193 insertions(+), 1961 deletions(-)
> >  create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c
> >  create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h
> >  create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.c
> >  create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.h
> >  create mode 100644 drivers/gpu/drm/i915/gt/st_shmem_utils.c
> >  create mode 100644 drivers/gpu/drm/i915/selftests/librapl.c
> >  create mode 100644 drivers/gpu/drm/i915/selftests/librapl.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-04-30 12:49 Joonas Lahtinen
@ 2020-05-13 17:10 ` Joonas Lahtinen
  2020-05-14  1:28   ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Joonas Lahtinen @ 2020-05-13 17:10 UTC (permalink / raw)
  To: Daniel Vetter, Dave Airlie
  Cc: dim-tools, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

Ping for merging this? If there are no issues, I'd prefer to pull in
next gvt-next and tag the final pull sooner than later.

Regards, Joonas

Quoting Joonas Lahtinen (2020-04-30 15:49:04)
> Hi Dave & Daniel,
> 
> Fix for performance regression GitLab #1698: Iris Plus 655 and
> 4K screen. Missing workarounds for Tigerlake, and a fix for
> DP display audio WA. Unbreaking enable_dpcd_backlight, fixes
> to power code for Icelake+.
> 
> Improvements to the soft-RC6 code to improve power efficiency,
> a fix for the timestamp corruption on Tigerlake and plenty of
> smaller fixes for CI found corner cases.
> 
> Lots of refactoring that prep for upcoming changes, so I expect
> the next PR to be quite busy.
> 
> Includes gvt-next-2020-04-22: Removes left non-upstream xen support
> bits which will be kept out of tree instead. And several guest
> context shadow optimizations.
> 
> Regards, Joonas
> 
> PS. Noticed the ack for locking rules, thanks! Will merge it.
> 
> ***
> 
> drm-intel-next-2020-04-30:
> 
> Driver Changes:
> 
> - Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
>   Iris Plus 655 and 4K screen (Chris)
> - Add Wa_14011059788 for Tigerlake (Matt A)
> - Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
> - Use indirect ctx bb to load cmd buffer control value
>   from context image to avoid corruption (Mika)
> - Enable DP Display Audio WA (Uma, Jani)
> - Update forcewake firmware ranges for Icelake (Radhakrishna)
> - Add missing deinitialization cases of load failure for display (Jose)
> - Implement TC cold sequences for Icelake and Tigerlake (Jose)
> - Unbreak enable_dpcd_backlight modparam (Lyude)
> - Move the late flush_submission in retire to the end (Chris)
> - Demote "Reducing compressed framebufer size" message to info (Peter)
> - Push MST link retraining to the hotplug work (Ville)
> - Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
> - Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
> - Fix skl+ non-scaled pfit modes (Ville)
> - Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
> - Sanitize GT first before poisoning HWSP (Chris)
> - Fix up clock RPS frequency readout (Chris)
> - Avoid reusing the same logical CCID (Chris)
> - Avoid dereferencing a dead context (Chris)
> - Always enable busy-stats for execlists (Chris)
> - Apply the aggressive downclocking to parking (Chris)
> - Restore aggressive post-boost downclocking (Chris)
> 
> - Scrub execlists state on resume (Chris)
> - Add debugfs attributes for LPSP (Ansuman)
> - Improvements to kernel selftests (Chris, Mika)
> - Add tiled blits selftest (Zbigniew)
> - Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
> - Add pre/post plane updates for SAGV (Stanislav)
> - Add ICL PG3 PW ID for EHL (Anshuman)
> - Fix Sphinx build duplicate label warning (Jani)
> - Error log non-zero audio power refcount after unbind (Jani)
> - Remove object_is_locked assertion from unpin_from_display_plane (Chris)
> - Use single set of AUX powerwell ops for gen11+ (Matt R)
> - Prefer drm_WARN_ON over WARN_ON (Pankaj)
> - Poison residual state [HWSP] across resume (Chris, Tvrtko)
> - Convert request-before-CS assertion to debug (Chris)
> - Carefully order virtual_submission_tasklet (Chris)
> - Check carefully for an idle engine in wait-for-idle (Chris)
> - Only close vma we open (Chris)
> - Trace RPS events (Chris)
> - Use the RPM config register to determine clk frequencies (Chris)
> - Drop rq->ring->vma peeking from error capture (Chris)
> - Check preempt-timeout target before submit_ports (Chris)
> - Check HWSP cacheline is valid before acquiring (Chris)
> - Use proper fault mask in interrupt postinstall too (Matt R)
> - Keep a no-frills swappable copy of the default context state (Chris)
> 
> - Add atomic helpers for bandwidth (Stanislav)
> - Refactor setting dma info to a common helper from device info (Michael)
> - Refactor DDI transcoder code for clairty (Ville)
> - Extend PG3 power well ID to ICL (Anshuman)
> - Refactor PFIT code for readability and future extensibility (Ville)
> - Clarify code split between intel_ddi.c and intel_dp.c (Ville)
> - Move out code to return the digital_port of the aux ch (Jose)
> - Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
> - Remove superfluous inlines and dead code (Jani)
> - Re-disable -Wframe-address from top-level Makefile (Nick)
> - Static checker and spelling fixes (Colin, Nathan)
> - Split long lines (Ville)
> 
> The following changes since commit b06ef327e26367b9286a2079b31cde8d2161c0d8:
> 
>   drm/i915: Update DRIVER_DATE to 20200417 (2020-04-17 09:35:00 +0300)
> 
> are available in the Git repository at:
> 
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-04-30
> 
> for you to fetch changes up to 230982d8d8df7f9d9aa216840ea2db1df6ad5d37:
> 
>   drm/i915: Update DRIVER_DATE to 20200430 (2020-04-30 11:13:21 +0300)
> 
> ----------------------------------------------------------------
> Driver Changes:
> 
> - Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
>   Iris Plus 655 and 4K screen (Chris)
> - Add Wa_14011059788 for Tigerlake (Matt A)
> - Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
> - Use indirect ctx bb to load cmd buffer control value
>   from context image to avoid corruption (Mika)
> - Enable DP Display Audio WA (Uma, Jani)
> - Update forcewake firmware ranges for Icelake (Radhakrishna)
> - Add missing deinitialization cases of load failure for display (Jose)
> - Implement TC cold sequences for Icelake and Tigerlake (Jose)
> - Unbreak enable_dpcd_backlight modparam (Lyude)
> - Move the late flush_submission in retire to the end (Chris)
> - Demote "Reducing compressed framebufer size" message to info (Peter)
> - Push MST link retraining to the hotplug work (Ville)
> - Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
> - Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
> - Fix skl+ non-scaled pfit modes (Ville)
> - Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
> - Sanitize GT first before poisoning HWSP (Chris)
> - Fix up clock RPS frequency readout (Chris)
> - Avoid reusing the same logical CCID (Chris)
> - Avoid dereferencing a dead context (Chris)
> - Always enable busy-stats for execlists (Chris)
> - Apply the aggressive downclocking to parking (Chris)
> - Restore aggressive post-boost downclocking (Chris)
> 
> - Scrub execlists state on resume (Chris)
> - Add debugfs attributes for LPSP (Ansuman)
> - Improvements to kernel selftests (Chris, Mika)
> - Add tiled blits selftest (Zbigniew)
> - Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
> - Add pre/post plane updates for SAGV (Stanislav)
> - Add ICL PG3 PW ID for EHL (Anshuman)
> - Fix Sphinx build duplicate label warning (Jani)
> - Error log non-zero audio power refcount after unbind (Jani)
> - Remove object_is_locked assertion from unpin_from_display_plane (Chris)
> - Use single set of AUX powerwell ops for gen11+ (Matt R)
> - Prefer drm_WARN_ON over WARN_ON (Pankaj)
> - Poison residual state [HWSP] across resume (Chris, Tvrtko)
> - Convert request-before-CS assertion to debug (Chris)
> - Carefully order virtual_submission_tasklet (Chris)
> - Check carefully for an idle engine in wait-for-idle (Chris)
> - Only close vma we open (Chris)
> - Trace RPS events (Chris)
> - Use the RPM config register to determine clk frequencies (Chris)
> - Drop rq->ring->vma peeking from error capture (Chris)
> - Check preempt-timeout target before submit_ports (Chris)
> - Check HWSP cacheline is valid before acquiring (Chris)
> - Use proper fault mask in interrupt postinstall too (Matt R)
> - Keep a no-frills swappable copy of the default context state (Chris)
> 
> - Add atomic helpers for bandwidth (Stanislav)
> - Refactor setting dma info to a common helper from device info (Michael)
> - Refactor DDI transcoder code for clairty (Ville)
> - Extend PG3 power well ID to ICL (Anshuman)
> - Refactor PFIT code for readability and future extensibility (Ville)
> - Clarify code split between intel_ddi.c and intel_dp.c (Ville)
> - Move out code to return the digital_port of the aux ch (Jose)
> - Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
> - Remove superfluous inlines and dead code (Jani)
> - Re-disable -Wframe-address from top-level Makefile (Nick)
> - Static checker and spelling fixes (Colin, Nathan)
> - Split long lines (Ville)
> 
> ----------------------------------------------------------------
> Anshuman Gupta (5):
>       drm/i915: Power well id for ICL PG3
>       drm/i915: Add i915_lpsp_capability debugfs
>       drm/i915: Add connector dbgfs for all connectors
>       drm/i915: Add i915_lpsp_status debugfs attribute
>       drm/i915: Add ICL PG3 PW ID for EHL
> 
> Chris Wilson (46):
>       drm/i915/gt: Scrub execlists state on resume
>       drm/i915/selftests: Delay spinner before waiting for an interrupt
>       drm/i915/selftests: Take the engine wakeref around __rps_up_interrupt
>       drm/i915/selftests: Move gpu energy measurement into its own little lib
>       drm/i915/selftests: Check power consumption at min/max frequencies
>       drm/i915/gem: Remove object_is_locked assertion from unpin_from_display_plane
>       drm/i915/gt: Move the late flush_submission in retire to the end
>       drm/i915/selftests: Verify frequency scaling with RPS
>       drm/i915/selftests: Skip energy consumption tests if not controlling freq
>       drm/i915/selftests: Check RPS controls
>       drm/i915/selftests: Split RPS frequency measurement
>       drm/i915/selftests: Show the pcode frequency table on error
>       drm/i915/selftests: Exercise dynamic reclocking with RPS
>       drm/i915/selftests: Show the pstate limits on any failure to reset min
>       drm/i915/selftests: Show the full scaling curve on failure
>       drm/i915/selftests: Disable C-states when measuring RPS frequency response
>       drm/i915/gt: Poison residual state [HWSP] across resume.
>       drm/i915/selftests: Unroll the CS frequency loop
>       drm/i915/selftests: Disable heartbeat around RPS interrupt testing
>       drm/i915/selftests: Try to detect rollback during batchbuffer preemption
>       drm/i915/gem: Hold obj->vma.lock over for_each_ggtt_vma()
>       drm/i915/execlists: Drop request-before-CS assertion
>       drm/i915/gt: Carefully order virtual_submission_tasklet
>       drm/i915/gt: Check carefully for an idle engine in wait-for-idle
>       drm/i915/selftests: Add request throughput measurement to perf
>       drm/i915: Only close vma we open
>       drm/i915/gt: Prefer soft-rc6 over RPS DOWN_TIMEOUT
>       drm/i915/gt: Trace RPS events
>       drm/i915/gt: Use the RPM config register to determine clk frequencies
>       drm/i915: Drop rq->ring->vma peeking from error capture
>       drm/i915/execlists: Check preempt-timeout target before submit_ports
>       drm/i915/gt: Check cacheline is valid before acquiring
>       drm/i915/gt: Sanitize GT first
>       drm/i915/gt: Fix up clock frequency
>       drm/i915/selftests: Tweak the tolerance for clock ticks to 12.5%
>       drm/i915/execlists: Avoid reusing the same logical CCID
>       drm/i915/execlists: Track inflight CCID
>       drm/i915/execlists: Verify we don't submit two identical CCIDs
>       drm/i915: Avoid dereferencing a dead context
>       drm/i915/gt: Keep a no-frills swappable copy of the default context state
>       drm/i915/gt: Always enable busy-stats for execlists
>       drm/i915/gt: Move rps.enabled/active to flags
>       drm/i915/gt: Track use of RPS interrupts in flags
>       drm/i915/gt: Switch to manual evaluation of RPS
>       drm/i915/gt: Apply the aggressive downclocking to parking
>       drm/i915/gt: Restore aggressive post-boost downclocking
> 
> Christoph Hellwig (1):
>       i915/gvt: remove unused xen bits
> 
> Colin Ian King (2):
>       drm/i915: remove redundant assignment to variable test_result
>       drm/i915/gt: fix spelling mistake "evalution" -> "evaluation"
> 
> Dan Carpenter (1):
>       drm/i915/selftests: fix error handling in __live_lrc_indirect_ctx_bb()
> 
> Imre Deak (1):
>       drm/i915/icl: Fix timeout handling during TypeC AUX power well enabling
> 
> Jani Nikula (5):
>       drm/i915: fix Sphinx build duplicate label warning
>       drm/i915/audio: error log non-zero audio power refcount after unbind
>       drm/i915/hdmi: remove unused intel_hdmi_hdcp2_protocol()
>       drm/i915: drop a bunch of superfluous inlines
>       drm/i915/audio: fix compressed_bpp check
> 
> Joonas Lahtinen (2):
>       Merge tag 'gvt-next-2020-04-22' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>       drm/i915: Update DRIVER_DATE to 20200430
> 
> José Roberto de Souza (10):
>       drm/i915: Add missing deinitialization cases of load failure
>       drm/i915/display: Move out code to return the digital_port of the aux ch
>       drm/i915/display: Add intel_legacy_aux_to_power_domain()
>       drm/i915/display: Split hsw_power_well_enable() into two
>       drm/i915/tc/icl: Implement TC cold sequences
>       drm/i915/tc: Skip ref held check for TC legacy aux power wells
>       drm/i915/tc/tgl: Implement TC cold sequences
>       drm/i915/tc: Catch TC users accessing FIA registers without enable aux
>       drm/i915/tc: Do not warn when aux power well of static TC ports timeout
>       drm/i915/display: Load DP_TP_CTL/STATUS offset before use it
> 
> Lyude Paul (1):
>       drm/i915/dpcd_bl: Unbreak enable_dpcd_backlight modparam
> 
> Matt Atwood (1):
>       drm/i915/tgl: Wa_14011059788
> 
> Matt Roper (2):
>       drm/i915: Use single set of AUX powerwell ops for gen11+
>       drm/i915: Use proper fault mask in interrupt postinstall too
> 
> Michael J. Ruhl (1):
>       drm/i915: Refactor setting dma info to a common helper
> 
> Mika Kuoppala (6):
>       drm/i915/selftests: Add context batchbuffers registers to live_lrc_fixed
>       drm/i915: Make define for lrc state offset
>       drm/i915: Add engine scratch register to live_lrc_fixed
>       drm/i915: Add per ctx batchbuffer wa for timestamp
>       drm/i915: Add live selftests for indirect ctx batchbuffers
>       drm/i915: Use indirect ctx bb to mend CMD_BUF_CCTL
> 
> Nathan Chancellor (1):
>       drm/i915/gt: Avoid uninitialized use of rpcurupei in frequency_show
> 
> Nick Desaulniers (1):
>       drm/i915: re-disable -Wframe-address
> 
> Pankaj Bharadiya (9):
>       drm/i915/display/icl_dsi: Prefer drm_WARN_ON over WARN_ON
>       drm/i915/display/atomic_plane: Prefer drm_WARN_ON over WARN_ON
>       drm/i915/display/ddi: Prefer drm_WARN* over WARN*
>       drm/i915/display/display: Prefer drm_WARN_ON over WARN_ON
>       drm/i915/display/dpll_mgr: Prefer drm_WARN_ON over WARN_ON
>       drm/i915/display/frontbuffer: Prefer drm_WARN_ON over WARN_ON
>       drm/i915/display/global_state: Prefer drm_WARN* over WARN*
>       drm/i915/display/overlay: Prefer drm_WARN_ON over WARN_ON
>       drm/i915/display/vlv_dsi: Prefer drm_WARN_ON over WARN_ON
> 
> Peter Jones (1):
>       Make the "Reducing compressed framebufer size" message be DRM_INFO_ONCE()
> 
> Radhakrishna Sripada (1):
>       drm/i915/icl: Update forcewake firmware ranges
> 
> Stanislav Lisovskiy (3):
>       drm/i915: Add intel_atomic_get_bw_*_state helpers
>       drm/i915: Prepare to extract gen specific functions from intel_can_enable_sagv
>       drm/i915: Add pre/post plane updates for SAGV
> 
> Uma Shankar (1):
>       drm/i915/display: Enable DP Display Audio WA
> 
> Ville Syrjälä (16):
>       drm/i915: Pass encoder to intel_ddi_enable_pipe_clock()
>       drm/i915: Move the TRANS_DDI_FUNC_CTL enable to a later point
>       drm/i915: Push TRANS_DDI_FUNC_CTL into the encoder->enable() hook
>       drm/i915: Pass encoder all the way to intel_ddi_transcoder_func_reg_val_get()
>       drm/i915: Flatten intel_dp_check_mst_status() a bit
>       drm/i915: Push MST link retraining to the hotplug work
>       drm/i915: Fix skl+ non-scaled pfit modes
>       drm/i915: Flatten a bunch of the pfit functions
>       drm/i915: Use drm_rect to store the pfit window pos/size
>       drm/i915: s/pipe_config/crtc_state/ in pfit functions
>       drm/i915: Pass connector state to pfit calculations
>       drm/i915: Have pfit calculations return an error code
>       drm/i915: Introduce .set_link_train() vfunc
>       drm/i915: Introduce .set_signal_levels() vfunc
>       drm/i915: Introduce .set_idle_link_train() vfunc
>       drm/i915: Split some long lines
> 
> Xiyu Yang (1):
>       drm/i915/selftests: Fix i915_address_space refcnt leak
> 
> Yan Zhao (3):
>       drm/i915/gvt: access shadow ctx via its virtual address directly
>       drm/i915/gvt: combine access to consecutive guest context pages
>       drm/i915/gvt: skip populate shadow context if guest context not changed
> 
> Zbigniew Kempczy\u0144ski (1):
>       drm/i915/selftests: Add tiled blits selftest
> 
>  drivers/char/agp/intel-gtt.c                       |   17 +-
>  drivers/gpu/drm/i915/Makefile                      |    6 +-
>  drivers/gpu/drm/i915/display/icl_dsi.c             |   21 +-
>  drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    4 +-
>  drivers/gpu/drm/i915/display/intel_audio.c         |  145 +++
>  drivers/gpu/drm/i915/display/intel_bw.c            |   24 +-
>  drivers/gpu/drm/i915/display/intel_bw.h            |    9 +
>  drivers/gpu/drm/i915/display/intel_connector.c     |    3 +
>  drivers/gpu/drm/i915/display/intel_crt.c           |    4 +-
>  drivers/gpu/drm/i915/display/intel_ddi.c           |  244 +++--
>  drivers/gpu/drm/i915/display/intel_ddi.h           |    6 +-
>  drivers/gpu/drm/i915/display/intel_display.c       |  374 ++++---
>  drivers/gpu/drm/i915/display/intel_display.h       |    3 +-
>  .../gpu/drm/i915/display/intel_display_debugfs.c   |  100 ++
>  drivers/gpu/drm/i915/display/intel_display_power.c |  534 ++++-----
>  drivers/gpu/drm/i915/display/intel_display_power.h |    5 +-
>  drivers/gpu/drm/i915/display/intel_display_types.h |    6 +-
>  drivers/gpu/drm/i915/display/intel_dp.c            |  608 ++++++-----
>  .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    1 +
>  drivers/gpu/drm/i915/display/intel_dp_mst.c        |    4 +-
>  drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |    8 +-
>  drivers/gpu/drm/i915/display/intel_dsb.c           |    6 +-
>  drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
>  drivers/gpu/drm/i915/display/intel_fbc.c           |    5 +-
>  drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    6 +-
>  drivers/gpu/drm/i915/display/intel_global_state.c  |    4 +-
>  drivers/gpu/drm/i915/display/intel_gmbus.c         |    3 +-
>  drivers/gpu/drm/i915/display/intel_hdcp.c          |   23 +-
>  drivers/gpu/drm/i915/display/intel_hdmi.c          |   56 +-
>  drivers/gpu/drm/i915/display/intel_lvds.c          |   16 +-
>  drivers/gpu/drm/i915/display/intel_overlay.c       |    6 +-
>  drivers/gpu/drm/i915/display/intel_panel.c         |  140 +--
>  drivers/gpu/drm/i915/display/intel_panel.h         |   10 +-
>  drivers/gpu/drm/i915/display/intel_tc.c            |   95 +-
>  drivers/gpu/drm/i915/display/vlv_dsi.c             |   11 +-
>  drivers/gpu/drm/i915/gem/i915_gem_context.c        |    4 +-
>  drivers/gpu/drm/i915/gem/i915_gem_domain.c         |    7 +-
>  drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    2 +-
>  drivers/gpu/drm/i915/gem/i915_gem_object.c         |    4 +-
>  drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   20 +-
>  drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   95 +-
>  .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  595 ++++++++++
>  .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    1 -
>  drivers/gpu/drm/i915/gt/debugfs_gt_pm.c            |   64 +-
>  drivers/gpu/drm/i915/gt/intel_context_sseu.c       |    3 +-
>  drivers/gpu/drm/i915/gt/intel_context_types.h      |   10 +-
>  drivers/gpu/drm/i915/gt/intel_engine.h             |    3 -
>  drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   82 +-
>  drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   10 +-
>  drivers/gpu/drm/i915/gt/intel_engine_types.h       |   41 +-
>  drivers/gpu/drm/i915/gt/intel_ggtt.c               |   15 -
>  drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    3 +-
>  drivers/gpu/drm/i915/gt/intel_gt.c                 |   63 +-
>  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c     |  102 ++
>  drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h     |   27 +
>  drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   10 +-
>  drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   20 +-
>  drivers/gpu/drm/i915/gt/intel_gt_types.h           |    9 +-
>  drivers/gpu/drm/i915/gt/intel_lrc.c                |  703 ++++++++----
>  drivers/gpu/drm/i915/gt/intel_lrc.h                |    1 +
>  drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    6 +-
>  drivers/gpu/drm/i915/gt/intel_renderstate.c        |    4 +-
>  drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   16 +-
>  drivers/gpu/drm/i915/gt/intel_rps.c                |  350 ++++--
>  drivers/gpu/drm/i915/gt/intel_rps.h                |   60 ++
>  drivers/gpu/drm/i915/gt/intel_rps_types.h          |   14 +-
>  drivers/gpu/drm/i915/gt/intel_timeline.c           |   19 +-
>  drivers/gpu/drm/i915/gt/intel_timeline.h           |    2 +
>  drivers/gpu/drm/i915/gt/selftest_context.c         |    2 +-
>  drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    6 +
>  drivers/gpu/drm/i915/gt/selftest_lrc.c             |  534 ++++++++-
>  drivers/gpu/drm/i915/gt/selftest_rc6.c             |   25 +-
>  drivers/gpu/drm/i915/gt/selftest_rps.c             | 1134 +++++++++++++++++++-
>  drivers/gpu/drm/i915/gt/selftest_rps.h             |    6 +
>  drivers/gpu/drm/i915/gt/shmem_utils.c              |  173 +++
>  drivers/gpu/drm/i915/gt/shmem_utils.h              |   23 +
>  drivers/gpu/drm/i915/gt/st_shmem_utils.c           |   63 ++
>  drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    2 +-
>  drivers/gpu/drm/i915/gvt/gtt.c                     |   15 +
>  drivers/gpu/drm/i915/gvt/gvt.c                     |    1 -
>  drivers/gpu/drm/i915/gvt/gvt.h                     |    5 +
>  drivers/gpu/drm/i915/gvt/hypercall.h               |    2 -
>  drivers/gpu/drm/i915/gvt/scheduler.c               |  136 ++-
>  drivers/gpu/drm/i915/i915_debugfs.c                |   39 +-
>  drivers/gpu/drm/i915/i915_drv.c                    |  119 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |    4 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c              |   43 +-
>  drivers/gpu/drm/i915/i915_gpu_error.h              |    1 -
>  drivers/gpu/drm/i915/i915_irq.c                    |    6 +-
>  drivers/gpu/drm/i915/i915_pci.c                    |   14 +
>  drivers/gpu/drm/i915/i915_perf.c                   |    8 +-
>  drivers/gpu/drm/i915/i915_pmu.c                    |   32 +-
>  drivers/gpu/drm/i915/i915_reg.h                    |   54 +-
>  drivers/gpu/drm/i915/i915_vma.c                    |   38 +-
>  drivers/gpu/drm/i915/intel_device_info.c           |    1 +
>  drivers/gpu/drm/i915/intel_device_info.h           |    2 +
>  drivers/gpu/drm/i915/intel_pm.c                    |   88 +-
>  drivers/gpu/drm/i915/intel_pm.h                    |    2 +
>  drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
>  drivers/gpu/drm/i915/intel_uncore.c                |   31 +-
>  drivers/gpu/drm/i915/intel_wopcm.c                 |   22 +-
>  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   32 +-
>  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
>  .../gpu/drm/i915/selftests/i915_perf_selftests.h   |    1 +
>  drivers/gpu/drm/i915/selftests/i915_request.c      |  572 +++++++++-
>  drivers/gpu/drm/i915/selftests/i915_vma.c          |    2 +-
>  drivers/gpu/drm/i915/selftests/librapl.c           |   24 +
>  drivers/gpu/drm/i915/selftests/librapl.h           |   13 +
>  108 files changed, 6193 insertions(+), 1961 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c
>  create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h
>  create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.c
>  create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.h
>  create mode 100644 drivers/gpu/drm/i915/gt/st_shmem_utils.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/librapl.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/librapl.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-04-30 12:49 Joonas Lahtinen
  2020-05-13 17:10 ` Joonas Lahtinen
  0 siblings, 1 reply; 265+ messages in thread
From: Joonas Lahtinen @ 2020-04-30 12:49 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave & Daniel,

Fix for performance regression GitLab #1698: Iris Plus 655 and
4K screen. Missing workarounds for Tigerlake, and a fix for
DP display audio WA. Unbreaking enable_dpcd_backlight, fixes
to power code for Icelake+.

Improvements to the soft-RC6 code to improve power efficiency,
a fix for the timestamp corruption on Tigerlake and plenty of
smaller fixes for CI found corner cases.

Lots of refactoring that prep for upcoming changes, so I expect
the next PR to be quite busy.

Includes gvt-next-2020-04-22: Removes left non-upstream xen support
bits which will be kept out of tree instead. And several guest
context shadow optimizations.

Regards, Joonas

PS. Noticed the ack for locking rules, thanks! Will merge it.

***

drm-intel-next-2020-04-30:

Driver Changes:

- Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
  Iris Plus 655 and 4K screen (Chris)
- Add Wa_14011059788 for Tigerlake (Matt A)
- Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
- Use indirect ctx bb to load cmd buffer control value
  from context image to avoid corruption (Mika)
- Enable DP Display Audio WA (Uma, Jani)
- Update forcewake firmware ranges for Icelake (Radhakrishna)
- Add missing deinitialization cases of load failure for display (Jose)
- Implement TC cold sequences for Icelake and Tigerlake (Jose)
- Unbreak enable_dpcd_backlight modparam (Lyude)
- Move the late flush_submission in retire to the end (Chris)
- Demote "Reducing compressed framebufer size" message to info (Peter)
- Push MST link retraining to the hotplug work (Ville)
- Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
- Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
- Fix skl+ non-scaled pfit modes (Ville)
- Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
- Sanitize GT first before poisoning HWSP (Chris)
- Fix up clock RPS frequency readout (Chris)
- Avoid reusing the same logical CCID (Chris)
- Avoid dereferencing a dead context (Chris)
- Always enable busy-stats for execlists (Chris)
- Apply the aggressive downclocking to parking (Chris)
- Restore aggressive post-boost downclocking (Chris)

- Scrub execlists state on resume (Chris)
- Add debugfs attributes for LPSP (Ansuman)
- Improvements to kernel selftests (Chris, Mika)
- Add tiled blits selftest (Zbigniew)
- Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
- Add pre/post plane updates for SAGV (Stanislav)
- Add ICL PG3 PW ID for EHL (Anshuman)
- Fix Sphinx build duplicate label warning (Jani)
- Error log non-zero audio power refcount after unbind (Jani)
- Remove object_is_locked assertion from unpin_from_display_plane (Chris)
- Use single set of AUX powerwell ops for gen11+ (Matt R)
- Prefer drm_WARN_ON over WARN_ON (Pankaj)
- Poison residual state [HWSP] across resume (Chris, Tvrtko)
- Convert request-before-CS assertion to debug (Chris)
- Carefully order virtual_submission_tasklet (Chris)
- Check carefully for an idle engine in wait-for-idle (Chris)
- Only close vma we open (Chris)
- Trace RPS events (Chris)
- Use the RPM config register to determine clk frequencies (Chris)
- Drop rq->ring->vma peeking from error capture (Chris)
- Check preempt-timeout target before submit_ports (Chris)
- Check HWSP cacheline is valid before acquiring (Chris)
- Use proper fault mask in interrupt postinstall too (Matt R)
- Keep a no-frills swappable copy of the default context state (Chris)

- Add atomic helpers for bandwidth (Stanislav)
- Refactor setting dma info to a common helper from device info (Michael)
- Refactor DDI transcoder code for clairty (Ville)
- Extend PG3 power well ID to ICL (Anshuman)
- Refactor PFIT code for readability and future extensibility (Ville)
- Clarify code split between intel_ddi.c and intel_dp.c (Ville)
- Move out code to return the digital_port of the aux ch (Jose)
- Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
- Remove superfluous inlines and dead code (Jani)
- Re-disable -Wframe-address from top-level Makefile (Nick)
- Static checker and spelling fixes (Colin, Nathan)
- Split long lines (Ville)

The following changes since commit b06ef327e26367b9286a2079b31cde8d2161c0d8:

  drm/i915: Update DRIVER_DATE to 20200417 (2020-04-17 09:35:00 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-04-30

for you to fetch changes up to 230982d8d8df7f9d9aa216840ea2db1df6ad5d37:

  drm/i915: Update DRIVER_DATE to 20200430 (2020-04-30 11:13:21 +0300)

----------------------------------------------------------------
Driver Changes:

- Fix GitLab #1698: Performance regression with Linux 5.7-rc1 on
  Iris Plus 655 and 4K screen (Chris)
- Add Wa_14011059788 for Tigerlake (Matt A)
- Add per ctx batchbuffer wa for timestamp for Gen12 (Mika)
- Use indirect ctx bb to load cmd buffer control value
  from context image to avoid corruption (Mika)
- Enable DP Display Audio WA (Uma, Jani)
- Update forcewake firmware ranges for Icelake (Radhakrishna)
- Add missing deinitialization cases of load failure for display (Jose)
- Implement TC cold sequences for Icelake and Tigerlake (Jose)
- Unbreak enable_dpcd_backlight modparam (Lyude)
- Move the late flush_submission in retire to the end (Chris)
- Demote "Reducing compressed framebufer size" message to info (Peter)
- Push MST link retraining to the hotplug work (Ville)
- Hold obj->vma.lock over for_each_ggtt_vma() (Chris)
- Fix timeout handling during TypeC AUX power well enabling for ICL (Imre)
- Fix skl+ non-scaled pfit modes (Ville)
- Prefer soft-rc6 over RPS DOWN_TIMEOUT (Chris)
- Sanitize GT first before poisoning HWSP (Chris)
- Fix up clock RPS frequency readout (Chris)
- Avoid reusing the same logical CCID (Chris)
- Avoid dereferencing a dead context (Chris)
- Always enable busy-stats for execlists (Chris)
- Apply the aggressive downclocking to parking (Chris)
- Restore aggressive post-boost downclocking (Chris)

- Scrub execlists state on resume (Chris)
- Add debugfs attributes for LPSP (Ansuman)
- Improvements to kernel selftests (Chris, Mika)
- Add tiled blits selftest (Zbigniew)
- Fix error handling in __live_lrc_indirect_ctx_bb() (Dan)
- Add pre/post plane updates for SAGV (Stanislav)
- Add ICL PG3 PW ID for EHL (Anshuman)
- Fix Sphinx build duplicate label warning (Jani)
- Error log non-zero audio power refcount after unbind (Jani)
- Remove object_is_locked assertion from unpin_from_display_plane (Chris)
- Use single set of AUX powerwell ops for gen11+ (Matt R)
- Prefer drm_WARN_ON over WARN_ON (Pankaj)
- Poison residual state [HWSP] across resume (Chris, Tvrtko)
- Convert request-before-CS assertion to debug (Chris)
- Carefully order virtual_submission_tasklet (Chris)
- Check carefully for an idle engine in wait-for-idle (Chris)
- Only close vma we open (Chris)
- Trace RPS events (Chris)
- Use the RPM config register to determine clk frequencies (Chris)
- Drop rq->ring->vma peeking from error capture (Chris)
- Check preempt-timeout target before submit_ports (Chris)
- Check HWSP cacheline is valid before acquiring (Chris)
- Use proper fault mask in interrupt postinstall too (Matt R)
- Keep a no-frills swappable copy of the default context state (Chris)

- Add atomic helpers for bandwidth (Stanislav)
- Refactor setting dma info to a common helper from device info (Michael)
- Refactor DDI transcoder code for clairty (Ville)
- Extend PG3 power well ID to ICL (Anshuman)
- Refactor PFIT code for readability and future extensibility (Ville)
- Clarify code split between intel_ddi.c and intel_dp.c (Ville)
- Move out code to return the digital_port of the aux ch (Jose)
- Move rps.enabled/active  and use of RPS interrupts to flags (Chris)
- Remove superfluous inlines and dead code (Jani)
- Re-disable -Wframe-address from top-level Makefile (Nick)
- Static checker and spelling fixes (Colin, Nathan)
- Split long lines (Ville)

----------------------------------------------------------------
Anshuman Gupta (5):
      drm/i915: Power well id for ICL PG3
      drm/i915: Add i915_lpsp_capability debugfs
      drm/i915: Add connector dbgfs for all connectors
      drm/i915: Add i915_lpsp_status debugfs attribute
      drm/i915: Add ICL PG3 PW ID for EHL

Chris Wilson (46):
      drm/i915/gt: Scrub execlists state on resume
      drm/i915/selftests: Delay spinner before waiting for an interrupt
      drm/i915/selftests: Take the engine wakeref around __rps_up_interrupt
      drm/i915/selftests: Move gpu energy measurement into its own little lib
      drm/i915/selftests: Check power consumption at min/max frequencies
      drm/i915/gem: Remove object_is_locked assertion from unpin_from_display_plane
      drm/i915/gt: Move the late flush_submission in retire to the end
      drm/i915/selftests: Verify frequency scaling with RPS
      drm/i915/selftests: Skip energy consumption tests if not controlling freq
      drm/i915/selftests: Check RPS controls
      drm/i915/selftests: Split RPS frequency measurement
      drm/i915/selftests: Show the pcode frequency table on error
      drm/i915/selftests: Exercise dynamic reclocking with RPS
      drm/i915/selftests: Show the pstate limits on any failure to reset min
      drm/i915/selftests: Show the full scaling curve on failure
      drm/i915/selftests: Disable C-states when measuring RPS frequency response
      drm/i915/gt: Poison residual state [HWSP] across resume.
      drm/i915/selftests: Unroll the CS frequency loop
      drm/i915/selftests: Disable heartbeat around RPS interrupt testing
      drm/i915/selftests: Try to detect rollback during batchbuffer preemption
      drm/i915/gem: Hold obj->vma.lock over for_each_ggtt_vma()
      drm/i915/execlists: Drop request-before-CS assertion
      drm/i915/gt: Carefully order virtual_submission_tasklet
      drm/i915/gt: Check carefully for an idle engine in wait-for-idle
      drm/i915/selftests: Add request throughput measurement to perf
      drm/i915: Only close vma we open
      drm/i915/gt: Prefer soft-rc6 over RPS DOWN_TIMEOUT
      drm/i915/gt: Trace RPS events
      drm/i915/gt: Use the RPM config register to determine clk frequencies
      drm/i915: Drop rq->ring->vma peeking from error capture
      drm/i915/execlists: Check preempt-timeout target before submit_ports
      drm/i915/gt: Check cacheline is valid before acquiring
      drm/i915/gt: Sanitize GT first
      drm/i915/gt: Fix up clock frequency
      drm/i915/selftests: Tweak the tolerance for clock ticks to 12.5%
      drm/i915/execlists: Avoid reusing the same logical CCID
      drm/i915/execlists: Track inflight CCID
      drm/i915/execlists: Verify we don't submit two identical CCIDs
      drm/i915: Avoid dereferencing a dead context
      drm/i915/gt: Keep a no-frills swappable copy of the default context state
      drm/i915/gt: Always enable busy-stats for execlists
      drm/i915/gt: Move rps.enabled/active to flags
      drm/i915/gt: Track use of RPS interrupts in flags
      drm/i915/gt: Switch to manual evaluation of RPS
      drm/i915/gt: Apply the aggressive downclocking to parking
      drm/i915/gt: Restore aggressive post-boost downclocking

Christoph Hellwig (1):
      i915/gvt: remove unused xen bits

Colin Ian King (2):
      drm/i915: remove redundant assignment to variable test_result
      drm/i915/gt: fix spelling mistake "evalution" -> "evaluation"

Dan Carpenter (1):
      drm/i915/selftests: fix error handling in __live_lrc_indirect_ctx_bb()

Imre Deak (1):
      drm/i915/icl: Fix timeout handling during TypeC AUX power well enabling

Jani Nikula (5):
      drm/i915: fix Sphinx build duplicate label warning
      drm/i915/audio: error log non-zero audio power refcount after unbind
      drm/i915/hdmi: remove unused intel_hdmi_hdcp2_protocol()
      drm/i915: drop a bunch of superfluous inlines
      drm/i915/audio: fix compressed_bpp check

Joonas Lahtinen (2):
      Merge tag 'gvt-next-2020-04-22' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200430

José Roberto de Souza (10):
      drm/i915: Add missing deinitialization cases of load failure
      drm/i915/display: Move out code to return the digital_port of the aux ch
      drm/i915/display: Add intel_legacy_aux_to_power_domain()
      drm/i915/display: Split hsw_power_well_enable() into two
      drm/i915/tc/icl: Implement TC cold sequences
      drm/i915/tc: Skip ref held check for TC legacy aux power wells
      drm/i915/tc/tgl: Implement TC cold sequences
      drm/i915/tc: Catch TC users accessing FIA registers without enable aux
      drm/i915/tc: Do not warn when aux power well of static TC ports timeout
      drm/i915/display: Load DP_TP_CTL/STATUS offset before use it

Lyude Paul (1):
      drm/i915/dpcd_bl: Unbreak enable_dpcd_backlight modparam

Matt Atwood (1):
      drm/i915/tgl: Wa_14011059788

Matt Roper (2):
      drm/i915: Use single set of AUX powerwell ops for gen11+
      drm/i915: Use proper fault mask in interrupt postinstall too

Michael J. Ruhl (1):
      drm/i915: Refactor setting dma info to a common helper

Mika Kuoppala (6):
      drm/i915/selftests: Add context batchbuffers registers to live_lrc_fixed
      drm/i915: Make define for lrc state offset
      drm/i915: Add engine scratch register to live_lrc_fixed
      drm/i915: Add per ctx batchbuffer wa for timestamp
      drm/i915: Add live selftests for indirect ctx batchbuffers
      drm/i915: Use indirect ctx bb to mend CMD_BUF_CCTL

Nathan Chancellor (1):
      drm/i915/gt: Avoid uninitialized use of rpcurupei in frequency_show

Nick Desaulniers (1):
      drm/i915: re-disable -Wframe-address

Pankaj Bharadiya (9):
      drm/i915/display/icl_dsi: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/atomic_plane: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/ddi: Prefer drm_WARN* over WARN*
      drm/i915/display/display: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/dpll_mgr: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/frontbuffer: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/global_state: Prefer drm_WARN* over WARN*
      drm/i915/display/overlay: Prefer drm_WARN_ON over WARN_ON
      drm/i915/display/vlv_dsi: Prefer drm_WARN_ON over WARN_ON

Peter Jones (1):
      Make the "Reducing compressed framebufer size" message be DRM_INFO_ONCE()

Radhakrishna Sripada (1):
      drm/i915/icl: Update forcewake firmware ranges

Stanislav Lisovskiy (3):
      drm/i915: Add intel_atomic_get_bw_*_state helpers
      drm/i915: Prepare to extract gen specific functions from intel_can_enable_sagv
      drm/i915: Add pre/post plane updates for SAGV

Uma Shankar (1):
      drm/i915/display: Enable DP Display Audio WA

Ville Syrjälä (16):
      drm/i915: Pass encoder to intel_ddi_enable_pipe_clock()
      drm/i915: Move the TRANS_DDI_FUNC_CTL enable to a later point
      drm/i915: Push TRANS_DDI_FUNC_CTL into the encoder->enable() hook
      drm/i915: Pass encoder all the way to intel_ddi_transcoder_func_reg_val_get()
      drm/i915: Flatten intel_dp_check_mst_status() a bit
      drm/i915: Push MST link retraining to the hotplug work
      drm/i915: Fix skl+ non-scaled pfit modes
      drm/i915: Flatten a bunch of the pfit functions
      drm/i915: Use drm_rect to store the pfit window pos/size
      drm/i915: s/pipe_config/crtc_state/ in pfit functions
      drm/i915: Pass connector state to pfit calculations
      drm/i915: Have pfit calculations return an error code
      drm/i915: Introduce .set_link_train() vfunc
      drm/i915: Introduce .set_signal_levels() vfunc
      drm/i915: Introduce .set_idle_link_train() vfunc
      drm/i915: Split some long lines

Xiyu Yang (1):
      drm/i915/selftests: Fix i915_address_space refcnt leak

Yan Zhao (3):
      drm/i915/gvt: access shadow ctx via its virtual address directly
      drm/i915/gvt: combine access to consecutive guest context pages
      drm/i915/gvt: skip populate shadow context if guest context not changed

Zbigniew Kempczyński (1):
      drm/i915/selftests: Add tiled blits selftest

 drivers/char/agp/intel-gtt.c                       |   17 +-
 drivers/gpu/drm/i915/Makefile                      |    6 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   21 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    4 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  145 +++
 drivers/gpu/drm/i915/display/intel_bw.c            |   24 +-
 drivers/gpu/drm/i915/display/intel_bw.h            |    9 +
 drivers/gpu/drm/i915/display/intel_connector.c     |    3 +
 drivers/gpu/drm/i915/display/intel_crt.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  244 +++--
 drivers/gpu/drm/i915/display/intel_ddi.h           |    6 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  374 ++++---
 drivers/gpu/drm/i915/display/intel_display.h       |    3 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  100 ++
 drivers/gpu/drm/i915/display/intel_display_power.c |  534 ++++-----
 drivers/gpu/drm/i915/display/intel_display_power.h |    5 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |    6 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  608 ++++++-----
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    1 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |    4 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |    8 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |    6 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    5 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |    6 +-
 drivers/gpu/drm/i915/display/intel_global_state.c  |    4 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    3 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   23 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   56 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |   16 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |    6 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  140 +--
 drivers/gpu/drm/i915/display/intel_panel.h         |   10 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |   95 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   11 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |    7 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   20 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   95 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  595 ++++++++++
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    1 -
 drivers/gpu/drm/i915/gt/debugfs_gt_pm.c            |   64 +-
 drivers/gpu/drm/i915/gt/intel_context_sseu.c       |    3 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |   10 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |    3 -
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   82 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   10 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   41 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   15 -
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    3 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   63 +-
 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c     |  102 ++
 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h     |   27 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   10 +-
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   20 +-
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |    9 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  703 ++++++++----
 drivers/gpu/drm/i915/gt/intel_lrc.h                |    1 +
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    6 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |    4 +-
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   16 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |  350 ++++--
 drivers/gpu/drm/i915/gt/intel_rps.h                |   60 ++
 drivers/gpu/drm/i915/gt/intel_rps_types.h          |   14 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |   19 +-
 drivers/gpu/drm/i915/gt/intel_timeline.h           |    2 +
 drivers/gpu/drm/i915/gt/selftest_context.c         |    2 +-
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    6 +
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  534 ++++++++-
 drivers/gpu/drm/i915/gt/selftest_rc6.c             |   25 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             | 1134 +++++++++++++++++++-
 drivers/gpu/drm/i915/gt/selftest_rps.h             |    6 +
 drivers/gpu/drm/i915/gt/shmem_utils.c              |  173 +++
 drivers/gpu/drm/i915/gt/shmem_utils.h              |   23 +
 drivers/gpu/drm/i915/gt/st_shmem_utils.c           |   63 ++
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    2 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   15 +
 drivers/gpu/drm/i915/gvt/gvt.c                     |    1 -
 drivers/gpu/drm/i915/gvt/gvt.h                     |    5 +
 drivers/gpu/drm/i915/gvt/hypercall.h               |    2 -
 drivers/gpu/drm/i915/gvt/scheduler.c               |  136 ++-
 drivers/gpu/drm/i915/i915_debugfs.c                |   39 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  119 +-
 drivers/gpu/drm/i915/i915_drv.h                    |    4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   43 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    1 -
 drivers/gpu/drm/i915/i915_irq.c                    |    6 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   14 +
 drivers/gpu/drm/i915/i915_perf.c                   |    8 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   32 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   54 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   38 +-
 drivers/gpu/drm/i915/intel_device_info.c           |    1 +
 drivers/gpu/drm/i915/intel_device_info.h           |    2 +
 drivers/gpu/drm/i915/intel_pm.c                    |   88 +-
 drivers/gpu/drm/i915/intel_pm.h                    |    2 +
 drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   31 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |   22 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   32 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 .../gpu/drm/i915/selftests/i915_perf_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |  572 +++++++++-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |    2 +-
 drivers/gpu/drm/i915/selftests/librapl.c           |   24 +
 drivers/gpu/drm/i915/selftests/librapl.h           |   13 +
 108 files changed, 6193 insertions(+), 1961 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_clock_utils.h
 create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.c
 create mode 100644 drivers/gpu/drm/i915/gt/shmem_utils.h
 create mode 100644 drivers/gpu/drm/i915/gt/st_shmem_utils.c
 create mode 100644 drivers/gpu/drm/i915/selftests/librapl.c
 create mode 100644 drivers/gpu/drm/i915/selftests/librapl.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-04-17 11:15 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2020-04-17 11:15 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave & Daniel,

This pull contains a backmerge of drm-next and pulls the phy-compliance
branch from Maarten.

On the uAPI front, drop some machine generated perf OA configs from
915 now that they mostly passed from userspace. Add new perf OA interfaces
to limit CPU overhead and to allow benchmarking full EU performance (instead
of always limiting to half EUs for media compatibility).

Missing workarounds for Elkhartlake, more Tigerlake PCI IDs, workarounds
and fixes.

Fix for 5k dual DP displays on Skylake, support for Apple 15" BMP 2017 (v3)
display. Type-C display hot plug made more resilent. YUV444 for SKL+.

Improvements to soft-RC6 mitigation, general power management and yielding
a GPU timeslice when on user semaphore.

An audio fixes for Gen9+ and one targeting JSL.

Then the usual refactorings, and fixes to CI found issues.

Regards, Joonas

***

drm-intel-next-2020-04-17:

UAPI Changes:

- drm/i915/perf: introduce global sseu pinning
  Allow userspace to request at perf/OA open full SSEU configuration
  on the system to be able to benchmark 3D workloads, at the cost of not
  being able to run media workloads. (Lionel)

  Userspace changes: https://gitlab.freedesktop.org/mesa/mesa/-/merge_requests/4021

- drm/i915/perf: add new open param to configure polling of OA buffer
  Let application choose how often the OA buffer should be checked on
  the CPU side for data availability for choosig between CPU overhead
  and realtime nature of data.

  Userspace changes: https://patchwork.freedesktop.org/series/74655/

  (i915 perf recorder is a tool to capture i915 perf data for viewing
  in GPUVis.)

- drm/i915/perf: remove generated code
  Removal of the machine generated perf/OA test configurations from i915.
  Used by Mesa v17.1-18.0, and shortly replaced by userspace supplied OA
  configurations. Removal of configs causes affected Mesa versions to
  fall back to earlier kernel behaviour (potentially missing metrics).
  (Lionel)

Cross-subsystem Changes:

- Backmerge of drm-next

- Includes tag 'topic/phy-compliance-2020-04-08' from
  git://anongit.freedesktop.org/drm/drm-misc

Driver Changes:

- Fix for GitLab issue #27: Support 5k tiled dual DP display on SKL (Ville)
- Fix https://github.com/thesofproject/linux/issues/1719: Broken audio after
  S3 resume on JSL platforms. (Kai)
- Add new Tigerlake PCI IDs (Swathi D.)
- Add missing Tigerlake W/As (Matt R.)
- Extended Wa_2006604312 to EHL (Matt A)
- Add DPCD link_rate quirk for Apple 15" MBP 2017 (v3) (Mario)
- Make Wa_14010229206 apply to all Tigerlake steppings (Swathi d)
- Extend hotplug detect retry on TypeC connectors to 5 seconds (Imre)
- Yield the timeslice if caught waiting on a user semaphore (Chris)
- Limit the residual W/A batch to Haswell due to instability on IVB/BYT (Chris)
- TBT AUX should use TC power well ops on Tigerlake (Matt R)
- Update PMINTRMSK holding fw to make it effective for RPS (Francisco, Chris)
- Add YUV444 packed format support for skl+ (Stanislav)
- Invalidate OA TLB when closing perf stream to avoid corruption (Umesh)
- HDCP: fix Ri prime check done during link check (Oliver)
- Rearm heartbeat on sysfs interval change (Chris)
- Fix crtc nv12 etc. plane bitmasks for DPMS off (Ville)
- Treat idling as a RPS downclock event (Chris)
- Leave rps->cur_freq on unpark (Chris)
- Ignore short pulse when EDP panel powered off (Anshuman)
- Keep the engine awake until the next jiffie, to avoid ping-pong on
  moderate load (Chris)
- Select the deepest available parking mode for rc6 on IVB (Chris)
- Optimizations to direct submission execlist path (Chris)
- Avoid NULL pointer dereference at intel_read_infoframe() (Chris)
- Fix mode private_flags comparison at atomic_check (Uma, Ville)
- Use forced codec wake on all gen9+ platforms (Kai)
- Schedule oa_config after modifying the contexts (Chris, Lionel)
- Explicitly reset both reg and context runtime on GPU reset (Chris)
- Don't enable DDI IO power on a TypeC port in TBT mode (Imre)
- Fixes to TGL, ICL and EHL vswing tables (Jose)
- Fill all the unused space in the GGTT (Chris, imre)
- Ignore readonly failures when updating relocs (Chris)
- Attempt to find free space earlier for non-pinned VMAs (Chris)
- Only wait for GPU activity before unbinding a GGTT fence (Chris)
- Avoid data loss on small userspace perf OA polling (Ashutosh)
- Watch out for unevictable nodes during eviction (Matt A)
- Reinforce the barrier after GTT updates for Ironlake (Chris)

- Convert various parts of driver to use drm_device based logging (Wambui, Jani)
- Avoid dereferencing already closed context for engine (Chris)
- Enable non-contiguous pipe fusing (Anshuman)
- Add HW readout of Gamma LUT on ICL (Swati S.)
- Use explicit flag to mark unreachable intel_context (Chris)
- Cancel a hung context if already closed (Chris)
- Add DP VSC/HDR SDP data structures and write routines (Gwan-gyeong)
- Report context-is-closed prior to pinning at execbuf (Chris)
- Mark timeline->cacheline as destroyed after rcu grace period (Chris)
- Avoid live-lock with i915_vma_parked() (Chris)
- Avoid gem_context->mutex for simple vma lookup (Chris)
- Rely on direct submission to the queue (Chris)
- Configure DSI transcoder to operate in TE GATE command mode (Vandita)
- Add DI vblank calculation for command mode (Vandita)
- Disable periodic command mode if programmed by GOP (Vandita)
- Use private flags to indicate TE in cmd mode (Vandita)
- Make fences a nice-to-have for FBC on GEN9+ (Jose)
- Fix work queuing issue with mixed virtual engine/physical engine
  submissions (Chris)
- Drop final few uses of drm_i915_private.engine (Chris)
- Return early after MISSING_CASE for write_dp_sdp (Chris)
- Include port sync state in the state dump (Ville)
- ELSP workaround switching back to a completed context (Chris)
- Include priority info in trace_ports (Chris)
- Allow for different modes of interruptible i915_active_wait (Chris)
- Split eb_vma into its own allocation (Chris)
- Don't read perf head/tail pointers outside critical section (Lionel)
- Pause CS flow before execlists reset (Chris)
- Make fence revocation unequivocal (Chris)
- Drop cached obj->bind_count (Chris)
- Peek at the next submission for error interrupts (Chris)
- Utilize rcu iteration of context engines (Chris)
- Keep a per-engine request pool for power management ops (Chris)
- Refactor port sync code into normal modeset flow (Ville)
- Check current i915_vma.pin_count status first on unbind (Chris)
- Free request pool from virtual engines (Chris)
- Flush all the reloc_gpu batch (Chris)
- Make exclusive awaits on i915_active optional and allow async waits (Chris)
- Wait until the context is finally retired before releasing engines (Chris)

- Prefer '%ps' for printing function symbol names (Chris)
- Allow setting generic data pointer on intel GT debugfs (Andi)
- Constify DP link computation code more (Ville)
- Simplify MST master transcoder computation (Ville)
- Move TRANS_DDI_FUNC_CTL2 programming where it belongs (Ville)
- Move icl_get_trans_port_sync_config() into the DDI code (Ville)
- Add definitions for VRR registers and bits (Aditya)
- Refactor hardware fence code (Chris)
- Start passing latency as parameter to WM calculation (Stanislav)
- Kernel selftest and debug tracing improvements (Matt A, Chris, Mika)
- Fixes to CI found corner cases and lockdep splats (Chris)
- Overall fixes and refactoring to GEM code (Chris)
- Overall fixes and refactoring to display code (Ville)
- GuC/HuC code improvements (Daniele, Michal Wa)
- Static code checker fixes (Nathan, Ville, Colin, Chris)
- Fix spelling mistake (Chen)
The following changes since commit 8f3d9f354286745c751374f5f1fcafee6b3f3136:

  Linux 5.7-rc1 (2020-04-12 12:35:55 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-04-17

for you to fetch changes up to b06ef327e26367b9286a2079b31cde8d2161c0d8:

  drm/i915: Update DRIVER_DATE to 20200417 (2020-04-17 09:35:00 +0300)

----------------------------------------------------------------
UAPI Changes:

- drm/i915/perf: introduce global sseu pinning
  Allow userspace to request at perf/OA open full SSEU configuration
  on the system to be able to benchmark 3D workloads, at the cost of not
  being able to run media workloads. (Lionel)

  Userspace changes: https://gitlab.freedesktop.org/mesa/mesa/-/merge_requests/4021

- drm/i915/perf: add new open param to configure polling of OA buffer
  Let application choose how often the OA buffer should be checked on
  the CPU side for data availability for choosig between CPU overhead
  and realtime nature of data.

  Userspace changes: https://patchwork.freedesktop.org/series/74655/

  (i915 perf recorder is a tool to capture i915 perf data for viewing
  in GPUVis.)

- drm/i915/perf: remove generated code
  Removal of the machine generated perf/OA test configurations from i915.
  Used by Mesa v17.1-18.0, and shortly replaced by userspace supplied OA
  configurations. Removal of configs causes affected Mesa versions to
  fall back to earlier kernel behaviour (potentially missing metrics).
  (Lionel)

Cross-subsystem Changes:

- Backmerge of drm-next

- Includes tag 'topic/phy-compliance-2020-04-08' from
  git://anongit.freedesktop.org/drm/drm-misc

Driver Changes:

- Fix for GitLab issue #27: Support 5k tiled dual DP display on SKL (Ville)
- Fix https://github.com/thesofproject/linux/issues/1719: Broken audio after
  S3 resume on JSL platforms. (Kai)
- Add new Tigerlake PCI IDs (Swathi D.)
- Add missing Tigerlake W/As (Matt R.)
- Extended Wa_2006604312 to EHL (Matt A)
- Add DPCD link_rate quirk for Apple 15" MBP 2017 (v3) (Mario)
- Make Wa_14010229206 apply to all Tigerlake steppings (Swathi d)
- Extend hotplug detect retry on TypeC connectors to 5 seconds (Imre)
- Yield the timeslice if caught waiting on a user semaphore (Chris)
- Limit the residual W/A batch to Haswell due to instability on IVB/BYT (Chris)
- TBT AUX should use TC power well ops on Tigerlake (Matt R)
- Update PMINTRMSK holding fw to make it effective for RPS (Francisco, Chris)
- Add YUV444 packed format support for skl+ (Stanislav)
- Invalidate OA TLB when closing perf stream to avoid corruption (Umesh)
- HDCP: fix Ri prime check done during link check (Oliver)
- Rearm heartbeat on sysfs interval change (Chris)
- Fix crtc nv12 etc. plane bitmasks for DPMS off (Ville)
- Treat idling as a RPS downclock event (Chris)
- Leave rps->cur_freq on unpark (Chris)
- Ignore short pulse when EDP panel powered off (Anshuman)
- Keep the engine awake until the next jiffie, to avoid ping-pong on
  moderate load (Chris)
- Select the deepest available parking mode for rc6 on IVB (Chris)
- Optimizations to direct submission execlist path (Chris)
- Avoid NULL pointer dereference at intel_read_infoframe() (Chris)
- Fix mode private_flags comparison at atomic_check (Uma, Ville)
- Use forced codec wake on all gen9+ platforms (Kai)
- Schedule oa_config after modifying the contexts (Chris, Lionel)
- Explicitly reset both reg and context runtime on GPU reset (Chris)
- Don't enable DDI IO power on a TypeC port in TBT mode (Imre)
- Fixes to TGL, ICL and EHL vswing tables (Jose)
- Fill all the unused space in the GGTT (Chris, imre)
- Ignore readonly failures when updating relocs (Chris)
- Attempt to find free space earlier for non-pinned VMAs (Chris)
- Only wait for GPU activity before unbinding a GGTT fence (Chris)
- Avoid data loss on small userspace perf OA polling (Ashutosh)
- Watch out for unevictable nodes during eviction (Matt A)
- Reinforce the barrier after GTT updates for Ironlake (Chris)

- Convert various parts of driver to use drm_device based logging (Wambui, Jani)
- Avoid dereferencing already closed context for engine (Chris)
- Enable non-contiguous pipe fusing (Anshuman)
- Add HW readout of Gamma LUT on ICL (Swati S.)
- Use explicit flag to mark unreachable intel_context (Chris)
- Cancel a hung context if already closed (Chris)
- Add DP VSC/HDR SDP data structures and write routines (Gwan-gyeong)
- Report context-is-closed prior to pinning at execbuf (Chris)
- Mark timeline->cacheline as destroyed after rcu grace period (Chris)
- Avoid live-lock with i915_vma_parked() (Chris)
- Avoid gem_context->mutex for simple vma lookup (Chris)
- Rely on direct submission to the queue (Chris)
- Configure DSI transcoder to operate in TE GATE command mode (Vandita)
- Add DI vblank calculation for command mode (Vandita)
- Disable periodic command mode if programmed by GOP (Vandita)
- Use private flags to indicate TE in cmd mode (Vandita)
- Make fences a nice-to-have for FBC on GEN9+ (Jose)
- Fix work queuing issue with mixed virtual engine/physical engine
  submissions (Chris)
- Drop final few uses of drm_i915_private.engine (Chris)
- Return early after MISSING_CASE for write_dp_sdp (Chris)
- Include port sync state in the state dump (Ville)
- ELSP workaround switching back to a completed context (Chris)
- Include priority info in trace_ports (Chris)
- Allow for different modes of interruptible i915_active_wait (Chris)
- Split eb_vma into its own allocation (Chris)
- Don't read perf head/tail pointers outside critical section (Lionel)
- Pause CS flow before execlists reset (Chris)
- Make fence revocation unequivocal (Chris)
- Drop cached obj->bind_count (Chris)
- Peek at the next submission for error interrupts (Chris)
- Utilize rcu iteration of context engines (Chris)
- Keep a per-engine request pool for power management ops (Chris)
- Refactor port sync code into normal modeset flow (Ville)
- Check current i915_vma.pin_count status first on unbind (Chris)
- Free request pool from virtual engines (Chris)
- Flush all the reloc_gpu batch (Chris)
- Make exclusive awaits on i915_active optional and allow async waits (Chris)
- Wait until the context is finally retired before releasing engines (Chris)

- Prefer '%ps' for printing function symbol names (Chris)
- Allow setting generic data pointer on intel GT debugfs (Andi)
- Constify DP link computation code more (Ville)
- Simplify MST master transcoder computation (Ville)
- Move TRANS_DDI_FUNC_CTL2 programming where it belongs (Ville)
- Move icl_get_trans_port_sync_config() into the DDI code (Ville)
- Add definitions for VRR registers and bits (Aditya)
- Refactor hardware fence code (Chris)
- Start passing latency as parameter to WM calculation (Stanislav)
- Kernel selftest and debug tracing improvements (Matt A, Chris, Mika)
- Fixes to CI found corner cases and lockdep splats (Chris)
- Overall fixes and refactoring to GEM code (Chris)
- Overall fixes and refactoring to display code (Ville)
- GuC/HuC code improvements (Daniele, Michal Wa)
- Static code checker fixes (Nathan, Ville, Colin, Chris)
- Fix spelling mistake (Chen)

----------------------------------------------------------------
Aditya Swarup (1):
      drm/i915/tgl: Add definitions for VRR registers and bits

Andi Shyti (1):
      drm/i915/gt: allow setting generic data pointer

Animesh Manna (7):
      drm/amd/display: Align macro name as per DP spec
      drm/dp: get/set phy compliance pattern
      drm/i915/dp: Made intel_dp_adjust_train() non-static
      drm/i915/dp: Preparation for DP phy compliance auto test
      drm/i915/dp: Add debugfs entry for DP phy compliance
      drm/i915/dp: Register definition for DP compliance register
      drm/i915/dp: Program vswing, pre-emphasis, test-pattern

Anshuman Gupta (2):
      drm/i915: Enable non-contiguous pipe fusing
      drm/i915/edp: Ignore short pulse when panel powered off

Ashutosh Dixit (1):
      drm/i915/perf: Do not clear pollin for small user read buffers

Chen Zhou (1):
      drm/i915/gt: fix spelling mistake "undeflow" -> "underflow"

Chris Wilson (80):
      drm/i915/gt: Restrict gen7 w/a batch to Haswell
      drm/i915: Move GGTT fence registers under gt/
      drm/i915/gt: Pull restoration of GGTT fences underneath the GT
      drm/i915: Remove manual save/resume of fence register state
      drm/i915/gt: Allocate i915_fence_reg array
      drm/i915/gt: Restore check for invalid vma for fencing
      drm/i915/gem: Check for a closed context when looking up an engine
      drm/i915: Fix up documentation paths after file moving
      drm/i915/gt: Always reschedule the new heartbeat
      drm/i915: Prefer '%ps' for printing function symbol names
      drm/i915: Use explicit flag to mark unreachable intel_context
      drm/i915/gt: Cancel a hung context if already closed
      drm/i915/gt: Report context-is-closed prior to pinning
      drm/i915/gt: Use the correct err_unlock unwind path for a closed context
      drm/i915/gt: Treat idling as a RPS downclock event
      drm/i915/gt: Leave rps->cur_freq on unpark
      drm/i915/gt: Mark timeline->cacheline as destroyed after rcu grace period
      drm/i915: Avoid live-lock with i915_vma_parked()
      drm/i915/gem: Avoid gem_context->mutex for simple vma lookup
      drm/i915: Rely on direct submission to the queue
      drm/i915: Extend intel_wakeref to support delayed puts
      drm/i915/gt: Delay release of engine-pm after last retirement
      drm/i915/gt: Only delay the context barrier pm
      drm/i915/gt: Select the deepest available parking mode for rc6
      drm/i915/execlists: Drop setting sibling priority hint on virtual engines
      drm/i915/selftests: Measure the energy consumed while in RC6
      drm/i915/execlists: Pull tasklet interrupt-bh local to direct submission
      drm/i915: Immediately execute the fenced work
      drm/i915/gt: Stage the transfer of the virtual breadcrumb
      drm/i915: Drop final few uses of drm_i915_private.engine
      drm/i915/display: Remove useless but deadly local
      drm/i915/display: Return early after MISSING_CASE for write_dp_sdp
      drm/i915: Differentiate between aliasing-ppgtt and ggtt pinning
      drm/i915/execlists: Workaround switching back to a completed context
      drm/i915/execlists: Include priority info in trace_ports
      drm/i915/selftests: Check timeout before flush and cond checks
      drm/i915: Allow for different modes of interruptible i915_active_wait
      drm/i915: Wrap i915_active in a simple kreffed struct
      drm/i915/perf: Schedule oa_config after modifying the contexts
      drm/i915/gem: Split eb_vma into its own allocation
      drm/i915/execlists: Explicitly reset both reg and context runtime
      drm/i915/execlists: Double check breadcrumb before crying foul
      drm/i915: Defer kicking the tasklet until all rescheduling is complete
      drm/i915/gt: Include a few tracek for timeslicing
      drm/i915/selftests: Tidy up an error message for live_error_interrupt
      drm/i915/execlists: Pause CS flow before reset
      drm/i915/gt: Include the execlists CCID of each port in the engine dump
      drm/i915/gt: Fill all the unused space in the GGTT
      drm/i915/gem: Ignore readonly failures when updating relocs
      drm/i915/gt: Align engine dump active/pending
      drm/i915/gem: Try allocating va from free space
      drm/i915/gt: Only wait for GPU activity before unbinding a GGTT fence
      drm/i915/gt: Store the fence details on the fence
      drm/i915/gt: Make fence revocation unequivocal
      drm/i915/gem: Drop cached obj->bind_count
      drm/i915/uc: Cleanup kerneldoc warnings
      drm/i915/execlists: Peek at the next submission for error interrupts
      drm/i915/gem: Utilize rcu iteration of context engines
      drm/i915/selftests: Check for has-reset before testing hostile contexts
      drm/i915: Keep a per-engine request pool
      drm/i915: Avoid setting timer->expires to 0
      drm/i915: Revoke mmap before fence
      drm/i915: Check current i915_vma.pin_count status first on unbind
      drm/i915/selftests: Wait until we start timeslicing after a submit
      drm/i915/gt: Free request pool from virtual engines
      drm/i915/gem: Flush all the reloc_gpu batch
      drm/i915/gem: Take DBG_FORCE_RELOC into account prior to using reloc_gpu
      drm/i915: Make exclusive awaits on i915_active optional
      drm/i915: Allow asynchronous waits on the i915_active barriers
      drm/i915/gem: Wait until the context is finally retired before releasing engines
      drm/i915/gem: Promote 'remain' to unsigned long
      drm/i915/gt: Yield the timeslice if caught waiting on a user semaphore
      drm/i915/selftests: Drop vestigal timeslicing assert
      drm/i915/gt: Mark up racy read of intel_ring.head
      drm/i915/gt: Mark up racy check of breadcrumb irq enabled
      drm/i915/selftests: Take an explicit ref for rq->batch
      drm/i915/selftests: Check for an already completed timeslice
      agp/intel: Reinforce the barrier after GTT updates
      drm/i915/selftests: Exercise basic RPS interrupt generation
      drm/i915/gt: Update PMINTRMSK holding fw

Colin Ian King (1):
      drm/i915: remove redundant assignment to variable err

Daniele Ceraolo Spurio (5):
      drm/i915/guc: drop stage_pool debugfs
      drm/i915/huc: make "support huc" reflect HW capabilities
      drm/i915/debugfs: move uC printers and update debugfs file names
      drm/i915/uc: Move uC debugfs to its own folder under GT
      drm/i915/uc: do not free err log on uc_fini

Gwan-gyeong Mun (4):
      drm: Add DP1.4 VSC SDP Payload related Data Structures
      drm/i915/dp: Add compute routine for DP VSC SDP
      drm/i915/dp: Add compute routine for DP HDR Metadata Infoframe SDP
      drm/i915/dp: Add writing of DP SDPs

Imre Deak (3):
      drm/i915/icl+: Don't enable DDI IO power on a TypeC port in TBT mode
      drm/i915: Add a retry counter for hotplug detect retries
      drm/i915: Extend hotplug detect retry on TypeC connectors to 5 seconds

Jani Nikula (30):
      drm/i915/ddi: use struct drm_device based logging
      drm/i915/display_power: use struct drm_device based logging
      drm/i915/dp_aux_backlight: use struct drm_device based logging
      drm/i915/dp_mst: use struct drm_device based logging
      drm/i915/dsi: use struct drm_device based logging
      drm/i915/hdmi: use struct drm_device based logging
      drm/i915/dsi: use struct drm_device based logging
      drm/i915/connector: use MISSING_CASE instead of logging
      drm/i915/tv: use struct drm_device based logging
      drm/i915/display: clean up intel_PLL_is_valid()
      drm/i915/display: use struct drm_device based logging
      drm/i915/psr: use struct drm_device based logging
      drm/i915/wopcm: convert to drm device based logging
      drm/i915/audio: use struct drm_device based logging
      drm/i915/panel: use struct drm_device based logging
      drm/i915/tc: use struct drm_device based logging
      drm/i915/dp: use struct drm_device based logging
      drm/i915/crt: use struct drm_device based logging
      drm/i915/debugfs: use struct drm_device based logging
      drm/i915/bw: use struct drm_device based logging
      drm/i915/state: use struct drm_device based logging
      drm/i915/switcheroo: use struct drm_device based logging
      drm/i915/uc: prefer struct drm_device based logging
      drm/i915/error: prefer struct drm_device based logging
      drm/i915/pmu: prefer struct drm_device based logging
      drm/i915/dram: prefer struct drm_device based logging
      drm/i915/uncore: prefer struct drm_device based logging
      drm/i915/stolen: prefer struct drm_device based logging
      drm/i915/gt: prefer struct drm_device based logging
      drm/i915/uc: prefer struct drm_device based logging

Joonas Lahtinen (3):
      Merge drm/drm-next into drm-intel-next-queued
      Merge tag 'topic/phy-compliance-2020-04-08' of git://anongit.freedesktop.org/drm/drm-misc into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200417

José Roberto de Souza (4):
      drm/i915/display/fbc: Make fences a nice-to-have for GEN9+
      drm/i915/dp: Return the right vswing tables
      drm/i915/dp/ehl: Update vswing table for HBR and RBR
      drm/i915/tc/icl: Update TC vswing tables

Kai Vehmanen (2):
      drm/i915: use forced codec wake on all gen9+ platforms
      drm/i915: do AUD_FREQ_CNTRL state save on all gen9+ platforms

Lionel Landwerlin (7):
      drm/i915/perf: remove generated code
      drm/i915/perf: remove redundant power configuration register override
      drm/i915/perf: introduce global sseu pinning
      drm/i915/perf: rework aging tail workaround
      drm/i915/perf: move pollin setup to non hw specific code
      drm/i915/perf: add new open param to configure polling of OA buffer
      drm/i915/perf: don't read head/tail pointers outside critical section

Mario Kleiner (1):
      drm/i915/dp: Add dpcd link_rate quirk for Apple 15" MBP 2017 (v3)

Matt Atwood (1):
      drm/i915/ehl: extended Wa_2006604312 to ehl

Matt Roper (4):
      drm/i915/tgl: Add Wa_14010477008:tgl
      drm/i915/tgl: Extend Wa_1409767108:tgl to B0 stepping
      drm/i915/tgl: Initialize multicast register steering for workarounds
      drm/i915/tgl: TBT AUX should use TC power well ops

Matthew Auld (3):
      drm/i915/selftests/perf: watch out for stolen objects
      drm/i915/selftests: mark huge_gem_object as not shrinkable
      drm/i915/evict: watch out for unevictable nodes

Michal Wajdeczko (2):
      drm/i915/huc: Add more errors for I915_PARAM_HUC_STATUS
      drm/i915/huc: Fix HuC register used in debugfs

Mika Kuoppala (1):
      drm/i915: Report all failed registers for ctx isolation

Nathan Chancellor (1):
      drm/i915: Cast remain to unsigned long in eb_relocate_vma

Oliver Barta (1):
      drm/i915: HDCP: fix Ri prime check done during link check

Stanislav Lisovskiy (2):
      drm/i915: Start passing latency as parameter
      drm/i915: Add YUV444 packed format support for skl+

Swathi Dhanavanthri (2):
      drm/i915/tgl: Add new PCI IDs to TGL
      drm/i915/tgl: Make Wa_14010229206 permanent

Swati Sharma (1):
      drm/i915/color: Extract icl_read_luts()

Uma Shankar (1):
      drm/i915/display: Fix mode private_flags comparison at atomic_check

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Invalidate OA TLB on when closing perf stream

Vandita Kulkarni (5):
      drm/i915/dsi: Configure transcoder operation for command mode.
      drm/i915/dsi: Add vblank calculation for command mode
      drm/i915/dsi: Add cmd mode flags in display mode private flags
      drm/i915/dsi: Add check for periodic command mode
      drm/i915/dsi: Use private flags to indicate TE in cmd mode

Ville Syrjälä (16):
      drm/i915: Fix crtc nv12 etc. plane bitmasks for DPMS off
      drm/i915: Get rid of silly void* from MST code
      drm: Constify adjusted_mode a bit
      drm/i915/mst: Use .compute_config_late() to compute master transcoder
      drm/i915: Move TRANS_DDI_FUNC_CTL2 programming where it belongs
      drm/i915: Drop usless master_transcoder assignments
      drm/i915: Move icl_get_trans_port_sync_config() into the DDI code
      drm/i915: Use REG_FIELD_PREP() & co. for TRANS_DDI_FUNC_CTL2
      drm/i915: Include port sync state in the state dump
      drm/i915: Store cpu_transcoder_mask in device info
      drm/i915: Implement port sync for SKL+
      drm/i915: Eliminate port sync copy pasta
      drm/i915: Fix port sync code to work with >2 pipes
      drm/i915: Do pipe updates after enables for everyone
      drm/i915: Pass atomic state to encoder hooks
      drm/i915: Move the port sync DP_TP_CTL stuff to the encoder hook

Wambui Karuga (10):
      drm/i915/fbc: convert to drm_device based logging macros.
      drm/i915/fbdev: convert to drm_device based logging.
      drm/i915/hdcp: convert to struct drm_device based logging.
      drm/i915/ggtt: convert to drm_device based logging macros.
      drm/i915/lrc: convert to struct drm_device based logging macros.
      drm/i915/rc6: convert to struct drm_device based logging macros.
      drm/i915/renderstate: use struct drm_device based logging macros.
      drm/i915/ring_submission: use drm_device based logging macros.
      drm/i915/rps: use struct drm_device based logging macros.
      drm/i915/workarounds: convert to drm_device based logging macros.

 Documentation/gpu/i915.rst                         |   6 +-
 drivers/char/agp/intel-gtt.c                       |   4 +-
 drivers/gpu/drm/amd/display/dc/core/dc_link_dp.c   |   2 +-
 drivers/gpu/drm/drm_dp_helper.c                    |  96 +++
 drivers/gpu/drm/i915/Makefile                      |  23 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             | 167 +++-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  21 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |   2 +
 drivers/gpu/drm/i915/display/intel_audio.c         |  18 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   9 +-
 drivers/gpu/drm/i915/display/intel_color.c         | 121 ++-
 drivers/gpu/drm/i915/display/intel_connector.c     |   2 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |  36 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           | 522 ++++++++----
 drivers/gpu/drm/i915/display/intel_ddi.h           |   3 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 470 +++--------
 drivers/gpu/drm/i915/display/intel_display.h       |   8 +-
 .../gpu/drm/i915/display/intel_display_debugfs.c   |  12 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  36 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |  39 +-
 drivers/gpu/drm/i915/display/intel_dp.c            | 932 +++++++++++++++++----
 drivers/gpu/drm/i915/display/intel_dp.h            |   4 +
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |  84 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   9 +-
 .../gpu/drm/i915/display/intel_dp_link_training.h  |   4 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        | 153 ++--
 drivers/gpu/drm/i915/display/intel_dsi.c           |   9 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |  11 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   9 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  84 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |  96 ++-
 drivers/gpu/drm/i915/display/intel_global_state.c  |   5 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   6 +-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |   4 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          | 256 ++++--
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  16 +-
 drivers/gpu/drm/i915/display/intel_hotplug.h       |   3 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |  22 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   2 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  22 +-
 drivers/gpu/drm/i915/display/intel_panel.h         |   3 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  47 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  22 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  25 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |  47 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |  15 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  15 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  85 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   4 +
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   2 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     | 365 ++++----
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   1 -
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   3 -
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   2 -
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |  18 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   4 +-
 .../gpu/drm/i915/gem/selftests/huge_gem_object.c   |   3 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |   2 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   4 -
 .../gpu/drm/i915/gem/selftests/i915_gem_object.c   |   2 +-
 drivers/gpu/drm/i915/gt/debugfs_engines.c          |   2 +-
 drivers/gpu/drm/i915/gt/debugfs_gt.c               |  15 +-
 drivers/gpu/drm/i915/gt/debugfs_gt.h               |   9 +-
 drivers/gpu/drm/i915/gt/debugfs_gt_pm.c            |  10 +-
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   6 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |   5 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |   2 +
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          | 127 +--
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |   2 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   2 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   6 +
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |  12 +
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |  52 +-
 .../intel_ggtt_fencing.c}                          | 170 ++--
 .../intel_ggtt_fencing.h}                          |  17 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   3 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |  15 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   5 +-
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   2 +-
 drivers/gpu/drm/i915/gt/intel_gtt.h                |   5 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                | 252 ++++--
 drivers/gpu/drm/i915/gt/intel_rc6.c                |  39 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |   2 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |  16 +-
 drivers/gpu/drm/i915/gt/intel_ring.h               |   5 +-
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |  33 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                | 105 +--
 drivers/gpu/drm/i915/gt/intel_sseu.c               |  33 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |  12 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  21 +-
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |   2 +
 drivers/gpu/drm/i915/gt/selftest_lrc.c             | 117 ++-
 drivers/gpu/drm/i915/gt/selftest_rc6.c             |  45 +-
 drivers/gpu/drm/i915/gt/selftest_rps.c             | 223 +++++
 drivers/gpu/drm/i915/gt/selftest_rps.h             |  11 +
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |  46 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |   7 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_debugfs.c     |  42 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_debugfs.h     |  14 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |  14 -
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.h          |   1 -
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |  97 ++-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.h         |   4 +
 drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.c | 124 +++
 drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.h |  15 +
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |  53 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.h             |   2 +
 drivers/gpu/drm/i915/gt/uc/intel_huc_debugfs.c     |  36 +
 drivers/gpu/drm/i915/gt/uc/intel_huc_debugfs.h     |  14 +
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |  17 -
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.h          |   1 -
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  35 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.h              |   1 +
 drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.c      |  30 +
 drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.h      |  14 +
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |  56 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h           |   3 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |   2 +-
 drivers/gpu/drm/i915/i915_active.c                 | 137 ++-
 drivers/gpu/drm/i915/i915_active.h                 |  14 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 298 +------
 drivers/gpu/drm/i915/i915_drv.c                    |   4 -
 drivers/gpu/drm/i915/i915_drv.h                    |  13 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  20 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   7 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   2 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   8 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  23 +-
 drivers/gpu/drm/i915/i915_perf.c                   | 628 +++++++-------
 drivers/gpu/drm/i915/i915_perf_types.h             |  46 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   4 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 130 ++-
 drivers/gpu/drm/i915/i915_request.c                |  29 +-
 drivers/gpu/drm/i915/i915_request.h                |   2 +
 drivers/gpu/drm/i915/i915_scheduler.c              |  10 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |   2 +-
 drivers/gpu/drm/i915/i915_sw_fence_work.c          |   5 +-
 drivers/gpu/drm/i915/i915_sw_fence_work.h          |  23 +
 drivers/gpu/drm/i915/i915_switcheroo.c             |   4 +-
 drivers/gpu/drm/i915/i915_utils.c                  |   3 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  93 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   4 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  41 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   1 +
 drivers/gpu/drm/i915/intel_dram.c                  |   3 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  12 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  24 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   6 +-
 drivers/gpu/drm/i915/intel_wakeref.c               |  12 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |  22 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |  22 +-
 drivers/gpu/drm/i915/oa/i915_oa_bdw.c              |  90 --
 drivers/gpu/drm/i915/oa/i915_oa_bdw.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_bxt.c              |  88 --
 drivers/gpu/drm/i915/oa/i915_oa_bxt.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.c           |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.c           |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_chv.c              |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_chv.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_cnl.c              | 101 ---
 drivers/gpu/drm/i915/oa/i915_oa_cnl.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_glk.c              |  88 --
 drivers/gpu/drm/i915/oa/i915_oa_glk.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_hsw.c              | 118 ---
 drivers/gpu/drm/i915/oa/i915_oa_hsw.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_icl.c              |  98 ---
 drivers/gpu/drm/i915/oa/i915_oa_icl.h              |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.c           |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.c           |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.c           |  88 --
 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.c           |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.c           |  89 --
 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.h           |  16 -
 drivers/gpu/drm/i915/oa/i915_oa_tgl.c              | 121 ---
 drivers/gpu/drm/i915/oa/i915_oa_tgl.h              |  16 -
 drivers/gpu/drm/i915/selftests/i915_active.c       |  12 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   2 -
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  26 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   1 -
 drivers/gpu/drm/i915/selftests/i915_perf.c         |  98 ++-
 drivers/gpu/drm/i915/selftests/i915_request.c      |  16 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |   5 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   6 +-
 include/drm/drm_dp_helper.h                        | 170 +++-
 include/drm/i915_pciids.h                          |   8 +-
 include/uapi/drm/i915_drm.h                        |  24 +
 192 files changed, 5326 insertions(+), 4357 deletions(-)
 rename drivers/gpu/drm/i915/{i915_gem_fence_reg.c => gt/intel_ggtt_fencing.c} (88%)
 rename drivers/gpu/drm/i915/{i915_gem_fence_reg.h => gt/intel_ggtt_fencing.h} (86%)
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_rps.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_rps.h
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_guc_debugfs.c
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_guc_debugfs.h
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.c
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_guc_log_debugfs.h
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_huc_debugfs.c
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_huc_debugfs.h
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.c
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_uc_debugfs.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_bdw.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_bdw.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_bxt.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_bxt.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_chv.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_chv.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_cnl.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_cnl.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_glk.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_glk.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_hsw.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_hsw.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_icl.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_icl.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.h
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_tgl.c
 delete mode 100644 drivers/gpu/drm/i915/oa/i915_oa_tgl.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-02-25 18:58 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2020-02-25 18:58 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

I do regret for taking so long for this first pull request.
It accumulated over 400 patches with many code refactors, specially around
gpu hangs detection/recover/debugability; display watermark handling;
drm logs and warns; and our display conversion to use drm_i915_private *i915
with functions to modify registers instead the old global dev_priv with
I915_READ/WRITE mmio macros.

It also include fixes for Ice Lake and Elkhart Lake, and platform enabling
work for Tiger Lake.

I tried to do my best to capture the changes and bucketize them on the tag
description below.

Also right after doing the tag yesterday I tried a backmerge what generated
a conflict on i915/gt/intel_lrc.c. So I solved this by only going with the
latest we had on drm-intel-next-queued. It was simple, but I decided to do
an extra small tag in an attempt to make it smoother for you. I hope it helps,
but in the worst case it is just a matter of getting the latest version
on every chunk.

Here goes drm-intel-next-2020-02-25:
- A backmerge of drm-next solving conflicts on i915/gt/intel_lrc.c
- Clean up shadow batch after I915_EXEC_SECURE
- Drop assertion that active->fence is unchanged
drm-intel-next-2020-02-24-1:
- RC6 fixes - Chris
- Add extra slice common debug register - Lionel
- Align virtual engines uabi_class/instance with i915_drm.h - Tvrtko
- Avoid potential division by zero in computing CS timestamp - Chris
- Avoid using various globals - Michal Winiarski, Matt Auld
- Break up long lists of GEM object reclaim - Chris
- Check that the vma hasn't been closed before we insert it - Chris
- Consolidate SDVO HDMI force_dvi handling - Ville
- Conversion to new logging and warn macros and functions - Pankaj, Wambul, Chris
- DC3CO fixes - Jose
- Disable use of hwsp_cacheline for kernel_context - Chris
- Display IRQ pre/post uninstall refactor - Jani
- Display port sync refactor for robustness and fixes - Ville, Manasi
- Do not attempt to reprogram IA/ring frequencies for dgfx - Chris
- Drop alpha_support for good in favor of force_probe - Jani
- DSI ACPI related fixes and refactors - Vivek, Jani, Rajat
- Encoder refactor for flexibility to add more information, especiallly DSI related - Jani, Vandita
- Engine workarounds refactor for robustness around resue - Daniele
- FBC simplification and tracepoints
- Various fixes for build - Jani, Kees Cook, Chris, Zhang Xiaoxu
- Fix cmdparser - Chris
- Fix DRM_I915_GEM_MMAP_OFFFSET - Chris
- Fix i915_request flags - Chris
- Fix inconsistency between pfit enable and scaler freeing - Stanislav
- Fix inverted warn_on on display code - Chris
- Fix modeset locks in sanitize_watermarks - Ville
- Fix OA context id overlap with idle context id - Umesh
- Fix pipe and vblank enable for MST - Jani
- Fix VBT handling for timing parameters - Vandita
- Fixes o kernel doc - Chris, Ville
- Force full modeset whenever DSC is enabled at probe - Jani
- Various GEM locking simplification and fixes - Jani , Chris, Jose
  - Including some changes in preparation for making GEM execbuf parallel - Chris
- Gen11 pcode error codes - Matt Roper
- Gen8+ interrupt handler refactor - Chris
- Many fixes and improvements around GuC code - Daniele, Michal Wajdeczko
- i915 parameters improvements sfor flexible input and better debugability - Chris, Jani
- Ice Lake and Elkhart Lake Fixes and workarounds - Matt Roper, Jose, Vivek, Matt Atwood
- Improvements on execlists, requests and other areas, fixing hangs and also
  improving hang detection, recover and debugability - Chris
  - Also introducing offline GT error capture - Chris
- Introduce encoder->compute_config_late() to help MST - Ville
- Make dbuf configuration const - Jani
- Few misc clean ups - Ville, Chris
- Never allow userptr into the new mapping types - Janusz
- Poison rings after use and GTT scratch pages - Chris
- Protect signaler walk with RCU - Chris
- PSR fixes - Jose
- Pull sseu context updates under gt - Chris
- Read rawclk_freq earlier - Chris
- Refactor around VBT handling to allow geting information through the encoder - Jani
- Refactor l3cc/mocs availability - Chris
- Refactor to use intel_connector over drm_connector - Ville
- Remove i915_energy_uJ from debugfs - Tvrtko
- Remove lite restore defines - Mika Kuoppala
- Remove prefault_disable modparam - Chris
- Many selftests fixes and improvements - Chris
- Set intel_dp_set_m_n() for MST slaves - Jose
- Simplify hot plug pin handling and other fixes around pin and polled modes - Ville
- Skip CPU synchronization on dma-buf attachments - chris
- Skip global serialization of clear_range for bxt vtd - Chris
- Skip rmw for marked register - Chris
- Some other GEM Fixes - Chris
- Some small changes for satisfying static code analysis - Colin, Chris
- Suppress warnings for unused debugging locals
- Tiger Lake enabling, including re-enable -f RPS, workarounds and other display fixes and changes - Chris, Matt Roper, Mika Kuoppala, Anshuman, Jose, Radhakrishna, Rafael.
- Track hw reported context runtime - Tvrtko
- Update bug filling URL - Jani
- Use async bind for PIN_USER into bsw/bxt ggtt - Chris
- Use the kernel_context to measuer the breadcrumb size - Chris
- Userptr fixes and robustness for big pages - Matt Auld
- Various Display refactors and clean-ups, specially around logs and use of drm_i915_private - Jani, Ville
- Various display refactors and fixes, especially around cdclk, modeset, and encoder - Chris, Jani
- Various eDP/DP fixes around DPCD - Lyude
- Various fixes and refactors for better Display watermark handling - Ville, Stanislav
- Various other display refactors - Ville
- Various refactor for better handling of display plane states - Ville
- Wean off drm_pci_alloc/drm_pci_free - Chris
- Correctly terminate connector iteration- Ville
- Downgrade gen7 (ivb, byt, hsw) back to aliasing-ppgtt - Chris

Thanks,
Rodrigo.

The following changes since commit 1b245ec5b685ebf8e6e5d1e6b5bcc03b6608e8b0:

  Merge tag 'drm-misc-next-2020-02-10' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2020-02-21 05:44:40 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-02-25

for you to fetch changes up to 53e3ca6749186b5c147964bddc4eb47ba8b5f69e:

  drm/i915: Update DRIVER_DATE to 20200225 (2020-02-25 10:41:22 -0800)

----------------------------------------------------------------
- A backmerge of drm-next solving conflicts on i915/gt/intel_lrc.c
- Clean up shadow batch after I915_EXEC_SECURE
- Drop assertion that active->fence is unchanged

----------------------------------------------------------------
Anshuman Gupta (1):
      drm/i915: HDCP support on above PORT_E

Chris Wilson (119):
      drm/i915/pmu: Correct the rc6 offset upon enabling
      drm/i915/gt: Clear rc6 residency trackers across suspend
      drm/i915/gem: Take local vma references for the parser
      drm/i915/selftests: Add a mock i915_vma to the mock_ring
      drm/i915/gt: Use the BIT when checking the flags, not the index
      drm/i915/execlists: Leave resetting ring to intel_ring
      drm/i915/gt: Drop rogue space in the middle of GT_TRACE
      drm/i915: Keep track of request among the scheduling lists
      drm/i915/gt: Allow temporary suspension of inflight requests
      drm/i915/execlists: Offline error capture
      drm/i915: Include the debugfs params header for its own definition
      drm/i915: Fix typo in kerneldoc function name
      drm/i915: Satisfy smatch that a loop has at least one iteration
      drm/i915/gt: Report the currently active execlists request
      drm/i915/gt: Be paranoid and reset the GPU before release
      drm/i915/gem: Store mmap_offsets in an rbtree rather than a plain list
      drm/i915: Don't show the blank process name for internal/simulated errors
      drm/i915: Clear the GGTT_WRITE bit on unbinding the vma
      drm/i915/gt: Include a tell-tale for engine parking
      drm/i915/execlists: Take a reference while capturing the guilty request
      drm/i915/execlists: Reclaim the hanging virtual request
      drm/i915: Mark the removal of the i915_request from the sched.link
      drm/i915/gem: Convert vm idr to xarray
      drm/i915/gem: Detect overflow in calculating dumb buffer size
      drm/i915/selftests: Show the RC6 residency on parking failure
      drm/i915/gem: Prevent NULL pointer dereference on missing ctx->vm
      drm/i915: Check activity on i915_vma after confirming pin_count==0
      drm/i915: Wait on vma activity before taking the mutex
      drm/i915/gt: Flush engine parking before release
      drm/i915/gt: Poison GTT scratch pages
      drm/i915/tgl: Re-enable RPS
      drm/i915/display: Squelch kerneldoc complaints
      drm/i915: Stub out i915_gpu_coredump_put
      drm/i915: Remove 'prefault_disable' modparam
      drm/i915: Tighten atomicity of i915_active_acquire vs i915_active_release
      drm/i915: Restore the kernel context after verifying the w/a
      drm/i915/gt: Acquire ce->active before ce->pin_count/ce->pin_mutex
      drm/i915: Skip capturing errors from internal contexts
      drm/i915/gt: Reorganise gen8+ interrupt handler
      drm/i915/gt: Tidy repetition in declaring gen8+ interrupts
      drm/i915/gt: Lift set-wedged engine dumping out of user paths
      drm/i915/trace: i915_request.prio is a signed value
      drm/i915/selftests: Lock the drm_mm as we search
      drm/i915/execlist: Mark up racy read of execlists->pending[0]
      drm/i915/gt: Hook up CS_MASTER_ERROR_INTERRUPT
      drm/i915/execlists: Ignore discrepancies in pending[] across resets
      drm/i915/gt: Skip global serialisation of clear_range for bxt vtd
      drm/i915/fbc: __intel_fbc_cleanup_cfb() may be called multiple times
      drm/i915/gem: Tighten checks and acquiring the mmap object
      drm/i915/gt: Rename i915_gem_restore_ggtt_mappings() for its new placement
      drm/i915: Use the async worker to avoid reclaim tainting the ggtt->mutex
      drm/i915/gem: Require per-engine reset support for non-persistent contexts
      drm/i915/gt: Also use async bind for PIN_USER into bsw/bxt ggtt
      drm/i915/selftests: Also wait for the scratch buffer to be bound
      drm/i915/selftests: Disable heartbeat around hang tests
      drm/i915/gt: Skip rmw for masked registers
      drm/i915: Hold reference to previous active fence as we queue
      drm/i915: Initialise basic fence before acquiring seqno
      drm/i915/gt: Warn about the hidden i915_vma_pin in timeline_get_seqno
      drm/i915/audio: Skip the cdclk modeset if no pipes attached
      drm/i915/display: Fix NULL-crtc deref in calc_min_cdclk()
      drm/i915/display: Defer application of initial chv_phy_control
      drm/i915/selftests: Add a simple rollover test for the kernel context
      drm/i915/selftest: Ensure string fits within name[]
      drm/i915/gt: Pull sseu context updates under gt
      drm/i915: Wean off drm_pci_alloc/drm_pci_free
      drm/i915/gt: Fix rc6 on Ivybridge
      drm/i915/display: Explicitly cleanup initial_plane_config
      drm/i915/display: Be explicit in handling the preallocated vma
      drm/i915: Mark i915.reset as unsigned
      drm/i915: Flush execution tasklets before checking request status
      drm/i915/gt: Set the PP_DIR registers upon enabling ring submission
      drm/i915/gt: Prevent queuing retire workers on the virtual engine
      drm/i915/gt: Protect defer_request() from new waiters
      drm/i915/gt: Protect execlists_hold/unhold from new waiters
      drm/i915: Fix force-probe failure message
      drm/i915/gt: Use the kernel_context to measure the breadcrumb size
      drm/i915/gt: Only ignore already reset requests
      drm/i915/execlists: Always force a context reload when rewinding RING_TAIL
      drm/i915/gt: Fix hold/unhold recursion
      drm/i915/execlists: Ignore tracek for nop process_csb
      drm/i915/selftests: Remove erroneous intel_engine_pm_put
      drm/i915/selftests: Disable capturing forced error states
      drm/i915/selftests: Drop live_preempt_hang
      drm/i915/selftests: Trim blitter block size
      drm/i915: Skip CPU synchronisation on dmabuf attachments
      drm/i915/gt: Avoid resetting ring->head outside of its timeline mutex
      drm/i915/selftests: Relax timeout for error-interrupt reset processing
      drm/i915: Disable use of hwsp_cacheline for kernel_context
      drm/i915/gem: Don't leak non-persistent requests on changing engines
      drm/i915: Poison rings after use
      drm/i915/selftests: Sabotague the RING_HEAD
      drm/i915/selftests: Avoid choosing zero for phys_sz
      drm/i915/gt: Expand bad CS completion event debug
      drm/i915/gt: Suppress warnings for unused debugging locals
      drm/i915/selftests: Exercise timeslice rewinding
      drm/i915/selftests: Check for the error interrupt before we wait!
      drm/i915: Avoid potential division-by-zero in computing CS timestamp period
      drm/i915/gt: Rearrange code to silence compiler
      drm/i915/selftests: Mark the mock ring->vma as being in the GGTT
      drm/i915/selftests: Check for any sign of request starting in wait_for_submit()
      drm/i915/gt: Fix up missing error propagation for heartbeat pulses
      drm/i915/selftests: Flush tasklet on wait_for_submit()
      drm/i915/gt: Show the cumulative context runtime in engine debug
      drm/i915/gt: Refactor l3cc/mocs availability
      drm/i915: Read rawclk_freq earlier
      drm/i915/selftest: Analyse timestamp behaviour across context switches
      drm/i915/selftests: Mark GPR checking more hostile
      drm/i915/gt: Do not attempt to reprogram IA/ring frequencies for dgfx
      drm/i915/gt: Protect signaler walk with RCU
      drm/i915: Double check bumping after the spinlock
      drm/i915/gem: Break up long lists of object reclaim
      drm/i915: Check that the vma hasn't been closed before we insert it
      drm/i915: Avoid recursing onto active vma from the shrinker
      drm/i915/gt: Push the GPU cancellation to the backend
      drm/i915/display: Fix inverted WARN_ON
      drm/i915/gtt: Downgrade gen7 (ivb, byt, hsw) back to aliasing-ppgtt
      drm/i915/gem: Cleanup shadow batch after I915_EXEC_SECURE
      drm/i915: Drop assertion that active->fence is unchanged

Colin Ian King (1):
      drm/i915/gt: remove redundant assignment to variable dw

Daniele Ceraolo Spurio (11):
      drm/i915: extract engine WA programming to common resume function
      drm/i915: Move ringbuffer WAs to engine workaround list
      drm/i915/debugfs: Pass guc_log struct to i915_guc_log_info
      drm/i915/guc: Kill USES_GUC macro
      drm/i915/guc: Kill USES_GUC_SUBMISSION macro
      drm/i915/uc: Update the FW status on injected fetch error
      drm/i915/uc: autogenerate uC checker functions
      drm/i915/uc: Improve tracking of uC init status
      drm/i915/guc: Apply new uC status tracking to GuC submission as well
      drm/i915/uc: Abort early on uc_init failure
      drm/i915/uc: consolidate firmware cleanup

Jani Nikula (77):
      drm/i915/params: add i915 parameters to debugfs
      drm/i915/params: support bool values for int and uint params
      drm/i915/bios: add intel_bios_max_tmds_encoder()
      drm/i915/bios: add intel_bios_hdmi_level_shift()
      drm/i915/bios: intel_bios_dp_boost_level()
      drm/i915/bios: intel_bios_hdmi_boost_level()
      drm/i915/bios: add intel_bios_dp_max_link_rate()
      drm/i915/bios: add intel_bios_alternate_ddc_pin()
      drm/i915/bios: add intel_bios_port_supports_*()
      drm/i915/bios: check DDI port presence based on child device
      drm/i915: use intel_bios_is_port_present()
      drm/i915/dp: debug log max vswing and pre-emphasis
      drm/i915: drop alpha_support for good in favour of force_probe
      Merge tag 'topic/drm-warn-2020-01-22' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next-queued
      drm/i915: add display engine uncore helpers
      drm/i915/dmc: use intel uncore functions for forcewake register access
      drm/i915/irq: use intel de functions for forcewake register access
      drm/i915/pm: use intel de functions for forcewake register access
      drm/i915/audio: use intel_de_*() functions for register access
      drm/i915/cdclk: use intel_de_*() functions for register access
      drm/i915/color: use intel_de_*() functions for register access
      drm/i915/crt: use intel_de_*() functions for register access
      drm/i915/dpio_phy: use intel_de_*() functions for register access
      drm/i915/dpll_mgr: use intel_de_*() functions for register access
      drm/i915/dp_mst: use intel_de_*() functions for register access
      drm/i915/dsb: use intel_de_*() functions for register access
      drm/i915/dvo: use intel_de_*() functions for register access
      drm/i915/fbc: use intel_de_*() functions for register access
      drm/i915/fifo_underrun: use intel_de_*() functions for register access
      drm/i915/gmbus: use intel_de_*() functions for register access
      drm/i915/hdmi: use intel_de_*() functions for register access
      drm/i915/lpe_audio: use intel_de_*() functions for register access
      drm/i915/lvds: use intel_de_*() functions for register access
      drm/i915/overlay: use intel_de_*() functions for register access
      drm/i915/panel: use intel_de_*() functions for register access
      drm/i915/sdvo: use intel_de_*() functions for register access
      drm/i915/tv: use intel_de_*() functions for register access
      drm/i915/vga: use intel_de_*() functions for register access
      drm/i915/pipe_crc: use intel_de_*() functions for register access
      drm/i915/psr: use intel_de_*() functions for register access
      drm/i915/sprite: use intel_de_*() functions for register access
      drm/i915/vdsc: use intel_de_*() functions for register access
      drm/i915/vlv_dsi: use intel_de_*() functions for register access
      drm/i915/vlv_dsi_pll: use intel_de_*() functions for register access
      drm/i915/icl_dsi: use intel_de_*() functions for register access
      drm/i915/combo_phy: use intel_de_*() functions for register access
      drm/i915/ddi: use intel_de_*() functions for register access
      drm/i915/display: use intel_de_*() functions for register access
      drm/i915/display_power: use intel_de_*() functions for register access
      drm/i915/dp: use intel_de_*() functions for register access
      drm/i915/hdcp: use intel_de_*() functions for register access
      drm/i915/psr: use intel_de_*() functions for register access
      drm/i915/debugfs: remove i915_dpcd file
      drm/i915/debugfs: remove VBT data about DRRS
      drm/i915: move pipe, pch and vblank enable to encoders on DDI platforms
      drm/i915: move intel_dp_set_m_n() to encoder for DDI platforms
      drm/i915/hdcp: move update pipe code to hdcp
      drm/i915/mst: fix pipe and vblank enable
      drm/i915/psr: pass i915 to psr_global_enabled()
      drm/i915/hdmi: prefer to_i915() over drm->dev_private to get at i915
      drm/i915: register vga switcheroo later, unregister earlier
      drm/i915: switch i915_driver_probe() to use i915 local variable
      drm/i915: move intel_csr.[ch] under display/
      drm/i915: split out display debugfs to a separate file
      drm/i915/dsc: force full modeset whenever DSC is enabled at probe
      MAINTAINERS: Update drm/i915 bug filing URL
      drm/i915: Update drm/i915 bug filing URL
      drm/i915: split out vlv/chv specific suspend/resume code
      drm/i915: switch vlv_suspend to use intel uncore register accessors
      drm/i915/csr: use intel_de_*() functions for register access
      drm/i915/display: use intel_de_*() functions for register access
      drm/i915/gem: use spinlock_t instead of struct spinlock
      drm/i915: split intel_modeset_driver_remove() to pre/post irq uninstall
      drm/i915: split i915_driver_modeset_remove() to pre/post irq uninstall
      drm/i915: split i915_driver_modeset_probe() to pre/post irq install
      drm/i915: make dbuf configurations const
      drm/i915: fix header test with GCOV

Janusz Krzysztofik (1):
      drm/i915: Never allow userptr into the new mapping types

José Roberto de Souza (11):
      drm/i915/dp/tgl+: Update combo phy vswing tables
      drm/i915/vbt: Rename BDB_LVDS_POWER to BDB_LFP_POWER
      drm/i915/psr: Share the computation of idle frames
      drm/i915/dc3co: Do the full calculation of DC3CO exit only once
      drm/i915/dc3co: Avoid full modeset when EXITLINE needs to be changed
      drm/i915: Fix preallocated barrier list append
      drm/i915/display: Set TRANS_DDI_MODE_SELECT to default value when clearing DDI select
      drm/i915/display/ehl: Add HBR2 and HBR3 voltage swing table
      drm/i915/dc3co: Add description of how it works
      drm/i915/mst: Set intel_dp_set_m_n() for MST slaves
      drm/i915/psr: Force PSR probe only after full initialization

Kees Cook (1):
      drm/i915: Distribute switch variables for initialization

Lionel Landwerlin (1):
      drm/i915: add extra slice common debug registers

Lyude Paul (6):
      drm/i915: Fix eDP DPCD aux max backlight calculations
      drm/i915: Assume 100% brightness when not in DPCD control mode
      drm/i915: Fix DPCD register order in intel_dp_aux_enable_backlight()
      drm/i915: Auto detect DPCD backlight support by default
      drm/i915: Don't use VBT for detecting DPCD backlight controls
      Revert "drm/i915: Don't use VBT for detecting DPCD backlight controls"

Manasi Navare (3):
      drm/i915/dp: Do not set master_trans bit in bitmak if INVALID_TRANSCODER
      drm/i915/dp: Compute port sync crtc states post compute_config()
      drm/i915/dp: Add all tiled and port sync conns to modeset

Matt Atwood (1):
      drm/i915: add Wa_14010594013: icl,ehl

Matt Roper (6):
      drm/i915/gen11: Add additional pcode status values
      drm/i915/ehl: Update port clock voltage level requirements
      drm/i915/tgl: Update cdclk voltage level settings
      drm/i915: Program MBUS with rmw during initialization
      drm/i915/tgl: Program MBUS_ABOX{1,2}_CTL during display init
      drm/i915/tgl: Add Wa_22010178259:tgl

Matthew Auld (5):
      drm/i915/userptr: add user_size limit check
      drm/i915/userptr: fix size calculation
      drm/i915/selftests/perf: measure memcpy bw between regions
      drm/i915/selftests: drop igt_ppgtt_exhaust_huge
      drm/i915: remove the other slab_dependencies

Michal Wajdeczko (12):
      drm/i915/guc: Simpler CT message size calculation
      drm/i915/guc: Introduce CT_ERROR
      drm/i915/guc: Update CTB helpers to use CT_ERROR
      drm/i915/guc: Use correct name for last CT fence
      drm/i915/guc: Don't GEM_BUG_ON on corrupted G2H CTB
      drm/i915/guc: Don't pass CTB while writing
      drm/i915/guc: Don't pass CTB while reading
      drm/i915/guc: Switch to CT_ERROR in ct_read
      drm/i915/guc: Introduce CT_DEBUG
      drm/i915/guc: Don't GEM_BUG_ON on corrupted H2G CTB
      drm/i915/guc: Introduce guc_is_ready
      drm/i915/guc: Make sure to sanitize CT status

Michał Winiarski (2):
      drm/i915/pmu: Avoid using globals for CPU hotplug state
      drm/i915/pmu: Avoid using globals for PMU events

Mika Kuoppala (4):
      drm/i915: Disable tesselation clock gating on tgl A0
      drm/i915: Implement Wa_1607090982
      drm/i915: Remove lite restore defines
      drm/i915: Use engine wa list for Wa_1607090982

Pankaj Bharadiya (24):
      drm/i915/display: Make WARN* drm specific where encoder ptr is available
      drm/i915/gem: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/gt: Make WARN* drm specific where drm_priv ptr is available
      drm/i915: Make WARN* drm specific where drm_priv ptr is available
      drm/i915: Make WARN* drm specific where uncore or stream ptr is available
      drm/i915/display/icl_dsi: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/audio: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/crt: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/dpll_mgr: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/fbc: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/fbdev: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/hdmi: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/overlay: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/panel: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/psr: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/sdvo: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/tc: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/cdclk: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/ddi: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/display: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/power: Make WARN* drm specific where drm_priv ptr is available
      drm/i915/display/dp: Make WARN* drm specific where drm_device ptr is available
      drm/i915/display/hdcp: Make WARN* drm specific where drm_priv ptr is available

Radhakrishna Sripada (1):
      drm/i915/tgl: Add Wa_1409825376 to tgl

Rafael Antognolli (1):
      drm/i915/tgl: Add Wa_1808121037 to tgl.

Rajat Jain (1):
      drm/i915/acpi: Move the code to populate ACPI device ID into intel_acpi

Rodrigo Vivi (5):
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200224
      drm/i915: Update DRIVER_DATE to 20200224
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200225

Stanislav Lisovskiy (8):
      drm/i915: Fix inconsistance between pfit.enable and scaler freeing
      drm/i915: Remove skl_ddl_allocation struct
      drm/i915: Move dbuf slice update to proper place
      drm/i915: Update dbuf slices only with full modeset
      drm/i915: Introduce parameterized DBUF_CTL
      drm/i915: Manipulate DBuf slices properly
      drm/i915: Correctly map DBUF slices to pipes
      drm/i915: Ensure no conflicts with BIOS when updating Dbuf

Tvrtko Ursulin (3):
      drm/i915: Align engine->uabi_class/instance with i915_drm.h
      drm/i915/debugfs: Remove i915_energy_uJ
      drm/i915: Track hw reported context runtime

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Fix OA context id overlap with idle context id

Vandita Kulkarni (2):
      drm/i915/bios: Fix the timing parameters
      drm/i915/dsi: Enable ICL DSI transcoder as part of encoder->enable

Ville Syrjälä (58):
      drm/i915: Make a copy of the ggtt view for slave plane
      drm/i915/fbc: Move the plane state check into the fbc functions
      drm/i915/fbc: Nuke fbc_supported()
      drm/i915/fbc: Add fbc tracepoints
      drm/i915: Fix post-fastset modeset check for port sync
      drm/i915: Clear most of crtc state when disabling the crtc
      drm/i915: Prefer to use the pipe to index the ddb entries
      drm/i915: Use PIPE_CONF_CHECK_X() for sync_mode_slaves_mask
      drm/i915: Move encoder variable to tighter scope
      drm/i915/sdvo: Reduce the size of the on stack buffers
      drm/i915: Consolidate HDMI force_dvi handling
      drm/i915/sdvo: Consolidate SDVO HDMI force_dvi handling
      drm/i915: Use intel_attached_encoder()
      drm/i915: Relocate intel_attached_dp()
      drm/i915: Use intel_attached_dp() instead of hand rolling it
      drm/i915: Rename conn_to_dig_port() to intel_attached_dig_port()
      drm/i915/hdcp: Clean up local variables
      drm/i915: Clear old hw.fb & co. from slave plane's state
      drm/i915: Stop looking at plane->state in intel_prepare_plane_fb()
      drm/i915: s/intel_state/state/ in intel_{prepare,cleanup}_plane_fb()
      drm/i915: Balance prepare_fb/cleanup_fb
      drm/i915: Cleanup properly if the implicit fence setup fails
      drm/i915: Fix modeset locks in sanitize_watermarks()
      drm/i915: Prefer intel_connector over drm_connector in hotplug code
      drm/i915: Include the AUX CH name in the debug messages
      drm/i915: Give aux channels a better name
      drm/i915: Polish WM_LINETIME register stuff
      drm/i915: Move linetime wms into the crtc state
      drm/i915: Nuke skl wm.dirty_pipes bitmask
      drm/i915: Move more cdclk state handling into the cdclk code
      drm/i915: Collect more cdclk state under the same roof
      drm/i915: s/need_cd2x_updare/can_cd2x_update/
      drm/i915: s/cdclk_state/cdclk_config/
      drm/i915: Simplify intel_set_cdclk_{pre,post}_plane_update() calling convention
      drm/i915: Extract intel_cdclk_state
      drm/i915: swap() the entire cdclk state
      drm/i915: s/init_cdclk/init_cdclk_hw/
      drm/i915: Move intel_atomic_state_free() into intel_atomic.c
      drm/i915: Introduce better global state handling
      drm/i915: Convert bandwidth state to global state
      drm/i915: Introduce intel_calc_active_pipes()
      drm/i915: Convert cdclk to global state
      drm/i915: Store active_pipes bitmask in cdclk state
      drm/i915: Introduce intel_connector_hpd_pin()
      drm/i915/crt: Configure connector->polled and encoder->hpd_pin consistently
      drm/i915: Mark ns2501 as LVDS without a fixed mode
      drm/i915/dvo: Mark TMDS DVO connectors as polled
      drm/i915: Sprinkle missing commas
      drm/i915: Don't use uninitialized 'ret'
      drm/i915: Fix the docs for intel_set_cdclk_post_plane_update()
      drm/i915: Force state->modeset=true when distrust_bios_wm==true
      drm/i915: Introduce encoder->compute_config_late()
      drm/i915: Add i9xx_lut_8()
      drm/i915/hpd: Replace the loop-within-loop with two independent loops
      drm/i915: Mark all HPD capabled connectors as such
      drm/i915: Parametrize PFIT_PIPE
      drm/i915: Use intel_de_write_fw() for skl+ scaler registers
      drm/i915: Correctly terminate connector iteration

Vivek Kasireddy (3):
      drm/i915/dsi: Lookup the i2c bus from ACPI NS only if CONFIG_ACPI=y (v2)
      drm/i915/dsi: Ensure that the ACPI adapter lookup overrides the bus num
      drm/i915/ehl: Ensure that the DDI selection MUX is programmed correctly

Wambui Karuga (48):
      drm/i915: conversion to new logging macros in i915/i915_vgpu.c
      drm/i915: conversion to new logging macros in i915/intel_csr.c
      drm/i915: conversion to new logging macros in i915/intel_device_info.c
      drm/i915: convert to new logging macros in i915/intel_gvt.c
      drm/i915: convert to new logging macros in i915/intel_memory_region.c
      drm/i915/atomic: use struct drm_device logging macros
      drm/i915/bios: convert to struct drm_device logging macros.
      drm/i915/audio: convert to struct drm_device logging macros.
      drm/i915/bw: convert to drm_device based logging macros
      drm/i915/cdclk: use new struct drm_device logging macros
      drm/i915/display: conversion to new struct drm_device logging macros.
      drm/i915/dsi: conversion to struct drm_device log macros.
      drm/i915/power: convert to struct drm_device macros in display/intel_display_power.c
      drm/i915/dp: conversion to struct drm_device logging macros.
      drm/i915/opregion: conversion to struct drm_device logging macros.
      drm/i915/hdcp: conversion to struct drm_device based logging macros.
      drm/i915/gem: initial conversion to new logging macros using coccinelle
      drm/i915/gem: manual conversion to struct drm_device logging macros.
      drm/i915/ggtt: use new drm logging macros in gt/intel_ggtt.c
      drm/i915/reset: conversion to new drm logging macros in gt/intel_reset.c
      drm/i915/engine_cs: use new drm logging macros in gt/intel_engine_cs.c
      drm/i915/gt: convert to new logging macros in gt/intel_gt.c
      drm/i915/ring: convert to new logging macros in gt/intel_ring_submission.c
      drm/i915/vlv_dsi_pll: conversion to struct drm_device logging macros.
      drm/i915/vlv_dsi: conversion to drm_device based logging macros.
      drm/i915/vga: conversion to drm_device based logging macros.
      drm/i915/vdsc: convert to struct drm_device based logging macros.
      drm/i915/tv: automatic conversion to drm_device based logging macros.
      drm/i915/tc: automatic conversion to drm_device based logging macros.
      drm/i915/sprite: automatic conversion to drm_device based logging macros
      drm/i915/sdvo: automatic conversion to drm_device based logging macros.
      drm/i915/quirks: automatic conversion to drm_device based logging macros.
      drm/i915/psr: automatic conversion to drm_device based logging macros.
      drm/i915/pipe_crc: automatic conversion to drm_device based logging macros.
      drm/i915/panel: automatic conversion to drm_device based logging macros.
      drm/i915: conversion to drm_device logging macros when drm_i915_private is present.
      drm/i915/debugfs: conversion to drm_device based logging macros.
      drm/i915/cmd_parser: conversion to struct drm_device logging macros.
      drm/i915/pci: conversion to drm_device based logging macros.
      drm/i915/dp_link_training: convert to drm_device based logging macros.
      drm/i915/atomic: conversion to drm_device based logging macros.
      drm/i915/color: conversion to drm_device based logging macros.
      drm/i915/crt: automatic conversion to drm_device based logging macros.
      drm/i915/dpll_mgr: convert to drm_device based logging macros.
      drm/i915/combo_phy: convert to struct drm_device logging macros.
      drm/i915/dsi_vbt: convert to drm_device based logging macros.
      drm/i915/dpio_phy: convert to drm_device based logging macros.
      drm/i915/perf: conversion to struct drm_device based logging macros.

Zhang Xiaoxu (1):
      drm/i915: Fix i915_error_state_store error defination

 MAINTAINERS                                        |    2 +-
 drivers/gpu/drm/i915/Kconfig                       |   12 +-
 drivers/gpu/drm/i915/Makefile                      |   15 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |  383 ++-
 drivers/gpu/drm/i915/display/intel_acpi.c          |   89 +
 drivers/gpu/drm/i915/display/intel_acpi.h          |    5 +
 drivers/gpu/drm/i915/display/intel_atomic.c        |   57 +-
 drivers/gpu/drm/i915/display/intel_atomic.h        |    5 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   73 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    5 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |  247 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  443 ++-
 drivers/gpu/drm/i915/display/intel_bios.h          |   11 +
 drivers/gpu/drm/i915/display/intel_bw.c            |   63 +-
 drivers/gpu/drm/i915/display/intel_bw.h            |    4 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 1084 +++---
 drivers/gpu/drm/i915/display/intel_cdclk.h         |   73 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  222 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  163 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    5 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |  127 +-
 drivers/gpu/drm/i915/{ => display}/intel_csr.c     |   42 +-
 drivers/gpu/drm/i915/{ => display}/intel_csr.h     |    0
 drivers/gpu/drm/i915/display/intel_ddi.c           |  944 +++---
 drivers/gpu/drm/i915/display/intel_de.h            |   72 +
 drivers/gpu/drm/i915/display/intel_display.c       | 3442 +++++++++++---------
 drivers/gpu/drm/i915/display/intel_display.h       |    7 +
 .../gpu/drm/i915/display/intel_display_debugfs.c   | 2129 ++++++++++++
 .../gpu/drm/i915/display/intel_display_debugfs.h   |   20 +
 drivers/gpu/drm/i915/display/intel_display_power.c |  737 +++--
 drivers/gpu/drm/i915/display/intel_display_power.h |    5 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   54 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  848 +++--
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |  169 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |   75 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   33 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |  108 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  677 ++--
 drivers/gpu/drm/i915/display/intel_dsb.c           |   30 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    6 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |  167 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   49 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  202 +-
 drivers/gpu/drm/i915/display/intel_fbc.h           |   13 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   13 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |   37 +-
 drivers/gpu/drm/i915/display/intel_global_state.c  |  223 ++
 drivers/gpu/drm/i915/display/intel_global_state.h  |   87 +
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   77 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  414 ++-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |    5 +
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  423 +--
 drivers/gpu/drm/i915/display/intel_hotplug.c       |  136 +-
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |   16 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |   64 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |  221 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   57 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |  389 ++-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |   34 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  386 ++-
 drivers/gpu/drm/i915/display/intel_psr.h           |    1 +
 drivers/gpu/drm/i915/display/intel_quirks.c        |   10 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  113 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  385 ++-
 drivers/gpu/drm/i915/display/intel_tc.c            |   51 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |  164 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    2 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  443 ++-
 drivers/gpu/drm/i915/display/intel_vga.c           |   14 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |  440 +--
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   96 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  368 ++-
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |   13 +-
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.c         |    8 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   66 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    5 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |   98 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |   17 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |  135 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.h         |    1 -
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |   19 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  102 -
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  163 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |   74 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |    5 +-
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |    3 +
 drivers/gpu/drm/i915/gt/intel_context.c            |    9 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |   20 +
 drivers/gpu/drm/i915/gt/intel_context_sseu.c       |   98 +
 drivers/gpu/drm/i915/gt/intel_context_types.h      |   12 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |   22 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  145 +-
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |    8 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |    4 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   12 +-
 drivers/gpu/drm/i915/gt/intel_engine_user.c        |    3 +-
 drivers/gpu/drm/i915/gt/intel_ggtt.c               |   91 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   65 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |  117 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.h             |    3 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |    3 +
 drivers/gpu/drm/i915/gt/intel_gtt.c                |   36 +-
 drivers/gpu/drm/i915/gt/intel_gtt.h                |    4 +-
 drivers/gpu/drm/i915/gt/intel_llc.c                |    6 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  328 +-
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    1 +
 drivers/gpu/drm/i915/gt/intel_mocs.c               |   76 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |   10 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |   83 +-
 drivers/gpu/drm/i915/gt/intel_ring.c               |    7 +-
 drivers/gpu/drm/i915/gt/intel_ring.h               |    8 +
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   87 +-
 drivers/gpu/drm/i915/gt/intel_ring_types.h         |    7 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |   20 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |    6 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  138 +-
 drivers/gpu/drm/i915/gt/intel_workarounds_types.h  |    4 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |    3 +
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |    2 +-
 drivers/gpu/drm/i915/gt/selftest_llc.c             |   11 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             | 1210 +++++--
 drivers/gpu/drm/i915/gt/selftest_mocs.c            |   24 +-
 drivers/gpu/drm/i915/gt/selftest_rc6.c             |    5 +-
 drivers/gpu/drm/i915/gt/selftest_reset.c           |    2 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |  188 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |    9 +
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |   30 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.c          |  255 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.h          |    7 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    9 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.h  |   19 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |    7 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.h             |    8 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |   69 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.h              |   62 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |    9 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h           |   18 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    8 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |    3 +-
 drivers/gpu/drm/i915/i915_active.c                 |   16 +-
 drivers/gpu/drm/i915/i915_active.h                 |    3 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   29 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 2302 +------------
 drivers/gpu/drm/i915/i915_debugfs.h                |    8 +-
 drivers/gpu/drm/i915/i915_debugfs_params.c         |  250 ++
 drivers/gpu/drm/i915/i915_debugfs_params.h         |   14 +
 drivers/gpu/drm/i915/i915_drv.c                    |  756 +----
 drivers/gpu/drm/i915/i915_drv.h                    |   98 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   26 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   13 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |    3 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   34 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    5 +
 drivers/gpu/drm/i915/i915_irq.c                    |  236 +-
 drivers/gpu/drm/i915/i915_params.c                 |   11 +-
 drivers/gpu/drm/i915/i915_params.h                 |   74 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   20 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   80 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   65 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |   11 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   42 +-
 drivers/gpu/drm/i915/i915_request.c                |   75 +-
 drivers/gpu/drm/i915/i915_request.h                |    2 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |   16 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |   17 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    2 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   19 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   66 +-
 drivers/gpu/drm/i915/i915_utils.c                  |    5 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |   41 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   66 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    2 +
 drivers/gpu/drm/i915/intel_device_info.c           |   44 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    4 +
 drivers/gpu/drm/i915/intel_gvt.c                   |   15 +-
 drivers/gpu/drm/i915/intel_memory_region.c         |    4 +-
 drivers/gpu/drm/i915/intel_pch.c                   |   66 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  642 ++--
 drivers/gpu/drm/i915/intel_pm.h                    |    5 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   11 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   54 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |    6 +-
 .../gpu/drm/i915/selftests/i915_perf_selftests.h   |    1 +
 .../gpu/drm/i915/selftests/intel_memory_region.c   |  203 ++
 drivers/gpu/drm/i915/vlv_suspend.c                 |  489 +++
 drivers/gpu/drm/i915/vlv_suspend.h                 |   18 +
 196 files changed, 16273 insertions(+), 11429 deletions(-)
 rename drivers/gpu/drm/i915/{ => display}/intel_csr.c (94%)
 rename drivers/gpu/drm/i915/{ => display}/intel_csr.h (100%)
 create mode 100644 drivers/gpu/drm/i915/display/intel_de.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_debugfs.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_debugfs.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_global_state.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_global_state.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_context_sseu.c
 create mode 100644 drivers/gpu/drm/i915/i915_debugfs_params.c
 create mode 100644 drivers/gpu/drm/i915/i915_debugfs_params.h
 create mode 100644 drivers/gpu/drm/i915/vlv_suspend.c
 create mode 100644 drivers/gpu/drm/i915/vlv_suspend.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-01-14 12:05 ` Chris Wilson
@ 2020-01-14 12:15   ` Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2020-01-14 12:15 UTC (permalink / raw)
  To: Chris Wilson, Daniel Vetter, Dave Airlie
  Cc: Sean Paul, intel-gfx, dim-tools, dri-devel, Rodrigo Vivi

On Tue, 14 Jan 2020, Chris Wilson <chris@chris-wilson.co.uk> wrote:
> Quoting Jani Nikula (2020-01-14 11:43:22)
>> 
>> Hi Dave & Daniel -
>> 
>> Last batch for v5.6, slightly delayed I'm afraid.
>
> I'd like to close https://gitlab.freedesktop.org/drm/intel/issues/738
> for 5.6, otherwise we'll have some more nasty emails from bewildered
> users/devs.
>
> https://patchwork.freedesktop.org/series/71951/

IMO seems small enough to go through drm-intel-next-fixes for v5.6. But
need to close the dinq floodgates already.

BR,
Jani.


-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2020-01-14 11:43 Jani Nikula
@ 2020-01-14 12:05 ` Chris Wilson
  2020-01-14 12:15   ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Chris Wilson @ 2020-01-14 12:05 UTC (permalink / raw)
  To: , Daniel Vetter, Dave Airlie, Jani Nikula
  Cc: , dim-tools, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

Quoting Jani Nikula (2020-01-14 11:43:22)
> 
> Hi Dave & Daniel -
> 
> Last batch for v5.6, slightly delayed I'm afraid.

I'd like to close https://gitlab.freedesktop.org/drm/intel/issues/738
for 5.6, otherwise we'll have some more nasty emails from bewildered
users/devs.

https://patchwork.freedesktop.org/series/71951/
-Chris
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2020-01-14 11:43 Jani Nikula
  2020-01-14 12:05 ` Chris Wilson
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2020-01-14 11:43 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: , dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

Last batch for v5.6, slightly delayed I'm afraid.

drm-intel-next-2020-01-14:
Final drm/i915 features for v5.6:
- DP MST fixes (José)
- Fix intel_bw_state memory leak (Pankaj Bharadiya)
- Switch context id allocation to xarray (Tvrtko)
- ICL/EHL/TGL workarounds (Matt Roper, Tvrtko)
- Debugfs for LMEM details (Lukasz Fiedorowicz)
- Prefer platform acronyms over codenames in symbols (Lucas)
- Tiled and port sync mode fixes for fbdev and DP (Manasi)
- DSI panel and backlight enable GPIO fixes (Hans de Goede)
- Relax audio min CDCLK requirements on non-GLK (Kai Vehmanen)
- Plane alignment and dimension check fixes (Imre)
- Fix state checks for PSR (José)
- Remove ICL+ clock gating programming (José)
- Static checker fixes around bool usage (Ma Feng)
- Bring back tests for self-contained headers in i915 (Masahiro Yamada)
- Fix DP MST disable sequence (Ville)
- Start converting i915 to the new drm device based logging macros (Wambui Karuga)
- Add DSI VBT I2C sequence execution (Vivek Kasireddy)
- Start using function pointers and ops structs in uc code (Michal)
- Fix PMU names to not use colons or dashes (Tvrtko)
- TGL media decompression support (DK, Imre)
- Split i915_gem_gtt.[ch] to more manageable chunks (Matthew Auld)
- Create dumb buffers in LMEM where available (Ram)
- Extend mmap support for LMEM (Abdiel)
- Selftest updates (Chris)
- Hack bump up CDCLK on TGL to avoid underruns (Stan)
- Use intel_encoder and intel_connector more instead of drm counterparts (Ville)
- Build error fixes (Zhang Xiaoxu)
- Fixes related to GPU and engine initialization/resume (Chris)
- Support for prefaulting discontiguous objects (Abdiel)
- Support discontiguous LMEM object maps (Chris)
- Various GEM and GT improvements and fixes (Chris)
- Merge pinctrl dependencies branch for the DSI GPIO updates (Jani)
- Backmerge drm-next for new logging macros (Jani)

BR,
Jani.

The following changes since commit a566696cf9f27f701cd4a6426d46f112c180059c:

  Merge tag 'drm-misc-next-2020-01-07' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2020-01-09 10:53:58 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2020-01-14

for you to fetch changes up to f2221a50494037af98206713155c8d4f2e7bccaa:

  drm/i915: Update DRIVER_DATE to 20200114 (2020-01-14 13:39:38 +0200)

----------------------------------------------------------------
Final drm/i915 features for v5.6:
- DP MST fixes (José)
- Fix intel_bw_state memory leak (Pankaj Bharadiya)
- Switch context id allocation to xarray (Tvrtko)
- ICL/EHL/TGL workarounds (Matt Roper, Tvrtko)
- Debugfs for LMEM details (Lukasz Fiedorowicz)
- Prefer platform acronyms over codenames in symbols (Lucas)
- Tiled and port sync mode fixes for fbdev and DP (Manasi)
- DSI panel and backlight enable GPIO fixes (Hans de Goede)
- Relax audio min CDCLK requirements on non-GLK (Kai Vehmanen)
- Plane alignment and dimension check fixes (Imre)
- Fix state checks for PSR (José)
- Remove ICL+ clock gating programming (José)
- Static checker fixes around bool usage (Ma Feng)
- Bring back tests for self-contained headers in i915 (Masahiro Yamada)
- Fix DP MST disable sequence (Ville)
- Start converting i915 to the new drm device based logging macros (Wambui Karuga)
- Add DSI VBT I2C sequence execution (Vivek Kasireddy)
- Start using function pointers and ops structs in uc code (Michal)
- Fix PMU names to not use colons or dashes (Tvrtko)
- TGL media decompression support (DK, Imre)
- Split i915_gem_gtt.[ch] to more manageable chunks (Matthew Auld)
- Create dumb buffers in LMEM where available (Ram)
- Extend mmap support for LMEM (Abdiel)
- Selftest updates (Chris)
- Hack bump up CDCLK on TGL to avoid underruns (Stan)
- Use intel_encoder and intel_connector more instead of drm counterparts (Ville)
- Build error fixes (Zhang Xiaoxu)
- Fixes related to GPU and engine initialization/resume (Chris)
- Support for prefaulting discontiguous objects (Abdiel)
- Support discontiguous LMEM object maps (Chris)
- Various GEM and GT improvements and fixes (Chris)
- Merge pinctrl dependencies branch for the DSI GPIO updates (Jani)
- Backmerge drm-next for new logging macros (Jani)

----------------------------------------------------------------
Abdiel Janulgue (3):
      drm/i915: Introduce remap_io_sg() to prefault discontiguous objects
      drm/i915/gem: Extend mmap support for lmem
      drm/i915/selftests: Extend fault handler selftests to all memory regions

Chen Zhou (1):
      drm/i915/gtt: add missing include file asm/smp.h

Chris Wilson (55):
      drm/i915/gt: Tidy up checking active timelines during retirement
      drm/i915/gt: Flush other retirees inside intel_gt_retire_requests()
      drm/i915: Add spaces before compound GEM_TRACE
      drm/i915/gt: Stop poking at engine->serial at a high level
      drm/i915/gt: Apply sanitiization just before resume
      drm/i915/gt: Ignore incomplete engines after init failure
      drm/i915/selftests: Err out on coherency if initialisation failed
      drm/i915: Restore very early GPU reset
      drn/i915: Break up long i915_buddy_free_list() with a cond_resched()
      drm/i915/gt: Ensure that all new contexts clear STOP_RING
      drm/i915/gt: Avoid using tag 0 for the very first submission
      drm/i915/gt: Avoid using the GPU before initialisation
      drm/i915/gt: Do not restore invalid RS state
      drm/i915/selftests: Flush the context worker
      drm/i915/gt: Leave RING_BB_STATE to default value
      drm/i915/gt: Tweak flushes around ivb ppgtt
      drm/i915/gt: Restore coarse power gating
      drm/i915/gem: Drop local vma->vm_file reference
      drm/i915/gem: Single page objects are naturally contiguous
      drm/i915/gt: Flush ongoing retires during wait_for_idle
      drm/i915/gt: Include a bunch more rcs image state
      drm/i915/gt: Clear LRC image inline
      drm/i915/gt: Ignore stale context state upon resume
      drm/i915/gt: Discard stale context state from across idling
      drm/i915/gt: Always poison the kernel_context image before unparking
      drm/i915/gem: Support discontiguous lmem object maps
      drm/i915/selftests: Move igt_atomic_section[] out of the header
      drm/i915/selftests: Make headers self-contained
      drm/i915/selftests: Compare user mmap against GPU
      drm/i915/selftests: Fixup sparse __user annotation on local var
      drm/i915/selftests: Impose a timeout for request submission
      drm/i915: Merge i915_request.flags with i915_request.fence.flags
      drm/i915/gt: Convert the final GEM_TRACE to GT_TRACE and co
      drm/i915/gt: Drop mutex serialisation between context pin/unpin
      drm/i915/gt: Use memset_p to clear the ports
      drm/i915/gt: Mark up virtual engine uabi_instance
      drm/i915/gt: Take responsibility for engine->release as the last step
      drm/i915/gt: Always force restore freshly pinned contexts
      drm/i915/gt: Drop a defunct timeline assertion
      drm/i915: Early return for no-op i915_vma_pin_fence()
      drm/i915: Reduce warning for i915_vma_pin_iomap() without runtime-pm
      drm/i915: Pin the context as we work on it
      drm/i915/gt: Push context state allocation earlier
      drm/i915/gt: Pull context activation into central intel_context_pin()
      drm/i915/gt: runtime-pm is no longer required for ce->ops->pin()
      drm/i915/gt: Skip trying to unbind in restore_ggtt_mappings
      drm/i915/gt: Mark context->state vma as active while pinned
      drm/i915/gt: Mark ring->vma as active while pinned
      drm/i915: Start chopping up the GPU error capture
      drm/i915: Drop the shadow w/a batch buffer
      drm/i915: Drop the shadow ring state from the error capture
      drm/i915: Drop request list from error state
      drm/i915/gt: Hold rpm wakeref before taking ggtt->vm.mutex
      drm/i915: Correct typo in i915_vma_compress_finish stub
      drm/i915/gt: Always reset the timeslice after a context switch

Dan Carpenter (1):
      drm/i915: fix an error code in intel_modeset_all_tiles()

Dhinakaran Pandiyan (3):
      drm/framebuffer: Format modifier for Intel Gen-12 media compression
      drm/fb: Extend format_info member arrays to handle four planes
      drm/i915/tgl: Gen-12 display can decompress surfaces compressed by the media engine

Hans de Goede (5):
      pinctrl: Allow modules to use pinctrl_[un]register_mappings
      drm/i915/dsi: Move poking of panel-enable GPIO to intel_dsi_vbt.c
      drm/i915/dsi: Init panel-enable GPIO to low when the LCD is initially off (v2)
      drm/i915/dsi: Move Crystal Cove PMIC panel GPIO lookup from mfd to the i915 driver
      drm/i915/dsi: Control panel and backlight enable GPIOs on BYT

Imre Deak (4):
      drm/i915: Add support for non-power-of-2 FB plane alignment
      drm/i915/tgl: Make sure a semiplanar UV plane is tile row size aligned
      drm/i915: Add debug message for FB plane[0].offset!=0 error
      drm/i915: Make sure plane dims are correct for UV CCS planes

Jani Nikula (3):
      Merge branch 'ib-pinctrl-unreg-mappings' of git://git.kernel.org/.../linusw/linux-pinctrl into drm-intel-next-queued
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20200114

José Roberto de Souza (11):
      drm/i915/display: Share intel_connector_needs_modeset()
      drm/i915/tgl: Select master transcoder for MST stream
      drm/i915/display: Always enables MST master pipe first
      drm/i915/dp: Fix MST disable sequence
      drm/i915/display: Prepare for fastset external dependencies check
      drm/i915/mst: Force modeset on MST slaves when master needs a modeset
      drm/i915/display: Add comment to a function that probably can be removed
      drm/i915/display: Use external dependency loop for port sync
      drm/i915/display: Force the state compute phase once to enable PSR
      drm/i915/display/icl+: Do not program clockgating
      drm/i915/display: Fix warning about MST and DDI restrictions

Kai Vehmanen (1):
      drm/i915: Limit audio CDCLK>=2*BCLK constraint back to GLK only

Lucas De Marchi (10):
      drm/i915: simplify prefixes on device_info
      drm/i915: prefer 3-letter acronym for pineview
      drm/i915: prefer 3-letter acronym for haswell
      drm/i915: prefer 3-letter acronym for skylake
      drm/i915: prefer 3-letter acronym for cannonlake
      drm/i915: prefer 3-letter acronym for icelake
      drm/i915: prefer 3-letter acronym for ironlake
      drm/i915: prefer 3-letter acronym for broadwell
      drm/i915: prefer 3-letter acronym for ivybridge
      drm/i915: prefer 3-letter acronym for tigerlake

Lukasz Fiedorowicz (1):
      drm/i915/lmem: debugfs for LMEM details

Ma Feng (3):
      drm/i915: use true,false for bool variable in i915_debugfs.c
      drm/i915/dp: use true,false for bool variable in intel_dp.c
      drm/i915: use true,false for bool variable in intel_crt.c

Manasi Navare (5):
      drm: Handle connector tile support only for modes that match tile size
      drm/fbdev: Fallback to non tiled mode if all tiles not present
      drm/i915/dp: Make sure all tiled connectors get added to the state with full modeset
      drm/i915/dp: Make port sync mode assignments only if all tiles present
      drm/i915/dp: Disable Port sync mode correctly on teardown

Masahiro Yamada (1):
      drm/i915: reimplement header test feature

Matt Roper (5):
      drm/i915: Extend WaDisableDARBFClkGating to icl,ehl,tgl
      drm/i915: Add Wa_1408615072 and Wa_1407596294 to icl,ehl
      drm/i915/tgl: Extend Wa_1408615072 to tgl
      drm/i915/tgl: Assume future platforms will inherit TGL's SFC capability
      drm/i915: Add Wa_1407352427:icl,ehl

Matthew Auld (1):
      drm/i915/gtt: split up i915_gem_gtt

Michal Wajdeczko (4):
      drm/i915/uc: Add ops to intel_uc
      drm/i915/uc: Add init_fw/fini_fw to to intel_uc_ops
      drm/i915/uc: Add init/fini to to intel_uc_ops
      drm/i915/uc: Add sanitize to to intel_uc_ops

Pankaj Bharadiya (1):
      drm/i915/display: cleanup intel_bw_state on i915 module removal

Ramalingam C (2):
      drm/i915: lookup for mem_region of a mem_type
      drm/i915: Create dumb buffer from LMEM

Stanislav Lisovskiy (1):
      drm/i915: Bump up CDCLK to eliminate underruns on TGL

Tvrtko Ursulin (3):
      drm/i915: Switch context id allocation directly to xarray
      drm/i915: Revert "drm/i915/tgl: Wa_1607138340"
      drm/i915/pmu: Do not use colons or dashes in PMU names

Ville Syrjälä (5):
      drm/i915: Fix MST disable sequence
      drm/i915: Pass cpu_transcoder to assert_pipe_disabled() always
      drm/i915: Pass intel_connector to intel_attached_*()
      drm/i915: Pass intel_encoder to enc_to_*()
      drm/i915: Use the passed in encoder

Vivek Kasireddy (1):
      drm/i915/dsi: Parse the I2C element from the VBT MIPI sequence block (v3)

Wambui Karuga (5):
      drm/i915/pch: convert to using the drm_dbg_kms() macro.
      drm/i915/pm: use new struct drm_device logging macros.
      drm/i915/lmem: use new struct drm_device based logging macros.
      drm/i915/sideband: convert to using new struct drm_device logging macros
      drm/i915/uncore: use new struct drm_device based macros.

YueHaibing (1):
      drm/i915: Add missing include file <linux/math64.h>

Zhang Xiaoxu (2):
      drm/i915: Fix multiple definition of 'i915_vma_capture_finish'
      drm/i915: Fix too few arguments to function i915_capture_error_state

 arch/arm/mach-u300/core.c                          |    2 +-
 drivers/gpu/drm/drm_client_modeset.c               |   72 +
 drivers/gpu/drm/drm_fb_helper.c                    |    4 +-
 drivers/gpu/drm/i915/.gitignore                    |    1 +
 drivers/gpu/drm/i915/Makefile                      |   38 +-
 drivers/gpu/drm/i915/display/Makefile              |    6 -
 drivers/gpu/drm/i915/display/icl_dsi.c             |   63 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   34 +
 drivers/gpu/drm/i915/display/intel_atomic.h        |    6 +
 drivers/gpu/drm/i915/display/intel_audio.c         |    8 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |    5 +
 drivers/gpu/drm/i915/display/intel_bw.h            |    1 +
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   12 +
 drivers/gpu/drm/i915/display/intel_crt.c           |   26 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  218 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1074 ++++--
 drivers/gpu/drm/i915/display/intel_display.h       |    7 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |    6 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   32 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  114 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |   15 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |  202 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |    5 +
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   18 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |    4 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |   14 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    8 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |  229 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    8 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |   24 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   65 +-
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    2 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    4 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |    8 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |   24 +
 drivers/gpu/drm/i915/display/intel_psr.h           |    5 +
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   22 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |   55 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    8 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    4 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   67 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   12 +-
 drivers/gpu/drm/i915/gem/Makefile                  |    5 -
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |   67 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |    1 -
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_lmem.c           |   40 -
 drivers/gpu/drm/i915/gem/i915_gem_lmem.h           |    8 -
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |  102 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   79 +-
 drivers/gpu/drm/i915/gem/i915_gem_region.c         |    3 +
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    2 +
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    2 +
 .../gpu/drm/i915/gem/selftests/huge_gem_object.h   |    6 +
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   43 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |   12 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |  428 ++-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |    3 +-
 .../gpu/drm/i915/gem/selftests/mock_gem_object.h   |    2 +
 drivers/gpu/drm/i915/gt/Makefile                   |    5 -
 drivers/gpu/drm/i915/gt/gen6_ppgtt.c               |  482 +++
 drivers/gpu/drm/i915/gt/gen6_ppgtt.h               |   76 +
 drivers/gpu/drm/i915/gt/gen8_ppgtt.c               |  723 ++++
 drivers/gpu/drm/i915/gt/gen8_ppgtt.h               |   13 +
 drivers/gpu/drm/i915/gt/intel_context.c            |  166 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |   14 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |    2 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |    4 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   12 +-
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |    2 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   30 +-
 drivers/gpu/drm/i915/gt/intel_engine_user.c        |    4 +
 drivers/gpu/drm/i915/gt/intel_ggtt.c               | 1486 ++++++++
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   15 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    7 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   43 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |    2 -
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   22 +-
 drivers/gpu/drm/i915/gt/intel_gtt.c                |  598 ++++
 drivers/gpu/drm/i915/gt/intel_gtt.h                |  587 ++++
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  259 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |   18 +-
 drivers/gpu/drm/i915/gt/intel_ppgtt.c              |  218 ++
 drivers/gpu/drm/i915/gt/intel_reset.c              |   37 +-
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |   52 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |    2 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |    1 -
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |    2 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |    7 +-
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |    4 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |   48 +-
 drivers/gpu/drm/i915/gt/uc/Makefile                |    5 -
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |   75 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.h              |   36 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    8 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |    2 +-
 drivers/gpu/drm/i915/i915_active.c                 |   19 +-
 drivers/gpu/drm/i915/i915_buddy.c                  |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   78 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   11 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   32 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   33 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |    3 +
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 3624 +-------------------
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  630 +---
 drivers/gpu/drm/i915/i915_gpu_error.c              | 1212 +++----
 drivers/gpu/drm/i915/i915_gpu_error.h              |  329 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   18 +-
 drivers/gpu/drm/i915/i915_mm.c                     |   69 +
 drivers/gpu/drm/i915/i915_pci.c                    |  230 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   15 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   11 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   29 +-
 drivers/gpu/drm/i915/i915_request.c                |    1 -
 drivers/gpu/drm/i915/i915_request.h                |   45 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |    6 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   18 +-
 drivers/gpu/drm/i915/i915_vma.h                    |  140 +-
 drivers/gpu/drm/i915/i915_vma_types.h              |  294 ++
 drivers/gpu/drm/i915/intel_device_info.c           |   10 +-
 drivers/gpu/drm/i915/intel_memory_region.c         |   29 +-
 drivers/gpu/drm/i915/intel_memory_region.h         |   14 +
 drivers/gpu/drm/i915/intel_pch.c                   |   46 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  411 ++-
 drivers/gpu/drm/i915/intel_region_lmem.c           |   12 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   29 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   25 +-
 drivers/gpu/drm/i915/intel_wakeref.c               |    5 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |    9 +-
 drivers/gpu/drm/i915/oa/Makefile                   |    7 -
 drivers/gpu/drm/i915/selftests/i915_gem.c          |    2 -
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   78 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    8 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    8 +-
 .../gpu/drm/i915/selftests/i915_perf_selftests.h   |    8 +-
 drivers/gpu/drm/i915/selftests/i915_random.h       |    1 +
 drivers/gpu/drm/i915/selftests/igt_atomic.c        |   47 +
 drivers/gpu/drm/i915/selftests/igt_atomic.h        |   41 +-
 drivers/gpu/drm/i915/selftests/igt_live_test.h     |    2 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |   33 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    3 +
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    9 +-
 drivers/mfd/intel_soc_pmic_core.c                  |   19 -
 drivers/pinctrl/core.c                             |   41 +-
 drivers/pinctrl/core.h                             |    4 -
 drivers/pinctrl/devicetree.c                       |    4 +-
 include/drm/drm_fourcc.h                           |    8 +-
 include/linux/pinctrl/machine.h                    |    5 +
 include/uapi/drm/drm_fourcc.h                      |   13 +
 151 files changed, 9094 insertions(+), 7095 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/.gitignore
 delete mode 100644 drivers/gpu/drm/i915/display/Makefile
 delete mode 100644 drivers/gpu/drm/i915/gem/Makefile
 delete mode 100644 drivers/gpu/drm/i915/gt/Makefile
 create mode 100644 drivers/gpu/drm/i915/gt/gen6_ppgtt.c
 create mode 100644 drivers/gpu/drm/i915/gt/gen6_ppgtt.h
 create mode 100644 drivers/gpu/drm/i915/gt/gen8_ppgtt.c
 create mode 100644 drivers/gpu/drm/i915/gt/gen8_ppgtt.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ggtt.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gtt.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gtt.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ppgtt.c
 delete mode 100644 drivers/gpu/drm/i915/gt/uc/Makefile
 create mode 100644 drivers/gpu/drm/i915/i915_vma_types.h
 delete mode 100644 drivers/gpu/drm/i915/oa/Makefile
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_atomic.c

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-12-23 17:53 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2019-12-23 17:53 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: , dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx


Hi Dave & Daniel -

The first, and huge, i915 feature pull for v5.6. We really need to fix
dim to allow continuous tagging, and properly handle multiple tags in a
single pull request. Writing changelogs for pulls like this becomes
daunting.

Consequently, the changelog below is more like a haphazard collection of
notes, I'm afraid. Content-wise it's mostly all right for i915 core and
display, but I fail at even attempting to adequately describe Chris'
amazing 200+ commits in gem/gt. A lot of it is tying loose ends after
the vulnerability fixes landed directly to v5.4.

Anyway, if you're not happy with the gifts, you're going to have to wait
until after the holidays for gift returns. Santa is busy now.


Seasons greetings,
Jani.


drm-intel-next-2019-12-23:
i915 features for v5.6:

- Separate hardware and uapi state (Maarten)

- Expose a number of sprite and plane formats (Ville)

- DDC symlink in HDMI connector sysfs directory (Andrzej Pietrasiewicz)

- Improve obj->mm.lock nesting lock annotation (Daniel)
  (Includes lockdep changes)

- Selftest improvements across the board (Chris)

- ICL/TGL VDSC support on DSI (Jani, Vandita)

- TGL DSB fixes (Animesh, Lucas, Tvrtko)

- VBT parsing improvements and fixes (Lucas, Matt, José, Jani, Dan Carpenter)

- Fix LPSS vs. PMIC PWM backlight use on BYT/CHT (Hans)
  (Includes ACPI+MFD changes)

- Display state, crtc, plane code refactoring (Ville)

- Set opregion chpd value to indicate the driver handles hotplug (Hans de Goede)

- DSI updates and fixes, TGL pipe D support, port mapping (José, Jani, Vandita)

- Make HDCP 2.2 support cover CFL (Juston Li)

- Fix CML PCI IDs and ULT (Shawn Lee)

- CMP-V PCH fix (Imre)

- TGL: Add another TGL PCH ID (James)

- EHL/JSL: Add new PCI IDs (James)

- Rename pipe update tracepoints (Ville)

- Fix FBC on GLK+ (Ville)

- GuC fixes and improvements (Daniele, Don Hiatt, Stuart Summers, Matthew Brost)

- Display debugfs improvements (Ville)

- Hotplug/irq fixes (Matt)

- PSR fixes and improvements (José)

- DRM_I915_GEM_MMAP_OFFSET ioctl (Abdiel)

- Static analysis fixes (Colin Ian King)

- Register sysctl path globally (Venkata Sandeep Dhanalakota)

- Introduce new macros for tracing (Venkata Sandeep Dhanalakota)

- Migrate gt towards intel_uncore_read/write (Andi)

- Add rps frequency translation helpers (Andi)

- Fix TGL transcoder clock off sequence (José)

- Fix TGL port A audio (Kai Vehmanen)

- TGL render decompression (DK)

- GEM/GT improvements and fixes across the board (Chris)

- Couple of backmerges (Jani)

BR,
Jani.

The following changes since commit e42617b825f8073569da76dc4510bfa019b1c35a:

  Linux 5.5-rc1 (2019-12-08 14:57:55 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-12-23

for you to fetch changes up to 3446c63a0f2a691fdc6fffaddc6e0c1285efc80c:

  drm/i915: Update DRIVER_DATE to 20191223 (2019-12-23 19:08:14 +0200)

----------------------------------------------------------------
i915 features for v5.6:

- Separate hardware and uapi state (Maarten)

- Expose a number of sprite and plane formats (Ville)

- DDC symlink in HDMI connector sysfs directory (Andrzej Pietrasiewicz)

- Improve obj->mm.lock nesting lock annotation (Daniel)
  (Includes lockdep changes)

- Selftest improvements across the board (Chris)

- ICL/TGL VDSC support on DSI (Jani, Vandita)

- TGL DSB fixes (Animesh, Lucas, Tvrtko)

- VBT parsing improvements and fixes (Lucas, Matt, José, Jani, Dan Carpenter)

- Fix LPSS vs. PMIC PWM backlight use on BYT/CHT (Hans)
  (Includes ACPI+MFD changes)

- Display state, crtc, plane code refactoring (Ville)

- Set opregion chpd value to indicate the driver handles hotplug (Hans de Goede)

- DSI updates and fixes, TGL pipe D support, port mapping (José, Jani, Vandita)

- Make HDCP 2.2 support cover CFL (Juston Li)

- Fix CML PCI IDs and ULT (Shawn Lee)

- CMP-V PCH fix (Imre)

- TGL: Add another TGL PCH ID (James)

- EHL/JSL: Add new PCI IDs (James)

- Rename pipe update tracepoints (Ville)

- Fix FBC on GLK+ (Ville)

- GuC fixes and improvements (Daniele, Don Hiatt, Stuart Summers, Matthew Brost)

- Display debugfs improvements (Ville)

- Hotplug/irq fixes (Matt)

- PSR fixes and improvements (José)

- DRM_I915_GEM_MMAP_OFFSET ioctl (Abdiel)

- Static analysis fixes (Colin Ian King)

- Register sysctl path globally (Venkata Sandeep Dhanalakota)

- Introduce new macros for tracing (Venkata Sandeep Dhanalakota)

- Migrate gt towards intel_uncore_read/write (Andi)

- Add rps frequency translation helpers (Andi)

- Fix TGL transcoder clock off sequence (José)

- Fix TGL port A audio (Kai Vehmanen)

- TGL render decompression (DK)

- GEM/GT improvements and fixes across the board (Chris)

- Couple of backmerges (Jani)

----------------------------------------------------------------
Abdiel Janulgue (1):
      drm/i915: Introduce DRM_I915_GEM_MMAP_OFFSET

Andi Shyti (4):
      drm/i915/gt: Replace I915_READ with intel_uncore_read
      drm/i915/gt: Replace I915_WRITE with its uncore counterpart
      drm/i915/rps: Add frequency translation helpers
      drm/i915/gt: Move pm debug files into a gt aware debugfs

Andrzej Pietrasiewicz (1):
      drm/i915: Provide ddc symlink in hdmi connector sysfs directory

Animesh Manna (1):
      drm/i915/dsb: Fix in mmio offset calculation of DSB instance

Bruce Chang (1):
      drm/i915: Avoid atomic context for error capture

Chris Wilson (212):
      drm/i915/selftests: Spin on all engines simultaneously
      drm/i915/gt: Pull timeline initialise to intel_gt_init_early
      drm/i915/gt: Call intel_gt_sanitize() directly
      drm/i915/gem: Leave reloading kernel context on resume to GT
      drm/i915/gt: Move user_forcewake application to GT
      drm/i915: Defer rc6 shutdown to suspend_late
      drm/i915/selftests: Add intel_gt_suspend_prepare
      drm/i915/perf: Reverse a ternary to make sparse happy
      drm/i915/selftests: Flush all active callbacks
      drm/i915/execlists: Verify context register state before execution
      drm/i915/execlists: Ignore the inactive kernel context in assert_pending_valid
      drm/i915/gt: Drop false assertion on user_forcewake
      drm/i915: Protect request peeking with RCU
      drm/i915/execlists: Reset CSB pointers by mmio as well
      drm/i915/gem: Early rejection of no-aperture map_ggtt
      drm/i915/gt: Only drop heartbeat.systole if the sole owner
      drm/i915/gem: Fix error path to unlock if the GEM context is closed
      drm/i915/gt: Cleanup heartbeat systole first
      drm/i915: Leave the aliasing-ppgtt size alone
      drm/i915/gt: Defer engine registration until fully initialised
      drm/i915/gem: Safely acquire the ctx->vm when copying
      drm: Move EXPORT_SYMBOL_FOR_TESTS_ONLY under a separate Kconfig
      drm: Expose a method for creating anonymous struct file around drm_minor
      drm/i915/selftests: Replace mock_file hackery with drm's true fake
      drm/i915/selftests: Wrap vm_mmap() around GEM objects
      drm/i915/selftests: Verify mmap_gtt revocation on unbinding
      drm/i915/selftests: Complete transition to a real struct file mock
      drm/i915/selftests: Mark up sole accessor to ctx->vm as being protected
      drm/i915/pmu: Cheat when reading the actual frequency to avoid fw
      drm/i915/pmu: Only use exclusive mmio access for gen7
      drm/i915/icl: Refine PG_HYSTERESIS
      drm/i915: Protect context while grabbing its name for the request
      drm/i915/gem: Embed context/timeline name inside the GEM context
      drm/i915/gem: Update context name on closing
      drm/i915: Show guilty context name on GPU reset
      drm/i915: Cancel context if it hangs after it is closed
      drm/i915/pmu: "Frequency" is reported as accumulated cycles
      drm/i915/selftests: Exercise parallel blit operations on a single ctx
      drm/i915/selftests: Fill all the drm_vma_manager holes
      drm/i915: Taint the kernel on dumping the GEM ftrace buffer
      drm/i915/execlists: Reduce barrier on context switch to a wmb()
      drm/i915/userptr: Try to acquire the page lock around set_page_dirty()
      drm/i915/userptr: Handle unlocked gup retries
      drm/i915/execlists: Move reset_active() from schedule-out to schedule-in
      drm/i915/selftests: Perform some basic cycle counting of MI ops
      drm/i915/gem: Replace implicit dev_priv->uncore for stolen init
      drm/i915/gem: Pass mem region to preallocated stolen
      drm/i915: Remove leftover gem.pm_notifier member
      drm/i915/gt: Try an extra flush on the Haswell blitter
      drm/i915: Flush context free work on cleanup
      drm/i915/selftests: Remove unused local variable 'file'
      drm/i915/gt: Flush gen7 even harder
      drm/i915/gt: Invalidate as we write the gen7 breadcrumb
      drm/i915/fbdev: Restore physical addresses for fb_mmap()
      drm/i915/gt: Set unused mocs entry to follow PTE on tgl as on all others
      drm/i915/gt: Tidy up debug-warns for the mocs control table
      drm/i915/gt: Refactor mocs loops into single control macro
      drm/i915/selftests: Add coverage of mocs registers
      drm/i915: Split i915_active.mutex into an irq-safe spinlock for the rbtree
      drm/i915/gt: Wait for new requests in intel_gt_retire_requests()
      drm/i915/gem: Silence sparse for RCU protection inside the constructor
      drm/i915: Simplify NEEDS_WaRsDisableCoarsePowerGating
      drm/i915/gt: Use gt locals for accessing rc6
      drm/i915/gt: Flush retire.work timer object on unload
      drm/i915/selftests: Exercise long preemption chains
      drm/i915/selftests: Disable heartbeat around context barrier tests
      drm/i915/gt: Mention which device failed
      drm/i915/gem: Purge the sudden reappearance of i915_gem_object_pin()
      drm/i915/selftests: Add intel_gt_driver_late_release for mock device
      drm/i915/gt: Only wait for register chipset flush if active
      drm/i915/gt: Make intel_ring_unpin() safe for concurrent pint
      drm/i915/gem: Track ggtt writes from userspace on the bound vma
      drm/i915/gem: Merge GGTT vma flush into a single loop
      drm/i915/gem: Protect the obj->vma.list during iteration
      drm/i915/gt: Move new timelines to the end of active_list
      drm/i915/gt: Schedule next retirement worker first
      drm/i915/gt: Flush the requests after wedging on suspend
      drm/i915/gem: Manually dump the debug trace on GEM_BUG_ON
      drm/i915: Wait until the intel_wakeref idle callback is complete
      drm/i915/selftests: Exercise rc6 w/a handling
      drm/i915/selftests: Be explicit in ERR_PTR handling
      drm/i915/selftests: Take a ref to the request we wait upon
      drm/i915: Mark up the calling context for intel_wakeref_put()
      drm/i915/gt: Close race between engine_park and intel_gt_retire_requests
      drm/i915/gt: Unlock engine-pm after queuing the kernel context switch
      drm/i915/gt: Declare timeline.lock to be irq-free
      drm/i915/gt: Fixup config ifdeffery for pm_suspend_target_state
      Revert "drm/i915/gt: Wait for new requests in intel_gt_retire_requests()"
      drm/i915: Serialise with remote retirement
      drm/i915/gt: Hold request reference while waiting for w/a verification
      drm/i915/execlists: Lock the request while validating it during promotion
      drm/i915: Mark intel_wakeref_get() as a sleeper
      drm/i915/selftests: Always hold a reference on a waited upon request
      drm/i915/selftests: Shorten infinite wait for sseu
      drm/i915: Use a ctor for TYPESAFE_BY_RCU i915_request
      drm/i915/selftests: Force bonded submission to overlap
      drm/i915/selftests: Flush the active callbacks
      drm/i915/selftests: Include the subsubtest name for live_parallel_engines
      drm/i915: Switch kunmap() to take the page not vaddr
      drm/i915/gt: Mark the execlists->active as the primary volatile access
      drm/i915/execlists: Fixup cancel_port_requests()
      drm/i915: Serialise with engine-pm around requests on the kernel_context
      drm/i915/gt: Adapt engine_park synchronisation rules for engine_retire
      drm/i915/gt: Schedule request retirement when timeline idles
      drm/i915/selftests: Move mock_vma to the heap to reduce stack_frame
      drm/i915: Default to a more lenient forced preemption timeout
      drm/i915: Reduce nested prepare_remote_context() to a trylock
      drm/i915/gt: Manual rc6 entry upon parking
      drm/i915: Serialise i915_active_fence_set() with itself
      drm/i915/gt: Defer breadcrumb processing to after the irq handler
      drm/i915/gem: Excise the per-batch whitelist from the context
      drm/i915/selftests: Try to show where the pulse went
      drm/i915/selftests: Count the number of engines used
      drm/i915/selftests: Drop local vm reference!
      drm/i915/selftests: Use sgt_iter for huge_pages_free
      drm/i915/selftests: Always lock the drm_mm around insert/remove
      drm/i915/selftests: Wait only on the expected barrier
      Revert "drm/i915: use a separate context for gpu relocs"
      drm/i915/gem: Take timeline->mutex to walk list-of-requests
      drm/i915/execlists: Ensure the tasklet is decoupled upon shutdown
      drm/i915/selftests: Keep engine awake during live_coherency
      drm/i915/gen7: Re-enable full-ppgtt for ivb & hsw
      drm/i915/gt: Push the flush_pd before the set-context
      drm/i915: Serialise access to GFX_FLSH_CNTL
      drm/i915: Refactor gen6_flush_pd()
      drm/i915/gt: Use soft-rc6 for w/a protection
      drm/i915/gt: Simplify rc6 w/a application
      drm/i915/gem: Unbind all current vma on changing cache-level
      drm/i915: Specialise i915_active.work lock classes
      drm/i915: Serialise i915_active_wait() with its retirement
      drm/i915/gem: Take runtime-pm wakeref prior to unbinding
      drm/i915: Lift i915_vma_pin() out of intel_renderstate_emit()
      drm/i915/execlists: Add a couple more validity checks to assert_pending()
      drm/i915/execlists: Skip nested spinlock for validating pending
      drm/i915/gt: Track the context validity explicitly
      drm/i915/gem: Avoid parking the vma as we unbind
      drm/i915/gt: Set the PD again for Haswell
      drm/i915/gem: Try to flush pending unbind events
      drm/i915/gem: Hold the obj->vma.lock while walking the vma.list
      drm/i915/gem: Hook user-extensions upto MMAP_OFFSET_IOCTL
      drm/i915: Remove vestigal i915_gem_context locals from cmdparser
      drm/i915: Ignore most failures during evict-vm
      drm/i915: Try hard to bind the context
      drm/i915/gt: Bump the PP_DIR invalidation for Baytrail
      drm/i915/gem: Reinitialise the local list before repeating
      drm/i915/gt: Save irqstate around virtual_context_destroy
      drm/i915: Serialise i915_active_acquire() with __active_retire()
      drm/i915/gt: Trim gen6 ppgtt updates to PD cachelines
      drm/i915: Claim vma while under closed_lock in i915_vma_parked()
      drm/i915/gt: Acquire a GT wakeref for the breadcrumb interrupt
      drm/i915/gem: Flush the pwrite through the chipset before signaling
      drm/i915: Check for error before calling cmpxchg()
      drm/i915: Propagate errors on awaiting already signaled fences
      drm/i915: Propagate errors on awaiting already signaled dma-fences
      drm/i915/gem: Pin gen6_ppgtt prior to constructing the request
      drm/i915: Avoid calling i915_gem_object_unbind holding object lock
      drm/i915/gtt: Account for preallocation in asserts
      drm/i915/gt: Turn vm off then on again for gen7 mm switch
      drm/i915/gem: Comment on inability to check args.pad for MMAP_OFFSET
      drm/i915: Flesh out device_info pretty printer
      drm/i915/gem: Avoid rcu_barrier() from shrinker paths
      drm/i915: Change i915_vma_unbind() to report -EAGAIN on activity
      drm/i915/gt: Detect if we miss WaIdleLiteRestore
      drm/i915: Copy across scheduler behaviour flags across submit fences
      drm/i915/gt: Check we are the Ironlake IPS provider before deregistering
      drm/i915/gem: Wait on unbind barriers when invalidating userptr
      drm/i915/selftests: Show the i915_active on failure
      drm/i915: Use the i915_device name for identifying our request fences
      drm/i915/gt: Disable manual rc6 for Braswell/Baytrail
      drm/i915: Fix cmdparser drm.debug
      drm/i915: Remove redundant parameters from intel_engine_cmd_parser
      drm/i915: Simplify error escape from cmdparser
      drm/i915/gem: Tidy up error handling for eb_parse()
      drm/i915: Align start for memcpy_from_wc
      drm/i915/gt: Only ignore rc6 parking for PCU on byt/bsw
      drm/i915/gem: Prepare gen7 cmdparser for async execution
      drm/i915/gem: Asynchronous cmdparser
      drm/i915: Set fence_work.ops before dma_fence_init
      drm/i915/gt: Mark up ips_mchdev pointer access
      drm/i915: Use EAGAIN for trylock failures
      drm/i915/gem: Serialise object before changing cache-level
      drm/i915/gem: Apply lmem size restriction to get_pages
      drm/i915/gt: Tidy up full-ppgtt on Ivybridge
      drm/i915: Eliminate the trylock for awaiting an earlier request
      drm/i915/gt: Avoid multi-LRI on Sandybridge
      drm/i915/gem: Keep request alive while attaching fences
      drm/i915/gt: Eliminate the trylock for reading a timeline's hwsp
      drm/i915: Unpin vma->obj on early error
      drm/i915/pmu: Skip sampling engines if gt is asleep
      drm/i915: Hold reference to intel_frontbuffer as we track activity
      drm/i915/gt: Ratelimit display power w/a
      drm/i915/gt: Remove direct invocation of breadcrumb signaling
      drm/i915: Ratelimit i915_globals_park
      drm/i915/gt: Schedule request retirement when signaler idles
      drm/i915/gt: Track engine round-trip times
      drm/i915/gt: Use non-forcewake writes for RPS
      drm/i915/gt: Suppress threshold updates on RPS parking
      drm/i915/gt: Add breadcrumb retire to physical engine
      drm/i915/gt: Teach veng to defer the context allocation
      drm/i915: Drop GEM context as a direct link from i915_request
      drm/i915: Push the use-semaphore marker onto the intel_context
      drm/i915/execlists: Select arb on/off around batches based on preemption
      drm/i915/selftests: Setup engine->retire for mock_engine
      drm/i915: Remove i915->kernel_context
      drm/i915/gt: Repeat wait_for_idle for retirement workers
      drm/i915: Move i915_gem_init_contexts() earlier
      drm/i915/gt: Pull GT initialisation under intel_gt_init()
      drm/i915/gt: Pull intel_gt_init_hw() into intel_gt_resume()
      drm/i915/gt: Merge engine init/setup loops
      drm/i915: Add a simple is-bound check before unbinding
      drm/i915: Introduce a vma.kref
      drm/i915: Mark the GEM context link as RCU protected

Clint Taylor (1):
      drm/i915: Disable display interrupts during display IRQ handler

Colin Ian King (4):
      drm/i915/selftests: fix uninitialized variable sum when summing up values
      drm/i915/display: remove duplicated assignment to pointer crtc_state
      drm/i915: remove redundant checks for a null fb pointer
      drm/i915: fix uninitialized pointer reads on pointers to and from

Dan Carpenter (2):
      drm/i915/bios: fix off by one in parse_generic_dtd()
      drm/i915/selftests: remove a condition

Daniel Vetter (3):
      drm/i915: Switch obj->mm.lock lockdep annotations on its head
      lockdep: add might_lock_nested()
      drm/i915: use might_lock_nested in get_pages annotation

Daniele Ceraolo Spurio (11):
      drm/i915/guc: Properly capture & release GuC interrupts on Gen11+
      drm/i915/guc: Drop leftover preemption code
      drm/i915/guc: add a helper to allocate and map guc vma
      drm/i915/guc: kill doorbell code and selftests
      drm/i915/guc: kill the GuC client
      drm/i915/guc: Merge communication_stop and communication_disable
      drm/i915/guc/ct: Drop guards in enable/disable calls
      drm/i915/guc/ct: Stop expecting multiple CT channels
      drm/i915/guc/ct: Group request-related variables in a sub-structure
      drm/i915/guc: Remove function pointers for send/receive calls
      drm/i915/guc: Unify notify() functions

Dhinakaran Pandiyan (6):
      drm/i915: Use intel_tile_height() instead of re-implementing
      drm/i915: Move CCS stride alignment W/A inside intel_fb_stride_alignment
      drm/i915: Extract framebufer CCS offset checks into a function
      drm/framebuffer: Format modifier for Intel Gen-12 render compression
      drm/i915/tgl: Gen-12 render decompression
      drm/i915: Skip rotated offset adjustment for unsupported modifiers

Don Hiatt (1):
      drm/i915/guc: Skip suspend/resume GuC action on platforms w/o GuC submission

Gwan-gyeong Mun (1):
      drm/i915: Split a setting of MSA to MST and SST

Hans de Goede (4):
      drm/i915: opregion: set opregion chpd value to indicate the driver handles hotplug
      ACPI / LPSS: Rename pwm_backlight pwm-lookup to pwm_soc_backlight
      mfd: intel_soc_pmic: Rename pwm_backlight pwm-lookup to pwm_pmic_backlight
      drm/i915: DSI: select correct PWM controller to use based on the VBT

Imre Deak (6):
      drm/i915: Fix detection for a CMP-V PCH
      drm/i915: Restore GT coarse power gating workaround
      drm/i915: Add helpers to select correct ccs/aux planes
      drm/i915/tgl: Make sure FBs have a correct CCS plane stride
      drm/i915: Make sure Y slave planes get all the required state
      drm/i915: Make sure CCS YUV semiplanar format checks work

James Ausmus (1):
      drm/i915/tgl: Add second TGL PCH ID

Jani Nikula (36):
      drm/i915: add for_each_port() and use it
      drm/i915: update rawclk also on resume
      drm/i915/dsc: make parameter arrays const
      drm/i915/dsc: clean up rc parameter table access
      drm/i915/dsc: split out encoder specific parts from DSC compute params
      drm/i915/dsc: rename functions for consistency
      drm/i915/display: only include intel_dp_link_training.h where needed
      drm/i915/bios: use a flag for vbt hdmi level shift presence
      drm/i915/bios: store child devices in a list
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: fix accidental static variable use
      Merge tag 'topic/drm-mipi-dsi-dsc-updates-2019-11-11' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next-queued
      drm/i915/bios: pass devdata to parse_ddi_port
      drm/i915/bios: parse compression parameters block
      drm/i915/bios: add support for querying DSC details for encoder
      drm/i915/dsc: move DP specific compute params to intel_dp.c
      drm/i915/dsc: move slice height calculation to encoder
      drm/i915/dsc: add support for computing and writing PPS for DSI encoders
      drm/i915/dsc: make DSC source support helper generic
      drm/i915/dsc: add basic hardware state readout support
      drm/i915/dsi: set pipe_bpp on ICL configure config
      drm/i915/dsi: abstract afe_clk calculation
      drm/i915/dsi: use afe_clk() instead of intel_dsi_bitrate()
      drm/i915/dsi: take compression into account in afe_clk()
      drm/i915/dsi: use compressed pixel format with DSC
      drm/i915/dsi: account for DSC in horizontal timings
      drm/i915/dsi: add support for DSC
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915/dsi: fix pipe D readout for DSI transcoders
      drm/i915/dsc: fix DSC register selection for ICL DSI transcoders
      drm/i915/dsc: clarify DSC support for pipe A on ICL
      drm/i915/dsc: fix DSC power domains for DSI
      drm/i915: fix comment for POWER_DOMAIN_TRANSCODER_VDSC_PW2
      drm/i915/selftests: make mock_context.h self-contained
      drm/i915/selftests: make mock_drm.h self-contained
      drm/i915: Update DRIVER_DATE to 20191223

José Roberto de Souza (18):
      drm/i915: Add for_each_new_intel_connector_in_state()
      drm/i915/display: Fix TRANS_DDI_MST_TRANSPORT_SELECT definition
      drm/i915/display/dsi: Add support to pipe D
      drm/i915/display/mst: Enable virtual channel payload allocation earlier
      drm/i915/mst: Check uapi enable not intel one during mst atomic check
      drm/i915/psr: Add bits per pixel limitation
      drm/i915/psr: Refactor psr short pulse handler
      drm/i915/psr: Enable ALPM lock timeout error interruption
      drm/i915/psr: Check if sink PSR capability changed
      drm/i915/vbt: Parse power conservation features block
      drm/i915/display: Suspend MST topology manager before destroy fbdev
      drm/i915/display: Check the old state to find port sync slave
      drm/i915/dp: Power down sink before disable pipe/transcoder clock
      drm/i915/display/mst: Move DPMS_OFF call to post_disable
      drm/i915: Add new EHL/JSL PCI ids
      drm/i915/display: Do not check for the ddb allocations of turned off pipes
      drm/i915/display/tgl: Fix the order of the step to turn transcoder clock off
      drm/i915/display: Refactor intel_commit_modeset_disables()

Juston Li (1):
      drm/i915: coffeelake supports hdcp2.2

Kai Vehmanen (1):
      drm/i915/dp: fix DP audio for PORT_A on gen12+

Lee Shawn C (2):
      drm/i915/cml: Remove unsupport PCI ID
      drm/i915/cml: Separate U series pci id from origianl list.

Lionel Landwerlin (3):
      drm/i915/perf: always consider holding preemption a privileged op
      drm/i915/perf: don't forget noa wait after oa config
      drm/i915/perf: Add preemption check while waiting for OA

Lucas De Marchi (18):
      drm/i915: add wrappers to get intel connector state
      drm/i915/tgl: do not enable transcoder clock twice on MST
      drm/i915: avoid reading DP_TP_CTL twice
      drm/i915: switch intel_ddi_init() to intel types
      drm/i915: do not warn late about hdmi on port A
      drm/i915/bios: rename bios to oprom when mapping pci rom
      drm/i915/bios: make sure to check vbt size
      drm/i915/tgl: allow DVI/HDMI on port A
      drm/i915/dsb: remove atomic operations
      drm/i915/dsb: fix extra warning on error path handling
      drm/i915/dsb: fix cmd_buf being wrongly set
      drm/i915/bios: do not discard address space
      drm/i915/bios: fold pci rom map/unmap into copy function
      drm/i915/bios: assume vbt is 4-byte aligned into oprom
      drm/i915/bios: remove extra debug messages
      drm/i915/display: move clk off sanitize to its own function
      drm/i915/display: use clk_off name to avoid double negation
      drm/i915/display: fix phy name

Maarten Lankhorst (12):
      drm/i915: Handle a few more cases for crtc hw/uapi split, v3.
      drm/i915: Add aliases for uapi and hw to crtc_state
      drm/i915: Perform manual conversions for crtc uapi/hw split, v2.
      drm/i915: Perform automated conversions for crtc uapi/hw split, base -> hw.
      drm/i915: Perform automated conversions for crtc uapi/hw split, base -> uapi.
      drm/i915: Complete crtc hw/uapi split, v6.
      drm/i915: Add aliases for uapi and hw to plane_state
      drm/i915: Perform manual conversions for plane uapi/hw split, v2.
      drm/i915: Perform automated conversions for plane uapi/hw split, base -> hw.
      drm/i915: Perform automated conversions for plane uapi/hw split, base -> uapi.
      drm/i915: Complete plane hw and uapi split, v2.
      drm/i915: Remove special case slave handling during hw programming, v3.

Mao Wenan (1):
      drm/i915/perf: drop pointless static qualifier in i915_perf_add_config_ioctl()

Masahiro Yamada (2):
      drm/i915: change to_mock() to an inline function
      drm/i915: make more headers self-contained

Matt Roper (15):
      drm/i915: Expand documentation for gen12 DP pre-enable sequence
      Revert "drm/i915/ehl: Update MOCS table for EHL"
      drm/i915/tgl: MOCS table update
      drm/i915/vbt: Parse panel options separately from timing data
      drm/i915/vbt: Handle generic DTD block
      drm/i915/ehl: Update voltage level checks
      drm/i915/tgl: Add DKL PHY vswing table for HDMI
      drm/i915: Handle SDEISR according to PCH rather than platform
      drm/i915/ehl: Make icp_digital_port_connected() use phy instead of port
      drm/i915: Program SHPD_FILTER_CNT on CNP+
      drm/i915/irq: Refactor gen11 display interrupt handling
      drm/i915/tgl: Program BW_BUDDY registers during display init
      drm/i915/ehl: Define EHL powerwells independently of ICL
      drm/i915/tgl: Drop Wa#1178
      drm/i915/icl: Cleanup combo PHY aux power well handlers

Matthew Auld (2):
      drm/i915/lmem: fixup fake lmem teardown
      drm/i915: make pool objects read-only

Matthew Brost (1):
      drm/i915/guc: Update uncore access path in flush_ggtt_writes

Maya Rashish (1):
      Correct function name in comment

Michal Wajdeczko (4):
      drm/i915/uc: Drop explicit i915 param in some uc_fw functions
      drm/i915/uc: Drop explicit gt param in some uc_fw functions
      drm/i915/uc: Drop explicit ggtt param in some uc_fw functions
      drm/i915: Improve i915_inject_probe_error macro

Michel Thierry (1):
      drm/i915/tgl: Implement Wa_1604555607

Niranjana Vishwanathapura (1):
      drm/i915: Remove unwanted rcu_read_lock/unlock

Radhakrishna Sripada (1):
      drm/i915/tgl: Wa_1606679103

Ramalingam C (1):
      drm/i915: FB backing gem obj should reside in LMEM

Stanislav Lisovskiy (1):
      drm/i915: Support more QGV points

Stuart Summers (3):
      Skip MCHBAR queries when display is not available
      drm/i915: Do not initialize display BW when display not available
      drm/i915: Use intel_gt_pm_put_async in GuC submission path

Tvrtko Ursulin (6):
      drm/i915/dsb: Remove PIN_MAPPABLE from the DSB object VMA
      drm/i915/query: Align flavour of engine data lookup
      drm/i915/pmu: Report frequency as zero while GPU is sleeping
      drm/i915: Improve execbuf debug
      drm/i915: Fix pid leak with banned clients
      drm/i915/pmu: Ensure monotonic rc6

Umesh Nerlige Ramappa (2):
      drm/i915/perf: Allow non-privileged access when OA buffer is not sampled
      drm/i915/perf: Configure OAR for specific context

Vandita Kulkarni (4):
      drm/i915/dsi: Define command mode registers
      drm/i915/dsi: Do not read the transcoder register.
      drm/i915/dsi: Fix state mismatch warns for horizontal timings with DSC
      drm/i915: Fix WARN_ON condition for cursor plane ddb allocation

Venkata Sandeep Dhanalakota (3):
      drm/i915/perf: Register sysctl path globally
      drm/i915: Introduce new macros for tracing
      drm/i915: Fix typecheck macro in GT_TRACE

Ville Syrjälä (74):
      drm/i915: Expose 10:10:10 XRGB formats on SNB-BDW sprites
      drm/i915: Expose alpha formats on VLV/CHV primary planes
      drm/i915: Add missing 10bpc formats for pipe B sprites on CHV
      drm/i915: Expose C8 on VLV/CHV sprite planes
      drm/i915: Add 10bpc formats with alpha for icl+
      drm/i915: Sort format arrays consistently
      drm/i915: Eliminate redundancy in intel_primary_plane_create()
      drm/i915: Frob the correct crtc state in intel_crtc_disable_noatomic()
      drm/i915: Preload LUTs if the hw isn't currently using them
      drm/i915: Don't oops in dumb_create ioctl if we have no crtcs
      drm/i915: Do not override mode's aspect ratio with the prop value NONE
      drm/i915: Drop redundant aspec ratio prop value initialization
      drm/i915: Fix frame start delay programming
      drm/i915: Change intel_encoders_<hook>() calling convention
      drm/i915: Add intel_crtc_vblank_off()
      drm/i915: Move assert_vblank_disabled() into intel_crtc_vblank_on()
      drm/i915: Move crtc_state to tighter scope
      drm/i915: Pass intel_crtc to ironlake_fdi_disable()
      drm/i915: Change watermark hook calling convention
      drm/i915: Pass dev_priv to cpt_verify_modeset()
      drm/i915: s/intel_crtc/crtc/ in .crtc_enable() and .crtc_disable()
      drm/i915: s/pipe_config/new_crtc_state/ in .crtc_enable()
      drm/i915: Change .crtc_enable/disable() calling convention
      drm/i915: Don't set undefined bits in dirty_pipes
      drm/i915: Use the correct PCH transcoder for LPT/WPT in intel_sanitize_frame_start_delay()
      drm/i915: Switch intel_crtc_disable_noatomic() to intel_ types
      drm/i915: Use drm_rect to simplify plane {crtc,src}_{x,y,w,h} printing
      drm/i915: Switch to intel_ types in debugfs display_info
      drm/i915: Reorganize plane/fb dump in debugfs
      drm/i915: Refactor debugfs display info code
      drm/i915: Dump the mode for the crtc just the once
      drm/i915: Use drm_modeset_lock_all() in debugfs display info
      drm/i915: Use the canonical [CRTC:%d:%s]/etc. format in i915_display_info
      drm/i915: Dump both the uapi and hw states for crtcs and planes
      drm/i915: Stop using connector->encoder and encoder->crtc links in i915_display_info
      drm/i915: Clean up arguments to nv12/scaler w/a funcs
      drm/i915: Pass dev_priv to ilk_disable_lp_wm()
      drm/i915: s/pipe_config/new_crtc_state/ intel_{pre,post}_plane_update()
      drm/i915: Clean up intel_{pre,post}_plane_update()
      drm/i915: Clean up the gen2 "no planes -> underrun" workaround
      drm/i915: Nuke intel_pre_disable_primary_noatomic()
      drm/i915: Make intel_crtc_arm_fifo_underrun() functional on gen2
      drm/i915/fbc: Disable fbc by default on all glk+
      drm/i915/fbc: Nuke bogus single pipe fbc1 restriction
      drm/i915: Relocate intel_crtc_active()
      drm/i915/fbc: Remove the FBC_RT_BASE setup for ILK/SNB
      drm/i915/fbc: Precompute gen9 cfb stride w/a
      drm/i915/fbc: Track plane visibility
      drm/i915/fbc: Store fence_id directly in fbc cache/params
      drm/i915/fbc: Make fence_id optional for i965gm
      drm/i915/fbc: s/gen9 && !glk/gen9_bc || bxt/
      drm/i915/fbc: Nuke fbc.enabled
      drm/i915/fbc: Start using flip nuke
      drm/i915/fbc: Wait for vblank after FBC disable on glk+
      drm/i915/fbc: Enable fbc by default on glk+ once again
      drm/i915/fbc: Reallocate cfb if we need more of it
      drm/i915/hdcp: Nuke intel_hdcp_transcoder_config()
      drm/i915: ELiminate intel_pipe_to_cpu_transcoder() from assert_fdi_tx()
      drm/i915: Pass cpu transcoder to assert_pipe()
      drm/i915: Streamline skl_commit_modeset_enables()
      drm: Add __drm_atomic_helper_crtc_state_reset() & co.
      drm/i915: s/intel_crtc/crtc/ in intel_crtc_init()
      drm/i915: Introduce intel_crtc_{alloc,free}()
      drm/i915: Introduce intel_crtc_state_reset()
      drm/i915: Introduce intel_plane_state_reset()
      drm/i915: Call hsw_fdi_link_train() directly()
      drm/i915: Nuke .post_pll_disable() for DDI platforms
      drm/i915: Pass old crtc state to skylake_scaler_disable()
      drm/i915: Pass old crtc state to intel_crtc_vblank_off()
      drm/i915: Move stuff from haswell_crtc_disable() into encoder .post_disable()
      drm/i915/fbc: Reject PLANE_OFFSET.y%4!=0 on icl+ too
      drm/i915/fbc: Remove second redundant intel_fbc_pre_update() call
      drm/i915: Rename pipe update tracepoints
      drm/i915: Introduce intel_crtc_state_alloc()

zhengbin (1):
      drm/i915: Remove unneeded semicolon

 Documentation/gpu/i915.rst                         |    3 -
 drivers/acpi/acpi_lpss.c                           |   11 +-
 drivers/gpu/drm/Kconfig                            |    4 +
 drivers/gpu/drm/drm_atomic_state_helper.c          |   78 +-
 drivers/gpu/drm/drm_file.c                         |   42 +
 drivers/gpu/drm/drm_mipi_dsi.c                     |   57 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |    2 +
 drivers/gpu/drm/i915/Makefile                      |    4 +
 drivers/gpu/drm/i915/display/icl_dsi.c             |  232 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   53 +-
 drivers/gpu/drm/i915/display/intel_atomic.h        |    2 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |  138 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    5 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   12 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |  563 +++-
 drivers/gpu/drm/i915/display/intel_bios.h          |    5 +
 drivers/gpu/drm/i915/display/intel_bw.c            |   31 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   20 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  198 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   34 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  417 +--
 drivers/gpu/drm/i915/display/intel_ddi.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 3110 +++++++++++---------
 drivers/gpu/drm/i915/display/intel_display.h       |   46 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  228 +-
 drivers/gpu/drm/i915/display/intel_display_power.h |    2 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   75 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  152 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   81 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |   14 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   20 +-
 drivers/gpu/drm/i915/display/intel_dsb.c           |   37 +-
 drivers/gpu/drm/i915/display/intel_dsb.h           |    2 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |   14 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |  309 +-
 drivers/gpu/drm/i915/display/intel_fbc.h           |   11 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   16 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   34 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   28 +-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |    5 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   90 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |    4 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    7 +
 drivers/gpu/drm/i915/display/intel_overlay.c       |   29 +-
 drivers/gpu/drm/i915/display/intel_panel.c         |   30 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |    6 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  153 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |   29 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  411 +--
 drivers/gpu/drm/i915/display/intel_tv.c            |    8 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   62 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |  303 +-
 drivers/gpu/drm/i915/display/intel_vdsc.h          |   11 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   30 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |   14 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  321 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   48 +-
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |   28 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |  188 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |  322 +-
 drivers/gpu/drm/i915/gem/i915_gem_ioctls.h         |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_lmem.c           |    3 -
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |  471 ++-
 drivers/gpu/drm/i915/gem/i915_gem_mman.h           |   31 +
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   71 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |   57 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   31 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   12 +-
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |   24 +-
 drivers/gpu/drm/i915/gem/i915_gem_region.c         |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |    5 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |  219 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |   24 +-
 .../gpu/drm/i915/gem/selftests/huge_gem_object.c   |   11 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   23 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |    2 +
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |    5 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  171 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |  319 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  125 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |   20 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.h  |    5 +-
 drivers/gpu/drm/i915/gem/selftests/mock_dmabuf.h   |    2 +-
 drivers/gpu/drm/i915/gt/debugfs_engines.c          |   36 +
 drivers/gpu/drm/i915/gt/debugfs_engines.h          |   14 +
 drivers/gpu/drm/i915/gt/debugfs_gt.c               |   42 +
 drivers/gpu/drm/i915/gt/debugfs_gt.h               |   39 +
 drivers/gpu/drm/i915/gt/debugfs_gt_pm.c            |  601 ++++
 drivers/gpu/drm/i915/gt/debugfs_gt_pm.h            |   14 +
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   66 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |   69 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |   73 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |   10 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   25 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  211 +-
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |   20 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   33 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   21 +
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   26 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   29 +
 drivers/gpu/drm/i915/gt/intel_gt.c                 |  275 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    6 +
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |   12 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   46 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |    5 +
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   41 +-
 drivers/gpu/drm/i915/gt/intel_gt_requests.h        |    1 +
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |    7 +
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  433 +--
 drivers/gpu/drm/i915/gt/intel_lrc.h                |    7 +-
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    4 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |  161 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |  149 +-
 drivers/gpu/drm/i915/gt/intel_rc6.h                |    6 +-
 drivers/gpu/drm/i915/gt/intel_rc6_types.h          |    4 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |   97 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.h        |   17 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |  105 +-
 drivers/gpu/drm/i915/gt/intel_ring_submission.c    |  228 +-
 drivers/gpu/drm/i915/gt/intel_rps.c                |  121 +-
 drivers/gpu/drm/i915/gt/intel_rps.h                |    3 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |   90 +-
 drivers/gpu/drm/i915/gt/intel_timeline.h           |    4 +-
 drivers/gpu/drm/i915/gt/intel_timeline_types.h     |   14 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   47 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |   50 +-
 drivers/gpu/drm/i915/gt/selftest_context.c         |  120 +-
 drivers/gpu/drm/i915/gt/selftest_engine_cs.c       |  360 ++-
 .../gpu/drm/i915/gt/selftest_engine_heartbeat.c    |   36 +-
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |   19 +
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |  178 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  560 +++-
 drivers/gpu/drm/i915/gt/selftest_mocs.c            |  419 +++
 drivers/gpu/drm/i915/gt/selftest_rc6.c             |  203 ++
 drivers/gpu/drm/i915/gt/selftest_rc6.h             |   13 +
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |    6 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   72 +-
 drivers/gpu/drm/i915/gt/selftests/mock_timeline.c  |    2 +-
 drivers/gpu/drm/i915/gt/selftests/mock_timeline.h  |    2 +
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |   69 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |   46 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |   24 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.c          |  309 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.h          |   52 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h        |    1 -
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |  733 +----
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.h  |   54 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |   68 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   58 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h           |    5 +-
 drivers/gpu/drm/i915/gt/uc/selftest_guc.c          |  299 --
 drivers/gpu/drm/i915/gvt/cmd_parser.h              |    4 +
 drivers/gpu/drm/i915/gvt/display.h                 |    5 +
 drivers/gpu/drm/i915/gvt/edid.h                    |    4 +
 drivers/gpu/drm/i915/gvt/execlist.h                |    2 +
 drivers/gpu/drm/i915/gvt/fb_decoder.h              |    2 +
 drivers/gpu/drm/i915/gvt/gtt.c                     |    2 +-
 drivers/gpu/drm/i915/gvt/hypercall.h               |    4 +
 drivers/gpu/drm/i915/gvt/interrupt.h               |    3 +
 drivers/gpu/drm/i915/gvt/mmio.h                    |    2 +
 drivers/gpu/drm/i915/gvt/page_track.h              |    3 +
 drivers/gpu/drm/i915/gvt/sched_policy.h            |    3 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |   43 +-
 drivers/gpu/drm/i915/i915_active.c                 |  123 +-
 drivers/gpu/drm/i915/i915_active.h                 |   28 +-
 drivers/gpu/drm/i915/i915_active_types.h           |   15 -
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  318 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  343 +--
 drivers/gpu/drm/i915/i915_drv.c                    |   28 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   91 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  346 +--
 drivers/gpu/drm/i915/i915_gem.h                    |    8 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   39 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   86 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    1 +
 drivers/gpu/drm/i915/i915_getparam.c               |    1 +
 drivers/gpu/drm/i915/i915_globals.c                |   53 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   47 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   66 +-
 drivers/gpu/drm/i915/i915_memcpy.c                 |   75 +-
 drivers/gpu/drm/i915/i915_memcpy.h                 |    2 +
 drivers/gpu/drm/i915/i915_pci.c                    |   21 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  251 +-
 drivers/gpu/drm/i915/i915_perf.h                   |    2 +
 drivers/gpu/drm/i915/i915_perf_types.h             |    1 -
 drivers/gpu/drm/i915/i915_pmu.c                    |  136 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |    2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  149 +-
 drivers/gpu/drm/i915/i915_request.c                |  271 +-
 drivers/gpu/drm/i915/i915_request.h                |   27 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |   15 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |    1 +
 drivers/gpu/drm/i915/i915_selftest.h               |    4 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |   40 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    5 +-
 drivers/gpu/drm/i915/i915_sw_fence_work.c          |   18 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   31 +-
 drivers/gpu/drm/i915/i915_trace.h                  |    6 +-
 drivers/gpu/drm/i915/i915_utils.h                  |    2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   84 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   29 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   35 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    9 +-
 drivers/gpu/drm/i915/intel_memory_region.c         |    3 +
 drivers/gpu/drm/i915/intel_pch.c                   |    1 +
 drivers/gpu/drm/i915/intel_pch.h                   |    1 +
 drivers/gpu/drm/i915/intel_pm.c                    |  323 +-
 drivers/gpu/drm/i915/intel_pm.h                    |    2 +-
 drivers/gpu/drm/i915/intel_region_lmem.c           |    6 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |   19 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |   43 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |    9 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   31 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    4 +-
 drivers/gpu/drm/i915/selftests/i915_perf.c         |    2 +-
 .../gpu/drm/i915/selftests/i915_perf_selftests.h   |   13 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |  129 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |   43 +
 drivers/gpu/drm/i915/selftests/igt_mmap.c          |   39 +
 drivers/gpu/drm/i915/selftests/igt_mmap.h          |   19 +
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   40 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |   10 +-
 drivers/gpu/drm/i915/selftests/mock_drm.c          |   73 -
 drivers/gpu/drm/i915/selftests/mock_drm.h          |   18 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   25 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.h          |    3 +
 drivers/gpu/drm/i915/selftests/mock_region.h       |    5 +
 drivers/gpu/drm/i915/selftests/mock_uncore.h       |    3 +
 drivers/gpu/drm/tiny/st7586.c                      |    2 +-
 drivers/mfd/intel_soc_pmic_core.c                  |    2 +-
 include/drm/drm_atomic_state_helper.h              |    6 +
 include/drm/drm_file.h                             |    3 +
 include/drm/drm_mipi_dsi.h                         |    4 +
 include/drm/drm_util.h                             |    2 +-
 include/drm/i915_pciids.h                          |   31 +-
 include/linux/lockdep.h                            |    8 +
 include/uapi/drm/drm_fourcc.h                      |   11 +
 include/uapi/drm/i915_drm.h                        |   32 +
 include/video/mipi_display.h                       |   24 +-
 245 files changed, 12691 insertions(+), 8009 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_mman.h
 create mode 100644 drivers/gpu/drm/i915/gt/debugfs_engines.c
 create mode 100644 drivers/gpu/drm/i915/gt/debugfs_engines.h
 create mode 100644 drivers/gpu/drm/i915/gt/debugfs_gt.c
 create mode 100644 drivers/gpu/drm/i915/gt/debugfs_gt.h
 create mode 100644 drivers/gpu/drm/i915/gt/debugfs_gt_pm.c
 create mode 100644 drivers/gpu/drm/i915/gt/debugfs_gt_pm.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_mocs.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_rc6.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_rc6.h
 delete mode 100644 drivers/gpu/drm/i915/gt/uc/selftest_guc.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_perf_selftests.h
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_mmap.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_mmap.h
 delete mode 100644 drivers/gpu/drm/i915/selftests/mock_drm.c

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-11-01 10:47 Joonas Lahtinen
  2019-11-01 10:47 ` Joonas Lahtinen
  0 siblings, 1 reply; 265+ messages in thread
From: Joonas Lahtinen @ 2019-11-01 10:47 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, dri-devel, Maxime Ripard, intel-gfx

Hi Dave & Daniel,

Here goes the final feature pull request for 5.5. 

Adding a new uAPI to support cleanup of long running workloads
when process is killed.

Adds fake lmem region code under DRM_I915_UNSTABLE Kconfig
protection to make iGFX behave like local memory device. For
testing non-mappable GGTT behavior and running kernel LMEM
selftests.

Still plenty of Tigerlake support patches, including perf
support. Adds HuC firmware for TGL. Adds a couple of new
PCH-CPU combos, SPT-AML CNL-CML.

Adds support for half float framebuffers, fix for Bugzilla
#112084: VGA monitor not working. Adds prep code for LMEM and
is_dgfx flag to device info for upcoming platforms.

Kconfig configurable timeouts for pre-emption, reset and
timeslicing.

We've cleaned up all i915 kerneldoc warnings and our CI will
report any new additions going forward.

Regards, Joonas

drm-intel-next-2019-11-01-1:

Driver Changes:

- Check against i915_selftest only under CONFIG_SELFTEST (Chris)
- Refine occupancy test in kill_context() (Chris)
- Start kthreads before stopping (Chris)

drm-intel-next-2019-11-01:

UAPI Changes:

- Make context persistence optional
  Allow userspace to tie the context lifetime to FD lifetime,
  effectively allowing Ctrl-C killing of a process to also clean
  up the hardware immediately.
  Compute changes: https://github.com/intel/compute-runtime/pull/228
  The compute driver is shipping in Ubuntu. uAPI acked by Mesa folks.

- Put future HW and their uAPIs under STAGING & BROKEN
  Introduces DRM_I915_UNSTABLE Kconfig menu for working on the new
  uAPI for future HW in upstream. We already disable driver loading
  by default the platform is deemed ready. This is a second level
  of protection based on compile time switch (STAGING & BROKEN).

- Under DRM_I915_UNSTABLE: Add the fake lmem region on iGFX
  Fake local memory region on integrated GPU through cmdline:
  memmap=2G$16G i915.fake_lmem_start=0x400000000
  Currently allows testing non-mappable GGTT behavior and running
  kernel selftest for local memory.

Driver Changes:

- Fix Bugzilla #112084: VGA external monitor not working (Ville)
- Add support for half float framebuffers (Ville)
- Add perf support on TGL (Lionel)
- Replace hangcheck by heartbeats (Chris)
- Allow SPT PCH on all AML devices (James)
- Add new CNL PCH for CML platform (Imre)
- Allow 100 ms (Kconfig) for workloads to exit before reset (Chris, Jon, Joonas)
- Forcibly pre-empt a context after 100 ms (Kconfig) of delay  (Chris)
- Make timeslice duration Kconfig configurable (Chris)
- Whitelist PS_(DEPTH|INVOCATION)_COUNT for Tigerlake (Tapani)
- Support creating LMEM objects in kernel (Matt A)
- Adjust the location of RING_MI_MODE in the context image for TGL (Chris)
- Handle AUX interrupts for TC ports (Matt R)
- Add support for devices without mappable GGTT aperture (Daniele)
- Rename "inject_load_failure" module parameter to "inject_probe_failure" (Janusz)
- Handle fused off HDCP, FBC, DMC and DSC (Jose)
- Add support to one DP-MST stream on Tigerlake (Lucas)
- Add HuC firmware (and GuC) for TGL (Daniele)
- Allow ICL+ DSI on any pipe (Ville)

- Check some transcoder timing minimum limits (Ville)
- Don't set queue_priority_hint if we don't kick the submission (Chris)
- Introduce barrier pulses along engines to flush idle/in-flight requests (Chris)
- Drop assertion that ce->pin_mutex guards state updates (Chris)
- Cancel banned contexts on schedule-out (Chris)
- Cancel contexts when hangchecking is disabled (Chris)
- Catch GTT fault errors for gen11+ planes (Matt R)
- Print in debugfs if PSR is not enabled because of sink (Jose)
- Do not set MOCS control values on dgfx (Lucas)
- Setup io-mapping for LMEM (Abdiel)
- Support kernel mapping of LMEM objects (Abdiel)
- Add LMEM selftests (Matt A)
- Initialise PMU spinlock before registering (Chris)
- Clear DKL_TX_PMD_LANE_SUS before program TC voltage swing (Jose)
- Flip interpretation of ips fmin/fmax to max rps (Chris)
- Add VBT compression parameter block definition (Jani)
- Limit the blitter sizes to ensure low preemption latency (Chris)
- Fixup block_size rounding on BLT (Matt A)
- Don't try to place HWS in non-existing mappable region (Michal Wa)
- Don't allocate the ring in stolen if we lack aperture (Matt A)
- Add AUX B & C to DC_OFF_POWER_DOMAINS for Tigerlake (Matt R)
- Avoid HPD poll detect triggering a new detect cycle (Imre)
- Document the userspace fail with possible_crtcs (Ville)
- Drop lrc header page now unused by GuC (Daniele)
- Do not switch aux to TBT mode for non-TC ports (Jose)

- Restructure code to avoid depending on i915 but smaller structs (Chris, Tvrtko, Andi)
- Remove pm park/unpark notifications (Chris)
- Avoid lockdep cross-contamination between object types (Chris)
- Restructure DSC code (Jani)
- Fix dead locking in early workload shadow (Zhenyu)
- Split the legacy submission backend from the common CS ring buffer (Chris)
- Move intel_engine_context_in/out into intel_lrc.c (Tvrtko)
- Describe perf/wakeref structure members in documentation (Anna)
- Update renamed header files names in documentation (Anna)
- Add debugs to distingiush a cd2x update from a full cdclk pll update (Ville)
- Rework atomic global state locking (Ville)
- Allow planes to declare their minimum acceptable cdclk (Ville)
- Eliminate skl_check_pipe_max_pixel_rate() and simplify skl_max_scale() (Ville)
- Making loglevel of PSR2/SU logs same (Ap)
- Capture aux page table error register (Lionel)
- Add is_dgfx to device info (Jose)
- Split gen11_irq_handler to make it shareable (Lucas)
- Encapsulate kconfig constant values inside boolean predicates (Chris)
- Split memory_region initialisation into its own file (Chris)
- Use _PICK() for CHICKEN_TRANS() and add CHICKEN_TRANS_D (Ville)
- Add perf helper macros for comparing with whitelisted registers (Umesh)
- Fix i915_inject_load_error() name to read *_probe_* (Janusz)
- Drop unused AUX register offsets (Matt R)
- Provide more information on DP AUX failures (Matt R)
- Add GAM/SFC instdone to error state (Mika)
- Always track callers to intel_rps_mark_interactive() (Chris)
- Nuke 'mode' argument to intel_get_load_detect_pipe() (Ville)
- Simplify LVDS crtc_mask and pipe_mask setup (Ville)
- Stop frobbing crtc->base.mode (Ville)
- Do s/crtc_mask/pipe_mask/ (Ville)
- Split detaching and removing the vma (Chris)

- Selftest improvements (Chris, Tvrtko, Mika, Matt A, Lionel)
- GuC code improvements (Rob, Andi, Daniele)

The following changes since commit ce53908bba6fa6e905d8fe81da4591d3e7a65878:

  drm/i915: Update DRIVER_DATE to 20191021 (2019-10-21 12:56:07 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-11-01-1

for you to fetch changes up to 1883e2999f045e1fd6f76a7f30288a5312085289:

  drm/i915: Update DRIVER_DATE to 20191101 (2019-11-01 12:41:13 +0200)

----------------------------------------------------------------
Driver Changes:

- Check against i915_selftest only under CONFIG_SELFTEST (Chris)
- Refine occupancy test in kill_context() (Chris)
- Start kthreads before stopping (Chris)

----------------------------------------------------------------
Abdiel Janulgue (2):
      drm/i915: setup io-mapping for LMEM
      drm/i915/lmem: support kernel mapping

Andi Shyti (2):
      drm/i915: Extract GT render power state management
      drm/i915: Extract the GuC interrupt handlers

Anna Karas (4):
      drm/i915/perf: Describe structure members in documentation
      doc: Update header files names
      drm/i915: Describe structure member in documentation
      drm/i915/tgl: Fix doc not corresponding to code

Ap Kamal (1):
      drm/i915: Making loglevel of PSR2/SU logs same.

Chris Wilson (52):
      drm/i915: Don't set queue_priority_hint if we don't kick the submission
      drm/i915/selftests: Use all physical engines for i915_active
      drm/i915/gt: Introduce barrier pulses along engines
      drm/i915: Lift i915_vma_parked() onto the gt
      drm/i915: Remove pm park/unpark notifications
      drm/i915/selftests: Set vm->gt backpointer for mock_ppgtt
      drm/i915/selftests: Make the mman object busy everywhere
      drm/i915: Drop assertion that ce->pin_mutex guards state updates
      drm/i915/gem: Distinguish each object type
      drm/i915: Teach record_defaults to operate on the intel_gt
      drm/i915/selftests: Teach switch_to_context() to use the context
      drm/i915/selftests: Move uncore fw selftests to operate on intel_gt
      drm/i915/selftests: Synchronize checking active status with retirement
      drm/i915/selftests: Release ctx->engine_mutex after iteration
      drm/i915/gt: Try to more gracefully quiesce the system before resets
      drm/i915/execlists: Force preemption
      drm/i915/execlists: Cancel banned contexts on schedule-out
      drm/i915/gem: Cancel contexts when hangchecking is disabled
      drm/i915/gt: Replace hangcheck by heartbeats
      drm/i915/selftests: Flush interrupts before disabling tasklets
      drm/i915/selftests: Flush any i915_active callback work as well
      drm/i915/gt: Split intel_ring_submission
      drm/i915/selftests: Tweak the default subtest runtime
      drm/i915/selftests: Force ordering of context switches
      drm/i915/pmu: Initialise the spinlock before registering
      drm/i915: Encapsulate kconfig constant values inside boolean predicates
      drm/i915/tgl: Adjust the location of RING_MI_MODE in the context image
      drm/i915: Split memory_region initialisation into its own file
      drm/i915: Put future HW and their uAPIs under STAGING & BROKEN
      drm/i915/rps: Flip interpretation of ips fmin/fmax to max rps
      drm/i915/selftests: Measure basic throughput of blit routines
      drm/i915/selftests: Drop global engine lookup for gt selftests
      drm/i915/selftests: Check all blitter engines for client blt
      drm/i915/selftests: Use a random engine for GEM coherency tests
      drm/i915/gt: Tidy up rps irq handler to use intel_gt
      drm/i915/selftests: Select a random engine for testing memory regions
      drm/i915/selftests: Exercise adjusting rpcs over all render-class engines
      drm/i915/selftests: Check a few more fixed locations within the context image
      drm/i915/execlists: Simply walk back along request timeline on reset
      drm/i915/selftests: Initialise err in case there are no engines!
      drm/i915/selftests: Initialise ret
      drm/i915/display: Mark conn as initialised by iterator
      drm/i915/gem: Limit the blitter sizes to ensure low preemption latency
      drm/i915/gt: Make timeslice duration configurable
      drm/i915/gem: Make context persistence optional
      drm/i915/gt: Always track callers to intel_rps_mark_interactive()
      drm/i915/selftests: Assert that the idle_pulse is sent
      drm/i915/selftests: Pretty print the i915_active
      drm/i915: Split detaching and removing the vma
      drm/i915/gem: Refine occupancy test in kill_context()
      drm/i915/lmem: Check against i915_selftest only under CONFIG_SELFTEST
      drm/i915/selftests: Start kthreads before stopping

Daniele Ceraolo Spurio (7):
      drm/i915: define i915_ggtt_has_aperture
      drm/i915: do not map aperture if it is not available.
      drm/i915: set num_fence_regs to 0 if there is no aperture
      drm/i915: error capture with no ggtt slot
      drm/i915/uc: define GuC and HuC binaries for TGL
      drm/i915: drop lrc header page
      drm/i915/guc: drop guc shared area

Imre Deak (2):
      drm/i915: Add new CNL PCH ID seen on a CML platform
      drm/i915: Avoid HPD poll detect triggering a new detect cycle

James Ausmus (1):
      drm/i915/aml: Allow SPT PCH for all AML devices

Jani Nikula (3):
      drm/i915/dsc: rename crtc state dsc_params member to dsc
      drm/i915/dsc: move crtc state dp_dsc_cfg member under dsc as config
      drm/i915/bios: add compression parameter block definition

Janusz Krzysztofik (2):
      drm/i915: Fix i915_inject_load_error() name to read *_probe_*
      drm/i915: Rename "inject_load_failure" module parameter

Joonas Lahtinen (2):
      drm/i915: Update DRIVER_DATE to 20191101
      drm/i915: Update DRIVER_DATE to 20191101

José Roberto de Souza (9):
      drm/i915/display/psr: Print in debugfs if PSR is not enabled because of sink
      drm/i915: Add is_dgfx to device info
      drm/i915/tc: Clear DKL_TX_PMD_LANE_SUS before program voltage swing
      drm/i915: Add two spaces before the SKL_DFSM registers
      drm/i915/display: Handle fused off HDCP
      drm/i915/display: Check if FBC is fused off
      drm/i915/display/icl+: Check if DMC is fused off
      drm/i915/display/cnl+: Handle fused off DSC
      drm/i915/dp: Do not switch aux to TBT mode for non-TC ports

Lionel Landwerlin (3):
      drm/i915: capture aux page table error register
      drm/i915/tgl: Add perf support on TGL
      drm/i915/perf: ensure selftests select valid format

Lucas De Marchi (3):
      drm/i915: do not set MOCS control values on dgfx
      drm/i915: split gen11_irq_handler to make it shareable
      drm/i915/tgl: add support to one DP-MST stream

Matt Roper (5):
      drm/i915: Catch GTT fault errors for gen11+ planes
      drm/i915/tgl: Handle AUX interrupts for TC ports
      drm/i915: Drop unused AUX register offsets
      drm/i915/tgl: Add AUX B & C to DC_OFF_POWER_DOMAINS
      drm/i915: Provide more information on DP AUX failures

Matthew Auld (10):
      drm/i915: support creating LMEM objects
      drm/i915/selftests: add write-dword test for LMEM
      drm/i915/selftests: extend coverage to include LMEM huge-pages
      drm/i915/selftests: prefer random sizes for the huge-GTT-page smoke tests
      drm/i915/selftests: add sanity selftest for huge-GTT-pages
      drm/i915/selftests/blt: add some kthreads into the mix
      drm/i915/blt: fixup block_size rounding
      drm/i915: don't allocate the ring in stolen if we lack aperture
      drm/i915/selftests: check for missing aperture
      drm/i915/lmem: add the fake lmem region

Michal Wajdeczko (2):
      drm/i915/execlists: Use vfunc to check engine submission mode
      drm/i915: Don't try to place HWS in non-existing mappable region

Mika Kuoppala (3):
      drm/i915: Remove nonpriv flags when srm/lrm
      drm/i915/tgl: Add SFC instdone to error state
      drm/i915/tgl: Add gam instdone

Robert M. Fosha (2):
      drm/i915/guc: Enable guc logging on guc log relay write
      drm/i915/guc: Update H2G enable logging action definition

Stuart Summers (1):
      drm/i915: add new gen12 dgfx platform macro

Tapani Pälli (1):
      drm/i915/tgl: whitelist PS_(DEPTH|INVOCATION)_COUNT

Tvrtko Ursulin (13):
      drm/i915: Pass intel_gt to intel_engines_init_mmio
      drm/i915: Pass intel_gt to intel_setup_engine_capabilities
      drm/i915: Pass intel_gt to intel_engines_cleanup
      drm/i915: Pass intel_gt to intel_engines_setup
      drm/i915: Pass intel_gt to intel_engines_init
      drm/i915: Pass intel_gt to intel_engines_verify_workarounds
      drm/i915: Split drop caches into GT and i915 parts
      drm/i915/selftests: Convert eviction selftests to gt/ggtt
      drm/i915/selftests: Use GT engines in mock_gem_device
      drm/i915/selftests: Use GT engines in igt_live_test
      drm/i915/selftests: Use for_each_uabi_engine in contex selftests
      drm/i915: Convert PAT setup to uncore mmio
      drm/i915: Move intel_engine_context_in/out into intel_lrc.c

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Add helper macros for comparing with whitelisted registers

Ville Syrjälä (22):
      drm/i915: Check some transcoder timing minimum limits
      drm/i915: Add debugs to distingiush a cd2x update from a full cdclk pll update
      drm/i915: Rework global state locking
      drm/i915: Move check_digital_port_conflicts() earier
      drm/i915: Allow planes to declare their minimum acceptable cdclk
      drm/i915: Eliminate skl_check_pipe_max_pixel_rate()
      drm/i915: Simplify skl_max_scale()
      drm/i915: Add support for half float framebuffers for skl+
      drm/i915: Add support for half float framebuffers for gen4+ primary planes
      drm/i915: Add support for half float framebuffers for ivb+ sprites
      drm/i915: Add support for half float framebuffers on snb sprites
      drm/i915: Fix PCH reference clock for FDI on HSW/BDW
      drm/i915: Use _PICK() for CHICKEN_TRANS()
      drm/i915: Add CHICKEN_TRANS_D
      drm/i915: Fix i845/i865 cursor width
      drm/i915: Nuke 'mode' argument to intel_get_load_detect_pipe()
      drm/i915: Stop frobbing crtc->base.mode
      drm/i915: Simplify LVDS crtc_mask setup
      drm/i915: s/crtc_mask/pipe_mask/
      drm/i915: Allow ICL+ DSI on any pipe
      drm/i915: Simplify pipe_mask setup even further
      drm/i915/mst: Document the userspace fail with possible_crtcs

Zhenyu Wang (1):
      drm/i915/gvt: fix dead locking in early workload shadow

 Documentation/gpu/i915.rst                         |    6 +-
 drivers/gpu/drm/i915/Kconfig                       |    6 +
 drivers/gpu/drm/i915/Kconfig.debug                 |    1 +
 drivers/gpu/drm/i915/Kconfig.profile               |   49 +
 drivers/gpu/drm/i915/Kconfig.unstable              |   29 +
 drivers/gpu/drm/i915/Makefile                      |   15 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |    2 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   44 +
 drivers/gpu/drm/i915/display/intel_atomic.h        |    5 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   39 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    2 +
 drivers/gpu/drm/i915/display/intel_audio.c         |   10 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  123 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   13 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   25 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  389 +++-
 drivers/gpu/drm/i915/display/intel_display.h       |    3 -
 drivers/gpu/drm/i915/display/intel_display_power.c |    2 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   20 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   59 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   13 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   15 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    9 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |    1 +
 drivers/gpu/drm/i915/display/intel_psr.c           |   28 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  428 +++-
 drivers/gpu/drm/i915/display/intel_sprite.h        |    7 +
 drivers/gpu/drm/i915/display/intel_tv.c            |    4 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   52 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   74 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    6 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  210 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   15 +
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.c         |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_internal.c       |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_lmem.c           |   99 +
 drivers/gpu/drm/i915/gem/i915_gem_lmem.h           |   37 +
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |    5 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   41 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |   35 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.h             |    3 -
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |    3 +-
 .../gpu/drm/i915/gem/selftests/huge_gem_object.c   |    3 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  319 ++-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   30 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |  174 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  178 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   34 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  350 +++-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |    2 +
 drivers/gpu/drm/i915/gt/intel_context.c            |    1 +
 drivers/gpu/drm/i915/gt/intel_context.h            |    1 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |  227 +--
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   88 +-
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |  234 +++
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.h   |   23 +
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |    8 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   55 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   16 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    4 -
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |    5 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   42 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |    6 -
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |   13 +-
 drivers/gpu/drm/i915/gt/intel_hangcheck.c          |  361 ----
 drivers/gpu/drm/i915/gt/intel_llc.c                |    2 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  382 +++-
 drivers/gpu/drm/i915/gt/intel_lrc.h                |   27 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |    7 +
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |    1 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |    3 +-
 drivers/gpu/drm/i915/gt/intel_ring.c               |  323 +++
 drivers/gpu/drm/i915/gt/intel_ring.h               |  131 ++
 ...{intel_ringbuffer.c => intel_ring_submission.c} |  309 +--
 drivers/gpu/drm/i915/gt/intel_ring_types.h         |   51 +
 drivers/gpu/drm/i915/gt/intel_rps.c                | 1872 +++++++++++++++++
 drivers/gpu/drm/i915/gt/intel_rps.h                |   38 +
 drivers/gpu/drm/i915/gt/intel_rps_types.h          |   93 +
 drivers/gpu/drm/i915/gt/intel_timeline.c           |    6 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   21 +
 drivers/gpu/drm/i915/gt/mock_engine.c              |    1 +
 drivers/gpu/drm/i915/gt/selftest_context.c         |    3 -
 .../gpu/drm/i915/gt/selftest_engine_heartbeat.c    |  350 ++++
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |   28 +-
 drivers/gpu/drm/i915/gt/selftest_llc.c             |    7 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  536 ++++-
 drivers/gpu/drm/i915/gt/selftest_reset.c           |    2 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |    1 +
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   10 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |  139 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |    2 -
 drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h        |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |   53 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.h         |    4 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    9 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |    4 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |    6 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   25 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    2 +
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    3 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   17 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |    1 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |    7 +-
 drivers/gpu/drm/i915/i915_active.c                 |    2 +
 drivers/gpu/drm/i915/i915_active.h                 |    2 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  214 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   42 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  121 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   36 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   14 -
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |    6 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  215 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    5 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  124 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    5 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  485 +----
 drivers/gpu/drm/i915/i915_irq.h                    |   12 -
 drivers/gpu/drm/i915/i915_params.c                 |    9 +-
 drivers/gpu/drm/i915/i915_params.h                 |    5 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    7 +
 drivers/gpu/drm/i915/i915_perf.c                   |  417 +++-
 drivers/gpu/drm/i915/i915_perf_types.h             |   31 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   47 +-
 drivers/gpu/drm/i915/i915_priolist_types.h         |    7 +
 drivers/gpu/drm/i915/i915_reg.h                    |  206 +-
 drivers/gpu/drm/i915/i915_request.c                |   10 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |   37 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   74 +-
 drivers/gpu/drm/i915/i915_utils.c                  |   43 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   34 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   69 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    2 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   13 +
 drivers/gpu/drm/i915/intel_device_info.h           |    3 +
 drivers/gpu/drm/i915/intel_memory_region.c         |   59 +
 drivers/gpu/drm/i915/intel_memory_region.h         |    9 +
 drivers/gpu/drm/i915/intel_pch.c                   |    4 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    1 +
 drivers/gpu/drm/i915/intel_pm.c                    | 2126 ++------------------
 drivers/gpu/drm/i915/intel_pm.h                    |   24 -
 drivers/gpu/drm/i915/intel_region_lmem.c           |  132 ++
 drivers/gpu/drm/i915/intel_region_lmem.h           |   16 +
 drivers/gpu/drm/i915/oa/i915_oa_tgl.c              |  121 ++
 drivers/gpu/drm/i915/oa/i915_oa_tgl.h              |   16 +
 drivers/gpu/drm/i915/selftests/i915_active.c       |   48 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   33 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  100 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |    6 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +
 drivers/gpu/drm/i915/selftests/i915_perf.c         |    3 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |    4 +
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |   15 +-
 drivers/gpu/drm/i915/selftests/igt_live_test.c     |    7 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |  342 ++++
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   54 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   18 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    1 +
 drivers/gpu/drm/i915/selftests/mock_region.c       |    3 +-
 include/uapi/drm/i915_drm.h                        |   15 +
 172 files changed, 8936 insertions(+), 5163 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/Kconfig.unstable
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_lmem.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_lmem.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.h
 delete mode 100644 drivers/gpu/drm/i915/gt/intel_hangcheck.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ring.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ring.h
 rename drivers/gpu/drm/i915/gt/{intel_ringbuffer.c => intel_ring_submission.c} (88%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ring_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rps.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rps.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rps_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine_heartbeat.c
 create mode 100644 drivers/gpu/drm/i915/intel_region_lmem.c
 create mode 100644 drivers/gpu/drm/i915/intel_region_lmem.h
 create mode 100644 drivers/gpu/drm/i915/oa/i915_oa_tgl.c
 create mode 100644 drivers/gpu/drm/i915/oa/i915_oa_tgl.h
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
  2019-11-01 10:47 Joonas Lahtinen
@ 2019-11-01 10:47 ` Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2019-11-01 10:47 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave & Daniel,

Here goes the final feature pull request for 5.5. 

Adding a new uAPI to support cleanup of long running workloads
when process is killed.

Adds fake lmem region code under DRM_I915_UNSTABLE Kconfig
protection to make iGFX behave like local memory device. For
testing non-mappable GGTT behavior and running kernel LMEM
selftests.

Still plenty of Tigerlake support patches, including perf
support. Adds HuC firmware for TGL. Adds a couple of new
PCH-CPU combos, SPT-AML CNL-CML.

Adds support for half float framebuffers, fix for Bugzilla
#112084: VGA monitor not working. Adds prep code for LMEM and
is_dgfx flag to device info for upcoming platforms.

Kconfig configurable timeouts for pre-emption, reset and
timeslicing.

We've cleaned up all i915 kerneldoc warnings and our CI will
report any new additions going forward.

Regards, Joonas

drm-intel-next-2019-11-01-1:

Driver Changes:

- Check against i915_selftest only under CONFIG_SELFTEST (Chris)
- Refine occupancy test in kill_context() (Chris)
- Start kthreads before stopping (Chris)

drm-intel-next-2019-11-01:

UAPI Changes:

- Make context persistence optional
  Allow userspace to tie the context lifetime to FD lifetime,
  effectively allowing Ctrl-C killing of a process to also clean
  up the hardware immediately.
  Compute changes: https://github.com/intel/compute-runtime/pull/228
  The compute driver is shipping in Ubuntu. uAPI acked by Mesa folks.

- Put future HW and their uAPIs under STAGING & BROKEN
  Introduces DRM_I915_UNSTABLE Kconfig menu for working on the new
  uAPI for future HW in upstream. We already disable driver loading
  by default the platform is deemed ready. This is a second level
  of protection based on compile time switch (STAGING & BROKEN).

- Under DRM_I915_UNSTABLE: Add the fake lmem region on iGFX
  Fake local memory region on integrated GPU through cmdline:
  memmap=2G$16G i915.fake_lmem_start=0x400000000
  Currently allows testing non-mappable GGTT behavior and running
  kernel selftest for local memory.

Driver Changes:

- Fix Bugzilla #112084: VGA external monitor not working (Ville)
- Add support for half float framebuffers (Ville)
- Add perf support on TGL (Lionel)
- Replace hangcheck by heartbeats (Chris)
- Allow SPT PCH on all AML devices (James)
- Add new CNL PCH for CML platform (Imre)
- Allow 100 ms (Kconfig) for workloads to exit before reset (Chris, Jon, Joonas)
- Forcibly pre-empt a context after 100 ms (Kconfig) of delay  (Chris)
- Make timeslice duration Kconfig configurable (Chris)
- Whitelist PS_(DEPTH|INVOCATION)_COUNT for Tigerlake (Tapani)
- Support creating LMEM objects in kernel (Matt A)
- Adjust the location of RING_MI_MODE in the context image for TGL (Chris)
- Handle AUX interrupts for TC ports (Matt R)
- Add support for devices without mappable GGTT aperture (Daniele)
- Rename "inject_load_failure" module parameter to "inject_probe_failure" (Janusz)
- Handle fused off HDCP, FBC, DMC and DSC (Jose)
- Add support to one DP-MST stream on Tigerlake (Lucas)
- Add HuC firmware (and GuC) for TGL (Daniele)
- Allow ICL+ DSI on any pipe (Ville)

- Check some transcoder timing minimum limits (Ville)
- Don't set queue_priority_hint if we don't kick the submission (Chris)
- Introduce barrier pulses along engines to flush idle/in-flight requests (Chris)
- Drop assertion that ce->pin_mutex guards state updates (Chris)
- Cancel banned contexts on schedule-out (Chris)
- Cancel contexts when hangchecking is disabled (Chris)
- Catch GTT fault errors for gen11+ planes (Matt R)
- Print in debugfs if PSR is not enabled because of sink (Jose)
- Do not set MOCS control values on dgfx (Lucas)
- Setup io-mapping for LMEM (Abdiel)
- Support kernel mapping of LMEM objects (Abdiel)
- Add LMEM selftests (Matt A)
- Initialise PMU spinlock before registering (Chris)
- Clear DKL_TX_PMD_LANE_SUS before program TC voltage swing (Jose)
- Flip interpretation of ips fmin/fmax to max rps (Chris)
- Add VBT compression parameter block definition (Jani)
- Limit the blitter sizes to ensure low preemption latency (Chris)
- Fixup block_size rounding on BLT (Matt A)
- Don't try to place HWS in non-existing mappable region (Michal Wa)
- Don't allocate the ring in stolen if we lack aperture (Matt A)
- Add AUX B & C to DC_OFF_POWER_DOMAINS for Tigerlake (Matt R)
- Avoid HPD poll detect triggering a new detect cycle (Imre)
- Document the userspace fail with possible_crtcs (Ville)
- Drop lrc header page now unused by GuC (Daniele)
- Do not switch aux to TBT mode for non-TC ports (Jose)

- Restructure code to avoid depending on i915 but smaller structs (Chris, Tvrtko, Andi)
- Remove pm park/unpark notifications (Chris)
- Avoid lockdep cross-contamination between object types (Chris)
- Restructure DSC code (Jani)
- Fix dead locking in early workload shadow (Zhenyu)
- Split the legacy submission backend from the common CS ring buffer (Chris)
- Move intel_engine_context_in/out into intel_lrc.c (Tvrtko)
- Describe perf/wakeref structure members in documentation (Anna)
- Update renamed header files names in documentation (Anna)
- Add debugs to distingiush a cd2x update from a full cdclk pll update (Ville)
- Rework atomic global state locking (Ville)
- Allow planes to declare their minimum acceptable cdclk (Ville)
- Eliminate skl_check_pipe_max_pixel_rate() and simplify skl_max_scale() (Ville)
- Making loglevel of PSR2/SU logs same (Ap)
- Capture aux page table error register (Lionel)
- Add is_dgfx to device info (Jose)
- Split gen11_irq_handler to make it shareable (Lucas)
- Encapsulate kconfig constant values inside boolean predicates (Chris)
- Split memory_region initialisation into its own file (Chris)
- Use _PICK() for CHICKEN_TRANS() and add CHICKEN_TRANS_D (Ville)
- Add perf helper macros for comparing with whitelisted registers (Umesh)
- Fix i915_inject_load_error() name to read *_probe_* (Janusz)
- Drop unused AUX register offsets (Matt R)
- Provide more information on DP AUX failures (Matt R)
- Add GAM/SFC instdone to error state (Mika)
- Always track callers to intel_rps_mark_interactive() (Chris)
- Nuke 'mode' argument to intel_get_load_detect_pipe() (Ville)
- Simplify LVDS crtc_mask and pipe_mask setup (Ville)
- Stop frobbing crtc->base.mode (Ville)
- Do s/crtc_mask/pipe_mask/ (Ville)
- Split detaching and removing the vma (Chris)

- Selftest improvements (Chris, Tvrtko, Mika, Matt A, Lionel)
- GuC code improvements (Rob, Andi, Daniele)

The following changes since commit ce53908bba6fa6e905d8fe81da4591d3e7a65878:

  drm/i915: Update DRIVER_DATE to 20191021 (2019-10-21 12:56:07 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-11-01-1

for you to fetch changes up to 1883e2999f045e1fd6f76a7f30288a5312085289:

  drm/i915: Update DRIVER_DATE to 20191101 (2019-11-01 12:41:13 +0200)

----------------------------------------------------------------
Driver Changes:

- Check against i915_selftest only under CONFIG_SELFTEST (Chris)
- Refine occupancy test in kill_context() (Chris)
- Start kthreads before stopping (Chris)

----------------------------------------------------------------
Abdiel Janulgue (2):
      drm/i915: setup io-mapping for LMEM
      drm/i915/lmem: support kernel mapping

Andi Shyti (2):
      drm/i915: Extract GT render power state management
      drm/i915: Extract the GuC interrupt handlers

Anna Karas (4):
      drm/i915/perf: Describe structure members in documentation
      doc: Update header files names
      drm/i915: Describe structure member in documentation
      drm/i915/tgl: Fix doc not corresponding to code

Ap Kamal (1):
      drm/i915: Making loglevel of PSR2/SU logs same.

Chris Wilson (52):
      drm/i915: Don't set queue_priority_hint if we don't kick the submission
      drm/i915/selftests: Use all physical engines for i915_active
      drm/i915/gt: Introduce barrier pulses along engines
      drm/i915: Lift i915_vma_parked() onto the gt
      drm/i915: Remove pm park/unpark notifications
      drm/i915/selftests: Set vm->gt backpointer for mock_ppgtt
      drm/i915/selftests: Make the mman object busy everywhere
      drm/i915: Drop assertion that ce->pin_mutex guards state updates
      drm/i915/gem: Distinguish each object type
      drm/i915: Teach record_defaults to operate on the intel_gt
      drm/i915/selftests: Teach switch_to_context() to use the context
      drm/i915/selftests: Move uncore fw selftests to operate on intel_gt
      drm/i915/selftests: Synchronize checking active status with retirement
      drm/i915/selftests: Release ctx->engine_mutex after iteration
      drm/i915/gt: Try to more gracefully quiesce the system before resets
      drm/i915/execlists: Force preemption
      drm/i915/execlists: Cancel banned contexts on schedule-out
      drm/i915/gem: Cancel contexts when hangchecking is disabled
      drm/i915/gt: Replace hangcheck by heartbeats
      drm/i915/selftests: Flush interrupts before disabling tasklets
      drm/i915/selftests: Flush any i915_active callback work as well
      drm/i915/gt: Split intel_ring_submission
      drm/i915/selftests: Tweak the default subtest runtime
      drm/i915/selftests: Force ordering of context switches
      drm/i915/pmu: Initialise the spinlock before registering
      drm/i915: Encapsulate kconfig constant values inside boolean predicates
      drm/i915/tgl: Adjust the location of RING_MI_MODE in the context image
      drm/i915: Split memory_region initialisation into its own file
      drm/i915: Put future HW and their uAPIs under STAGING & BROKEN
      drm/i915/rps: Flip interpretation of ips fmin/fmax to max rps
      drm/i915/selftests: Measure basic throughput of blit routines
      drm/i915/selftests: Drop global engine lookup for gt selftests
      drm/i915/selftests: Check all blitter engines for client blt
      drm/i915/selftests: Use a random engine for GEM coherency tests
      drm/i915/gt: Tidy up rps irq handler to use intel_gt
      drm/i915/selftests: Select a random engine for testing memory regions
      drm/i915/selftests: Exercise adjusting rpcs over all render-class engines
      drm/i915/selftests: Check a few more fixed locations within the context image
      drm/i915/execlists: Simply walk back along request timeline on reset
      drm/i915/selftests: Initialise err in case there are no engines!
      drm/i915/selftests: Initialise ret
      drm/i915/display: Mark conn as initialised by iterator
      drm/i915/gem: Limit the blitter sizes to ensure low preemption latency
      drm/i915/gt: Make timeslice duration configurable
      drm/i915/gem: Make context persistence optional
      drm/i915/gt: Always track callers to intel_rps_mark_interactive()
      drm/i915/selftests: Assert that the idle_pulse is sent
      drm/i915/selftests: Pretty print the i915_active
      drm/i915: Split detaching and removing the vma
      drm/i915/gem: Refine occupancy test in kill_context()
      drm/i915/lmem: Check against i915_selftest only under CONFIG_SELFTEST
      drm/i915/selftests: Start kthreads before stopping

Daniele Ceraolo Spurio (7):
      drm/i915: define i915_ggtt_has_aperture
      drm/i915: do not map aperture if it is not available.
      drm/i915: set num_fence_regs to 0 if there is no aperture
      drm/i915: error capture with no ggtt slot
      drm/i915/uc: define GuC and HuC binaries for TGL
      drm/i915: drop lrc header page
      drm/i915/guc: drop guc shared area

Imre Deak (2):
      drm/i915: Add new CNL PCH ID seen on a CML platform
      drm/i915: Avoid HPD poll detect triggering a new detect cycle

James Ausmus (1):
      drm/i915/aml: Allow SPT PCH for all AML devices

Jani Nikula (3):
      drm/i915/dsc: rename crtc state dsc_params member to dsc
      drm/i915/dsc: move crtc state dp_dsc_cfg member under dsc as config
      drm/i915/bios: add compression parameter block definition

Janusz Krzysztofik (2):
      drm/i915: Fix i915_inject_load_error() name to read *_probe_*
      drm/i915: Rename "inject_load_failure" module parameter

Joonas Lahtinen (2):
      drm/i915: Update DRIVER_DATE to 20191101
      drm/i915: Update DRIVER_DATE to 20191101

José Roberto de Souza (9):
      drm/i915/display/psr: Print in debugfs if PSR is not enabled because of sink
      drm/i915: Add is_dgfx to device info
      drm/i915/tc: Clear DKL_TX_PMD_LANE_SUS before program voltage swing
      drm/i915: Add two spaces before the SKL_DFSM registers
      drm/i915/display: Handle fused off HDCP
      drm/i915/display: Check if FBC is fused off
      drm/i915/display/icl+: Check if DMC is fused off
      drm/i915/display/cnl+: Handle fused off DSC
      drm/i915/dp: Do not switch aux to TBT mode for non-TC ports

Lionel Landwerlin (3):
      drm/i915: capture aux page table error register
      drm/i915/tgl: Add perf support on TGL
      drm/i915/perf: ensure selftests select valid format

Lucas De Marchi (3):
      drm/i915: do not set MOCS control values on dgfx
      drm/i915: split gen11_irq_handler to make it shareable
      drm/i915/tgl: add support to one DP-MST stream

Matt Roper (5):
      drm/i915: Catch GTT fault errors for gen11+ planes
      drm/i915/tgl: Handle AUX interrupts for TC ports
      drm/i915: Drop unused AUX register offsets
      drm/i915/tgl: Add AUX B & C to DC_OFF_POWER_DOMAINS
      drm/i915: Provide more information on DP AUX failures

Matthew Auld (10):
      drm/i915: support creating LMEM objects
      drm/i915/selftests: add write-dword test for LMEM
      drm/i915/selftests: extend coverage to include LMEM huge-pages
      drm/i915/selftests: prefer random sizes for the huge-GTT-page smoke tests
      drm/i915/selftests: add sanity selftest for huge-GTT-pages
      drm/i915/selftests/blt: add some kthreads into the mix
      drm/i915/blt: fixup block_size rounding
      drm/i915: don't allocate the ring in stolen if we lack aperture
      drm/i915/selftests: check for missing aperture
      drm/i915/lmem: add the fake lmem region

Michal Wajdeczko (2):
      drm/i915/execlists: Use vfunc to check engine submission mode
      drm/i915: Don't try to place HWS in non-existing mappable region

Mika Kuoppala (3):
      drm/i915: Remove nonpriv flags when srm/lrm
      drm/i915/tgl: Add SFC instdone to error state
      drm/i915/tgl: Add gam instdone

Robert M. Fosha (2):
      drm/i915/guc: Enable guc logging on guc log relay write
      drm/i915/guc: Update H2G enable logging action definition

Stuart Summers (1):
      drm/i915: add new gen12 dgfx platform macro

Tapani Pälli (1):
      drm/i915/tgl: whitelist PS_(DEPTH|INVOCATION)_COUNT

Tvrtko Ursulin (13):
      drm/i915: Pass intel_gt to intel_engines_init_mmio
      drm/i915: Pass intel_gt to intel_setup_engine_capabilities
      drm/i915: Pass intel_gt to intel_engines_cleanup
      drm/i915: Pass intel_gt to intel_engines_setup
      drm/i915: Pass intel_gt to intel_engines_init
      drm/i915: Pass intel_gt to intel_engines_verify_workarounds
      drm/i915: Split drop caches into GT and i915 parts
      drm/i915/selftests: Convert eviction selftests to gt/ggtt
      drm/i915/selftests: Use GT engines in mock_gem_device
      drm/i915/selftests: Use GT engines in igt_live_test
      drm/i915/selftests: Use for_each_uabi_engine in contex selftests
      drm/i915: Convert PAT setup to uncore mmio
      drm/i915: Move intel_engine_context_in/out into intel_lrc.c

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Add helper macros for comparing with whitelisted registers

Ville Syrjälä (22):
      drm/i915: Check some transcoder timing minimum limits
      drm/i915: Add debugs to distingiush a cd2x update from a full cdclk pll update
      drm/i915: Rework global state locking
      drm/i915: Move check_digital_port_conflicts() earier
      drm/i915: Allow planes to declare their minimum acceptable cdclk
      drm/i915: Eliminate skl_check_pipe_max_pixel_rate()
      drm/i915: Simplify skl_max_scale()
      drm/i915: Add support for half float framebuffers for skl+
      drm/i915: Add support for half float framebuffers for gen4+ primary planes
      drm/i915: Add support for half float framebuffers for ivb+ sprites
      drm/i915: Add support for half float framebuffers on snb sprites
      drm/i915: Fix PCH reference clock for FDI on HSW/BDW
      drm/i915: Use _PICK() for CHICKEN_TRANS()
      drm/i915: Add CHICKEN_TRANS_D
      drm/i915: Fix i845/i865 cursor width
      drm/i915: Nuke 'mode' argument to intel_get_load_detect_pipe()
      drm/i915: Stop frobbing crtc->base.mode
      drm/i915: Simplify LVDS crtc_mask setup
      drm/i915: s/crtc_mask/pipe_mask/
      drm/i915: Allow ICL+ DSI on any pipe
      drm/i915: Simplify pipe_mask setup even further
      drm/i915/mst: Document the userspace fail with possible_crtcs

Zhenyu Wang (1):
      drm/i915/gvt: fix dead locking in early workload shadow

 Documentation/gpu/i915.rst                         |    6 +-
 drivers/gpu/drm/i915/Kconfig                       |    6 +
 drivers/gpu/drm/i915/Kconfig.debug                 |    1 +
 drivers/gpu/drm/i915/Kconfig.profile               |   49 +
 drivers/gpu/drm/i915/Kconfig.unstable              |   29 +
 drivers/gpu/drm/i915/Makefile                      |   15 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |    2 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   44 +
 drivers/gpu/drm/i915/display/intel_atomic.h        |    5 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   39 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    2 +
 drivers/gpu/drm/i915/display/intel_audio.c         |   10 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |  123 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   13 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   25 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  389 +++-
 drivers/gpu/drm/i915/display/intel_display.h       |    3 -
 drivers/gpu/drm/i915/display/intel_display_power.c |    2 +
 drivers/gpu/drm/i915/display/intel_display_types.h |   20 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |   59 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   13 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   15 +
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |    9 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |    1 +
 drivers/gpu/drm/i915/display/intel_psr.c           |   28 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |  428 +++-
 drivers/gpu/drm/i915/display/intel_sprite.h        |    7 +
 drivers/gpu/drm/i915/display/intel_tv.c            |    4 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |   52 +
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   74 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    6 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  210 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   15 +
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_dmabuf.c         |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_internal.c       |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_lmem.c           |   99 +
 drivers/gpu/drm/i915/gem/i915_gem_lmem.h           |   37 +
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |    5 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   41 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |   35 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.h             |    3 -
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |    3 +-
 .../gpu/drm/i915/gem/selftests/huge_gem_object.c   |    3 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  319 ++-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   30 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |  174 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  178 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   34 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  350 +++-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |    2 +
 drivers/gpu/drm/i915/gt/intel_context.c            |    1 +
 drivers/gpu/drm/i915/gt/intel_context.h            |    1 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |  227 +--
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   88 +-
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c   |  234 +++
 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.h   |   23 +
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |    8 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   55 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   16 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    4 -
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |    5 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   42 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |    6 -
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |   13 +-
 drivers/gpu/drm/i915/gt/intel_hangcheck.c          |  361 ----
 drivers/gpu/drm/i915/gt/intel_llc.c                |    2 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  382 +++-
 drivers/gpu/drm/i915/gt/intel_lrc.h                |   27 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |    7 +
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |    1 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |    3 +-
 drivers/gpu/drm/i915/gt/intel_ring.c               |  323 +++
 drivers/gpu/drm/i915/gt/intel_ring.h               |  131 ++
 ...{intel_ringbuffer.c => intel_ring_submission.c} |  309 +--
 drivers/gpu/drm/i915/gt/intel_ring_types.h         |   51 +
 drivers/gpu/drm/i915/gt/intel_rps.c                | 1872 +++++++++++++++++
 drivers/gpu/drm/i915/gt/intel_rps.h                |   38 +
 drivers/gpu/drm/i915/gt/intel_rps_types.h          |   93 +
 drivers/gpu/drm/i915/gt/intel_timeline.c           |    6 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   21 +
 drivers/gpu/drm/i915/gt/mock_engine.c              |    1 +
 drivers/gpu/drm/i915/gt/selftest_context.c         |    3 -
 .../gpu/drm/i915/gt/selftest_engine_heartbeat.c    |  350 ++++
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |   28 +-
 drivers/gpu/drm/i915/gt/selftest_llc.c             |    7 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  536 ++++-
 drivers/gpu/drm/i915/gt/selftest_reset.c           |    2 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |    1 +
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   10 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |  139 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |    2 -
 drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h        |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |   53 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.h         |    4 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |    9 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |    4 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |    6 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   25 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    2 +
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    3 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   17 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |    1 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |    7 +-
 drivers/gpu/drm/i915/i915_active.c                 |    2 +
 drivers/gpu/drm/i915/i915_active.h                 |    2 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  214 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   42 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  121 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   36 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   14 -
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |    6 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  215 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    5 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  124 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    5 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  485 +----
 drivers/gpu/drm/i915/i915_irq.h                    |   12 -
 drivers/gpu/drm/i915/i915_params.c                 |    9 +-
 drivers/gpu/drm/i915/i915_params.h                 |    5 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    7 +
 drivers/gpu/drm/i915/i915_perf.c                   |  417 +++-
 drivers/gpu/drm/i915/i915_perf_types.h             |   31 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   47 +-
 drivers/gpu/drm/i915/i915_priolist_types.h         |    7 +
 drivers/gpu/drm/i915/i915_reg.h                    |  206 +-
 drivers/gpu/drm/i915/i915_request.c                |   10 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |   37 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   74 +-
 drivers/gpu/drm/i915/i915_utils.c                  |   43 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   34 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   69 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    2 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   13 +
 drivers/gpu/drm/i915/intel_device_info.h           |    3 +
 drivers/gpu/drm/i915/intel_memory_region.c         |   59 +
 drivers/gpu/drm/i915/intel_memory_region.h         |    9 +
 drivers/gpu/drm/i915/intel_pch.c                   |    4 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    1 +
 drivers/gpu/drm/i915/intel_pm.c                    | 2126 ++------------------
 drivers/gpu/drm/i915/intel_pm.h                    |   24 -
 drivers/gpu/drm/i915/intel_region_lmem.c           |  132 ++
 drivers/gpu/drm/i915/intel_region_lmem.h           |   16 +
 drivers/gpu/drm/i915/oa/i915_oa_tgl.c              |  121 ++
 drivers/gpu/drm/i915/oa/i915_oa_tgl.h              |   16 +
 drivers/gpu/drm/i915/selftests/i915_active.c       |   48 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   33 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  100 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |    6 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +
 drivers/gpu/drm/i915/selftests/i915_perf.c         |    3 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |    4 +
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |   15 +-
 drivers/gpu/drm/i915/selftests/igt_live_test.c     |    7 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |  342 ++++
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   54 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   18 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    1 +
 drivers/gpu/drm/i915/selftests/mock_region.c       |    3 +-
 include/uapi/drm/i915_drm.h                        |   15 +
 172 files changed, 8936 insertions(+), 5163 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/Kconfig.unstable
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_lmem.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_lmem.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_heartbeat.h
 delete mode 100644 drivers/gpu/drm/i915/gt/intel_hangcheck.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ring.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ring.h
 rename drivers/gpu/drm/i915/gt/{intel_ringbuffer.c => intel_ring_submission.c} (88%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_ring_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rps.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rps.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rps_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine_heartbeat.c
 create mode 100644 drivers/gpu/drm/i915/intel_region_lmem.c
 create mode 100644 drivers/gpu/drm/i915/intel_region_lmem.h
 create mode 100644 drivers/gpu/drm/i915/oa/i915_oa_tgl.c
 create mode 100644 drivers/gpu/drm/i915/oa/i915_oa_tgl.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-10-21 18:03 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2019-10-21 18:03 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave & Daniel,

Another feature pull, I'll send the final one next week.

New uAPI for OA features to support VK_INTEL_performance_query,
Mesa changes are at:

https://gitlab.freedesktop.org/mesa/mesa/merge_requests/932

Fixes for black/blue screen issues, Tigerlake enabling,
HDR for DP enabling (including drm-next backmerge). Transcoder
Port Sync for Icelake tiled displays.

This also has base intel_memory_region work that is prep for
local memory.

Regards, Joonas

***

drm-intel-next-2019-10-21:

UAPI Changes:

- Introduce a versioning of the i915-perf uapi (Lionel)
- Add support for perf configuration queries (Lionel)

  Allow listing perf configurations with IOCTL in addition
  to sysfs. This is useful in container usecases.

- Allow dynamic reconfiguration of the OA stream (Chris)

  Allows the OA stream to be reconfigured between
  batch buffers, giving greater flexibility in sampling.

- Allow holding preemption on filtered perf ctx

  Allow CAP_ADMIN to block pre-emption of a context
  to query performance counters without disturbances.

  Mesa changes: https://gitlab.freedesktop.org/mesa/mesa/merge_requests/932

Cross-subsystem Changes:

- drm-next backmerge for HDR DP changes
  https://lists.freedesktop.org/archives/dri-devel/2019-September/236453.html

Driver Changes:

- Add DC3CO sleep state for Tigerlake (Anshuman)
- Tigerlake BCS engine support engine relative MMIO (Daniele)
- Simplify the Tigerlake LRC register list for !RCS (Daniele)
- Read SAGV block time from PCODE on Tigerlake (James)
- Add 12 missing Tigerlake workarounds (Mika)
- Enable DDI/Port G for Tigerlake (Khaled)

- Avoid hang in tsg,vfe units by keeping l3 clocks ICL+(Mika)
- Fix Bugzilla #111966: Favor last VBT child device (Ville)
- Fix blue/black screen on boot due to broken gamma (Swati)
- Add support of BT.2020 Colorimetry to DP MSA (Gwan-gyeong)
- Attach colorspace property to DP connector (Gwan-gyeong)
- Attach HDR metadata property to DP connector (Gwan-gyeong)
- Base intel_memory_region support prep for local memory (Matt A)
- Introduce Jasper Lake PCH (Matt R)
- Support multiple GPUs in PMU (Tvrtko)
- Fix MST oops due to MSA changes (Ville)
- Refuse modes with hdisplay==4096 on pre-HSW DP (Ville)
- Correct the PCH type in irq postinstall for JSP (Vivek)
- Save Master transcoder in slave's crtc_state for Transcoder Port Sync (Manasi)
- Enable TRANSCODER PORT SYNC for tiled displays across separate ports (Manasi)
- HW state readout for transcoder port sync config (Manasi)
- Enable master-slaves in trans port sync (Manasi)
- In port sync mode disable slaves first then master (Manasi)
- Fix port checks for MST support on gen >= 11 (Lucas)

- Flush submission tasklet before waiting/retiring (Chris)
- Flush tasklet submission before sleeping on i915_request_wait (Chris)
- Object pin reference counting fixes (Chris, Matt A)
- Clear semaphore immediately upon ELSP promotion (Chris)
- Child device size remains unchanged through VBT 229 (Matt R)
- Restore dropped 'interruptible' flag on retiring requests (Chris)
- Treat a busy timeline as 'active' while waiting (Chris)
- Clean up struct_mutex from perf (Chris)
- Update locking around execlists->active (Chris)
- Mark up expected execlist state during reset (Chris)
- Remove cursor use of properties for coordinates (Maarten)
- Only mark incomplete requests as -EIO on cancelling (Chris)
- Add an rcu_barrier option to i915_drop_caches (Chris)
- Replace perf global wakeref tracking with engine-pm (Chris)
- Prevent merging requests with conflicting flags (Chris)
- Allow for CS OA configs to be created lazily (Lionel)
- Implement active wait for noa configurations (Lionel)
- Execute OA configuration from command stream (Lionel)
- Prefer using the pinned_ctx for emitting delays on config (Chris)
- Port C's hotplug interrupt is associated with TC1 bits (Vivek, Matt R)
- Extend program of VSC Header and DB for Colorimetry Format (Gwan-gyeong)
- Fine-tune timeslicing of contexts (Chris)
- Do initial mocs configuration directly (Chris)
- Fix uninitialized variable on PMU error path (Tvrtko)
- Don't disable interrupts independently of the locking (Sebastian)
- Eliminate struct_mutext from GVT (Chris)

- Move perf types to their own header (Lionel)
- Drop list of perf streams (always size 1) (Lionel)
- Store the perf associated engine of a stream (Lionel)
- Make array hw_engine_mask static (Colin)
- Prefer shortest path to RPM/perf/GT instead of dev_priv (Chris, Tvrtko)
- Virtual request submission fixes (Chris)
- Selftest/CI improvements (Chris)
- Fix Kconfig indentation (Krzysztof)
- Give engine->kernel_context distinct timeline lock classes (Chris)
- Fix null pointer deref on selftest error path (Colin)
- Select DPLL's via mask (Matt R)
- Introduce and use intel_atomic_crtc_state_for_each_plane_state (Maarten)
- Use intel_plane_state in prepare and cleanup plane_fb (Maarten)
- Remove begin/finish_crtc_commit (Maarten)
- Move SAGV block time to dev_priv (James)
- Avoid polluting the i915_oa_config with error pointers (Chris)
- Squelch display kerneldoc warnings (Chris)
- Assert tasklet is locked for process_csb() (Chris)
- Switch to using DP_MSA_MISC_* defines (Ville)
- Stop using drm_atomic_helper_check_planes() (Ville)
- Make .modeset_calc_cdclk() mandatory (Ville)
- Use drm_rect_translate_to()/drm_rect_init() (Ville)
- Refactor timestamping constants update (Ville)
- Switch intel_legacy_cursor_update() to intel_ types (Ville)
- Prepare the connector/encoder mask readout for hw vs. uapi state split (Ville)
- Prepare the mode readout for hw vs. uapi state split (Ville)
- Move swizzle_bit under i915_ggtt (Chris)
- Improve microcontrollers documentation (Daniele)
- Move the cursor rotation handling into intel_cursor_check_surface() (Ville)
- Cleanups to pipe code (Ville)
- Shrink eDRAM ways/sets arrays for code size (Ville)
- Cleanups to HDCP2 timeout code (Ville)
- Restore full symmetry in i915_driver_modeset_probe/remove (Janusz)
- Simplify setting of ddi_io_power_domain (Lucas)
- Add pipe id/name to pipe mismatch logs (Lucas)
- Prettify MST debug message (Lucas)
- Extract GT ring management to separate files (Andi)

The following changes since commit 7ed093602e0e1b60a0fc074a9692687e7d2b723d:

  Merge tag 'drm-misc-next-2019-10-09-2' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2019-10-11 09:30:53 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-10-21

for you to fetch changes up to ce53908bba6fa6e905d8fe81da4591d3e7a65878:

  drm/i915: Update DRIVER_DATE to 20191021 (2019-10-21 12:56:07 +0300)

----------------------------------------------------------------
UAPI Changes:

- Introduce a versioning of the i915-perf uapi (Lionel)
- Add support for perf configuration queries (Lionel)

  Allow listing perf configurations with IOCTL in addition
  to sysfs. This is useful in container usecases.

- Allow dynamic reconfiguration of the OA stream (Chris)

  Allows the OA stream to be reconfigured between
  batch buffers, giving greater flexibility in sampling.

- Allow holding preemption on filtered perf ctx

  Allow CAP_ADMIN to block pre-emption of a context
  to query performance counters without disturbances.

  Mesa changes: https://gitlab.freedesktop.org/mesa/mesa/merge_requests/932

Cross-subsystem Changes:

- drm-next backmerge for HDR DP changes
  https://lists.freedesktop.org/archives/dri-devel/2019-September/236453.html

Driver Changes:

- Add DC3CO sleep state for Tigerlake (Anshuman)
- Tigerlake BCS engine support engine relative MMIO (Daniele)
- Simplify the Tigerlake LRC register list for !RCS (Daniele)
- Read SAGV block time from PCODE on Tigerlake (James)
- Add 12 missing Tigerlake workarounds (Mika)
- Enable DDI/Port G for Tigerlake (Khaled)

- Avoid hang in tsg,vfe units by keeping l3 clocks ICL+(Mika)
- Fix Bugzilla #111966: Favor last VBT child device (Ville)
- Fix blue/black screen on boot due to broken gamma (Swati)
- Add support of BT.2020 Colorimetry to DP MSA (Gwan-gyeong)
- Attach colorspace property to DP connector (Gwan-gyeong)
- Attach HDR metadata property to DP connector (Gwan-gyeong)
- Base intel_memory_region support prep for local memory (Matt A)
- Introduce Jasper Lake PCH (Matt R)
- Support multiple GPUs in PMU (Tvrtko)
- Fix MST oops due to MSA changes (Ville)
- Refuse modes with hdisplay==4096 on pre-HSW DP (Ville)
- Correct the PCH type in irq postinstall for JSP (Vivek)
- Save Master transcoder in slave's crtc_state for Transcoder Port Sync (Manasi)
- Enable TRANSCODER PORT SYNC for tiled displays across separate ports (Manasi)
- HW state readout for transcoder port sync config (Manasi)
- Enable master-slaves in trans port sync (Manasi)
- In port sync mode disable slaves first then master (Manasi)
- Fix port checks for MST support on gen >= 11 (Lucas)

- Flush submission tasklet before waiting/retiring (Chris)
- Flush tasklet submission before sleeping on i915_request_wait (Chris)
- Object pin reference counting fixes (Chris, Matt A)
- Clear semaphore immediately upon ELSP promotion (Chris)
- Child device size remains unchanged through VBT 229 (Matt R)
- Restore dropped 'interruptible' flag on retiring requests (Chris)
- Treat a busy timeline as 'active' while waiting (Chris)
- Clean up struct_mutex from perf (Chris)
- Update locking around execlists->active (Chris)
- Mark up expected execlist state during reset (Chris)
- Remove cursor use of properties for coordinates (Maarten)
- Only mark incomplete requests as -EIO on cancelling (Chris)
- Add an rcu_barrier option to i915_drop_caches (Chris)
- Replace perf global wakeref tracking with engine-pm (Chris)
- Prevent merging requests with conflicting flags (Chris)
- Allow for CS OA configs to be created lazily (Lionel)
- Implement active wait for noa configurations (Lionel)
- Execute OA configuration from command stream (Lionel)
- Prefer using the pinned_ctx for emitting delays on config (Chris)
- Port C's hotplug interrupt is associated with TC1 bits (Vivek, Matt R)
- Extend program of VSC Header and DB for Colorimetry Format (Gwan-gyeong)
- Fine-tune timeslicing of contexts (Chris)
- Do initial mocs configuration directly (Chris)
- Fix uninitialized variable on PMU error path (Tvrtko)
- Don't disable interrupts independently of the locking (Sebastian)
- Eliminate struct_mutext from GVT (Chris)

- Move perf types to their own header (Lionel)
- Drop list of perf streams (always size 1) (Lionel)
- Store the perf associated engine of a stream (Lionel)
- Make array hw_engine_mask static (Colin)
- Prefer shortest path to RPM/perf/GT instead of dev_priv (Chris, Tvrtko)
- Virtual request submission fixes (Chris)
- Selftest/CI improvements (Chris)
- Fix Kconfig indentation (Krzysztof)
- Give engine->kernel_context distinct timeline lock classes (Chris)
- Fix null pointer deref on selftest error path (Colin)
- Select DPLL's via mask (Matt R)
- Introduce and use intel_atomic_crtc_state_for_each_plane_state (Maarten)
- Use intel_plane_state in prepare and cleanup plane_fb (Maarten)
- Remove begin/finish_crtc_commit (Maarten)
- Move SAGV block time to dev_priv (James)
- Avoid polluting the i915_oa_config with error pointers (Chris)
- Squelch display kerneldoc warnings (Chris)
- Assert tasklet is locked for process_csb() (Chris)
- Switch to using DP_MSA_MISC_* defines (Ville)
- Stop using drm_atomic_helper_check_planes() (Ville)
- Make .modeset_calc_cdclk() mandatory (Ville)
- Use drm_rect_translate_to()/drm_rect_init() (Ville)
- Refactor timestamping constants update (Ville)
- Switch intel_legacy_cursor_update() to intel_ types (Ville)
- Prepare the connector/encoder mask readout for hw vs. uapi state split (Ville)
- Prepare the mode readout for hw vs. uapi state split (Ville)
- Move swizzle_bit under i915_ggtt (Chris)
- Improve microcontrollers documentation (Daniele)
- Move the cursor rotation handling into intel_cursor_check_surface() (Ville)
- Cleanups to pipe code (Ville)
- Shrink eDRAM ways/sets arrays for code size (Ville)
- Cleanups to HDCP2 timeout code (Ville)
- Restore full symmetry in i915_driver_modeset_probe/remove (Janusz)
- Simplify setting of ddi_io_power_domain (Lucas)
- Add pipe id/name to pipe mismatch logs (Lucas)
- Prettify MST debug message (Lucas)
- Extract GT ring management to separate files (Andi)

----------------------------------------------------------------
Abdiel Janulgue (1):
      drm/i915: enumerate and init each supported region

Andi Shyti (1):
      drm/i915: Extract GT ring management

Anshuman Gupta (6):
      drm/i915/tgl: Add DC3CO required register and bits
      drm/i915/tgl: Add DC3CO mask to allowed_dc_mask and gen9_dc_mask
      drm/i915/tgl: Enable DC3CO state in "DC Off" power well
      drm/i915/tgl: Do modeset to enable and configure DC3CO exitline
      drm/i915/tgl: Switch between dc3co and dc5 based on display idleness
      drm/i915/tgl: Add DC3CO counter in i915_dmc_info

Chris Wilson (54):
      drm/i915/gt: Restore dropped 'interruptible' flag
      drm/i915/gt: Prefer local path to runtime powermanagement
      drm/i915/execlists: Fix annotation for decoupling virtual request
      drm/i915/selftests: Appease lockdep
      drm/i915/gt: Treat a busy timeline as 'active' while waiting
      drm/i915/perf: Wean ourselves off dev_priv
      drm/i915/perf: Set the exclusive stream under perf->lock
      drm/i915/execlists: Assign virtual_engine->uncore from first sibling
      drm/i915/selftests: Assign the mock_engine->uncore shortcut
      drm/i915/selftests: Assign the intel_runtime_pm pointer for mock_uncore
      drm/i915/gt: Flush submission tasklet before waiting/retiring
      drm/i915/gt: Give engine->kernel_context distinct timeline lock classes
      drm/i915/selftests: Hold request reference over waits
      drm/i915/execlists: Protect peeking at execlists->active
      drm/i915/gt: execlists->active is serialised by the tasklet
      drm/i915/gt: Warn CI about an unrecoverable wedge
      drm/i915/execlists: Mark up expected state during reset
      drm/i915/selftests: Check that registers are preserved between virtual engines
      drm/i915/perf: Store shortcut to intel_uncore
      drm/i915: Note the addition of timeslicing to the pretend scheduler
      drm/i915/execlists: Leave tell-tales as to why pending[] is bad
      drm/i915/execlists: Only mark incomplete requests as -EIO on cancelling
      drm/i915: Add an rcu_barrier option to i915_drop_caches
      drm/i915/selftests: Serialise write to scratch with its vma binding
      drm/i915/perf: Replace global wakeref tracking with engine-pm
      drm/i915/execlists: Prevent merging requests with conflicting flags
      drm/i915: Mark up "sentinel" requests
      drm/i915/perf: Prefer using the pinned_ctx for emitting delays on config
      drm/i915/perf: Avoid polluting the i915_oa_config with error pointers
      drm/i915/selftests: Fixup naked 64b divide
      drm/i915/display: Squelch kerneldoc warnings
      drm/i915/selftests: Check known register values within the context
      drm/i915/selftests: Check that GPR are cleared for new contexts
      drm/i915/execlists: Tweak virtual unsubmission
      drm/i915/execlists: Assert tasklet is locked for process_csb()
      drm/i915/perf: Allow dynamic reconfiguration of the OA stream
      drm/i915: Drop obj.page_pin_count after a failed vma->set_pages()
      drm/i915: Remove leftover vma->obj->pages_pin_count on insert/remove
      drm/i915/execlists: Clear semaphore immediately upon ELSP promotion
      drm/i915: Flush tasklet submission before sleeping on i915_request_wait
      drm/i915/selftests: Drop stale struct_mutex
      drm/i915/execlist: Trim immediate timeslice expiry
      drm/i915/selftests: Teach execlists to take intel_gt as its argument
      drm/i915/selftests: Teach guc to take intel_gt as its argument
      drm/i915/selftests: Teach workarounds to take intel_gt as its argument
      drm/i915/selftests: Teach timelines to take intel_gt as its argument
      drm/i915: Do initial mocs configuration directly
      drm/i915: Store i915_ggtt as the backpointer on fence registers
      drm/i915: Move swizzle_bit under i915_ggtt
      drm/i915/selftests: Teach requests to use all available engines
      drm/i915/execlists: Don't merely skip submission if maybe timeslicing
      drm/i915/selftests: Add the mock engine to the gt->engine[]
      drm/i915/gt: Convert the leftover for_each_engine(gt)
      drm/i915/gvt: Wean off struct_mutex

Colin Ian King (2):
      drm/i915: make array hw_engine_mask static, makes object smaller
      drm/i915/selftests: fix null pointer dereference on pointer data

Daniele Ceraolo Spurio (5):
      drm/i915/tgl: the BCS engine supports relative MMIO
      drm/i915/tgl: simplify the lrc register list for !RCS
      drm/i915: Add microcontrollers documentation section
      drm/i915/guc: improve documentation
      drm/i915/huc: improve documentation

Gwan-gyeong Mun (6):
      drm/i915/dp: Extend program of VSC Header and DB for Colorimetry Format
      drm/i915/dp: Add support of BT.2020 Colorimetry to DP MSA
      drm/i915/dp: Attach colorspace property
      drm/i915: Add new GMP register size for GEN11
      drm/i915/dp: Program an Infoframe SDP Header and DB for HDR Static Metadata
      drm/i915/dp: Attach HDR metadata property to DP connector

James Ausmus (2):
      drm/i915: Move SAGV block time to dev_priv
      drm/i915/tgl: Read SAGV block time from PCODE

Janusz Krzysztofik (1):
      drm/i915: Restore full symmetry in i915_driver_modeset_probe/remove

Joonas Lahtinen (2):
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20191021

Khaled Almahallawy (1):
      drm/i915/tgl: Enable DDI/Port G

Krzysztof Kozlowski (1):
      drm/i915: Fix Kconfig indentation

Lionel Landwerlin (10):
      drm/i915/perf: move perf types to their own header
      drm/i915/perf: drop list of streams
      drm/i915/perf: store the associated engine of a stream
      drm/i915/perf: allow for CS OA configs to be created lazily
      drm/i915/perf: implement active wait for noa configurations
      drm/i915/perf: execute OA configuration from command stream
      drm/i915/perf: introduce a versioning of the i915-perf uapi
      drm/i915: add support for perf configuration queries
      drm/i915/perf: allow holding preemption on filtered ctx
      drm/i915/perf: fix oa config reconfiguration

Lucas De Marchi (5):
      drm/i915: simplify setting of ddi_io_power_domain
      drm/i915: fix port checks for MST support on gen >= 11
      drm/i915: remove extra new line on pipe_config mismatch
      drm/i915: add pipe id/name to pipe mismatch logs
      drm/i915: prettify MST debug message

Maarten Lankhorst (5):
      drm/i915: Fix for_each_intel_plane_mask definition
      drm/i915: Introduce and use intel_atomic_crtc_state_for_each_plane_state.
      drm/i915: Use intel_plane_state in prepare and cleanup plane_fb
      drm/i915: Remove begin/finish_crtc_commit, v4.
      drm/i915: Remove cursor use of properties for coordinates

Manasi Navare (6):
      drm/i915/display/icl: Save Master transcoder in slave's crtc_state for Transcoder Port Sync
      drm/i915/display/icl: Enable TRANSCODER PORT SYNC for tiled displays across separate ports
      drm/i915/display/icl: HW state readout for transcoder port sync config
      drm/i915/display/icl: Enable master-slaves in trans port sync
      drm/i915/display/icl: Disable transcoder port sync as part of crtc_disable() sequence
      drm/i915/display/icl: In port sync mode disable slaves first then master

Matt Roper (4):
      drm/i915/vbt: Child device size remains unchanged through VBT 229
      drm/i915: Select DPLL's via mask
      drm/i915/ehl: Don't forget to set TC long detect function
      drm/i915: Introduce Jasper Lake PCH

Matthew Auld (5):
      drm/i915: introduce intel_memory_region
      drm/i915/region: support contiguous allocations
      drm/i915/region: support volatile objects
      drm/i915: treat shmem as a region
      drm/i915: treat stolen as a region

Mika Kuoppala (12):
      drm/i915/icl: Wa_1607087056
      drm/i915/tgl: Add IS_TGL_REVID
      drm/i915/tgl: Include ro parts of l3 to invalidate
      drm/i915/tgl: Add HDC Pipeline Flush
      drm/i915/tgl: Add extra hdc flush workaround
      drm/i915/tgl: Keep FF dop clock enabled for A0
      drm/i915/tgl: Wa_1409420604
      drm/i915/tgl: Wa_1409170338
      drm/i915/tgl: Wa_1409600907
      drm/i915/tgl: Wa_1607138336
      drm/i915/tgl: Wa_1607030317, Wa_1607186500, Wa_1607297627
      drm/i915/tgl: Wa_1607138340

Sebastian Andrzej Siewior (1):
      drm/i915: Don't disable interrupts independently of the lock

Swati Sharma (2):
      drm/i915/color: fix broken gamma state-checker during boot
      drm/i915/color: move check of gamma_enable to specific func/platform

Tvrtko Ursulin (4):
      drm/i915/pmu: Support multiple GPUs
      drm/i915: Make for_each_engine_masked work on intel_gt
      drm/i915: Pass in intel_gt at some for_each_engine sites
      drm/i915/pmu: Fix uninitialized variable on error path

Ville Syrjälä (22):
      drm/i915: Favor last VBT child device with conflicting AUX ch/DDC pin
      drm/i915: Switch to using DP_MSA_MISC_* defines
      drm/i915: Stop using drm_atomic_helper_check_planes()
      drm/i915: Make .modeset_calc_cdclk() mandatory
      drm/i915: Use drm_rect_translate_to()
      drm/i915: Use drm_rect_init()
      drm/i915: Refactor timestamping constants update
      drm/i915: Switch intel_legacy_cursor_update() to intel_ types
      drm/i915: Prepare the connector/encoder mask readout for hw vs. uapi state split
      drm/i915: Prepare the mode readout for hw vs. uapi state split
      drm/i915: Fix MST oops due to MSA changes
      drm/i915: Move the cursor rotation handling into intel_cursor_check_surface()
      drm/i915: Polish possible_clones setup
      drm/i915: Refuse modes with hdisplay==4096 on pre-HSW DP
      drm/i915: Nuke the useless changed param from skl_ddb_add_affected_pipes()
      drm/i915: Nuke 'realloc_pipes'
      drm/i915: Make dirty_pipes refer to pipes
      drm/i915: Shrink eDRAM ways/sets arrays
      drm/i915: s/hdcp2_hdmi_msg_data/hdcp2_hdmi_msg_timeout/
      drm/i915: Remove dead weight from hdcp2_msg_timeout[]
      drm/i915: Remove hdcp2_hdmi_msg_timeout.timeout2
      drm/i915: Make hdcp2_msg_timeout.timeout u16

Vivek Kasireddy (2):
      drm/i915/ehl: Port C's hotplug interrupt is associated with TC1 bits
      drm/i915: Correct the PCH type in irq postinstall

 Documentation/gpu/i915.rst                         |   48 +-
 drivers/gpu/drm/i915/Kconfig                       |   12 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |  144 +-
 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   10 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    2 +
 drivers/gpu/drm/i915/display/intel_bios.c          |   28 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   31 +-
 drivers/gpu/drm/i915/display/intel_color.c         |   33 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |   21 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  178 ++-
 drivers/gpu/drm/i915/display/intel_ddi.h           |    3 +-
 drivers/gpu/drm/i915/display/intel_display.c       | 1023 +++++++++++----
 drivers/gpu/drm/i915/display/intel_display.h       |   17 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  154 ++-
 drivers/gpu/drm/i915/display/intel_display_power.h |    3 +
 drivers/gpu/drm/i915/display/intel_display_types.h |    7 +
 drivers/gpu/drm/i915/display/intel_dp.c            |  235 +++-
 drivers/gpu/drm/i915/display/intel_dp.h            |    8 +
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   22 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   48 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   55 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  114 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |    6 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    3 +
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |   13 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   18 +
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |    3 +
 drivers/gpu/drm/i915/gem/i915_gem_internal.c       |   17 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |   23 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |   20 +
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |    6 +
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |    5 +-
 drivers/gpu/drm/i915/gem/i915_gem_region.c         |  174 +++
 drivers/gpu/drm/i915/gem/i915_gem_region.h         |   29 +
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |   79 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   65 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.h         |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |    8 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   97 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |    2 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |    8 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |    2 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   17 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  101 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |    2 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |    1 +
 drivers/gpu/drm/i915/gt/intel_engine_user.c        |   18 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    7 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   11 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   21 +-
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |   18 +-
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |    7 +
 drivers/gpu/drm/i915/gt/intel_hangcheck.c          |   10 +-
 drivers/gpu/drm/i915/gt/intel_llc.c                |  161 +++
 drivers/gpu/drm/i915/gt/intel_llc.h                |   15 +
 drivers/gpu/drm/i915/gt/intel_llc_types.h          |   13 +
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  212 +--
 drivers/gpu/drm/i915/gt/intel_mocs.c               |  274 +---
 drivers/gpu/drm/i915/gt/intel_mocs.h               |    3 -
 drivers/gpu/drm/i915/gt/intel_rc6.c                |   12 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |   52 +-
 drivers/gpu/drm/i915/gt/intel_ringbuffer.c         |    6 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   38 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |    6 +
 drivers/gpu/drm/i915/gt/selftest_context.c         |    6 +-
 drivers/gpu/drm/i915/gt/selftest_engine_pm.c       |    2 +-
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |    9 +
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |   24 +-
 drivers/gpu/drm/i915/gt/selftest_llc.c             |   77 ++
 drivers/gpu/drm/i915/gt/selftest_llc.h             |   14 +
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  912 ++++++++++---
 drivers/gpu/drm/i915/gt/selftest_reset.c           |   10 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |   50 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |  211 +--
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |   31 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |    3 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |   12 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |   37 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |   15 -
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw_abi.h       |    3 -
 drivers/gpu/drm/i915/gt/uc/selftest_guc.c          |   42 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |    2 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |    4 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   18 +-
 drivers/gpu/drm/i915/i915_active.c                 |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   50 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   12 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  401 +-----
 drivers/gpu/drm/i915/i915_gem.c                    |   20 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   36 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   89 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |    7 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   65 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    5 +
 drivers/gpu/drm/i915/i915_getparam.c               |    4 +
 drivers/gpu/drm/i915/i915_irq.c                    |   48 +-
 drivers/gpu/drm/i915/i915_params.c                 |    3 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   29 +-
 drivers/gpu/drm/i915/i915_perf.c                   | 1374 +++++++++++++-------
 drivers/gpu/drm/i915/i915_perf.h                   |   27 +
 drivers/gpu/drm/i915/i915_perf_types.h             |  406 ++++++
 drivers/gpu/drm/i915/i915_pmu.c                    |   37 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |    4 +
 drivers/gpu/drm/i915/i915_query.c                  |  296 +++++
 drivers/gpu/drm/i915/i915_reg.h                    |   38 +-
 drivers/gpu/drm/i915/i915_request.c                |   15 +-
 drivers/gpu/drm/i915/i915_request.h                |   10 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |   17 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |   18 -
 drivers/gpu/drm/i915/i915_scheduler_types.h        |    9 +
 drivers/gpu/drm/i915/i915_vma.c                    |   10 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    2 +
 drivers/gpu/drm/i915/intel_memory_region.c         |  213 +++
 drivers/gpu/drm/i915/intel_memory_region.h         |  120 ++
 drivers/gpu/drm/i915/intel_pch.c                   |    6 +-
 drivers/gpu/drm/i915/intel_pch.h                   |    5 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  371 ++----
 drivers/gpu/drm/i915/selftests/i915_gem.c          |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |    5 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    1 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/i915_perf.c         |  216 +++
 drivers/gpu/drm/i915/selftests/i915_request.c      |  287 ++--
 drivers/gpu/drm/i915/selftests/igt_reset.c         |    4 +-
 .../gpu/drm/i915/selftests/intel_memory_region.c   |  282 ++++
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   12 +-
 drivers/gpu/drm/i915/selftests/mock_region.c       |   59 +
 drivers/gpu/drm/i915/selftests/mock_region.h       |   16 +
 drivers/gpu/drm/i915/selftests/mock_uncore.c       |    5 +-
 drivers/gpu/drm/i915/selftests/mock_uncore.h       |    3 +-
 include/uapi/drm/i915_drm.h                        |  107 +-
 134 files changed, 7242 insertions(+), 2779 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_region.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_region.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_llc.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_llc.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_llc_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_llc.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_llc.h
 create mode 100644 drivers/gpu/drm/i915/i915_perf_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_memory_region.c
 create mode 100644 drivers/gpu/drm/i915/intel_memory_region.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_perf.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_memory_region.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_region.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_region.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-10-07 13:48 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2019-10-07 13:48 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, dri-devel, Maxime Ripard, intel-gfx

Hi Dave & Daniel,

Back from XDC, so here comes the first bunch of features.

For uAPI: immutable zpos plane property added, disallow
userptr import of GGTT mmapped buffer and disallow tiling

Other than that loads of early Tigerlake enabling and many
Icelake fixups. DP MST and PSR fixes as well as audio tweaks.

Gen7 and Cherryview are back to aliasing ppGTT from full,
for being too fragile with the timing of the flush calls.

And yeah, we eliminated struct_mutex as BKL, now only used
for execbuffer and working towards dma_resv replacing it.
(perf and GVT corner cases are to be cleaned up). So that
is an end to 2 year journey.

Regards, Joonas

***

drm-intel-next-2019-10-07:

UAPI Changes:
- Never allow userptr into the mappable GGTT (Chris)
  No existing users. Avoid anyone from even trying to
  spare a deadlock scenario.

Cross-subsystem Changes:

Core Changes:

Driver Changes:

- Eliminate struct_mutex use as BKL! (Chris)
  Only used for execbuf serialisation.

- Initialize DDI TC and TBT ports (D-I) on Tigerlake (Lucas)
- Fix DKL link training for 2.7GHz and 1.62GHz (Jose)
- Add Tigerlake DKL PHY programming sequences (Clinton)
- Add Tigerlake Thunderbolt PLL divider values (Imre)

- drm/i915: Use helpers for drm_mm_node booleans (Chris)
- Restrict L3 remapping sysfs interface to dwords (Chris)
- Fix audio power up sequence for gen10+ display (Kai)
- Skip redundant execlist resubmission (Chris)
- Only unwedge if we can reset GPU first (Chris)
- Initialise breadcrumb lists on the virtual engine (Chris)
- Don't rely on kernel context existing during early errors (Matt A)
- Update Icelake+ MG_DP_MODE programming table (Clinton)
- Update DMC firmware for Icelake (Anusha)
- Downgrade DP MST error after unplugging TypeC cable (Srinivasan)
- Limit MST modes based on plane size too (Ville)
- Polish intel_tv_mode_valid() (Ville)
- Fix g4x sprite scaling stride check with GTT remapping (Ville)
- Don't advertize non-exisiting crtcs (Ville)
- Clean up encoder->crtc_mask setup (Ville)
- Use tc_port instead of port parameter to MG registers (Jose)
- Remove static variable for aux last status (Jani)
- Implement a better i945gm vblank irq vs. C-states workaround (Ville)

- Make the object creation interface consistent (CQ)
- Rename intel_vga_msr_write() to intel_vga_reset_io_mem() (Jani, Ville)
- Eliminate previous drm_dbg/drm_err usage (Jani)
- Move gmbus setup down to intel_modeset_init() (Jani)
- Abstract all vgaarb access to intel_vga.[ch] (Jani)
- Split out i915_switcheroo.[ch] from i915_drv.c (Jani)
- Use intel_gt in has_reset* (Chris)
- Eliminate return value for i915_gem_init_early (Matt A)
- Selftest improvements (Chris)
- Update HuC firmware header version number format (Daniele)

drm-intel-next-2019-09-27:

UAPI Changes:
- Revert "drm/i915: Fix DP-MST crtc_mask" to avoid MST regressions (Ville)
- Disable set/get_tiling ioctl on Gen12+ as hardware is gone (Daniel)
- Add immutable zpos plane properties (Ville)
- Report dual-subslice count as subslices for Tigerlake (Daniele)

Driver Changes:

<TIGERLAKE ENABLING>
- Enable HDCP 1.4 and 2.2 on Gen12+ (Ramalingam)
- Enable display state buffer (DSB) batch-programming (Animesh)
- Add 12 BPC support for Tigerlake (Anusha)
- Add maximum resolution supported by PSR2 HW for Tigerlake (Jose)
- Only allow PSR2 on supporting transcoders (Jose)
- Disable pipes in reverse order to comply with MST for Tigerlake+ (Jose)
- Implement Tigerlake DisplayPort training sequence (Jose)
- Do not apply WaIncreaseDefaultTLBEntries from Gen12 onwards (Michel)
- Reuse Icelake OA context logic for Tigerlake (Michel)
- Enable VD HCP/MFX sub-pipe power gating (Michel)
- Use separate context for relocations to deal with Tigerlake pre-parser (Daniele)
- Enabling DSC on Pipe A for Tigerlake (Madhumitha)
- Remove Yf tiling and legacy CCS support starting Tigerlake (Dhinakaran)
- Remove PSR link standby support starting Tigerlake (Jose)
- Access the right register when handling PSR interruptions (Jose)
- Move DP_TP_* registers from port to transcoder for Tigerlake (Lucas)
- Disable SAGV for Tigerlake (Lucas)
- Reuse Gen11 stolen initialization for Gen12 (Lucas)
- Apply FBC WA for Tigerlake too (Jose)
- Use engine relative LRIs on context setup for Tigerlake (Mika, Daniele)
- Register state context definition for Gen12 (Michel)
- Extend MI_SEMAPHORE_WAIT instruction for Tigerlake (Chris)
- Disable various Tigerlake features in attempt to have stable CI results (Chris)
- Add Tigerlake W/A to disable CPS aware color pipe by setting chicken bit (Radhakrishna)
- Add Tigerlake W/A to Enable Small PL for power benefit (Michel)
- Add missing DDI clock select during DP init sequence for Tigerlake (Clinton)
- Add missing update_active_dpll callback on Tigerlake (Clinton)
- Finish modular FIA support on registers for Tigerlake (Jose)
- Unify disable and enable phy clock gating functions on Tigerlake (Jose)
- Check the UC health of TC controllers after power on (Jose)
- Add TigerLake bandwidth checking (Stanislav)
- Add Pipe D cursor ctrl register for Gen12 (Ankit)
- Add DKL PHY PLL calculations (Lucas, Vandita, Jose)
- Add memory type decoding for bandwidth checking (James)
</TIGERLAKE ENABLING>

- Downgrade Gen7 and Cherryview back to aliasing-ppGTT (Chris)
- Limit MST to <= 8bpc once again (Ville)
- Restrict the aliasing-ppgtt to the size of the ggtt (Chris)
- Restore relaxed padding (OCL_OOB_SUPPRES_ENABLE) for SKL+ (Chris, Jason)
- Whitelist COMMON_SLICE_CHICKEN2 (Kenneth)
- Include GTT page-size info in error state (Matt A)
- Clear STOP_RING bit on reset (Chris)
- Ignore lost CSB completion events (Chris)
- Use a high priority wq for nonblocking plane updates (Ville)
- Bump up Skylake/Icelake+ display/plane/fb size restrictions (Manasi, Ville)
- Update Gen11/Gen12 forcewake ranges from BSpec (Mika, Daniele, Michel)
- Allow downscale factor of <3.0 on GLK+ for all formats (Ville)
- Add missing Comet Lake PCH PCI ID (Matt)
- Fix Gen11 SFC reset flow (Daniele)
- Fix YCbCr programming for ILK-IVB,HSW+ (Ville)
- Save audio frequency programming state at audio domain suspend (Kai)
- Fix DisplayPort DSC BPP calculations (Maarten)
- Add hardware readout for FEC (Maarten)
- Do not add all planes when checking scalers on GLK+ (Maarten)
- Make small joiner RAM buffer size platform-specific (Matt R)
- Use per-process HWSP as scratch (Michal Wi)

- Match allowed Gen11+ CDCLK values to BSpec (Matt R)
- Rework CDCLK code for clarity and table format (Matt R)
- Unify CDCLK code to reuse functions (Ville)
- Enhance CDCLK sanitization (Matt R)
- Preallocate Braswell top-level page directory (Chris)
- Make vgpu ppgtt notificaiton as atomic operation (Xiaolin)
- Use NOEVICT for first pass on attemping to pin a GGTT mmap (Chris)
- Disable PSR if more than one eDP panel is present (Jose)
- Make breadcrumb flushes more robust (Chris)
- Extend non readable MCR range (Mika)
- Protect our local workers against I915_FENCE_TIMEOUT (Chris)
- Allow stolen memory (and future local memory) addresses in sg_table (Matt A)
- Better organize the disable sequence in atomic_commit_tail() (Manasi)
- Fix regression with crtc disable ordering (Maarten)
- Add HW Gamma LUT readout (Swati)
- Hook up power management code to use intel_gt (Andi)
- Rework codebase towards use of intel_gt (Tvrtko)
- Remove incorrect BUG_ON for schedule-out (Chris, Vinay)
- Cleanup cache coloring code (Matt A)
- Flush writes before RING_TAIL update on SNB (Chris)
- Perform GGTT restore much earlier during resume (Chris)
- Make shrink pinning atomic (Chris)
- Make i915_vma.flags atomic for mutex reduction (Chris)
- Make sure the gen6 ppgtt is bound before first use without struct mutex (Chris)
- Report IOMMU status in debugfs (Chris)
- Disable FBC if BIOS reserved memory (stolen) is unavailable (Chris)
- Add a paranoid flushes and context reload around GPU reset (Chris)
- Skip engine busyness sampling when and where not needed (Tvrtko)
- Use GT parked time for estimating RC6 while asleep (Chris)
- Get the correct wakeref for reading hotplug registers from debugfs (Arkadiusz)
- Only apply a rmw mmio update if the value changes (Chris, Daniele)
- Extend Haswell GT1 PSMI workaround to all HSW (Chris)
- Only enqueue already completed requests (Chris)
- Fix preempt-to-busy interactions of virtual requests (Chris)
- Prevent bonded requests from overtaking each other on preemption (Chris)
- Mark contents as dirty on a write fault (Chris)
- Adjust length of MI_LOAD_REGISTER_REG (Michal Wi)
- Don't disable interrupts for intel_engine_breadcrumbs_irq() (Sebastian)
- Extract GT render sleep (rc6) management (Andi)

- Rework SSEU reporting code (Stuart)
- Use correct DSC registers in intel_configure_pps_for_dsc_encoder (Manasi)
- Use enum pipe instead of crtc index to track active pipes (Ville)
- Enforce irq-off lockdep check for for timeline locks (Chris)
- Flush the existing fence before GGTT read/write (Chris)
- Keep drm_i915_file_private around under RCU (Chris)
- Call dma_set_max_seg_size() to silence spurious warnings (Lyude)
- Make engine's batch pool safe for use with virtual engines (Chris)
- Align power domain names with port names (Imre)
- Parameterize and unify HPD code (Lucas)
- Use RCU for unlocked vm_idr lookup (Chris)
- Replace obj->pin_global with obj->frontbuffer (Chris)
- Rework code to use INTEL_NUM_PIPES() (Jani)
- Convert device info num_pipes to pipe_mask (Jani)
- Introduce INTEL_DISPLAY_ENABLED() (Jani)
- Stop conflating HAS_DISPLAY() and disabled display (Jani)
- Modularize i915 modesetting probing/init code (Jani)
- Use drm_format_info_is_yuv_semiplanar() instead of rolling own (Ville)
- Other display codebase cleanups (Ville)
- Other GEM codebase cleanup, lockdep and selftest improvements (Chris)
- Future-proof DDC pin mapping to reuse ICP variant (Matt R)
- Rewrite timeline handling to be RCU based (Chris)
- Define explicit wedged on init reset state (Michal Wi)
- Add GuC firmware for Elkhartlake (Daniele)
- Update HuC firmware naming convention and bump versions (Anusha)
- Extract common code from GuC stop/disable comm (Fernando)
- Fix perf kernel-doc formatting for struct members (Anna)
- Documentation fixes (Joonas)

The following changes since commit be91233b1053d9725d3a437e3da44ccff2639477:

  drm/i915: Update DRIVER_DATE to 20190822 (2019-08-22 05:46:28 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-10-07

for you to fetch changes up to 9445ad17109b6fe7864acc33f0c62bd9d866b722:

  drm/i915: Update DRIVER_DATE to 20191007 (2019-10-07 15:24:47 +0300)

----------------------------------------------------------------
UAPI Changes:
- Never allow userptr into the mappable GGTT (Chris)
  No existing users. Avoid anyone from even trying to
  spare a deadlock scenario.

Cross-subsystem Changes:

Core Changes:

Driver Changes:

- Eliminate struct_mutex use as BKL! (Chris)
  Only used for execbuf serialisation.

- Initialize DDI TC and TBT ports (D-I) on Tigerlake (Lucas)
- Fix DKL link training for 2.7GHz and 1.62GHz (Jose)
- Add Tigerlake DKL PHY programming sequences (Clinton)
- Add Tigerlake Thunderbolt PLL divider values (Imre)

- drm/i915: Use helpers for drm_mm_node booleans (Chris)
- Restrict L3 remapping sysfs interface to dwords (Chris)
- Fix audio power up sequence for gen10+ display (Kai)
- Skip redundant execlist resubmission (Chris)
- Only unwedge if we can reset GPU first (Chris)
- Initialise breadcrumb lists on the virtual engine (Chris)
- Don't rely on kernel context existing during early errors (Matt A)
- Update Icelake+ MG_DP_MODE programming table (Clinton)
- Update DMC firmware for Icelake (Anusha)
- Downgrade DP MST error after unplugging TypeC cable (Srinivasan)
- Limit MST modes based on plane size too (Ville)
- Polish intel_tv_mode_valid() (Ville)
- Fix g4x sprite scaling stride check with GTT remapping (Ville)
- Don't advertize non-exisiting crtcs (Ville)
- Clean up encoder->crtc_mask setup (Ville)
- Use tc_port instead of port parameter to MG registers (Jose)
- Remove static variable for aux last status (Jani)
- Implement a better i945gm vblank irq vs. C-states workaround (Ville)

- Make the object creation interface consistent (CQ)
- Rename intel_vga_msr_write() to intel_vga_reset_io_mem() (Jani, Ville)
- Eliminate previous drm_dbg/drm_err usage (Jani)
- Move gmbus setup down to intel_modeset_init() (Jani)
- Abstract all vgaarb access to intel_vga.[ch] (Jani)
- Split out i915_switcheroo.[ch] from i915_drv.c (Jani)
- Use intel_gt in has_reset* (Chris)
- Eliminate return value for i915_gem_init_early (Matt A)
- Selftest improvements (Chris)
- Update HuC firmware header version number format (Daniele)

----------------------------------------------------------------
Andi Shyti (2):
      drm/i915: Hook up GT power management
      drm/i915: Extract GT render sleep (rc6) management

Animesh Manna (9):
      drm/i915/dsb: feature flag added for display state buffer.
      drm/i915/dsb: DSB context creation.
      drm/i915/dsb: Indexed register write function for DSB.
      drm/i915/dsb: Check DSB engine status.
      drm/i915/dsb: functions to enable/disable DSB engine.
      drm/i915/dsb: function to trigger workload execution of DSB.
      drm/i915/dsb: Enable gamma lut programming using DSB.
      drm/i915/dsb: Enable DSB for gen12.
      drm/i915/dsb: Documentation for DSB.

Ankit Nautiyal (1):
      drm/i915: Add Pipe D cursor ctrl register for Gen12

Anna Karas (1):
      drm/i915/perf: Fix use of kernel-doc format in structure members

Anusha Srivatsa (3):
      drm/dp/dsc: Add Support for all BPCs supported by TGL
      drm/i915/uc: Update HuC firmware naming convention and load latest HuC
      drm/i915/dmc: Update ICL DMC version to v1.09

Arkadiusz Hiler (1):
      drm/i915: Get the correct wakeref for reading HOTPLUG_EN et al.

CQ Tang (1):
      drm/i915/stolen: make the object creation interface consistent

Chris Wilson (108):
      drm/i915: Hold irq-off for the entire fake lock period
      drm/i915/gtt: Preallocate Braswell top-level page directory
      drm/i915: Flush the existing fence before GGTT read/write
      drm/i915: Keep drm_i915_file_private around under RCU
      drm/i915/selftests: Teach igt_gpu_fill_dw() to take intel_context
      drm/i915/selftests: Add the usual batch vma managements to st_workarounds
      drm/i915: Use NOEVICT for first pass on attemping to pin a GGTT mmap
      drm/i915/selftests: Markup impossible error pointers
      drm/i915: Only activate i915_active debugobject once
      drm/i915: Make engine's batch pool safe for use with virtual engines
      drm/i915/selftests: Remove accidental serialization between gpu_fill
      drm/i915/selftests: Try to recycle context allocations
      drm/i915/execlists: Flush the post-sync breadcrumb write harder
      drm/i915/selftests: Ignore coherency failures on Broadwater
      drm/i915: Protect our local workers against I915_FENCE_TIMEOUT
      drm/i915/selftests: cond_resched() within the longer buddy tests
      drm/i915/execlists: Try rearranging breadcrumb flush
      drm/i915/gtt: Downgrade gen7 (ivb, byt, hsw) back to aliasing-ppgtt
      drm/i915/gtt: Downgrade Cherryview back to aliasing-ppgtt
      drm/i915: Remove ppgtt->dirty_engines
      drm/i915: Use RCU for unlocked vm_idr lookup
      drm/i915/perf: Assert locking for i915_init_oa_perf_state()
      drm/i915: Restrict the aliasing-ppgtt to the size of the ggtt
      drm/i915: Report aliasing ppgtt size as ggtt size
      drm/i915: Replace obj->pin_global with obj->frontbuffer
      drm/i915/selftests: Remove unused __engines_name()
      drm/i915: Refresh the errno to vmf_fault translations
      drm/i915: Restore relaxed padding (OCL_OOB_SUPPRES_ENABLE) for skl+
      drm/i915: Protect debugfs per_file_stats with RCU lock
      drm/i915/execlists: Remove incorrect BUG_ON for schedule-out
      drm/i915/execlists: Clear STOP_RING bit on reset
      drm/i915/execlists: Ignore lost completion events
      drm/i915/ringbuffer: Flush writes before RING_TAIL update
      drm/i915: Perform GGTT restore much earlier during resume
      drm/i915/selftests: Take runtime wakeref for igt_ggtt_lowlevel
      drm/i915/selftests: Tighten the timeout testing for partial mmaps
      drm/i915/tgl: Disable rc6 for debugging
      drm/i915: Make shrink/unshrink be atomic
      drm/i915: Make i915_vma.flags atomic_t for mutex reduction
      drm/i915/display: Add glk_cdclk_table
      drm/i915/tgl: Disable read-only ppgtt support
      drm/i915: Squeeze iommu status into debugfs/i915_capabilities
      drm/i915: Disable FBC if BIOS reserved memory (stolen) is unavailable
      drm/i915/execlists: Add a paranoid flush of the CSB pointers upon reset
      drm/i915/execlists: Ensure the context is reloaded after a GPU reset
      drm/i915/pmu: Use GT parked for estimating RC6 while asleep
      drm/i915/tgl: Disable preemption while being debugged
      drm/i915/selftests: Keep the engine awake while we keep for preemption
      drm/i915/gtt: Make sure the gen6 ppgtt is bound before first use
      drm/i915: Don't mix srcu tag and negative error codes
      drm/i915/tgl: Limit ourselves to just rcs0
      drm/i915: Show the logical context ring state on dumping
      drm/i915: Only apply a rmw mmio update if the value changes
      drm/i915/tgl: Extend MI_SEMAPHORE_WAIT
      drm/i915: Extend Haswell GT1 PSMI workaround to all
      drm/i915: Verify the engine after acquiring the active.lock
      drm/i915/selftests: Exercise CS TLB invalidation
      drm/i915/tgl: Suspend pre-parser across GTT invalidations
      drm/i915: Mark i915_request.timeline as a volatile, rcu pointer
      drm/i915: Lock signaler timeline while navigating
      drm/i915: Protect timeline->hwsp dereferencing
      Revert "drm/i915/tgl: Implement Wa_1406941453"
      drm/i915/execlists: Relax assertion for a pinned context image on reset
      drm/i915/execlists: Drop redundant list_del_init(&rq->sched.link)
      drm/i915: Only enqueue already completed requests
      drm/i915/execlists: Refactor -EIO markup of hung requests
      drm/i915: Fixup preempt-to-busy vs resubmission of a virtual request
      drm/i915: Fixup preempt-to-busy vs reset of a virtual request
      drm/i915: Prevent bonded requests from overtaking each other on preemption
      drm/i915: Mark contents as dirty on a write fault
      drm/i915/selftests: Verify the LRC register layout between init and HW
      drm/i915/tgl: Swap engines for no rps (gpu reclocking)
      drm/i915/execlists: Simplify gen12_csb_parse
      drm/i915/selftests: Exercise concurrent submission to all engines
      drm/i915/selftests: Do not try to sanitize mock HW
      drm/i915: Pass intel_gt to has-reset?
      drm/i915/selftests: Distinguish mock device from no wakeref
      drm/i915/selftests: Provide a mock GPU reset routine
      drm/i915/selftests: Exercise context switching in parallel
      drm/i915/gt: Only unwedge if we can reset first
      drm/i915: Initialise breadcrumb lists on the virtual engine
      drm/i915/userptr: Never allow userptr into the mappable GGTT
      drm/i915/selftests: Extract random_offset() for use with a prng
      drm/i915/gem: Refactor tests on obj->ops->flags
      drm/i915/selftests: Exercise potential false lite-restore
      drm/i915/execlists: Skip redundant resubmission
      drm/i915: Restrict L3 remapping sysfs interface to dwords
      drm/i915: Use helpers for drm_mm_node booleans
      drm/i915: Only track bound elements of the GTT
      drm/i915: Mark up address spaces that may need to allocate
      drm/i915: Pull i915_vma_pin under the vm->mutex
      drm/i915: Push the i915_active.retire into a worker
      drm/i915: Coordinate i915_active with its own mutex
      drm/i915: Move idle barrier cleanup into engine-pm
      drm/i915: Drop struct_mutex from around i915_retire_requests()
      drm/i915: Remove the GEM idle worker
      drm/i915: Merge wait_for_timelines with retire_request
      drm/i915/gem: Retire directly for mmap-offset shrinking
      drm/i915: Move request runtime management onto gt
      drm/i915: Move global activity tracking from GEM to GT
      drm/i915: Remove logical HW ID
      drm/i915: Move context management under GEM
      drm/i915/overlay: Drop struct_mutex guard
      drm/i915: Drop struct_mutex guard from debugfs/framebuffer_info
      drm/i915: Remove struct_mutex guard for debugfs/opregion
      drm/i915: Drop struct_mutex from suspend state save/restore
      drm/i915/selftests: Drop vestigal struct_mutex guards
      drm/i915: Drop struct_mutex from around GEM initialisation

Clinton A Taylor (4):
      drm/i915/tgl: Add missing ddi clock select during DP init sequence
      drm/i915/tgl/pll: Set update_active_dpll
      drm/i915/tc: Update DP_MODE programming
      drm/i915/tgl: Add dkl phy programming sequences

Daniel Vetter (1):
      drm/i915: disable set/get_tiling ioctl on gen12+

Daniele Ceraolo Spurio (5):
      drm/i915/uc: define GuC and HuC FWs for EHL
      drm/i915: use a separate context for gpu relocs
      drm/i915: fix SFC reset flow
      drm/i915/tgl: s/ss/eu fuse reading support
      drm/i915/huc: fix version parsing from CSS header

Dhinakaran Pandiyan (1):
      drm/i915/tgl: Gen-12 display loses Yf tiling and legacy CCS support

Fernando Pacheco (1):
      drm/i915/uc: Extract common code from GuC stop/disable comm

Imre Deak (2):
      drm/i915: Align power domain names with port names
      drm/i915/tgl: Add the Thunderbolt PLL divider values

James Ausmus (1):
      drm/i915/tgl: Add memory type decoding for bandwidth checking

Jani Nikula (18):
      drm/i915: add INTEL_NUM_PIPES() and use it
      drm/i915: convert device info num_pipes to pipe_mask
      drm/i915: introduce INTEL_DISPLAY_ENABLED()
      drm/i915: stop conflating HAS_DISPLAY() and disabled display
      drm/i915/dsb: single register write function for DSB.
      drm/i915: add i915_driver_modeset_remove()
      drm/i915: pass i915 to i915_driver_modeset_probe()
      drm/i915: pass i915 to intel_modeset_driver_remove()
      drm/i915: abstract intel_panel_sanitize_ssc() from intel_modeset_init()
      drm/i915: abstract intel_mode_config_init() from intel_modeset_init()
      drm/i915: pass i915 to intel_modeset_init() and intel_modeset_init_hw()
      drm/i915/display: abstract all vgaarb access to intel_vga.[ch]
      drm/i915: use DRM_ERROR() instead of drm_err()
      drm/i915: use DRM_DEBUG_KMS() instead of drm_dbg(DRM_UT_KMS, ...)
      drm/i915/dp: remove static variable for aux last status
      drm/i915/vga: rename intel_vga_msr_write() to intel_vga_reset_io_mem()
      drm/i915: split out i915_switcheroo.[ch] from i915_drv.c
      drm/i915: move gmbus setup down to intel_modeset_init()

Joonas Lahtinen (4):
      drm/i915: Remove link to missing "Batchbuffer Pools" documentation
      drm/i915: Indent GuC/WOPCM documentation sections
      drm/i915: Update DRIVER_DATE to 20190927
      drm/i915: Update DRIVER_DATE to 20191007

José Roberto de Souza (21):
      drm/i915/psr: Make PSR registers relative to transcoders
      drm/i915: Add transcoder restriction to PSR2
      drm/i915: Do not unmask PSR interruption in IRQ postinstall
      drm/i915/tgl: Guard and warn if more than one eDP panel is present
      drm/i915: Do not read PSR2 register in transcoders without PSR2
      drm/i915/tgl: Add maximum resolution supported by PSR2 HW
      drm: Add for_each_oldnew_intel_crtc_in_state_reverse()
      drm/i915: Disable pipes in reverse order
      drm/i915/tgl: Implement TGL DisplayPort training sequence
      drm/i915/tgl: PSR link standby is not supported anymore
      drm/i915/psr: Only handle interruptions of the transcoder in use
      drm/i915/tgl: Access the right register when handling PSR interruptions
      drm/i915: Apply FBC WA for TGL too
      drm/i915/mst: Do not hardcoded the crtcs that encoder can connect
      drm/i915/tgl: Finish modular FIA support on registers
      drm/i915/icl: Unify disable and enable phy clock gating functions
      drm/i915/tgl: Check the UC health of tc controllers after power on
      drm/i915/tgl: Add dkl phy pll calculations
      drm/i915/tgl: Return the mg/dkl pll as DDI clock for new TC ports
      drm/i915/tgl: Fix dkl link training
      drm/i915/mg: Use tc_port instead of port parameter to MG registers

Kai Vehmanen (3):
      drm/i915: save AUD_FREQ_CNTRL state at audio domain suspend
      drm/i915: Fix audio power up sequence for gen10+ display
      drm/i915: extend audio CDCLK>=2*BCLK constraint to more platforms

Kenneth Graunke (1):
      drm/i915: Whitelist COMMON_SLICE_CHICKEN2

Lucas De Marchi (11):
      drm/i915: parameterize south hpd macros
      drm/i915: unify icp, tgp and mcc irq handling
      drm/i915: parameterize SDE hotplug registers
      drm/i915: unify icp, tgp and mcc irq setup
      drm/i915: protect access to DP_TP_* on non-dp
      drm/i915/tgl: move DP_TP_* to transcoder
      drm/i915/tgl: disable SAGV temporarily
      drm/i915/tgl: add gen12 to stolen initialization
      drm/i915/tgl: Add initial dkl pll support
      drm/i915/tgl: re-indent code to prepare for DKL changes
      drm/i915/tgl: initialize TC and TBT ports

Lyude Paul (1):
      drm/i915: Call dma_set_max_seg_size() in i915_driver_hw_probe()

Maarten Lankhorst (6):
      drm/i915: Fix regression with crtc disable ordering
      drm/i915/dp: Fix dsc bpp calculations, v5.
      drm/i915: Add hardware readout for FEC
      drm/i915: Get rid of crtc_state->fb_changed
      drm/i915: Rename planar linked plane variables
      drm/i915: Do not add all planes when checking scalers on glk+

Madhumitha Tolakanahalli Pradeep (1):
      drm/i915/tgl: Enabling DSC on Pipe A for TGL

Manasi Navare (5):
      drm/i915/dp: Fix DSC enable code to use cpu_transcoder instead of encoder->type
      drm/i915/display: Rename update_crtcs() to commit_modeset_enables()
      drm/i915/display: Move the commit_tail() disable sequence to separate function
      drm/i915/display/icl: Bump up the hdisplay and vdisplay as per transcoder limits
      drm/i915/display/icl: Bump up the plane/fb height

Matt Roper (15):
      drm/i915: Allow /2 CD2X divider on gen11+
      drm/i915: Add 324mhz and 326.4mhz cdclks for gen11+
      drm/i915/tgl: Use refclk/2 as bypass frequency
      drm/i915: Consolidate bxt/cnl/icl cdclk readout
      drm/i915: Use literal representation of cdclk tables
      drm/i915: Combine bxt_set_cdclk and cnl_set_cdclk
      drm/i915: Kill cnl_sanitize_cdclk()
      drm/i915: Consolidate {bxt,cnl,icl}_uninit_cdclk
      drm/i915: Add calc_voltage_level display vfunc
      drm/i915: Enhance cdclk sanitization
      drm/i915: Consolidate {bxt,cnl,icl}_init_cdclk
      drm/i915/cml: Add second PCH ID for CMP
      drm/i915: Future-proof DDC pin mapping
      drm/i915: Unify ICP and MCC hotplug pin tables
      drm/i915: Small joiner RAM buffer size is platform-specific

Matthew Auld (8):
      drm/i915: s/for_each_sgt_dma/for_each_sgt_daddr/
      drm/i915/buddy: add missing call to i915_global_register
      drm/i915: export color_differs
      drm/i915: s/i915_gtt_color_adjust/i915_ggtt_color_adjust
      drm/i915: cleanup cache-coloring
      drm/i915: include GTT page-size info in error state
      drm/i915: check for kernel_context
      drm/i915: simplify i915_gem_init_early

Michał Winiarski (4):
      drm/i915: Define explicit wedged on init reset state
      drm/i915/execlists: Use per-process HWSP as scratch
      drm/i915: Adjust length of MI_LOAD_REGISTER_REG
      drm/i915: Add definitions for MI_MATH command

Michel Thierry (7):
      drm/i915/tgl: Move GTCR register to cope with GAM MMIO address remap
      drm/i915/tgl: Enable VD HCP/MFX sub-pipe power gating
      drm/i915/tgl: Do not apply WaIncreaseDefaultTLBEntries from GEN12 onwards
      drm/i915/tgl/perf: use the same oa ctx_id format as icl
      drm/i915/tgl: Register state context definition for Gen12
      drm/i915/tgl: Introduce gen12 forcewake ranges
      drm/i915/tgl: Implement Wa_1406941453

Mika Kuoppala (4):
      drm/i915: Extend non readable mcr range
      drm/i915: Use engine relative LRIs on context setup
      drm/i915: Update Gen11 forcewake ranges
      drm/i915/tgl: Re-enable rc6

Radhakrishna Sripada (1):
      drm/i915/tgl: Implement Wa_1409142259

Ramalingam C (6):
      drm/i915: mei_hdcp: I915 sends ddi index as per ME FW
      drm: Move port definition back to i915 header
      drm: Extend I915 mei interface for transcoder info
      misc/mei/hdcp: Fill transcoder index in port info
      drm/i915/hdcp: update current transcoder into intel_hdcp
      drm/i915/hdcp: Enable HDCP 1.4 and 2.2 on Gen12+

Sebastian Andrzej Siewior (2):
      drm/i915: Drop the IRQ-off asserts
      drm/i915: Don't disable interrupts for intel_engine_breadcrumbs_irq()

Srinivasan S (1):
      drm/i915/dp: Fix DP MST error after unplugging TypeC cable

Stanislav Lisovskiy (1):
      drm/i915: Add TigerLake bandwidth checking

Stuart Summers (11):
      drm/i915: Use variable for debugfs device status
      drm/i915: Add function to set SSEU info per platform
      drm/i915: Add subslice stride runtime parameter
      drm/i915: Add EU stride runtime parameter
      drm/i915: Use local variables for subslice_mask for device info
      drm/i915: Add function to set subslices
      drm/i915: Use subslice stride to set subslices for a given slice
      drm/i915: Add function to determine if a slice has a subslice
      drm/i915: Refactor instdone loops on new subslice functions
      drm/i915: Add new function to copy subslices for a slice
      drm/i915: Expand subslice mask

Swati Sharma (13):
      drm/i915/display: Add debug log for color parameters
      drm/i915/display: Add func to get gamma bit precision
      drm/i915/display: Add func to compare hw/sw gamma lut
      drm/i915/display: Add macro to compare gamma hw/sw lut
      drm/i915/display: Extract i9xx_read_luts()
      drm/i915/display: Extract ilk_read_luts()
      drm/i915/display: Extract glk_read_luts()
      drm/i915/display: Add gamma precision function for CHV
      drm/i915/display: Extract i965_read_luts()
      drm/i915/display: Extract chv_read_luts()
      drm/i915/color: Fix formatting issues
      drm/i915/color: Extract icl_read_luts()
      Revert "drm/i915/color: Extract icl_read_luts()"

Tvrtko Ursulin (5):
      drm/i915: Move GT init to intel_gt.c
      drm/i915: Make wait_for_timelines take struct intel_gt
      drm/i915: Avoid round-trip via i915 in intel_gt_park
      drm/i915: Make pm_notify take intel_gt
      drm/i915/pmu: Skip busyness sampling when and where not needed

Vandita Kulkarni (2):
      drm/i915/tgl: Add dkl phy registers
      drm/i915/tgl: Add support for dkl pll write

Ville Syrjälä (38):
      drm/i915: Use enum pipe instead of crtc index to track active pipes
      drm/i915: Unconfuse pipe vs. crtc->index in i915_get_crtc_scanoutpos()
      drm/i915: Use enum pipe consistently
      drm/i915: s/num_active_crtcs/num_active_pipes/
      drm/i915: Use hweight8() for 8bit masks
      drm/i915: Limit MST to <= 8bpc once again
      drm/i915: Prefer encoder->name over port_name()
      drm/i915: Clean up HDMI deep color handling a bit
      Revert "drm/i915: Fix DP-MST crtc_mask"
      drm/i915: add immutable zpos plane properties
      drm/i915: Use a high priority wq for nonblocking plane updates
      drm/i915: Remove pointless planes_changed=true assignment
      drm/i915: Fix cdclk bypass freq readout for tgl/bxt/glk
      drm/i915: Fix CD2X pipe select masking during cdclk sanitation
      drm/i915: Reuse cnl_modeset_calc_cdclk() on icl+
      drm/i915: Remove duplicated bxt/cnl/icl .modeset_calc_cdclk() funcs
      drm/i915: Replace is_planar_yuv_format() with drm_format_info_is_yuv_semiplanar()
      drm/i915: Allow downscale factor of <3.0 on glk+ for all formats
      drm/i915: Extract intel_modeset_calc_cdclk()
      drm/i915: s/pipe_config/crtc_state/ in intel_crtc_atomic_check()
      drm/i915: Bump skl+ max plane width to 5k for linear/x-tiled
      drm/i915: Don't advertise modes that exceed the max plane size
      drm/i915: Fix HSW+ DP MSA YCbCr colorspace indication
      drm/i915: Fix AVI infoframe quantization range for YCbCr output
      drm/i915: Extract intel_hdmi_limited_color_range()
      drm/i915: Never set limited_color_range=true for YCbCr output
      drm/i915: Don't look at unrelated PIPECONF bits for interlaced readout
      drm/i915: Simplify intel_get_crtc_ycbcr_config()
      drm/i915: Add PIPECONF YCbCr 4:4:4 programming for HSW
      drm/i915: Document ILK+ pipe csc matrix better
      drm/i915: Set up ILK/SNB csc unit properly for YCbCr output
      drm/i915: Add PIPECONF YCbCr 4:4:4 programming for ILK-IVB
      drm/i915: Limit MST modes based on plane size too
      drm/i915: Polish intel_tv_mode_valid()
      drm/i915: Fix g4x sprite scaling stride check with GTT remapping
      drm/i915: Populate possible_crtcs correctly
      drm/i915: Clean up encoder->crtc_mask setup
      drm/i915: Implement a better i945gm vblank irq vs. C-states workaround

Xiaolin Zhang (1):
      drm/i915: to make vgpu ppgtt notificaiton as atomic operation

 Documentation/gpu/i915.rst                         |   32 +-
 drivers/gpu/drm/i915/Makefile                      |    7 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |   24 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    9 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   36 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |    3 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   81 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         | 1264 ++++++++------------
 drivers/gpu/drm/i915/display/intel_cdclk.h         |   13 +-
 drivers/gpu/drm/i915/display/intel_color.c         |  529 +++++++-
 drivers/gpu/drm/i915/display/intel_color.h         |    7 +
 drivers/gpu/drm/i915/display/intel_crt.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  642 +++++++---
 drivers/gpu/drm/i915/display/intel_display.c       | 1101 +++++++++--------
 drivers/gpu/drm/i915/display/intel_display.h       |   51 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  398 +++---
 drivers/gpu/drm/i915/display/intel_display_power.h |   40 +-
 drivers/gpu/drm/i915/display/intel_display_types.h |   36 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  408 ++++---
 drivers/gpu/drm/i915/display/intel_dp.h            |    7 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   30 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  364 +++++-
 drivers/gpu/drm/i915/display/intel_dsb.c           |  332 +++++
 drivers/gpu/drm/i915/display/intel_dsb.h           |   52 +
 drivers/gpu/drm/i915/display/intel_dsi.c           |    3 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    4 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    7 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   14 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |   19 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |  214 +++-
 drivers/gpu/drm/i915/display/intel_hdcp.h          |    4 +
 drivers/gpu/drm/i915/display/intel_hdmi.c          |  229 ++--
 drivers/gpu/drm/i915/display/intel_hdmi.h          |    1 +
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    3 +-
 drivers/gpu/drm/i915/display/intel_hotplug.h       |    1 +
 drivers/gpu/drm/i915/display/intel_lpe_audio.c     |    2 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   31 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |  299 +++--
 drivers/gpu/drm/i915/display/intel_psr.h           |    1 -
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_sdvo.h          |    1 +
 drivers/gpu/drm/i915/display/intel_sprite.c        |  122 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |    1 -
 drivers/gpu/drm/i915/display/intel_tc.c            |   87 +-
 drivers/gpu/drm/i915/display/intel_tc.h            |    1 +
 drivers/gpu/drm/i915/display/intel_tv.c            |   10 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_vga.c           |  160 +++
 drivers/gpu/drm/i915/display/intel_vga.h           |   18 +
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  390 ++----
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |   42 +-
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |   20 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   56 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   83 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |  101 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   33 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |   29 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    8 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |  150 +--
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |  124 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |   62 +-
 drivers/gpu/drm/i915/gem/i915_gem_throttle.c       |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_tiling.c         |   34 +-
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |   31 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  163 +--
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |   40 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  540 ++++++---
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |  268 ++++-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |    4 -
 drivers/gpu/drm/i915/gem/selftests/i915_gem_phys.c |    2 -
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.c |   33 +-
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.h |   13 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.c  |   15 +-
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   19 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |   22 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |    1 +
 drivers/gpu/drm/i915/gt/intel_engine.h             |    1 -
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |   73 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   44 +-
 drivers/gpu/drm/i915/gt/intel_engine_pool.c        |   15 +-
 drivers/gpu/drm/i915/gt/intel_engine_pool.h        |    4 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   35 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   30 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |  149 ++-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |   12 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   85 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |   11 +
 drivers/gpu/drm/i915/gt/intel_gt_requests.c        |  123 ++
 drivers/gpu/drm/i915/gt/intel_gt_requests.h        |   24 +
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |   18 +-
 drivers/gpu/drm/i915/gt/intel_hangcheck.c          |    5 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                | 1240 +++++++++++++------
 drivers/gpu/drm/i915/gt/intel_lrc.h                |   14 +
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |   66 +-
 drivers/gpu/drm/i915/gt/intel_rc6.c                |  712 +++++++++++
 drivers/gpu/drm/i915/gt/intel_rc6.h                |   25 +
 drivers/gpu/drm/i915/gt/intel_rc6_types.h          |   28 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |  140 ++-
 drivers/gpu/drm/i915/gt/intel_reset.h              |   16 +-
 drivers/gpu/drm/i915/gt/intel_reset_types.h        |    6 +
 drivers/gpu/drm/i915/gt/intel_ringbuffer.c         |   99 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |   37 +-
 drivers/gpu/drm/i915/gt/intel_sseu.h               |   37 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |   56 +-
 drivers/gpu/drm/i915/gt/intel_timeline_types.h     |   10 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   18 +-
 drivers/gpu/drm/i915/gt/selftest_context.c         |   62 +-
 drivers/gpu/drm/i915/gt/selftest_gt_pm.c           |   50 +
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |  155 +--
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  513 +++++---
 drivers/gpu/drm/i915/gt/selftest_reset.c           |    4 +-
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |   97 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   63 +-
 drivers/gpu/drm/i915/gt/selftests/mock_timeline.c  |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_reg.h         |    3 +
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |   30 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |   51 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw_abi.h       |    8 +-
 drivers/gpu/drm/i915/gt/uc/selftest_guc.c          |    4 -
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |   12 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    2 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   17 -
 drivers/gpu/drm/i915/gvt/scheduler.c               |   27 +-
 drivers/gpu/drm/i915/i915_active.c                 |  340 ++++--
 drivers/gpu/drm/i915/i915_active.h                 |  328 ++---
 drivers/gpu/drm/i915/i915_active_types.h           |   33 +-
 drivers/gpu/drm/i915/i915_buddy.c                  |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  274 +++--
 drivers/gpu/drm/i915/i915_drv.c                    |  212 ++--
 drivers/gpu/drm/i915/i915_drv.h                    |  119 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  317 +----
 drivers/gpu/drm/i915/i915_gem_evict.c              |   58 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |    9 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  259 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   67 +-
 drivers/gpu/drm/i915/i915_getparam.c               |    4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   28 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    3 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  322 ++---
 drivers/gpu/drm/i915/i915_irq.h                    |    4 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   44 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  116 +-
 drivers/gpu/drm/i915/i915_perf.h                   |    5 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |  247 ++--
 drivers/gpu/drm/i915/i915_pmu.h                    |    4 +-
 drivers/gpu/drm/i915/i915_query.c                  |   10 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  636 +++++++---
 drivers/gpu/drm/i915/i915_request.c                |  276 ++---
 drivers/gpu/drm/i915/i915_request.h                |   32 +-
 drivers/gpu/drm/i915/i915_scatterlist.h            |    8 +-
 drivers/gpu/drm/i915/i915_suspend.c                |   11 +-
 drivers/gpu/drm/i915/i915_switcheroo.c             |   67 ++
 drivers/gpu/drm/i915/i915_switcheroo.h             |   14 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |   88 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   40 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    1 +
 drivers/gpu/drm/i915/i915_vma.c                    |  590 ++++++---
 drivers/gpu/drm/i915/i915_vma.h                    |  132 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    4 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  217 ++--
 drivers/gpu/drm/i915/intel_device_info.h           |    3 +-
 drivers/gpu/drm/i915/intel_pch.c                   |    1 +
 drivers/gpu/drm/i915/intel_pch.h                   |    1 +
 drivers/gpu/drm/i915/intel_pm.c                    |  906 ++------------
 drivers/gpu/drm/i915/intel_pm.h                    |    3 -
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    1 -
 drivers/gpu/drm/i915/intel_uncore.c                |   94 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   20 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |   42 +-
 drivers/gpu/drm/i915/selftests/i915_buddy.c        |    4 +
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   12 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   55 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |  395 +++++-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +
 drivers/gpu/drm/i915/selftests/i915_random.c       |   20 +
 drivers/gpu/drm/i915/selftests/i915_random.h       |    4 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |  251 ++--
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |    8 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   19 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |   33 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.h    |    2 +-
 drivers/gpu/drm/i915/selftests/igt_live_test.c     |   14 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |    2 +-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |    2 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   36 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    6 +-
 drivers/misc/mei/hdcp/mei_hdcp.c                   |   45 +-
 drivers/misc/mei/hdcp/mei_hdcp.h                   |   17 +-
 include/drm/i915_drm.h                             |   18 -
 include/drm/i915_mei_hdcp_interface.h              |   42 +-
 include/uapi/drm/i915_drm.h                        |    6 +-
 198 files changed, 12046 insertions(+), 8192 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/intel_dsb.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_dsb.h
 create mode 100644 drivers/gpu/drm/i915/display/intel_vga.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_vga.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_requests.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_requests.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rc6.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rc6.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_rc6_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_gt_pm.c
 create mode 100644 drivers/gpu/drm/i915/i915_switcheroo.c
 create mode 100644 drivers/gpu/drm/i915/i915_switcheroo.h
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-08-23  5:14 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2019-08-23  5:14 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Maxime Ripard, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

(atempt v2:
for some reason my dim didn't recognized the path apparently
)

Here goes the final pull request targeting 5.4.

It's important to highlight that we got a conflict on a backmerge yesterday
which had already been solved on linux-next with a fix up patch:

From: Stephen Rothwell <sfr@canb.auug.org.au>
Date: Wed, 14 Aug 2019 12:48:39 +1000
Subject: [PATCH] drm: fix up fallout from "dma-buf: rename reservation_object to dma_resv"

Signed-off-by: Stephen Rothwell <sfr@canb.auug.org.au>
---
drivers/gpu/drm/i915/gt/intel_engine_pool.c | 8 ++++----
3 files changed, 7 insertions(+), 7 deletions(-)

diff --git a/drivers/gpu/drm/i915/gt/intel_engine_pool.c b/drivers/gpu/drm/i915/gt/intel_engine_pool.c
index 03d90b49584a..4cd54c569911 100644
--- a/drivers/gpu/drm/i915/gt/intel_engine_pool.c
+++ b/drivers/gpu/drm/i915/gt/intel_engine_pool.c
@@ -43,12 +43,12 @@ static int pool_active(struct i915_active *ref)
{
       struct intel_engine_pool_node *node =
               container_of(ref, typeof(*node), active);
-       struct reservation_object *resv = node->obj->base.resv;
+       struct dma_resv *resv = node->obj->base.resv;
       int err;

-       if (reservation_object_trylock(resv)) {
-               reservation_object_add_excl_fence(resv, NULL);
-               reservation_object_unlock(resv);
+       if (dma_resv_trylock(resv)) {
+               dma_resv_add_excl_fence(resv, NULL);
+               dma_resv_unlock(resv);
       }

       err = i915_gem_object_pin_pages(node->obj);

which is a simplified version from a previous one which had:
Reviewed-by: Christian König <christian.koenig@amd.com>

With this we could also remove the latest dma_resv fixup patch from drm_rerere.

Unfortunately on this merge commit a ghost file reapeared probably by an
amend mistake from my side. And consequently removed by Chris with an extra
patch. I hope this doesn't cause any trouble for you, but if so the solution
is simply go with the version that deletes the file for good.

This pull request also includes Gvt stuff including several enhancements for
command parser and batch buffer shadow, remove extra debugfs function return
check, and other misc changes like typo, static check fix, etc.

The rest is just as usual and split in 3 different drm-intel-next tags:

drm-intel-next-2019-08-22:
- More TGL enabling work (Michel, Jose, Lucas)
- Fixes on DP MST (Ville)
- More GTT and Execlists fixes and improvements (Chris)
- Code style clean-up on hdmi and dp side (Jani)
- Fix null pointer dereferrence (Xiong)
- Fix a couple of missing serialization on selftests (Chris)
- More vm locking rework (Chris)

drm-intel-next-2019-08-20:
- GuC and HuC related fixes and improvements (Daniele, Michal)
- Improve debug with more engine information and rework on debugfs files (Chris, Stuart)
- Simplify appearture address handling (Chris)
- Other fixes and cleanups around engines and execlists (Chris)
- Selftests fixes (Matt, Chris)
- Gen11 cache flush related fixes and improvements (Mika)
- More work around requests, timelines and locks to allow removal of struct_mutex (Chris)
- Add missing CML PCI ID (Anusha)
- More work on the new i915 buddy allocator (Matt)
- More headers, files and directories reorg (Daniele)
- Improvements on ggtt’s get pdp (Mika)
- Fix GPU reset (Chris)
- Fix GPIO pins on gen11 (Matt)
- Fix HW readout for crtc_clock in HDMI mode (Imre)
- Sanitize display Phy during unitit to workaround messages of HW state change during suspend (Imre)
- Be defensive when starting vma activity (Chris)
- More Tiger Lake enabling work (Michel, Daniele, Lucas)
- Relax pd_used assertion (Chris)

drm-intel-next-2019-08-13:
- More Tiger Lake enabling work (Lucas, Jose, Tomasz, Michel, Jordan, Anusha, Vandita)
- More selftest organization reworks, fixes and improvements (Lucas, Chris)
- Simplifications on GEM code like context and cleanup_early (Chris, Daniele)
- GuC and HuC related fixes and improvements (Daniele, Michal, Chris)
- Some clean up and fixes on headers, Makefile, and generated files (Lucas, Jani)
- MOCS setup clean up (Tvrtko)
- More Elkhartlake enabling work (Jose, Matt)
- Fix engine reset by clearing in flight execlists requests (Chris)
- Fix possible memory leak on intel_hdcp_auth_downstream (Wei)
- Introduce intel_gt_runtime_suspend/resume (Daniele)
- PMU improvements (Tvrtko)
- Flush extra hard after writing relocations through the GTT (Chris)
- Documentations fixes (Michal, Chris)
- Report dma_reserv allocation failure (Chris)
- Improvements around shrinker (Chris)
- More improvements around engine handling (Chris)
- Also more s/dev_priv/i915 (Chris)
- Abstract display suspend/resume operations (Rodrigo/Jani)
- Drop VM_IO from GTT mappings (Chris)
- Fix some NULL vs IS_ERR conditions (Dan)
- General improvements on error state (Chris)
- Isolate i915_getparam_iocrtl to its own file (Chris)
- Perf OA object refactor (Umesh)
- Ignore central i915->kernel_context and allocate it directly (Chris)
- More fixes and improvements around wakerefs (Chris)
- Clean-up and improvements around debugfs (Chris)
- Free the imported shmemfs file for phys objects (Chris)
- Many other fix and cleanups around engines and execlists (Chris)
- Split out uncore_mmio_debug (Daniele)
- Memory management fixes for blk and gtt (Matt)
- Introduction of buddy allocator to handle huge-pages for GTT (Matt)
- Fix ICL and TGL PG3 power domains (Anshuman)
- Extract GT IRQ to gt/ (Andi)
- Drop last_fence tracking in favor of whole vma->active (Chris)
- Make overlay to use i915_active instead of i915_active_request (Chris)
- Move misc display IRQ handling to its own function (Jose)
- Introduce new _TRANS2() macro in preparation for some coming PSR related work (Jose)

This tag also includes Gvt stuff including several enhancements for command parser and batch buffer shadow, remove extra debugfs function return check, and other misc changes like typo, static check fix, etc.

Thanks,
Rodrigo.

The following changes since commit ae4530062620561d24683b1bd3438b8397693429:

  Merge branch 'etnaviv/next' of https://git.pengutronix.de/git/lst/linux into drm-next (2019-08-22 13:21:16 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-08-22

for you to fetch changes up to be91233b1053d9725d3a437e3da44ccff2639477:

  drm/i915: Update DRIVER_DATE to 20190822 (2019-08-22 05:46:28 -0700)

----------------------------------------------------------------
- More TGL enabling work (Michel, Jose, Lucas)
- Fixes on DP MST (Ville)
- More GTT and Execlists fixes and improvements (Chris)
- Code style clean-up on hdmi and dp side (Jani)
- Fix null pointer dereferrence (Xiong)
- Fix a couple of missing serialization on selftests (Chris)
- More vm locking rework (Chris)

----------------------------------------------------------------
Andi Shyti (2):
      drm/i915: Extract GT powermanagement interrupt handling
      drm/i915: Extract general GT interrupt handlers

Anshuman Gupta (2):
      drm/i915/icl: Remove DDI IO power domain from PG3 power domains
      drm/i915/tgl: Fixing up list of PG3 power domains.

Anusha Srivatsa (2):
      drm/i915/dmc: Load DMC on TGL
      drm/i915/cml: Add Missing PCI IDs

Chris Wilson (85):
      drm/i915: Avoid ce->gem_context->i915
      drm/i915/selftests: Pass intel_context to igt_spinner
      drm/i915/execlists: Always clear pending&inflight requests on reset
      drm/i915: Remove lrc default desc from GEM context
      drm/i915/pmu: Atomically acquire the gt_pm wakeref
      drm/i915: Flush extra hard after writing relocations through the GTT
      drm/i915: Allow sharing the idle-barrier from other kernel requests
      drm/i915: Report resv_obj allocation failure
      drm/i915: Hide unshrinkable context objects from the shrinker
      drm/i915: Flush the freed object list on file close
      drm/i915: Teach execbuffer to take the engine wakeref not GT
      drm/i915: Replace struct_mutex for batch pool serialisation
      drm/i915/gt: Remove stale kerneldoc for internal MOCS functions
      drm/i915: Use drm_i915_private directly from drv_get_drvdata()
      drm/i915/gem: Make caps.scheduler static
      drm/i915/gt: Move the [class][inst] lookup for engines onto the GT
      drm/i915: Drop expectations of VM_IO from our GGTT mmappings
      drm/i915: Rename engines to match their user interface
      drm/i915: Use intel_engine_lookup_user for probing HAS_BSD etc
      drm/i915: Include the DRIVER_DATE in the error state
      drm/i915: Isolate i915_getparam_ioctl()
      drm/i915/selftests: Pass intel_context to mock_request
      drm/i915: Allocate kernel_contexts directly
      drm/i915: Fix up the inverse mapping for default ctx->engines[]
      drm/i915/selftests: Fixup a missing legacy_idx
      drm/i915: Defer final intel_wakeref_put to process context
      drm/i915: Only include active engines in the capture state
      drm/i915: Make debugfs/per_file_stats scale better
      drm/i915: Free the imported shmemfs file for phys objects
      drm/i915/execlists: Backtrack along timeline
      drm/i915: Check for a second VCS engine more carefully
      drm/i915: Replace global bsd_dispatch_index with random seed
      drm/i915: Generalise BSD default selection
      drm/i915: Drop the fudge warning on ring restart for ctg/elk
      drm/i915: Remove i915_gem_context_create_gvt()
      drm/i915/gt: Make deferred context allocation explicit
      drm/i915: Push the ring creation flags to the backend
      drm/i915: Lift timeline into intel_context
      drm/i915: Stop reconfiguring our shmemfs mountpoint
      drm/i915: Remove unused debugfs/i915_emon_status
      drm/i915/execlists: Avoid sync calls during park
      drm/i915/selftests: Prevent the timeslice expiring during suppression tests
      drm/i915/gt: Use the local engine wakeref when checking RING registers
      drm/i915: Forgo last_fence active request tracking
      drm/i915/overlay: Switch to using i915_active tracking
      drm/i915/guc: Use a local cancel_port_requests
      drm/i915: Push the wakeref->count deferral to the backend
      drm/i915/gt: Save/restore interrupts around breadcrumb disable
      drm/i915: Include engine->mmio_base in the debug dump
      drm/i915: Disregard drm_mode_config.fb_base
      drm/i915: Serialise read/write of the barrier's engine
      drm/i915: Convert a few more bland dmesg info to be device specific
      drm/i915: Move tasklet kicking to __i915_request_queue caller
      drm/i915/gt: Track timeline activeness in enter/exit
      drm/i915/gt: Convert timeline tracking to spinlock
      drm/i915/gt: Guard timeline pinning without relying on struct_mutex
      drm/i915: Protect request retirement with timeline->mutex
      drm/i915: Extract intel_frontbuffer active tracking
      drm/i915: Use the associated uncore for the vm
      drm/i915/gt: Mark context->active_count as protected by timeline->mutex
      drm/i915: Markup expected timeline locks for i915_active
      drm/i915/execlists: Lift process_csb() out of the irq-off spinlock
      drm/i915/selftests: Check the context size
      drm/i915: Propagate fence errors
      drm/i915: Always wrap the ring offset before resetting
      drm/i915/gt: Mark up the nested engine-pm timeline lock as irqsafe
      drm/i915: Only emit the 'send bug report' once for a GPU hang
      drm/i915: Serialize against vma moves
      drm/i915: i915_active.retire() is optional
      drm/i915: Use 0 for the unordered context
      drm/i915: Assume exclusive access to objects inside resume
      drm/i915: Serialize insertion into the file->mm.request_list
      drm/i915: Be defensive when starting vma activity
      drm/i915/gtt: Relax pd_used assertion
      drm/i915/gtt: Relax assertion for pt_used
      drm/i915/gtt: Include asm/smp.h
      drm/i915: Replace PIN_NONFAULT with calls to PIN_NOEVICT
      drm/i915/execlists: Set priority hint prior to submission
      drm/i915/gtt: Add some range asserts
      drm/i915/selftests: Fixup a couple of missing serialisation with vma
      drm/i915: Generalise the clflush dma-worker
      drm/i915: Track ggtt fence reservations under its own mutex
      drm/i915: Pull obj->userfault tracking under the ggtt->mutex
      drm/i915: Replace i915_vma_put_fence()
      drm/i915: Kill the undead i915_gem_batch_pool.c

Dan Carpenter (1):
      drm/i915: Fix some NULL vs IS_ERR() conditions

Daniele Ceraolo Spurio (18):
      drm/i915/uc: Don't enable communication twice on resume
      drm/i915/uc: Move uC WOPCM setup in uc_init_hw
      drm/i915/gt: Move gt_cleanup_early out of gem_cleanup_early
      drm/i915/uc: Move uC early functions inside the GT ones
      drm/i915/gt: Introduce intel_gt_runtime_suspend/resume
      drm/i915: split out uncore_mmio_debug
      drm/i915/guc: keep breadcrumb irq always enabled
      drm/i915: drop engine_pin/unpin_breadcrumbs_irq
      drm/i915/guc: Remove client->submissions
      drm/i915: Move i915_power_well_id out of i915_reg.h
      drm/i915: Move engine IDs out of i915_reg.h
      drm/i915: Move gmbus definitions out of i915_reg.h
      drm/i915: Wrappers for display register waits
      drm/i915/tgl: add Gen12 default indirect ctx offset
      drm/i915/tgl: add GEN12_MAX_CONTEXT_HW_ID
      drm/i915/tgl: Gen12 csb support
      drm/i915/tgl: Gen12 render context size
      drm/i915: Dynamically allocate s0ix struct for VLV

Gao, Fred (3):
      drm/i915/gvt: Utility for valid command length check
      drm/i915/gvt: Add MI command valid length check
      drm/i915/gvt: Add valid length check for MI variable commands

Greg Kroah-Hartman (1):
      drm/i915/gvt: no need to check return value of debugfs_create functions

Gustavo A. R. Silva (1):
      drm/i915/kvmgt: Use struct_size() helper

Imre Deak (2):
      drm/i915: Fix HW readout for crtc_clock in HDMI mode
      drm/i915: Sanitize PHY state during display core uninit

Jani Nikula (28):
      drm/i915/oa: add content to Makefile
      drm/i915/oa: update the generated files
      drm/i915: move intel_display.c function declarations
      drm/i915/sprite: un-inline icl_is_hdr_plane()
      drm/i915/irq: un-inline functions to avoid i915_drv.h include
      drm/i915/bw: make intel_atomic_get_bw_state() static
      drm/i915/mst: un-inline intel_dp_mst_encoder_active_links()
      drm/i915/tc: un-inline intel_tc_port_ref_held()
      drm/i915: avoid including intel_drv.h via i915_drv.h->i915_trace.h
      drm/i915: rename intel_drv.h to display/intel_display_types.h
      drm/i915: remove unnecessary includes of intel_display_types.h header
      drm/i915: move property enums to intel_display_types.h
      drm/i915: split out intel_pch.[ch] from i915_drv.[ch]
      drm/i915: remove unused dev_priv->no_aux_handshake
      drm/i915: move add_taint_for_CI() to i915_utils.h
      drm/i915: move I915_STATE_WARN() and _ON() to intel_display.h
      drm/i915: move printing and load error inject to i915_utils.[ch]
      drm/i915: extract i915_perf.h from i915_drv.h
      drm/i915: extract i915_sysfs.h from i915_drv.h
      drm/i915: extract i915_suspend.h from i915_drv.h
      drm/i915: extract i915_memcpy.h from i915_drv.h
      drm/i915: extract gem/i915_gem_stolen.h from i915_drv.h
      drm/i915: extract i915_gem_shrinker.h from i915_drv.h
      drm/i915/dp: stylistic cleanup around hdcp2_msg_data
      drm/i915/dp: avoid shadowing variables
      drm/i915/dp: make hdcp2_dp_msg_data const
      drm/i915/hdmi: stylistic cleanup around hdcp2_msg_data
      drm/i915/hdmi: make hdcp2_msg_data const

Jordan Justen (1):
      drm/i915/tgl: allow the reg_read ioctl to read the RCS TIMESTAMP register

José Roberto de Souza (9):
      drm/i915/tgl: Update north display hotplug detection to TGL connections
      drm/i915/ehl: Ungate DDIC and DDID
      drm/i915/tgl: Add and use new DC5 and DC6 residency counter registers
      drm/i915: Get transcoder power domain before reading its register
      drm/i915/tgl: Fix the read of the DDI that transcoder is attached to
      drm/i915/tgl: Fix missing parentheses on TGL_TRANS_DDI_FUNC_CTL_VAL_TO_PORT
      drm/i915/bdw+: Move misc display IRQ handling to it own function
      drm/i915: Add _TRANS2()
      drm/i915/tgl: Move transcoders to pipes' powerwells

Lucas De Marchi (9):
      drm/i915/tgl: Add hpd interrupt handling
      drm/i915/tgl: handle DP aux interrupts
      drm/i915: make i915_selftest.h self-contained
      drm/i915: remove dangling forward declaration
      drm/i915/tgl: Move fault registers to their new offset
      drm/i915/tgl: stop using ERROR_GEN6 and DONE_REG
      drm/i915/tgl: Introduce initial Tiger Lake workarounds
      drm/i915/tgl: disable DDIC
      drm/i915/tgl: update DMC firmware to 2.04

Matt Roper (2):
      drm/i915/ehl: Don't forget to handle port C's hotplug interrupts
      drm/i915/gen11: Allow usage of all GPIO pins

Matthew Auld (10):
      drm/i915/blt: don't assume pinned intel_context
      drm/i915/blt: bump the size restriction
      drm/i915/selftests: move gpu-write-dw into utils
      drm/i915/gtt: enable GTT cache by default
      drm/i915/gtt: disable 2M pages for pre-gen11
      drm/i915/blt: support copying objects
      drm/i915: buddy allocator
      drm/i915/selftest/buddy: fixup igt_buddy_alloc_range
      drm/i915/buddy: tidy up i915_buddy_fini
      drm/i915/buddy: use kmemleak_update_trace

Michal Wajdeczko (39):
      drm/i915/uc: Rename intel_uc_is_using* into intel_uc_supports*
      drm/i915/uc: Consider enable_guc modparam during fw selection
      drm/i915/guc: Use dedicated flag to track submission mode
      drm/i915/uc: Stop sanitizing enable_guc modparam
      drm/i915: Fix documentation for __intel_wait_for_register_fw*
      drm/i915: Add i915 to i915_inject_probe_failure
      drm/i915/uc: Do full sanitize instead of pure reset
      drm/i915/uc: Reorder firmware status codes
      drm/i915/uc: Move GuC error log to uc and release it on fini
      drm/i915/uc: Inject probe errors into intel_uc_init_hw
      drm/i915/wopcm: Don't fail on WOPCM partitioning failure
      drm/i915/guc: Prefer intel_guc_is_submission_supported
      drm/i915/huc: Prefer intel_huc_is_supported
      drm/i915/uc: Remove redundant GuC support checks
      drm/i915/uc: Don't fail on HuC early init errors
      drm/i915/uc: Prefer dev_info for reporting options
      drm/i915/uc: HuC firmware can't be supported without GuC
      drm/i915/uc: Don't fetch HuC fw if GuC fw fetch already failed
      drm/i915: Don't try to partition WOPCM without GuC firmware
      drm/i915: Make wopcm_to_i915() private
      drm/i915/uc: WOPCM programming errors are not always real
      drm/i915/uc: Hardening firmware fetch
      drm/i915/uc: Fail early if there is no GuC fw available
      drm/i915/uc: Include HuC firmware version in summary
      drm/i915/uc: Update messages from fw upload step
      drm/i915/uc: Use -EIO code for GuC initialization failures
      drm/i915/uc: Update copyright and license
      drm/i915/uc: Log fw status changes only under debug config
      drm/i915/wopcm: Check WOPCM layout separately from calculations
      drm/i915/wopcm: Try to use already locked WOPCM layout
      drm/i915/wopcm: Update error messages
      drm/i915/wopcm: Fix SPDX tag location
      drm/i915/uc: Add explicit DISABLED state for firmware
      drm/i915/uc: Cleanup fw fetch only if it was successful
      drm/i915/uc: Cleanup fw fetch on every GuC/HuC init failure
      drm/i915/uc: Never fail on uC preparation step
      drm/i915/guc: Don't open log relay if GuC is not running
      drm/i915/uc: Don't always fail on unavailable GuC firmware
      drm/i915/uc: Never fail on HuC firmware errors

Michał Winiarski (1):
      drm/i915/uc: Move FW size sanity check back to fetch

Michel Thierry (4):
      drm/i915/tgl: Tigerlake only has global MOCS registers
      drm/i915/tgl: Report valid VDBoxes with SFC capability
      drm/i915/tgl: Updated Private PAT programming
      drm/i915/tgl: add support for reading the timestamp frequency

Mika Kuoppala (4):
      drm/i915/icl: Implement gen11 flush including tile cache
      drm/i915/icl: Add command cache invalidate
      drm/i915/icl: Add gen11 specific render breadcrumbs
      drm/i915/gtt: Fold gen8 insertions into one

Rodrigo Vivi (6):
      drm/i915: abstract display suspend/resume operations
      Merge tag 'gvt-next-2019-08-13' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190813
      drm/i915: Update DRIVER_DATE to 20190820
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190822

Stanislav Lisovskiy (1):
      drm/i915: Fix wrong escape clock divisor init for GLK

Stuart Summers (1):
      drm/i915: Print CCID for all renderCS

Tina Zhang (1):
      drm/i915/gvt: Double check batch buffer size after copy

Tomasz Lis (1):
      drm/i915/tgl: Define MOCS entries for Tigerlake

Tvrtko Ursulin (5):
      drm/i915: Move MOCS setup to intel_mocs.c
      drm/i915/pmu: Make more struct i915_pmu centric
      drm/i915/pmu: Convert engine sampling to uncore mmio
      drm/i915/pmu: Convert sampling to gt
      drm/i915/pmu: Make get_rc6 take intel_gt

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Refactor oa object to better manage resources

Vandita Kulkarni (6):
      drm/i915/tgl/dsi: Program TRANS_VBLANK register
      drm/i915/tgl/dsi: Set latency PCS_DW1 for tgl
      drm/i915/tgl/dsi: Do not override TA_SURE
      drm/i915/tgl/dsi: Gate the ddi clocks after pll mapping
      drm/i915/tgl: Add mipi dsi support for TGL
      drm/i915/tgl/dsi: Enable blanking packets during BLLP for video mode

Ville Syrjälä (2):
      drm/i915: Fix DP-MST crtc_mask
      drm/i915: Do not create a new max_bpc prop for MST connectors

Wei Yongjun (1):
      drm/i915: fix possible memory leak in intel_hdcp_auth_downstream()

Xiong Zhang (1):
      drm/i915: Don't deballoon unused ggtt drm_mm_node in linux guest

Zhenyu Wang (1):
      drm/i915/gvt: Fix typo of VBLANK_TIMER_PERIOD

Zhi Wang (1):
      drm/i915/gvt: factor out tlb and mocs register offset table

 Documentation/gpu/i915.rst                         |    3 -
 drivers/gpu/drm/i915/Makefile                      |   12 +-
 drivers/gpu/drm/i915/display/dvo_ch7017.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_ch7xxx.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_ivch.c            |    2 +-
 drivers/gpu/drm/i915/display/dvo_ns2501.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_sil164.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_tfp410.c          |    2 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   65 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    3 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   23 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   16 +-
 drivers/gpu/drm/i915/display/intel_bw.h            |   15 -
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   22 +-
 drivers/gpu/drm/i915/display/intel_color.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |    2 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    4 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   17 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   29 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  134 +--
 drivers/gpu/drm/i915/display/intel_display.h       |  189 ++++
 drivers/gpu/drm/i915/display/intel_display_power.c |  147 ++-
 drivers/gpu/drm/i915/display/intel_display_power.h |   38 +-
 .../{intel_drv.h => display/intel_display_types.h} |  158 +--
 drivers/gpu/drm/i915/display/intel_dp.c            |  102 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    2 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |    2 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   27 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |    9 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |    8 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   46 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |    3 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    2 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    7 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   51 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |    3 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |  257 +++--
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   70 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   29 +-
 drivers/gpu/drm/i915/display/intel_gmbus.h         |   22 +
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   39 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   43 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    8 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |  143 ++-
 drivers/gpu/drm/i915/display/intel_panel.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |    8 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |    9 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |    8 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |    7 +
 drivers/gpu/drm/i915/display/intel_tc.h            |    9 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    2 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    3 -
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    2 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   84 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   20 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |  127 +--
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |   39 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  170 ++-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |    2 -
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |    5 -
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   49 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |  321 +++---
 drivers/gpu/drm/i915/gem/i915_gem_fence.c          |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |   24 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   78 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    6 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |  370 ++++++-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.h     |   25 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   13 +-
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |    7 +
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |   18 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |   74 +-
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.h       |   31 +
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.h         |   35 +
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |    3 +-
 drivers/gpu/drm/i915/gem/i915_gemfs.c              |   31 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  120 +--
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   16 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |    4 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  193 +---
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |    9 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  128 ++-
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.c |  141 ++-
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.h |   16 +
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   36 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |   87 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |   41 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |   12 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   17 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  243 ++---
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   68 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   18 +-
 drivers/gpu/drm/i915/gt/intel_engine_pool.c        |  177 ++++
 drivers/gpu/drm/i915/gt/intel_engine_pool.h        |   34 +
 drivers/gpu/drm/i915/gt/intel_engine_pool_types.h  |   29 +
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   59 +-
 drivers/gpu/drm/i915/gt/intel_engine_user.c        |  303 ++++++
 drivers/gpu/drm/i915/gt/intel_engine_user.h        |   25 +
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   11 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   36 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |  455 ++++++++
 drivers/gpu/drm/i915/gt/intel_gt_irq.h             |   44 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   40 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |   31 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.c          |  109 ++
 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.h          |   22 +
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |   14 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  486 ++++++---
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    1 +
 drivers/gpu/drm/i915/gt/intel_mocs.c               |  166 +--
 drivers/gpu/drm/i915/gt/intel_mocs.h               |    3 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |    4 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |   16 +-
 drivers/gpu/drm/i915/gt/intel_ringbuffer.c         |  147 +--
 drivers/gpu/drm/i915/gt/intel_sseu.c               |    2 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |  132 +--
 drivers/gpu/drm/i915/gt/intel_timeline.h           |    3 +-
 drivers/gpu/drm/i915/gt/intel_timeline_types.h     |   20 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   26 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |   98 +-
 drivers/gpu/drm/i915/gt/selftest_context.c         |  456 ++++++++
 drivers/gpu/drm/i915/gt/selftest_engine.c          |   28 +
 drivers/gpu/drm/i915/gt/selftest_engine.h          |   14 +
 drivers/gpu/drm/i915/gt/selftest_engine_cs.c       |   26 +-
 drivers/gpu/drm/i915/gt/selftest_engine_pm.c       |   83 ++
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |   17 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  143 +--
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |    6 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   33 +-
 drivers/gpu/drm/i915/gt/selftests/mock_timeline.c  |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |   38 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |   43 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.h         |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.c          |   22 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.h          |   22 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |   31 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.h          |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h        |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |   30 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.h         |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_reg.h         |   24 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |  108 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.h  |   26 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |   57 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.h             |   33 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |   13 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.h          |    5 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  395 ++++---
 drivers/gpu/drm/i915/gt/uc/intel_uc.h              |   62 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |  292 ++++--
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h           |  134 ++-
 drivers/gpu/drm/i915/gt/uc/selftest_guc.c          |   21 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |   10 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  180 +++-
 drivers/gpu/drm/i915/gvt/debugfs.c                 |   47 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |    4 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    8 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |    4 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   15 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   57 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   36 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    4 +-
 drivers/gpu/drm/i915/i915_active.c                 |  331 +++++-
 drivers/gpu/drm/i915/i915_active.h                 |   33 +-
 drivers/gpu/drm/i915/i915_active_types.h           |   17 +-
 drivers/gpu/drm/i915/i915_buddy.c                  |  428 ++++++++
 drivers/gpu/drm/i915/i915_buddy.h                  |  128 +++
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  290 ++----
 drivers/gpu/drm/i915/i915_drv.c                    |  791 ++++----------
 drivers/gpu/drm/i915/i915_drv.h                    |  583 +++--------
 drivers/gpu/drm/i915/i915_gem.c                    |  293 ++----
 drivers/gpu/drm/i915/i915_gem.h                    |    2 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  132 ---
 drivers/gpu/drm/i915/i915_gem_batch_pool.h         |   26 -
 drivers/gpu/drm/i915/i915_gem_evict.c              |    9 -
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |  108 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |    2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  181 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    6 +-
 drivers/gpu/drm/i915/i915_getparam.c               |  168 +++
 drivers/gpu/drm/i915/i915_globals.c                |    1 +
 drivers/gpu/drm/i915/i915_globals.h                |    1 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  288 +++--
 drivers/gpu/drm/i915/i915_gpu_error.h              |    7 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 1095 ++++++--------------
 drivers/gpu/drm/i915/i915_irq.h                    |   79 +-
 drivers/gpu/drm/i915/i915_memcpy.c                 |    2 +-
 drivers/gpu/drm/i915/i915_memcpy.h                 |   32 +
 drivers/gpu/drm/i915/i915_mm.c                     |    5 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   28 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  546 +++++-----
 drivers/gpu/drm/i915/i915_perf.h                   |   32 +
 drivers/gpu/drm/i915/i915_pmu.c                    |  294 +++---
 drivers/gpu/drm/i915/i915_priolist_types.h         |   25 +-
 drivers/gpu/drm/i915/i915_query.c                  |    5 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  131 ++-
 drivers/gpu/drm/i915/i915_request.c                |  252 ++---
 drivers/gpu/drm/i915/i915_request.h                |    5 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |    3 +-
 drivers/gpu/drm/i915/i915_selftest.h               |    2 +
 drivers/gpu/drm/i915/i915_suspend.c                |    3 +-
 drivers/gpu/drm/i915/i915_suspend.h                |   14 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |   23 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    7 +
 drivers/gpu/drm/i915/i915_sw_fence_work.c          |   95 ++
 drivers/gpu/drm/i915/i915_sw_fence_work.h          |   44 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |    2 +-
 drivers/gpu/drm/i915/i915_sysfs.h                  |   14 +
 drivers/gpu/drm/i915/i915_trace.h                  |   12 +-
 drivers/gpu/drm/i915/i915_utils.c                  |   78 ++
 drivers/gpu/drm/i915/i915_utils.h                  |   59 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    4 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   80 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   21 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    7 +
 drivers/gpu/drm/i915/intel_device_info.c           |    5 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    1 +
 drivers/gpu/drm/i915/intel_gvt.c                   |    2 +-
 drivers/gpu/drm/i915/intel_pch.c                   |  201 ++++
 drivers/gpu/drm/i915/intel_pch.h                   |   73 ++
 drivers/gpu/drm/i915/intel_pm.c                    |   19 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    1 +
 drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  101 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   30 +-
 drivers/gpu/drm/i915/intel_wakeref.c               |   80 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |   73 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |  270 +++--
 drivers/gpu/drm/i915/intel_wopcm.h                 |   20 +-
 drivers/gpu/drm/i915/oa/Makefile                   |    7 +
 drivers/gpu/drm/i915/oa/i915_oa_bdw.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_bdw.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_bxt.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_bxt.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_chv.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_chv.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_cnl.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_cnl.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_glk.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_glk.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_hsw.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_hsw.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_icl.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_icl.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.h           |    7 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |    3 +-
 drivers/gpu/drm/i915/selftests/i915_buddy.c        |  720 +++++++++++++
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   19 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    6 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |   46 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |    2 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   30 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.h       |    6 +-
 drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |    1 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    1 +
 drivers/gpu/drm/i915/selftests/mock_request.c      |    6 +-
 drivers/gpu/drm/i915/selftests/mock_request.h      |    4 +-
 include/drm/i915_pciids.h                          |    5 +-
 288 files changed, 11449 insertions(+), 7386 deletions(-)
 rename drivers/gpu/drm/i915/{intel_drv.h => display/intel_display_types.h} (85%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_shrinker.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_stolen.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_user.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_user.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_irq.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_irq.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_context.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine_pm.c
 create mode 100644 drivers/gpu/drm/i915/i915_buddy.c
 create mode 100644 drivers/gpu/drm/i915/i915_buddy.h
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.c
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.h
 create mode 100644 drivers/gpu/drm/i915/i915_getparam.c
 create mode 100644 drivers/gpu/drm/i915/i915_memcpy.h
 create mode 100644 drivers/gpu/drm/i915/i915_perf.h
 create mode 100644 drivers/gpu/drm/i915/i915_suspend.h
 create mode 100644 drivers/gpu/drm/i915/i915_sw_fence_work.c
 create mode 100644 drivers/gpu/drm/i915/i915_sw_fence_work.h
 create mode 100644 drivers/gpu/drm/i915/i915_sysfs.h
 create mode 100644 drivers/gpu/drm/i915/i915_utils.c
 create mode 100644 drivers/gpu/drm/i915/intel_pch.c
 create mode 100644 drivers/gpu/drm/i915/intel_pch.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_buddy.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply related	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-08-22 19:29 Rodrigo Vivi
@ 2019-08-23  1:50 ` Dave Airlie
  0 siblings, 0 replies; 265+ messages in thread
From: Dave Airlie @ 2019-08-23  1:50 UTC (permalink / raw)
  To: Rodrigo Vivi
  Cc: DRM maintainer tools announcements, discussion, and development,
	Daniel Vetter, dri-devel, Maxime Ripard, Sean Paul,
	Intel Graphics Development

On Fri, 23 Aug 2019 at 05:29, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
>
> Hi Dave and Daniel,
>
> Here goes the final pull request targeting 5.4.

For some reason patchwork hasn't picked this up. or if it has I can't
see it. Not sure whether it was the earlier patch code in it or
something else malformed.

Dave.
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-08-22 19:29 Rodrigo Vivi
  2019-08-23  1:50 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2019-08-22 19:29 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, dri-devel, Maxime Ripard, Rodrigo Vivi, Sean Paul, intel-gfx

Hi Dave and Daniel,

Here goes the final pull request targeting 5.4.

It's important to highlight that we got a conflict on a backmerge yesterday
which had already been solved on linux-next with a fix up patch:

From: Stephen Rothwell <sfr@canb.auug.org.au>
Date: Wed, 14 Aug 2019 12:48:39 +1000
Subject: [PATCH] drm: fix up fallout from "dma-buf: rename reservation_object to dma_resv"

Signed-off-by: Stephen Rothwell <sfr@canb.auug.org.au>
---
 drivers/gpu/drm/i915/gt/intel_engine_pool.c | 8 ++++----
 3 files changed, 7 insertions(+), 7 deletions(-)

diff --git a/drivers/gpu/drm/i915/gt/intel_engine_pool.c b/drivers/gpu/drm/i915/gt/intel_engine_pool.c
index 03d90b49584a..4cd54c569911 100644
--- a/drivers/gpu/drm/i915/gt/intel_engine_pool.c
+++ b/drivers/gpu/drm/i915/gt/intel_engine_pool.c
@@ -43,12 +43,12 @@ static int pool_active(struct i915_active *ref)
 {
        struct intel_engine_pool_node *node =
                container_of(ref, typeof(*node), active);
-       struct reservation_object *resv = node->obj->base.resv;
+       struct dma_resv *resv = node->obj->base.resv;
        int err;

-       if (reservation_object_trylock(resv)) {
-               reservation_object_add_excl_fence(resv, NULL);
-               reservation_object_unlock(resv);
+       if (dma_resv_trylock(resv)) {
+               dma_resv_add_excl_fence(resv, NULL);
+               dma_resv_unlock(resv);
        }

        err = i915_gem_object_pin_pages(node->obj);

which is a simplified version from a previous one which had:
Reviewed-by: Christian König <christian.koenig@amd.com>

With this we could also remove the latest dma_resv fixup patch from drm_rerere.

Unfortunately on this merge commit a ghost file reapeared probably by an
amend mistake from my side. And consequently removed by Chris with an extra
patch. I hope this doesn't cause any trouble for you, but if so the solution
is simply go with the version that deletes the file for good.

This pull request also includes Gvt stuff including several enhancements for
command parser and batch buffer shadow, remove extra debugfs function return
check, and other misc changes like typo, static check fix, etc.

The rest is just as usual and split in 3 different drm-intel-next tags:

drm-intel-next-2019-08-22:
- More TGL enabling work (Michel, Jose, Lucas)
- Fixes on DP MST (Ville)
- More GTT and Execlists fixes and improvements (Chris)
- Code style clean-up on hdmi and dp side (Jani)
- Fix null pointer dereferrence (Xiong)
- Fix a couple of missing serialization on selftests (Chris)
- More vm locking rework (Chris)

drm-intel-next-2019-08-20:
- GuC and HuC related fixes and improvements (Daniele, Michal)
- Improve debug with more engine information and rework on debugfs files (Chris, Stuart)
- Simplify appearture address handling (Chris)
- Other fixes and cleanups around engines and execlists (Chris)
- Selftests fixes (Matt, Chris)
- Gen11 cache flush related fixes and improvements (Mika)
- More work around requests, timelines and locks to allow removal of struct_mutex (Chris)
- Add missing CML PCI ID (Anusha)
- More work on the new i915 buddy allocator (Matt)
- More headers, files and directories reorg (Daniele)
- Improvements on ggtt’s get pdp (Mika)
- Fix GPU reset (Chris)
- Fix GPIO pins on gen11 (Matt)
- Fix HW readout for crtc_clock in HDMI mode (Imre)
- Sanitize display Phy during unitit to workaround messages of HW state change during suspend (Imre)
- Be defensive when starting vma activity (Chris)
- More Tiger Lake enabling work (Michel, Daniele, Lucas)
- Relax pd_used assertion (Chris)

drm-intel-next-2019-08-13:
- More Tiger Lake enabling work (Lucas, Jose, Tomasz, Michel, Jordan, Anusha, Vandita)
- More selftest organization reworks, fixes and improvements (Lucas, Chris)
- Simplifications on GEM code like context and cleanup_early (Chris, Daniele)
- GuC and HuC related fixes and improvements (Daniele, Michal, Chris)
- Some clean up and fixes on headers, Makefile, and generated files (Lucas, Jani)
- MOCS setup clean up (Tvrtko)
- More Elkhartlake enabling work (Jose, Matt)
- Fix engine reset by clearing in flight execlists requests (Chris)
- Fix possible memory leak on intel_hdcp_auth_downstream (Wei)
- Introduce intel_gt_runtime_suspend/resume (Daniele)
- PMU improvements (Tvrtko)
- Flush extra hard after writing relocations through the GTT (Chris)
- Documentations fixes (Michal, Chris)
- Report dma_reserv allocation failure (Chris)
- Improvements around shrinker (Chris)
- More improvements around engine handling (Chris)
- Also more s/dev_priv/i915 (Chris)
- Abstract display suspend/resume operations (Rodrigo/Jani)
- Drop VM_IO from GTT mappings (Chris)
- Fix some NULL vs IS_ERR conditions (Dan)
- General improvements on error state (Chris)
- Isolate i915_getparam_iocrtl to its own file (Chris)
- Perf OA object refactor (Umesh)
- Ignore central i915->kernel_context and allocate it directly (Chris)
- More fixes and improvements around wakerefs (Chris)
- Clean-up and improvements around debugfs (Chris)
- Free the imported shmemfs file for phys objects (Chris)
- Many other fix and cleanups around engines and execlists (Chris)
- Split out uncore_mmio_debug (Daniele)
- Memory management fixes for blk and gtt (Matt)
- Introduction of buddy allocator to handle huge-pages for GTT (Matt)
- Fix ICL and TGL PG3 power domains (Anshuman)
- Extract GT IRQ to gt/ (Andi)
- Drop last_fence tracking in favor of whole vma->active (Chris)
- Make overlay to use i915_active instead of i915_active_request (Chris)
- Move misc display IRQ handling to its own function (Jose)
- Introduce new _TRANS2() macro in preparation for some coming PSR related work (Jose)

This tag also includes Gvt stuff including several enhancements for command parser and batch buffer shadow, remove extra debugfs function return check, and other misc changes like typo, static check fix, etc.

Thanks,
Rodrigo.

The following changes since commit ae4530062620561d24683b1bd3438b8397693429:

  Merge branch 'etnaviv/next' of https://git.pengutronix.de/git/lst/linux into drm-next (2019-08-22 13:21:16 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-08-22

for you to fetch changes up to be91233b1053d9725d3a437e3da44ccff2639477:

  drm/i915: Update DRIVER_DATE to 20190822 (2019-08-22 05:46:28 -0700)

----------------------------------------------------------------
- More TGL enabling work (Michel, Jose, Lucas)
- Fixes on DP MST (Ville)
- More GTT and Execlists fixes and improvements (Chris)
- Code style clean-up on hdmi and dp side (Jani)
- Fix null pointer dereferrence (Xiong)
- Fix a couple of missing serialization on selftests (Chris)
- More vm locking rework (Chris)

----------------------------------------------------------------
Andi Shyti (2):
      drm/i915: Extract GT powermanagement interrupt handling
      drm/i915: Extract general GT interrupt handlers

Anshuman Gupta (2):
      drm/i915/icl: Remove DDI IO power domain from PG3 power domains
      drm/i915/tgl: Fixing up list of PG3 power domains.

Anusha Srivatsa (2):
      drm/i915/dmc: Load DMC on TGL
      drm/i915/cml: Add Missing PCI IDs

Chris Wilson (85):
      drm/i915: Avoid ce->gem_context->i915
      drm/i915/selftests: Pass intel_context to igt_spinner
      drm/i915/execlists: Always clear pending&inflight requests on reset
      drm/i915: Remove lrc default desc from GEM context
      drm/i915/pmu: Atomically acquire the gt_pm wakeref
      drm/i915: Flush extra hard after writing relocations through the GTT
      drm/i915: Allow sharing the idle-barrier from other kernel requests
      drm/i915: Report resv_obj allocation failure
      drm/i915: Hide unshrinkable context objects from the shrinker
      drm/i915: Flush the freed object list on file close
      drm/i915: Teach execbuffer to take the engine wakeref not GT
      drm/i915: Replace struct_mutex for batch pool serialisation
      drm/i915/gt: Remove stale kerneldoc for internal MOCS functions
      drm/i915: Use drm_i915_private directly from drv_get_drvdata()
      drm/i915/gem: Make caps.scheduler static
      drm/i915/gt: Move the [class][inst] lookup for engines onto the GT
      drm/i915: Drop expectations of VM_IO from our GGTT mmappings
      drm/i915: Rename engines to match their user interface
      drm/i915: Use intel_engine_lookup_user for probing HAS_BSD etc
      drm/i915: Include the DRIVER_DATE in the error state
      drm/i915: Isolate i915_getparam_ioctl()
      drm/i915/selftests: Pass intel_context to mock_request
      drm/i915: Allocate kernel_contexts directly
      drm/i915: Fix up the inverse mapping for default ctx->engines[]
      drm/i915/selftests: Fixup a missing legacy_idx
      drm/i915: Defer final intel_wakeref_put to process context
      drm/i915: Only include active engines in the capture state
      drm/i915: Make debugfs/per_file_stats scale better
      drm/i915: Free the imported shmemfs file for phys objects
      drm/i915/execlists: Backtrack along timeline
      drm/i915: Check for a second VCS engine more carefully
      drm/i915: Replace global bsd_dispatch_index with random seed
      drm/i915: Generalise BSD default selection
      drm/i915: Drop the fudge warning on ring restart for ctg/elk
      drm/i915: Remove i915_gem_context_create_gvt()
      drm/i915/gt: Make deferred context allocation explicit
      drm/i915: Push the ring creation flags to the backend
      drm/i915: Lift timeline into intel_context
      drm/i915: Stop reconfiguring our shmemfs mountpoint
      drm/i915: Remove unused debugfs/i915_emon_status
      drm/i915/execlists: Avoid sync calls during park
      drm/i915/selftests: Prevent the timeslice expiring during suppression tests
      drm/i915/gt: Use the local engine wakeref when checking RING registers
      drm/i915: Forgo last_fence active request tracking
      drm/i915/overlay: Switch to using i915_active tracking
      drm/i915/guc: Use a local cancel_port_requests
      drm/i915: Push the wakeref->count deferral to the backend
      drm/i915/gt: Save/restore interrupts around breadcrumb disable
      drm/i915: Include engine->mmio_base in the debug dump
      drm/i915: Disregard drm_mode_config.fb_base
      drm/i915: Serialise read/write of the barrier's engine
      drm/i915: Convert a few more bland dmesg info to be device specific
      drm/i915: Move tasklet kicking to __i915_request_queue caller
      drm/i915/gt: Track timeline activeness in enter/exit
      drm/i915/gt: Convert timeline tracking to spinlock
      drm/i915/gt: Guard timeline pinning without relying on struct_mutex
      drm/i915: Protect request retirement with timeline->mutex
      drm/i915: Extract intel_frontbuffer active tracking
      drm/i915: Use the associated uncore for the vm
      drm/i915/gt: Mark context->active_count as protected by timeline->mutex
      drm/i915: Markup expected timeline locks for i915_active
      drm/i915/execlists: Lift process_csb() out of the irq-off spinlock
      drm/i915/selftests: Check the context size
      drm/i915: Propagate fence errors
      drm/i915: Always wrap the ring offset before resetting
      drm/i915/gt: Mark up the nested engine-pm timeline lock as irqsafe
      drm/i915: Only emit the 'send bug report' once for a GPU hang
      drm/i915: Serialize against vma moves
      drm/i915: i915_active.retire() is optional
      drm/i915: Use 0 for the unordered context
      drm/i915: Assume exclusive access to objects inside resume
      drm/i915: Serialize insertion into the file->mm.request_list
      drm/i915: Be defensive when starting vma activity
      drm/i915/gtt: Relax pd_used assertion
      drm/i915/gtt: Relax assertion for pt_used
      drm/i915/gtt: Include asm/smp.h
      drm/i915: Replace PIN_NONFAULT with calls to PIN_NOEVICT
      drm/i915/execlists: Set priority hint prior to submission
      drm/i915/gtt: Add some range asserts
      drm/i915/selftests: Fixup a couple of missing serialisation with vma
      drm/i915: Generalise the clflush dma-worker
      drm/i915: Track ggtt fence reservations under its own mutex
      drm/i915: Pull obj->userfault tracking under the ggtt->mutex
      drm/i915: Replace i915_vma_put_fence()
      drm/i915: Kill the undead i915_gem_batch_pool.c

Dan Carpenter (1):
      drm/i915: Fix some NULL vs IS_ERR() conditions

Daniele Ceraolo Spurio (18):
      drm/i915/uc: Don't enable communication twice on resume
      drm/i915/uc: Move uC WOPCM setup in uc_init_hw
      drm/i915/gt: Move gt_cleanup_early out of gem_cleanup_early
      drm/i915/uc: Move uC early functions inside the GT ones
      drm/i915/gt: Introduce intel_gt_runtime_suspend/resume
      drm/i915: split out uncore_mmio_debug
      drm/i915/guc: keep breadcrumb irq always enabled
      drm/i915: drop engine_pin/unpin_breadcrumbs_irq
      drm/i915/guc: Remove client->submissions
      drm/i915: Move i915_power_well_id out of i915_reg.h
      drm/i915: Move engine IDs out of i915_reg.h
      drm/i915: Move gmbus definitions out of i915_reg.h
      drm/i915: Wrappers for display register waits
      drm/i915/tgl: add Gen12 default indirect ctx offset
      drm/i915/tgl: add GEN12_MAX_CONTEXT_HW_ID
      drm/i915/tgl: Gen12 csb support
      drm/i915/tgl: Gen12 render context size
      drm/i915: Dynamically allocate s0ix struct for VLV

Gao, Fred (3):
      drm/i915/gvt: Utility for valid command length check
      drm/i915/gvt: Add MI command valid length check
      drm/i915/gvt: Add valid length check for MI variable commands

Greg Kroah-Hartman (1):
      drm/i915/gvt: no need to check return value of debugfs_create functions

Gustavo A. R. Silva (1):
      drm/i915/kvmgt: Use struct_size() helper

Imre Deak (2):
      drm/i915: Fix HW readout for crtc_clock in HDMI mode
      drm/i915: Sanitize PHY state during display core uninit

Jani Nikula (28):
      drm/i915/oa: add content to Makefile
      drm/i915/oa: update the generated files
      drm/i915: move intel_display.c function declarations
      drm/i915/sprite: un-inline icl_is_hdr_plane()
      drm/i915/irq: un-inline functions to avoid i915_drv.h include
      drm/i915/bw: make intel_atomic_get_bw_state() static
      drm/i915/mst: un-inline intel_dp_mst_encoder_active_links()
      drm/i915/tc: un-inline intel_tc_port_ref_held()
      drm/i915: avoid including intel_drv.h via i915_drv.h->i915_trace.h
      drm/i915: rename intel_drv.h to display/intel_display_types.h
      drm/i915: remove unnecessary includes of intel_display_types.h header
      drm/i915: move property enums to intel_display_types.h
      drm/i915: split out intel_pch.[ch] from i915_drv.[ch]
      drm/i915: remove unused dev_priv->no_aux_handshake
      drm/i915: move add_taint_for_CI() to i915_utils.h
      drm/i915: move I915_STATE_WARN() and _ON() to intel_display.h
      drm/i915: move printing and load error inject to i915_utils.[ch]
      drm/i915: extract i915_perf.h from i915_drv.h
      drm/i915: extract i915_sysfs.h from i915_drv.h
      drm/i915: extract i915_suspend.h from i915_drv.h
      drm/i915: extract i915_memcpy.h from i915_drv.h
      drm/i915: extract gem/i915_gem_stolen.h from i915_drv.h
      drm/i915: extract i915_gem_shrinker.h from i915_drv.h
      drm/i915/dp: stylistic cleanup around hdcp2_msg_data
      drm/i915/dp: avoid shadowing variables
      drm/i915/dp: make hdcp2_dp_msg_data const
      drm/i915/hdmi: stylistic cleanup around hdcp2_msg_data
      drm/i915/hdmi: make hdcp2_msg_data const

Jordan Justen (1):
      drm/i915/tgl: allow the reg_read ioctl to read the RCS TIMESTAMP register

José Roberto de Souza (9):
      drm/i915/tgl: Update north display hotplug detection to TGL connections
      drm/i915/ehl: Ungate DDIC and DDID
      drm/i915/tgl: Add and use new DC5 and DC6 residency counter registers
      drm/i915: Get transcoder power domain before reading its register
      drm/i915/tgl: Fix the read of the DDI that transcoder is attached to
      drm/i915/tgl: Fix missing parentheses on TGL_TRANS_DDI_FUNC_CTL_VAL_TO_PORT
      drm/i915/bdw+: Move misc display IRQ handling to it own function
      drm/i915: Add _TRANS2()
      drm/i915/tgl: Move transcoders to pipes' powerwells

Lucas De Marchi (9):
      drm/i915/tgl: Add hpd interrupt handling
      drm/i915/tgl: handle DP aux interrupts
      drm/i915: make i915_selftest.h self-contained
      drm/i915: remove dangling forward declaration
      drm/i915/tgl: Move fault registers to their new offset
      drm/i915/tgl: stop using ERROR_GEN6 and DONE_REG
      drm/i915/tgl: Introduce initial Tiger Lake workarounds
      drm/i915/tgl: disable DDIC
      drm/i915/tgl: update DMC firmware to 2.04

Matt Roper (2):
      drm/i915/ehl: Don't forget to handle port C's hotplug interrupts
      drm/i915/gen11: Allow usage of all GPIO pins

Matthew Auld (10):
      drm/i915/blt: don't assume pinned intel_context
      drm/i915/blt: bump the size restriction
      drm/i915/selftests: move gpu-write-dw into utils
      drm/i915/gtt: enable GTT cache by default
      drm/i915/gtt: disable 2M pages for pre-gen11
      drm/i915/blt: support copying objects
      drm/i915: buddy allocator
      drm/i915/selftest/buddy: fixup igt_buddy_alloc_range
      drm/i915/buddy: tidy up i915_buddy_fini
      drm/i915/buddy: use kmemleak_update_trace

Michal Wajdeczko (39):
      drm/i915/uc: Rename intel_uc_is_using* into intel_uc_supports*
      drm/i915/uc: Consider enable_guc modparam during fw selection
      drm/i915/guc: Use dedicated flag to track submission mode
      drm/i915/uc: Stop sanitizing enable_guc modparam
      drm/i915: Fix documentation for __intel_wait_for_register_fw*
      drm/i915: Add i915 to i915_inject_probe_failure
      drm/i915/uc: Do full sanitize instead of pure reset
      drm/i915/uc: Reorder firmware status codes
      drm/i915/uc: Move GuC error log to uc and release it on fini
      drm/i915/uc: Inject probe errors into intel_uc_init_hw
      drm/i915/wopcm: Don't fail on WOPCM partitioning failure
      drm/i915/guc: Prefer intel_guc_is_submission_supported
      drm/i915/huc: Prefer intel_huc_is_supported
      drm/i915/uc: Remove redundant GuC support checks
      drm/i915/uc: Don't fail on HuC early init errors
      drm/i915/uc: Prefer dev_info for reporting options
      drm/i915/uc: HuC firmware can't be supported without GuC
      drm/i915/uc: Don't fetch HuC fw if GuC fw fetch already failed
      drm/i915: Don't try to partition WOPCM without GuC firmware
      drm/i915: Make wopcm_to_i915() private
      drm/i915/uc: WOPCM programming errors are not always real
      drm/i915/uc: Hardening firmware fetch
      drm/i915/uc: Fail early if there is no GuC fw available
      drm/i915/uc: Include HuC firmware version in summary
      drm/i915/uc: Update messages from fw upload step
      drm/i915/uc: Use -EIO code for GuC initialization failures
      drm/i915/uc: Update copyright and license
      drm/i915/uc: Log fw status changes only under debug config
      drm/i915/wopcm: Check WOPCM layout separately from calculations
      drm/i915/wopcm: Try to use already locked WOPCM layout
      drm/i915/wopcm: Update error messages
      drm/i915/wopcm: Fix SPDX tag location
      drm/i915/uc: Add explicit DISABLED state for firmware
      drm/i915/uc: Cleanup fw fetch only if it was successful
      drm/i915/uc: Cleanup fw fetch on every GuC/HuC init failure
      drm/i915/uc: Never fail on uC preparation step
      drm/i915/guc: Don't open log relay if GuC is not running
      drm/i915/uc: Don't always fail on unavailable GuC firmware
      drm/i915/uc: Never fail on HuC firmware errors

Michał Winiarski (1):
      drm/i915/uc: Move FW size sanity check back to fetch

Michel Thierry (4):
      drm/i915/tgl: Tigerlake only has global MOCS registers
      drm/i915/tgl: Report valid VDBoxes with SFC capability
      drm/i915/tgl: Updated Private PAT programming
      drm/i915/tgl: add support for reading the timestamp frequency

Mika Kuoppala (4):
      drm/i915/icl: Implement gen11 flush including tile cache
      drm/i915/icl: Add command cache invalidate
      drm/i915/icl: Add gen11 specific render breadcrumbs
      drm/i915/gtt: Fold gen8 insertions into one

Rodrigo Vivi (6):
      drm/i915: abstract display suspend/resume operations
      Merge tag 'gvt-next-2019-08-13' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190813
      drm/i915: Update DRIVER_DATE to 20190820
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190822

Stanislav Lisovskiy (1):
      drm/i915: Fix wrong escape clock divisor init for GLK

Stuart Summers (1):
      drm/i915: Print CCID for all renderCS

Tina Zhang (1):
      drm/i915/gvt: Double check batch buffer size after copy

Tomasz Lis (1):
      drm/i915/tgl: Define MOCS entries for Tigerlake

Tvrtko Ursulin (5):
      drm/i915: Move MOCS setup to intel_mocs.c
      drm/i915/pmu: Make more struct i915_pmu centric
      drm/i915/pmu: Convert engine sampling to uncore mmio
      drm/i915/pmu: Convert sampling to gt
      drm/i915/pmu: Make get_rc6 take intel_gt

Umesh Nerlige Ramappa (1):
      drm/i915/perf: Refactor oa object to better manage resources

Vandita Kulkarni (6):
      drm/i915/tgl/dsi: Program TRANS_VBLANK register
      drm/i915/tgl/dsi: Set latency PCS_DW1 for tgl
      drm/i915/tgl/dsi: Do not override TA_SURE
      drm/i915/tgl/dsi: Gate the ddi clocks after pll mapping
      drm/i915/tgl: Add mipi dsi support for TGL
      drm/i915/tgl/dsi: Enable blanking packets during BLLP for video mode

Ville Syrjälä (2):
      drm/i915: Fix DP-MST crtc_mask
      drm/i915: Do not create a new max_bpc prop for MST connectors

Wei Yongjun (1):
      drm/i915: fix possible memory leak in intel_hdcp_auth_downstream()

Xiong Zhang (1):
      drm/i915: Don't deballoon unused ggtt drm_mm_node in linux guest

Zhenyu Wang (1):
      drm/i915/gvt: Fix typo of VBLANK_TIMER_PERIOD

Zhi Wang (1):
      drm/i915/gvt: factor out tlb and mocs register offset table

 Documentation/gpu/i915.rst                         |    3 -
 drivers/gpu/drm/i915/Makefile                      |   12 +-
 drivers/gpu/drm/i915/display/dvo_ch7017.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_ch7xxx.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_ivch.c            |    2 +-
 drivers/gpu/drm/i915/display/dvo_ns2501.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_sil164.c          |    2 +-
 drivers/gpu/drm/i915/display/dvo_tfp410.c          |    2 +-
 drivers/gpu/drm/i915/display/icl_dsi.c             |   65 +-
 drivers/gpu/drm/i915/display/intel_atomic.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |    3 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   23 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   16 +-
 drivers/gpu/drm/i915/display/intel_bw.h            |   15 -
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   22 +-
 drivers/gpu/drm/i915/display/intel_color.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |    2 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    4 +-
 drivers/gpu/drm/i915/display/intel_crt.c           |   17 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |   29 +-
 drivers/gpu/drm/i915/display/intel_display.c       |  134 +--
 drivers/gpu/drm/i915/display/intel_display.h       |  189 ++++
 drivers/gpu/drm/i915/display/intel_display_power.c |  147 ++-
 drivers/gpu/drm/i915/display/intel_display_power.h |   38 +-
 .../{intel_drv.h => display/intel_display_types.h} |  158 +--
 drivers/gpu/drm/i915/display/intel_dp.c            |  102 +-
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    2 +-
 .../gpu/drm/i915/display/intel_dp_link_training.c  |    2 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.c        |   27 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |    9 +-
 drivers/gpu/drm/i915/display/intel_dpio_phy.c      |    8 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |   46 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |    3 +-
 .../gpu/drm/i915/display/intel_dsi_dcs_backlight.c |    2 +-
 drivers/gpu/drm/i915/display/intel_dsi_vbt.c       |    2 +-
 drivers/gpu/drm/i915/display/intel_dvo.c           |    2 +-
 drivers/gpu/drm/i915/display/intel_fbc.c           |    7 +-
 drivers/gpu/drm/i915/display/intel_fbdev.c         |   51 +-
 drivers/gpu/drm/i915/display/intel_fifo_underrun.c |    3 +-
 drivers/gpu/drm/i915/display/intel_frontbuffer.c   |  257 +++--
 drivers/gpu/drm/i915/display/intel_frontbuffer.h   |   70 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   29 +-
 drivers/gpu/drm/i915/display/intel_gmbus.h         |   22 +
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   39 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   43 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |    8 +-
 drivers/gpu/drm/i915/display/intel_lspcon.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_lvds.c          |    8 +-
 drivers/gpu/drm/i915/display/intel_opregion.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |  143 ++-
 drivers/gpu/drm/i915/display/intel_panel.c         |    2 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_psr.c           |    8 +-
 drivers/gpu/drm/i915/display/intel_quirks.c        |    2 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |    2 +-
 drivers/gpu/drm/i915/display/intel_sprite.c        |    9 +-
 drivers/gpu/drm/i915/display/intel_sprite.h        |    8 +-
 drivers/gpu/drm/i915/display/intel_tc.c            |    7 +
 drivers/gpu/drm/i915/display/intel_tc.h            |    9 +-
 drivers/gpu/drm/i915/display/intel_tv.c            |    2 +-
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    3 -
 drivers/gpu/drm/i915/display/intel_vdsc.c          |    2 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |   84 +-
 drivers/gpu/drm/i915/display/vlv_dsi_pll.c         |   20 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.c        |  127 +--
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |   39 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |  170 ++-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |    2 -
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |    5 -
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |   49 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |  321 +++---
 drivers/gpu/drm/i915/gem/i915_gem_fence.c          |    3 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |   24 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   78 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    6 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |  370 ++++++-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.h     |   25 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |   13 +-
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |    7 +
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |   18 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    1 +
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |   74 +-
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.h       |   31 +
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    9 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.h         |   35 +
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |    3 +-
 drivers/gpu/drm/i915/gem/i915_gemfs.c              |   31 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |  120 +--
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   16 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |    4 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |  193 +---
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |    9 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  128 ++-
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.c |  141 ++-
 drivers/gpu/drm/i915/gem/selftests/igt_gem_utils.h |   16 +
 drivers/gpu/drm/i915/gt/intel_breadcrumbs.c        |   36 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |   87 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |   41 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |   12 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   17 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  243 ++---
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   68 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   18 +-
 drivers/gpu/drm/i915/gt/intel_engine_pool.c        |  177 ++++
 drivers/gpu/drm/i915/gt/intel_engine_pool.h        |   34 +
 drivers/gpu/drm/i915/gt/intel_engine_pool_types.h  |   29 +
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   59 +-
 drivers/gpu/drm/i915/gt/intel_engine_user.c        |  303 ++++++
 drivers/gpu/drm/i915/gt/intel_engine_user.h        |   25 +
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |   11 +-
 drivers/gpu/drm/i915/gt/intel_gt.c                 |   36 +-
 drivers/gpu/drm/i915/gt/intel_gt.h                 |    2 +-
 drivers/gpu/drm/i915/gt/intel_gt_irq.c             |  455 ++++++++
 drivers/gpu/drm/i915/gt/intel_gt_irq.h             |   44 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   40 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |   31 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.c          |  109 ++
 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.h          |   22 +
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |   14 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  486 ++++++---
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    1 +
 drivers/gpu/drm/i915/gt/intel_mocs.c               |  166 +--
 drivers/gpu/drm/i915/gt/intel_mocs.h               |    3 +-
 drivers/gpu/drm/i915/gt/intel_renderstate.c        |    4 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |   16 +-
 drivers/gpu/drm/i915/gt/intel_ringbuffer.c         |  147 +--
 drivers/gpu/drm/i915/gt/intel_sseu.c               |    2 +-
 drivers/gpu/drm/i915/gt/intel_timeline.c           |  132 +--
 drivers/gpu/drm/i915/gt/intel_timeline.h           |    3 +-
 drivers/gpu/drm/i915/gt/intel_timeline_types.h     |   20 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |   26 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |   98 +-
 drivers/gpu/drm/i915/gt/selftest_context.c         |  456 ++++++++
 drivers/gpu/drm/i915/gt/selftest_engine.c          |   28 +
 drivers/gpu/drm/i915/gt/selftest_engine.h          |   14 +
 drivers/gpu/drm/i915/gt/selftest_engine_cs.c       |   26 +-
 drivers/gpu/drm/i915/gt/selftest_engine_pm.c       |   83 ++
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |   17 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  143 +--
 drivers/gpu/drm/i915/gt/selftest_timeline.c        |    6 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   33 +-
 drivers/gpu/drm/i915/gt/selftests/mock_timeline.c  |    2 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.c             |   38 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc.h             |   43 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.c         |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ads.h         |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.c          |   22 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_ct.h          |   22 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |   31 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.h          |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fwif.h        |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.c         |   30 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_log.h         |   23 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_reg.h         |   24 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.c  |  108 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_submission.h  |   26 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.c             |   57 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc.h             |   33 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |   13 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.h          |    5 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  395 ++++---
 drivers/gpu/drm/i915/gt/uc/intel_uc.h              |   62 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |  292 ++++--
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.h           |  134 ++-
 drivers/gpu/drm/i915/gt/uc/selftest_guc.c          |   21 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |   10 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  180 +++-
 drivers/gpu/drm/i915/gvt/debugfs.c                 |   47 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |    4 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    8 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |    4 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   15 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   57 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   36 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    4 +-
 drivers/gpu/drm/i915/i915_active.c                 |  331 +++++-
 drivers/gpu/drm/i915/i915_active.h                 |   33 +-
 drivers/gpu/drm/i915/i915_active_types.h           |   17 +-
 drivers/gpu/drm/i915/i915_buddy.c                  |  428 ++++++++
 drivers/gpu/drm/i915/i915_buddy.h                  |  128 +++
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  290 ++----
 drivers/gpu/drm/i915/i915_drv.c                    |  791 ++++----------
 drivers/gpu/drm/i915/i915_drv.h                    |  583 +++--------
 drivers/gpu/drm/i915/i915_gem.c                    |  293 ++----
 drivers/gpu/drm/i915/i915_gem.h                    |    2 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  132 ---
 drivers/gpu/drm/i915/i915_gem_batch_pool.h         |   26 -
 drivers/gpu/drm/i915/i915_gem_evict.c              |    9 -
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |  108 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |    2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  181 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    6 +-
 drivers/gpu/drm/i915/i915_getparam.c               |  168 +++
 drivers/gpu/drm/i915/i915_globals.c                |    1 +
 drivers/gpu/drm/i915/i915_globals.h                |    1 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  288 +++--
 drivers/gpu/drm/i915/i915_gpu_error.h              |    7 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 1095 ++++++--------------
 drivers/gpu/drm/i915/i915_irq.h                    |   79 +-
 drivers/gpu/drm/i915/i915_memcpy.c                 |    2 +-
 drivers/gpu/drm/i915/i915_memcpy.h                 |   32 +
 drivers/gpu/drm/i915/i915_mm.c                     |    5 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   28 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  546 +++++-----
 drivers/gpu/drm/i915/i915_perf.h                   |   32 +
 drivers/gpu/drm/i915/i915_pmu.c                    |  294 +++---
 drivers/gpu/drm/i915/i915_priolist_types.h         |   25 +-
 drivers/gpu/drm/i915/i915_query.c                  |    5 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  131 ++-
 drivers/gpu/drm/i915/i915_request.c                |  252 ++---
 drivers/gpu/drm/i915/i915_request.h                |    5 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |    3 +-
 drivers/gpu/drm/i915/i915_selftest.h               |    2 +
 drivers/gpu/drm/i915/i915_suspend.c                |    3 +-
 drivers/gpu/drm/i915/i915_suspend.h                |   14 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |   23 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    7 +
 drivers/gpu/drm/i915/i915_sw_fence_work.c          |   95 ++
 drivers/gpu/drm/i915/i915_sw_fence_work.h          |   44 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |    2 +-
 drivers/gpu/drm/i915/i915_sysfs.h                  |   14 +
 drivers/gpu/drm/i915/i915_trace.h                  |   12 +-
 drivers/gpu/drm/i915/i915_utils.c                  |   78 ++
 drivers/gpu/drm/i915/i915_utils.h                  |   59 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    4 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   80 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   21 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    7 +
 drivers/gpu/drm/i915/intel_device_info.c           |    5 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    1 +
 drivers/gpu/drm/i915/intel_gvt.c                   |    2 +-
 drivers/gpu/drm/i915/intel_pch.c                   |  201 ++++
 drivers/gpu/drm/i915/intel_pch.h                   |   73 ++
 drivers/gpu/drm/i915/intel_pm.c                    |   19 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    1 +
 drivers/gpu/drm/i915/intel_sideband.c              |    4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  101 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   30 +-
 drivers/gpu/drm/i915/intel_wakeref.c               |   80 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |   73 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |  270 +++--
 drivers/gpu/drm/i915/intel_wopcm.h                 |   20 +-
 drivers/gpu/drm/i915/oa/Makefile                   |    7 +
 drivers/gpu/drm/i915/oa/i915_oa_bdw.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_bdw.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_bxt.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_bxt.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt2.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_cflgt3.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_chv.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_chv.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_cnl.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_cnl.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_glk.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_glk.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_hsw.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_hsw.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_icl.c              |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_icl.h              |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt2.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_kblgt3.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt2.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt3.h           |    7 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.c           |   35 +-
 drivers/gpu/drm/i915/oa/i915_oa_sklgt4.h           |    7 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |    3 +-
 drivers/gpu/drm/i915/selftests/i915_buddy.c        |  720 +++++++++++++
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   19 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    6 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |   46 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |    2 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   30 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.h       |    6 +-
 drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |    1 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    1 +
 drivers/gpu/drm/i915/selftests/mock_request.c      |    6 +-
 drivers/gpu/drm/i915/selftests/mock_request.h      |    4 +-
 include/drm/i915_pciids.h                          |    5 +-
 288 files changed, 11449 insertions(+), 7386 deletions(-)
 rename drivers/gpu/drm/i915/{intel_drv.h => display/intel_display_types.h} (85%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_shrinker.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_stolen.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pool_types.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_user.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_user.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_irq.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_irq.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_pm_irq.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_context.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine.c
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine.h
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_engine_pm.c
 create mode 100644 drivers/gpu/drm/i915/i915_buddy.c
 create mode 100644 drivers/gpu/drm/i915/i915_buddy.h
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.c
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.h
 create mode 100644 drivers/gpu/drm/i915/i915_getparam.c
 create mode 100644 drivers/gpu/drm/i915/i915_memcpy.h
 create mode 100644 drivers/gpu/drm/i915/i915_perf.h
 create mode 100644 drivers/gpu/drm/i915/i915_suspend.h
 create mode 100644 drivers/gpu/drm/i915/i915_sw_fence_work.c
 create mode 100644 drivers/gpu/drm/i915/i915_sw_fence_work.h
 create mode 100644 drivers/gpu/drm/i915/i915_sysfs.h
 create mode 100644 drivers/gpu/drm/i915/i915_utils.c
 create mode 100644 drivers/gpu/drm/i915/intel_pch.c
 create mode 100644 drivers/gpu/drm/i915/intel_pch.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_buddy.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply related	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-08-01 20:13 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2019-08-01 20:13 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

Hi Dave and Daniel,

Here goes the first pull request targeting 5.4.

It mostly comes with a lot of platform enabling patches and reworks
and simplification around locking mechanisms, ppgtt allocation, engines
and intel_gt in general.

There were 2 silent backmerges that should be transparent for you.

drm-intel-next-2019-07-30:
- More changes on simplifying locking mechanisms (Chris)
- Selftests fixes and improvements (Chris)
- More work around engine tracking for better handling (Chris, Tvrtko)
- HDCP debug and info improvements (Ram, Ashuman)
- Add DSI properties (Vandita)
- Rework on sdvo support for better debuggability before fixing bugs (Ville)
- Display PLLs fixes and improvements, specially targeting Ice Lake (Imre, Matt, Ville)
- Perf fixes and improvements (Lionel)
- Enumerate scratch buffers (Lionel)
- Add infra to hold off preemption on a request (Lionel)
- Ice Lake color space fixes (Uma)
- Type-C fixes and improvements (Lucas)
- Fix and improvements around workarounds (Chris, John, Tvrtko)
- GuC related fixes and improvements (Chris, Daniele, Michal, Tvrtko)
- Fix on VLV/CHV display power domain (Ville)
- Improvements around Watermark (Ville)
- Favor intel_ types on intel_atomic functions (Ville)
- Don’t pass stack garbage to pcode (Ville)
- Improve display tracepoints (Steven)
- Don’t overestimate 4:2:0 link symbol clock (Ville)
- Add support for 4th pipe and transcoder (Lucas)
- Introduce initial support for Tiger Lake platform (Daniele, Lucas, Mahesh, Jose, Imre, Mika, Vandita, Rodrigo, Michel)
- PPGTT allocation simplification (Chris)
- Standardize function names and suffixes to make clean, symmetric and let checkpatch happy (Janusz)
- Skip SINK_COUNT read on CH7511 (Ville)
- Fix on kernel documentation (Chris, Michal)
- Add modular FIA (Anusha, Lucas)
- Fix EHL display (Matt, Vivek)
- Enable hotplug retry (Imre, Jose)
- Disable preemption under GVT (Chris)
- OA; Reconfigure context on the fly (Chris)
- Fixes and improvements around engine reset. (Chris)
- Small clean up on display pipe fault mask (Ville)
- Make sure cdclk is high enough for DP audio on VLV/CHV (Ville)
- Drop some wmb() and improve pwrite flush (Chris)
- Fix critical PSR regression (DK)
- Remove unused variables (YueHaibing)
- Use dev_get_drvdata for simplification (Chunhong)
- Use upstream version of header tests (Jani)

drm-intel-next-2019-07-08:
- Signal fence completion from i915_request_wait (Chris)
- Fixes and improvements around rings pin/unpin (Chris)
- Display uncore prep patches (Daniele)
- Execlists preemption improvements (Chris)
- Selftests fixes and improvements (Chris)
- More Elkhartlake enabling work (Vandita, Jose, Matt, Vivek)
- Defer address space cleanup to an RCU worker (Chris)
- Implicit dev_priv removal and GT compartmentalization and other related follow-ups (Tvrtko, Chris)
- Prevent dereference of engine before NULL check in error capture (Chris)
- GuC related fixes (Daniele, Robert)
- Many changes on active tracking, timelines and locking mechanisms (Chris)
- Disable SAMPLER_STATE prefetching on Gen11 (HW W/a) (Kenneth)
- I915_perf fixes (Lionel)
- Add Ice Lake PCI ID (Mika)
- eDP backlight fix (Lee)
- Fix various gen2 tracepoints (Ville)
- Some irq vfunc clean-up and improvements (Ville)
- Move OA files to separated folder (Michal)
- Display self contained headers clean-up (Jani)
- Preparation for 4th pile (Lucas)
- Move atomic commit, watermark and other places to use more intel_crtc_state (Maarten)
- Many Ice Lake Type C and Thunderbolt fixes (Imre)
- Fix some Ice Lake hw w/a whitelist regs (Lionel)
- Fix memleak in runtime wakeref tracking (Mika)
- Remove unused Private PPAT manager (Michal)
- Don't check PPGTT presence on PPGTT-only platforms (Michal)
- Fix ICL DSI suspend/resume (Chris)
- Fix ICL Bandwidth issues (Ville)
- Add N & CTS values for 10/12 bit deep color (Aditya)
- Moving more GT related stuff under gt folder (Chris)
- Forcewake related fixes (Chris)
- Show support for accurate sw PMU busyness tracking (Chris)
- Handle gtt double alloc failures (Chris)
- Upgrade to new GuC version (Michal)
- Improve w/a debug dumps and pull engine w/a initialization into a common (Chris)
- Look for instdone on all engines at hangcheck (Tvrtko)
- Engine lookup simplification  (Chris)
- Many plane color formats fixes and improvements (Ville)
- Fix some compilation issues (YueHaibing)
- GTT page directory clean up and improvements (Mika)

Thanks,
Rodrigo.

The following changes since commit 5f9e832c137075045d15cd6899ab0505cfb2ca4b:

  Linus 5.3-rc1 (2019-07-21 14:05:38 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-07-30

for you to fetch changes up to e0e712fe42ef67bdf45fc348767d1d0a4eeba77f:

  drm/i915: Update DRIVER_DATE to 20190730 (2019-07-30 11:50:24 -0700)

----------------------------------------------------------------
- More changes on simplifying locking mechanisms (Chris)
- Selftests fixes and improvements (Chris)
- More work around engine tracking for better handling (Chris, Tvrtko)
- HDCP debug and info improvements (Ram, Ashuman)
- Add DSI properties (Vandita)
- Rework on sdvo support for better debuggability before fixing bugs (Ville)
- Display PLLs fixes and improvements, specially targeting Ice Lake (Imre, Matt, Ville)
- Perf fixes and improvements (Lionel)
- Enumerate scratch buffers (Lionel)
- Add infra to hold off preemption on a request (Lionel)
- Ice Lake color space fixes (Uma)
- Type-C fixes and improvements (Lucas)
- Fix and improvements around workarounds (Chris, John, Tvrtko)
- GuC related fixes and improvements (Chris, Daniele, Michal, Tvrtko)
- Fix on VLV/CHV display power domain (Ville)
- Improvements around Watermark (Ville)
- Favor intel_ types on intel_atomic functions (Ville)
- Don’t pass stack garbage to pcode (Ville)
- Improve display tracepoints (Steven)
- Don’t overestimate 4:2:0 link symbol clock (Ville)
- Add support for 4th pipe and transcoder (Lucas)
- Introduce initial support for Tiger Lake platform (Daniele, Lucas, Mahesh, Jose, Imre, Mika, Vandita, Rodrigo, Michel)
- PPGTT allocation simplification (Chris)
- Standardize function names and suffixes to make clean, symmetric and let checkpatch happy (Janusz)
- Skip SINK_COUNT read on CH7511 (Ville)
- Fix on kernel documentation (Chris, Michal)
- Add modular FIA (Anusha, Lucas)
- Fix EHL display (Matt, Vivek)
- Enable hotplug retry (Imre, Jose)
- Disable preemption under GVT (Chris)
- OA; Reconfigure context on the fly (Chris)
- Fixes and improvements around engine reset. (Chris)
- Small clean up on display pipe fault mask (Ville)
- Make sure cdclk is high enough for DP audio on VLV/CHV (Ville)
- Drop some wmb() and improve pwrite flush (Chris)
- Fix critical PSR regression (DK)
- Remove unused variables (YueHaibing)
- Use dev_get_drvdata for simplification (Chunhong)
- Use upstream version of header tests (Jani)

----------------------------------------------------------------
Aditya Swarup (2):
      drm/i915: Use port clock to set correct N value
      drm/i915: Add N & CTS values for 10/12 bit deep color

Anshuman Gupta (1):
      drm/i915: Add HDCP capability info to i915_display_info.

Anusha Srivatsa (1):
      drm/i915: Add modular FIA

Chris Wilson (116):
      drm/i915: Signal fence completion from i915_request_wait
      drm/i915: Flush the execution-callbacks on retiring
      drm/i915: Keep rings pinned while the context is active
      drm/i915/execlists: Preempt-to-busy
      drm/i915/execlists: Minimalistic timeslicing
      drm/i915: Rings are always flushed
      drm/i915/selftests: Use request managed wakerefs
      drm/i915/gtt: Defer address space cleanup to an RCU worker
      drm/i915/execlists: Keep virtual context alive until after we kick
      drm/i915: Prevent dereference of engine before NULL check in error capture
      drm/i915/gt: Rename i915_gt_timelines
      drm/i915/gt: Fixup kerneldoc parameters
      drm/i915: Remove waiting & retiring from shrinker paths
      drm/i915: Track i915_active using debugobjects
      drm/i915: Throw away the active object retirement complexity
      drm/i915: Provide an i915_active.acquire callback
      drm/i915: Local debug BUG_ON for intel_wakeref
      drm/i915/blt: Remove recursive vma->lock
      drm/i915/execlists: Always clear ring_pause if we do not submit
      drm/i915/gem: Clear read/write domains for GPU clear
      drm/i915/execlists: Convert recursive defer_request() into iterative
      drm/i915/gt: Pass intel_gt to pm routines
      drm/i915: Rename intel_wakeref_[is]_active
      drm/i915/selftests: Hold ref on request across waits
      drm/i915/gt: Drop stale commentary for timeline density
      drm/i915/gt: Always call kref_init for the timeline
      drm/i915/gt: Add some debug tracing for context pinning
      drm/i915/selftests: Serialise nop reset with retirement
      drm/i915/selftests: Drop manual request wakerefs around hangcheck
      drm/i915/selftests: Fixup atomic reset checking
      drm/i915: Add a wakeref getter for iff the wakeref is already active
      drm/i915: Only recover active engines
      drm/i915: Lift intel_engines_resume() to callers
      drm/i915: Make i945gm_vblank_work_func static
      drm/i915/guc: Avoid reclaim locks during reset
      drm/i915/execlists: Refactor CSB state machine
      drm/i915: Report if i915_active is still busy upon waiting
      drm/i915/display: Handle lost primary_port across suspend
      drm/i915/selftests: Common live setup/teardown
      drm/i915/selftests: Lock the drm_mm while modifying
      drm/i915/execlists: Hesitate before slicing
      drm/i915/gem: Free pages before rcu-freeing the object
      drm/i915: Markup potential lock for i915_active
      drm/i915: Mark up vma->active as safe for use inside shrinkers
      drm/i915/gtt: Defer the free for alloc error paths
      drm/i915: Move the renderstate setup under gt/
      drm/i915: Flush the workqueue before draining
      drm/i915: Check caller held wakerefs in assert_forcewakes_active
      drm/i915/gt: Use caller provided forcewake for intel_mocs_init_engine
      drm/i915/gt: Assume we hold forcewake for execlists resume
      drm/i915/gt: Ignore forcewake acquisition for posting_reads
      drm/i915/gem: Defer obj->base.resv fini until RCU callback
      drm/i915: Show support for accurate sw PMU busyness tracking
      drm/i915/gtt: Handle double alloc failures
      drm/i915: Dump w/a lists on all engines
      drm/i915/gt: Pull engine w/a initialisation into common
      drm/i915/gtt: Mark the freed page table entries with scratch
      drm/i915/selftests: Drain the freedlists between exec passes
      drm/i915/overlay: Stash the kernel context on initialisation
      drm/i915/selftests: Be engine agnostic
      drm/i915: Show instdone for each engine in debugfs
      drm/i915: Order assert forcewake test
      drm/i915: Pull assert_forcewake_active() underneath the lock
      drm/i915: Explicitly track active fw_domain timers
      drm/i915/selftests: Reorder error cleanup for whitelist checking
      drm/i915/selftests: Set igt_spinner.gt for early exit
      drm/i915/userptr: Acquire the page lock around set_page_dirty()
      drm/i915/selftests: Fill in a little more of the dummy fence
      drm/i915/gt: Apply RCS workarounds to the render class
      drm/i915/gt: Remove presumption of RCS0
      drm/i915/userptr: Don't mark readonly objects as dirty
      drm/i915/execlists: Record preemption for selftests
      drm/i915/gt: Drop the duplicate icl workaround
      drm/i915/selftests: Ensure we don't clamp a random offset to 32b
      drm/i915/guc: Remove preemption support for current fw
      drm/i915/selftests: Hold the vma manager lock while modifying mmap_offset
      drm/i915/guc: Drop redundant ctx param from kerneldoc
      drm/i915/gtt: Use shallow dma pages for scratch
      drm/i915/gtt: Wrap page_table with page_directory
      drm/i915/gtt: Reorder gen8 ppgtt free/clear/alloc
      drm/i915/gtt: Markup i915_ppgtt height
      drm/i915/gtt: Compute the radix for gen8 page table levels
      drm/i915/gtt: Convert vm->scratch into an array
      drm/i915/gtt: Use NULL to encode scratch shadow entries
      drm/i915/display: Drop kerneldoc for 'intel_atomic_commit'
      drm/i915/gtt: Recursive cleanup for gen8
      drm/i915/gtt: Recursive ppgtt clear for gen8
      drm/i915/gt: Use intel_gt as the primary object for handling resets
      drm/i915/guc: Use system workqueue for log capture
      drm/i915/selftests: Ignore self-preemption suppression under gvt
      drm/i915: Lock the engine while dumping the active request
      drm/i915/execlists: Disable preemption under GVT
      drm/i915/gtt: Recursive ppgtt alloc for gen8
      drm/i915/gtt: Tidy up ppgtt insertion for gen8
      drm/i915/oa: Reconfigure contexts on the fly
      drm/i915/execlists: Process interrupted context on reset
      drm/i915/gt: Push engine stopping into reset-prepare
      drm/i915: Drop wmb() inside pread_gtt
      drm/i915: Use maximum write flush for pwrite_gtt
      drm/i915/execlists: Cancel breadcrumb on preempting the virtual engine
      drm/i915/gtt: Correct unshifted 'from' for gen8_ppgtt_alloc errors
      drm/i915/gtt: Fix rounding for 36b
      drm/i915: Remove obsolete engine cleanup
      drm/i915/gt: Hook up intel_context_fini()
      drm/i915: Rely on spinlock protection for GPU error capture
      drm/i915/selftests: Let igt_vma_partial et al breathe
      drm/i915: Squelch nop wait-for-idle trace
      drm/i915: Capture vma contents outside of spinlock
      drm/i915/perf: Initialise err to 0 before looping over ce->engines
      drm/i915/gt: Add to timeline requires the timeline mutex
      drm/i915/uc: Fixup kerneldoc after params were flipped and renamed
      drm/i915/selftests: Careful not to flush hang_fini on error setups
      drm/i915: Flush the i915_vm_release before ggtt shutdown
      drm/i915: Inline engine->init_context into its caller
      drm/i915: Move aliasing_ppgtt underneath its i915_ggtt
      drm/i915/gt: Provide a local intel_context.vm

Chuhong Yuan (1):
      drm/i915: Use dev_get_drvdata

Daniele Ceraolo Spurio (33):
      drm/i915: use vfuncs for reg_read/write_fw_domains
      drm/i915: kill uncore_sanitize
      drm/i915: kill uncore_to_i915
      drm/i915: skip forcewake actions on forcewake-less uncore
      drm/i915: dynamically allocate forcewake domains
      drm/i915/gvt: decouple check_vgpu() from uncore_init()
      drm/i915/guc: reorder enable/disable communication steps
      drm/i915/guc: handle GuC messages received with CTB disabled
      drm/i915/guc: Simplify guc client
      drm/i915/tgl: add initial Tiger Lake definitions
      drm/i915/uc: replace uc init/fini misc
      drm/i915/uc: introduce intel_uc_fw_supported
      drm/i915/guc: move guc irq functions to intel_guc parameter
      drm/i915/guc: unify guc irq handling
      drm/i915/uc: move GuC and HuC files under gt/uc/
      drm/i915/uc: move GuC/HuC inside intel_gt under a new intel_uc
      drm/i915/uc: Move intel functions to intel_uc
      drm/i915/uc: prefer intel_gt over i915 in GuC/HuC paths
      drm/i915/guc: prefer intel_gt in guc interrupt functions
      drm/i915/uc: kill <g,h>uc_to_i915
      drm/i915/uc: Gt-fy uc reset
      drm/i915/uc: Sanitize uC when GT is sanitized
      drm/i915/huc: fix status check
      drm/i915/guc: Set GuC init params only once
      drm/i915/uc: Unify uC platform check
      drm/i915: Fix handling of non-supported uC
      drm/i915/uc: Unify uC FW selection
      drm/i915/uc: Unify uc_fw status tracking
      drm/i915/uc: Move xfer rsa logic to common function
      drm/i915/huc: Copy huc rsa only once
      drm/i915/uc: Plumb the gt through fw_upload
      drm/i915/uc: Unify uC firmware upload
      drm/i915/guc: init submission structures as part of guc_init

Dhinakaran Pandiyan (1):
      drm/i915/vbt: Fix VBT parsing for the PSR section

Imre Deak (27):
      drm/i915/icl: Add support to read out the TBT PLL HW state
      drm/i915: Tune down WARNs about TBT AUX power well enabling
      drm/i915: Move the TypeC port handling code to a separate file
      drm/i915: Sanitize the terminology used for TypeC port modes
      drm/i915: Don't enable the DDI-IO power in the TypeC TBT-alt mode
      drm/i915: Fix the TBT AUX power well enabling
      drm/i915: Use the correct AUX power domain in TypeC TBT-alt mode
      drm/i915: Unify the TypeC port notation in debug/error messages
      drm/i915: Factor out common parts from TypeC port handling functions
      drm/i915: Wait for TypeC PHY complete flag to clear in safe mode
      drm/i915: Handle the TCCOLD power-down event
      drm/i915: Sanitize the TypeC connect/detect sequences
      drm/i915: Fix the TypeC port mode sanitization during loading/resume
      drm/i915: Keep the TypeC port mode fixed for detect/AUX transfers
      drm/i915: Sanitize the TypeC FIA lane configuration decoding
      drm/i915: Sanitize the shared DPLL reserve/release interface
      drm/i915: Sanitize the shared DPLL find/reference interface
      drm/i915/icl: Split getting the DPLLs to port type specific functions
      drm/i915/icl: Reserve all required PLLs for TypeC ports
      drm/i915: Keep the TypeC port mode fixed when the port is active
      drm/i915: Add state verification for the TypeC port mode
      drm/i915: Remove unneeded disconnect in TypeC legacy port mode
      drm/i915: WARN about invalid lane reversal in TBT-alt/DP-alt modes
      drm/i915: Clear the shared PLL from the put_dplls() hook
      drm/i915/icl: Clear the shared port PLLs from the new crtc state
      drm/i915/tgl: Add power well support
      drm/i915: Add support for retrying hotplug

Jani Nikula (12):
      drm/i915: prefix header search path with $(srctree)/
      drm/i915: add header search path to subdir Makefiles
      drm/i915: make i915_fixed.h self-contained
      drm/i915: make i915_globals.h self-contained
      drm/i915: make i915_pvinfo.h self-contained
      drm/i915: make i915_vgpu.h self-contained
      drm/i915: make intel_guc_ct.h self-contained
      drm/i915: make intel_guc_fwif.h self-contained
      drm/i915: make intel_guc_reg.h self-contained
      drm/i915: make intel_gvt.h self-contained
      drm/i915: make intel_uc_fw.h self-contained
      drm/i915: use upstream version of header tests

Janusz Krzysztofik (6):
      drm/i915: Drop extern qualifiers from header function prototypes
      drm/i915: Rename "_load"/"_unload" to match PCI entry points
      drm/i915: Replace "_load" with "_probe" consequently
      drm/i915: Propagate "_release" function name suffix down
      drm/i915: Propagate "_remove" function name suffix down
      drm/i915: Propagate "_probe" function name suffix down

John Harrison (3):
      drm/i915: Add test for invalid flag bits in whitelist entries
      drm/i915: Implement read-only support in whitelist selftest
      drm/i915: Add engine name to workaround debug print

José Roberto de Souza (9):
      drm/i915/ehl/dsi: Enable AFE over PPI strap
      drm/i915/ehl: Add missing VECS engine
      drm/i915/icl: Add new supported CD clocks
      drm/i915/ehl: Remove unsupported cd clocks
      drm/i915/ehl: Add voltage level requirement table
      drm/i915/tgl: Check if pipe D is fused
      drm/i915/tgl: rename TRANSCODER_EDP_VDSC to use on transcoder A
      drm/i915/tgl: Update DPLL clock reference register
      drm/i915: Enable hotplug retry

Kenneth Graunke (1):
      drm/i915: Disable SAMPLER_STATE prefetching on all Gen11 steppings.

Lee Shawn C (1):
      drm/i915: Check backlight type while doing eDP backlight initializaiton

Lionel Landwerlin (8):
      drm/i915/perf: fix ICL perf register offsets
      drm/i915: fix whitelist selftests with readonly registers
      drm/i915: whitelist PS_(DEPTH|INVOCATION)_COUNT
      drm/i915/icl: whitelist PS_(DEPTH|INVOCATION)_COUNT
      drm/i915/perf: ensure we keep a reference on the driver
      drm/i915: enumerate scratch fields
      drm/i915: add infrastructure to hold off preemption on a request
      drm/i915/perf: add missing delay for OA muxes configuration

Lucas De Marchi (12):
      drm/i915: rework reading pipe disable fuses
      drm/i915: make new intel_tc.c use uncore accessors
      drm/i915: fix include order in intel_tc.*
      drm/i915: move intel_ddi_set_fia_lane_count to intel_tc.c
      drm/i915: Add 4th pipe and transcoder
      drm/i915/tgl: Add TGL PCI IDs
      drm/i915/tgl: Add additional PHYs for Tiger Lake
      drm/i915/tgl: apply Display WA #1178 to fix type C dongles
      drm/i915/tgl: port to ddc pin mapping
      drm/i915/tgl: Add DPLL registers
      drm/i915/tgl: add modular FIA to device info
      drm/i915/tgl: skip setting PORT_CL_DW12_* on initialization

Maarten Lankhorst (6):
      drm/i915: Pass intel_crtc_state to needs_modeset()
      drm/i915: Convert most of atomic commit to take more intel state
      drm/i915: Convert hw state verifier to take more intel state, v2.
      drm/i915: Use intel_crtc_state in sanitize_watermarks() too
      drm/i915: Pass intel state to plane functions as well
      drm/i915: Use intel state as much as possible in wm code

Mahesh Kumar (6):
      drm/i915/tgl: Add TGL PCH detection in virtualized environment
      drm/i915/tgl: init ddi port A-C for Tiger Lake
      drm/i915/tgl: Add gmbus gpio pin to port mapping
      drm/i915/tgl: Add vbt value mapping for DDC Bus pin
      drm/i915/tgl: select correct bit for port select
      drm/i915/tgl: update ddi/tc clock_off bits

Matt Roper (11):
      drm/i915/ehl: Allow combo PHY A to drive a third external display
      drm/i915/ehl: Add one additional PCH ID to MCC
      drm/i915/icl: Drop port parameter to icl_get_combo_buf_trans()
      drm/i915/ehl: Add third combo PHY offset
      drm/i915/ehl: Don't program PHY_MISC on EHL PHY C
      drm/i915/gen11: Start distinguishing 'phy' from 'port'
      drm/i915/gen11: Program ICL_DPCLKA_CFGCR0 according to PHY
      drm/i915/gen11: Convert combo PHY logic to use new 'enum phy' namespace
      drm/i915: Transition port type checks to phy checks
      drm/i915/ehl: Enable DDI-D
      drm/i915/ehl: Map MCC pins based on PHY, not port

Michal Wajdeczko (14):
      drm/i915: Move OA files to separate folder
      drm/i915/guc: Upgrade to GuC 33.0.0
      drm/i915/guc: Don't enable GuC/HuC in auto mode on pre-Gen11
      drm/i915/guc: Turn on GuC/HuC auto mode
      drm/i915/gtt: Don't try to clear failed empty pd allocation
      drm/i915: Fix GuC documentation links
      drm/i915/uc: Update drawing for firmware layout
      drm/i915/uc: Move uc firmware layout definitions to dedicated file
      drm/i915/uc: Reorder params in intel_uc_fw_fetch
      drm/i915/uc: Don't sanitize guc_log_level modparam
      drm/i915/uc: Remove redundant header_offset/size definitions
      drm/i915/uc: Remove redundant ucode offset definition
      drm/i915/uc: Remove redundant RSA offset definition
      drm/i915/uc: Don't fail on HuC firmware failure

Michał Winiarski (2):
      Revert "drm/i915: Introduce private PAT management"
      drm/i915/gtt: Don't check PPGTT presence on PPGTT-only platforms

Michel Thierry (1):
      x86/gpu: add TGL stolen memory support

Mika Kahola (2):
      drm/i915/icl: Add missing device ID
      drm/i915/tgl: Add power well to support 4th pipe

Mika Kuoppala (5):
      drm/i915: Fix memleak in runtime wakeref tracking
      drm/i915/gtt: pde entry encoding is identical
      drm/i915/gtt: Tear down setup and cleanup macros for page dma
      drm/i915/gtt: Setup phys pages for 3lvl pdps
      drm/i915/gtt: Introduce release_pd_entry

Radhakrishna Sripada (1):
      drm/i915/tgl: Introduce Tiger Lake PCH

Ramalingam C (1):
      drm/i915/hdcp: debug logs for sink related failures

Robert M. Fosha (1):
      drm/i915/guc: Add debug capture of GuC exception

Rodrigo Vivi (5):
      drm/i915: Update DRIVER_DATE to 20190708
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915/gen12: MBUS B credit change
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190730

Steven Rostedt (VMware) (1):
      drm/i915: Copy name string into ring buffer for intel_update/disable_plane tracepoints

Tvrtko Ursulin (48):
      drm/i915: Convert intel_vgt_(de)balloon to uncore
      drm/i915: Introduce struct intel_gt as replacement for anonymous i915->gt
      drm/i915: Move intel_gt initialization to a separate file
      drm/i915: Store some backpointers in struct intel_gt
      drm/i915: Move intel_gt_pm_init under intel_gt_init_early
      drm/i915: Make i915_check_and_clear_faults take intel_gt
      drm/i915: Convert i915_gem_init_swizzling to intel_gt
      drm/i915: Use intel_uncore_rmw in intel_gt_init_swizzling
      drm/i915: Convert init_unused_rings to intel_gt
      drm/i915: Convert gt workarounds to intel_gt
      drm/i915: Store backpointer to intel_gt in the engine
      drm/i915: Convert intel_mocs_init_l3cc_table to intel_gt
      drm/i915: Convert i915_ppgtt_init_hw to intel_gt
      drm/i915: Consolidate some open coded mmio rmw
      drm/i915: Convert i915_gem_init_hw to intel_gt
      drm/i915: Move intel_engines_resume into common init
      drm/i915: Stop using I915_READ/WRITE in intel_wopcm_init_hw
      drm/i915: Compartmentalize i915_ggtt_probe_hw
      drm/i915: Compartmentalize i915_ggtt_init_hw
      drm/i915: Make ggtt invalidation work on ggtt
      drm/i915: Store intel_gt backpointer in vm
      drm/i915: Compartmentalize i915_gem_suspend/restore_gtt_mappings
      drm/i915: Convert i915_gem_flush_ggtt_writes to intel_gt
      drm/i915: Move i915_gem_chipset_flush to intel_gt
      drm/i915: Compartmentalize timeline_init/park/fini
      drm/i915: Compartmentalize i915_ggtt_cleanup_hw
      drm/i915: Compartmentalize i915_gem_init_ggtt
      drm/i915: Store ggtt pointer in intel_gt
      drm/i915: Compartmentalize ring buffer creation
      drm/i915: Save trip via top-level i915 in a few more places
      drm/i915: Make timelines gt centric
      drm/i915: Rename i915_timeline to intel_timeline and move under gt
      drm/i915: Eliminate dual personality of i915_scratch_offset
      drm/i915/hangcheck: Look at instdone for all engines
      drm/i915: Rework some interrupt handling functions to take intel_gt
      drm/i915: Remove some legacy mmio accessors from interrupt handling
      drm/i915: Move dev_priv->pm_i{m, e}r into intel_gt
      drm/i915: Remove unused i915_gem_context_lookup_engine
      drm/i915: Update description of i915.enable_guc modparam
      drm/i915: Fix GEN8_MCR_SELECTOR programming
      drm/i915: Trust programmed MCR in read_subslice_reg
      drm/i915: Fix and improve MCR selection logic
      drm/i915: Skip CS verification of L3 bank registers
      drm/i915/icl: Verify engine workarounds in GEN8_L3SQCREG4
      drm/i915/icl: Add Wa_1409178092
      Revert "drm/i915/guc: Turn on GuC/HuC auto mode"
      Revert "drm/i915: Update description of i915.enable_guc modparam"
      drm/i915: Do not rely on for loop caching the mask

Uma Shankar (3):
      drm/i915/icl: Handle YCbCr to RGB conversion for BT2020 case
      drm/i915/icl: Fix Y pre-offset for Full Range YCbCr
      drm/i915/icl: Fixed Input CSC Co-efficients for BT601/709

Vandita Kulkarni (5):
      drm/i915/ehl/dsi: Set lane latency optimization for DW1
      drm/i915: Add icl mipi dsi properties
      drm/i915/tgl: Add new pll ids
      drm/i915/tgl: Add pll manager
      drm/i915/tgl: Add additional ports for Tiger Lake

Ville Syrjälä (29):
      drm/i915: Fix various tracepoints for gen2
      drm/i915: Switch to per-crtc vblank vfuncs
      drm/i915: Nuke drm_driver irq vfuncs
      drm/i915: Initialize drm_driver vblank funcs at compile time
      drm/i915: synchronize_irq() against the actual irq
      drm/i915: Deal with machines that expose less than three QGV points
      drm/i915: Add windowing for primary planes on gen2/3 and chv
      drm/i915: Disable sprite gamma on ivb-bdw
      drm/i915: Program plane gamma ramps
      drm/i915: Deal with cpp==8 for g4x watermarks
      drm/i915: Cosmetic fix for skl+ plane switch statement
      drm/i915: Clean up skl vs. icl plane formats
      drm/i915/sdvo: Use named initializers for the SDVO command names
      drm/i915/sdvo: Remove duplicate SET_INPUT_TIMINGS_PART1 cmd name string
      drm/i915/sdvo: Shrink sdvo_cmd_names[] strings
      drm/i915/sdvo: Add helpers to get the cmd/status string
      drm/i915/sdvo: Fix handling if zero hbuf size
      drm/i915: Use the "display core" power domain in vlv/chv set_cdclk()
      drm/i915: Check crtc_state->wm.need_postvbl_update before grabbing wm.mutex
      drm/i915: Simplify modeset_get_crtc_power_domains() arguments
      drm/i915: Polish intel_shared_dpll_swap_state()
      drm/i915: Polish intel_atomic_track_fbs()
      drm/i915: Use intel_ types in intel_{lock,modeset}_all_pipes()
      drm/i915: Use intel_ types in intel_atomic_commit()
      drm/i915: Don't pass stack garbage to pcode in the second data register
      drm/i915: Don't overestimate 4:2:0 link symbol clock
      drm/i915: Skip SINK_COUNT read on CH7511
      drm/i915: Add gen8_de_pipe_fault_mask()
      drm/i915: Make sure cdclk is high enough for DP audio on VLV/CHV

Vivek Kasireddy (2):
      drm/i915/ehl: Add support for DPLL4 (v10)
      drm/i915/ehl: Use an id of 4 while accessing DPLL4's CR0 and CR1

YueHaibing (4):
      drm/i915: Remove set but not used variable 'encoder'
      drm/i915: Remove set but not used variable 'intel_dig_port'
      drm/i915: Remove set but not used variable 'src_y'
      drm/i915/dsi: remove set but not used variable 'hfront_porch'

 Documentation/gpu/i915.rst                         |   20 +-
 arch/x86/kernel/early-quirks.c                     |    1 +
 drivers/gpu/drm/i915/Kconfig.debug                 |   15 +
 drivers/gpu/drm/i915/Makefile                      |   81 +-
 drivers/gpu/drm/i915/Makefile.header-test          |   22 -
 drivers/gpu/drm/i915/display/Makefile              |    6 +-
 drivers/gpu/drm/i915/display/Makefile.header-test  |   16 -
 drivers/gpu/drm/i915/display/icl_dsi.c             |  185 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.c  |   56 +-
 drivers/gpu/drm/i915/display/intel_atomic_plane.h  |    5 +-
 drivers/gpu/drm/i915/display/intel_audio.c         |   81 +-
 drivers/gpu/drm/i915/display/intel_bios.c          |   30 +-
 drivers/gpu/drm/i915/display/intel_bios.h          |    3 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |   17 +-
 drivers/gpu/drm/i915/display/intel_cdclk.c         |   95 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.c     |  193 +-
 drivers/gpu/drm/i915/display/intel_combo_phy.h     |    4 +-
 drivers/gpu/drm/i915/display/intel_connector.c     |    2 +-
 drivers/gpu/drm/i915/display/intel_ddi.c           |  403 ++--
 drivers/gpu/drm/i915/display/intel_display.c       | 1249 +++++++-----
 drivers/gpu/drm/i915/display/intel_display.h       |   50 +-
 drivers/gpu/drm/i915/display/intel_display_power.c |  655 ++++++-
 drivers/gpu/drm/i915/display/intel_display_power.h |   35 +-
 drivers/gpu/drm/i915/display/intel_dp.c            |  291 +--
 drivers/gpu/drm/i915/display/intel_dp.h            |    2 -
 .../gpu/drm/i915/display/intel_dp_aux_backlight.c  |    5 +-
 drivers/gpu/drm/i915/display/intel_dp_mst.h        |    8 +-
 drivers/gpu/drm/i915/display/intel_dpll_mgr.c      |  652 +++++--
 drivers/gpu/drm/i915/display/intel_dpll_mgr.h      |   57 +-
 drivers/gpu/drm/i915/display/intel_dsi.h           |   12 +-
 drivers/gpu/drm/i915/display/intel_gmbus.c         |   20 +-
 drivers/gpu/drm/i915/display/intel_hdcp.c          |   12 +-
 drivers/gpu/drm/i915/display/intel_hdmi.c          |   75 +-
 drivers/gpu/drm/i915/display/intel_hotplug.c       |   59 +-
 drivers/gpu/drm/i915/display/intel_hotplug.h       |    5 +-
 drivers/gpu/drm/i915/display/intel_overlay.c       |   10 +-
 drivers/gpu/drm/i915/display/intel_pipe_crc.c      |    2 +-
 drivers/gpu/drm/i915/display/intel_sdvo.c          |  314 +--
 drivers/gpu/drm/i915/display/intel_sprite.c        |  335 +++-
 drivers/gpu/drm/i915/display/intel_tc.c            |  537 +++++
 drivers/gpu/drm/i915/display/intel_tc.h            |   35 +
 drivers/gpu/drm/i915/display/intel_vbt_defs.h      |    9 +-
 drivers/gpu/drm/i915/display/intel_vdsc.c          |   14 +-
 drivers/gpu/drm/i915/display/vlv_dsi.c             |    4 +-
 drivers/gpu/drm/i915/gem/Makefile                  |    6 +-
 drivers/gpu/drm/i915/gem/Makefile.header-test      |   16 -
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |   21 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.c        |   83 +-
 drivers/gpu/drm/i915/gem/i915_gem_context.h        |    6 -
 drivers/gpu/drm/i915/gem/i915_gem_context_types.h  |    4 +-
 drivers/gpu/drm/i915/gem/i915_gem_execbuffer.c     |   22 +-
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |    8 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |   97 +-
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |    8 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |    6 +-
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |    1 -
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |   12 +-
 drivers/gpu/drm/i915/gem/i915_gem_pm.c             |   42 +-
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |    7 +
 drivers/gpu/drm/i915/gem/i915_gem_shrinker.c       |   27 +-
 drivers/gpu/drm/i915/gem/i915_gem_stolen.c         |    2 -
 drivers/gpu/drm/i915/gem/i915_gem_throttle.c       |    2 +-
 drivers/gpu/drm/i915/gem/i915_gem_userptr.c        |   21 +-
 drivers/gpu/drm/i915/gem/selftests/huge_pages.c    |   69 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |   26 +-
 .../drm/i915/gem/selftests/i915_gem_coherency.c    |    9 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_context.c  |   85 +-
 drivers/gpu/drm/i915/gem/selftests/i915_gem_mman.c |   59 +-
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |   15 +-
 drivers/gpu/drm/i915/gt/Makefile                   |    5 +-
 drivers/gpu/drm/i915/gt/Makefile.header-test       |   16 -
 .../gen6_renderstate.c}                            |    0
 .../gen7_renderstate.c}                            |    0
 .../gen8_renderstate.c}                            |    0
 .../gen9_renderstate.c}                            |    0
 drivers/gpu/drm/i915/gt/intel_context.c            |  140 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |   18 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |    9 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   75 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  209 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |   31 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   18 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   86 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    7 +
 drivers/gpu/drm/i915/gt/intel_gt.c                 |  250 +++
 drivers/gpu/drm/i915/gt/intel_gt.h                 |   60 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |   73 +-
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |   12 +-
 drivers/gpu/drm/i915/gt/intel_gt_types.h           |   96 +
 drivers/gpu/drm/i915/gt/intel_hangcheck.c          |   71 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                | 1027 +++++-----
 drivers/gpu/drm/i915/gt/intel_mocs.c               |   62 +-
 drivers/gpu/drm/i915/gt/intel_mocs.h               |    6 +-
 .../intel_renderstate.c}                           |   13 +-
 drivers/gpu/drm/i915/{ => gt}/intel_renderstate.h  |   10 +-
 drivers/gpu/drm/i915/gt/intel_reset.c              |  660 +++----
 drivers/gpu/drm/i915/gt/intel_reset.h              |   75 +-
 drivers/gpu/drm/i915/gt/intel_reset_types.h        |   50 +
 drivers/gpu/drm/i915/gt/intel_ringbuffer.c         |  249 ++-
 .../i915/{i915_timeline.c => gt/intel_timeline.c}  |  230 +--
 drivers/gpu/drm/i915/gt/intel_timeline.h           |   93 +
 .../intel_timeline_types.h}                        |    8 +-
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  261 ++-
 drivers/gpu/drm/i915/gt/intel_workarounds.h        |    6 +-
 drivers/gpu/drm/i915/gt/intel_workarounds_types.h  |    1 +
 drivers/gpu/drm/i915/gt/mock_engine.c              |   15 +-
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |  511 +++--
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |  391 +++-
 drivers/gpu/drm/i915/gt/selftest_reset.c           |  134 +-
 .../i915_timeline.c => gt/selftest_timeline.c}     |  131 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |  160 +-
 .../drm/i915/{ => gt}/selftests/mock_timeline.c    |    8 +-
 .../drm/i915/{ => gt}/selftests/mock_timeline.h    |    6 +-
 drivers/gpu/drm/i915/gt/uc/Makefile                |    5 +
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc.c       |  290 ++-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc.h       |   33 +-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ads.c   |   29 +-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ads.h   |    0
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ct.c    |   22 +-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ct.h    |   11 +-
 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c          |  181 ++
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_fw.h    |    0
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_fwif.h  |   81 +-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_log.c   |   48 +-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_log.h   |    1 -
 drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_reg.h   |   38 +-
 .../drm/i915/{ => gt/uc}/intel_guc_submission.c    |  496 +----
 .../drm/i915/{ => gt/uc}/intel_guc_submission.h    |    2 -
 drivers/gpu/drm/i915/{ => gt/uc}/intel_huc.c       |   69 +-
 drivers/gpu/drm/i915/{ => gt/uc}/intel_huc.h       |   12 +-
 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c          |   53 +
 drivers/gpu/drm/i915/{ => gt/uc}/intel_huc_fw.h    |    0
 drivers/gpu/drm/i915/gt/uc/intel_uc.c              |  570 ++++++
 drivers/gpu/drm/i915/{ => gt/uc}/intel_uc.h        |   39 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c           |  540 ++++++
 drivers/gpu/drm/i915/{ => gt/uc}/intel_uc_fw.h     |  102 +-
 drivers/gpu/drm/i915/gt/uc/intel_uc_fw_abi.h       |   82 +
 .../intel_guc.c => gt/uc/selftest_guc.c}           |   49 +-
 drivers/gpu/drm/i915/gvt/gtt.h                     |   13 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |    2 +-
 drivers/gpu/drm/i915/i915_active.c                 |  321 ++-
 drivers/gpu/drm/i915/i915_active.h                 |   28 +-
 drivers/gpu/drm/i915/i915_active_types.h           |   13 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  217 +--
 drivers/gpu/drm/i915/i915_drv.c                    |  200 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  250 +--
 drivers/gpu/drm/i915/i915_fixed.h                  |    5 +
 drivers/gpu/drm/i915/i915_gem.c                    |  341 ++--
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |   42 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   32 +
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |    3 +
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 2049 ++++++++------------
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  200 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h       |   31 -
 drivers/gpu/drm/i915/i915_globals.h                |    2 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  594 +++---
 drivers/gpu/drm/i915/i915_gpu_error.h              |   71 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  803 ++++----
 drivers/gpu/drm/i915/i915_irq.h                    |   45 +-
 drivers/gpu/drm/i915/i915_params.c                 |    5 +-
 drivers/gpu/drm/i915/i915_params.h                 |    2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   43 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  371 ++--
 drivers/gpu/drm/i915/i915_pmu.c                    |    4 +-
 drivers/gpu/drm/i915/i915_priolist_types.h         |   10 +
 drivers/gpu/drm/i915/i915_pvinfo.h                 |    7 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  231 ++-
 drivers/gpu/drm/i915/i915_request.c                |  126 +-
 drivers/gpu/drm/i915/i915_request.h                |   24 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |    4 +-
 drivers/gpu/drm/i915/i915_scheduler_types.h        |    1 +
 drivers/gpu/drm/i915/i915_selftest.h               |   27 +-
 drivers/gpu/drm/i915/i915_timeline.h               |   94 -
 drivers/gpu/drm/i915/i915_trace.h                  |   88 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   12 +
 drivers/gpu/drm/i915/i915_vgpu.c                   |   63 +-
 drivers/gpu/drm/i915/i915_vgpu.h                   |    7 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   73 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   40 +-
 drivers/gpu/drm/i915/intel_device_info.h           |    5 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   37 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |  308 ---
 drivers/gpu/drm/i915/intel_gvt.c                   |    7 +-
 drivers/gpu/drm/i915/intel_gvt.h                   |    7 +-
 drivers/gpu/drm/i915/intel_huc_fw.c                |  215 --
 drivers/gpu/drm/i915/intel_pm.c                    |  441 ++---
 drivers/gpu/drm/i915/intel_pm.h                    |    4 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   12 +-
 drivers/gpu/drm/i915/intel_runtime_pm.h            |    2 +-
 drivers/gpu/drm/i915/intel_uc.c                    |  561 ------
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  357 ----
 drivers/gpu/drm/i915/intel_uncore.c                |  465 +++--
 drivers/gpu/drm/i915/intel_uncore.h                |   24 +-
 drivers/gpu/drm/i915/intel_wakeref.c               |    9 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |   26 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |   40 +-
 drivers/gpu/drm/i915/intel_wopcm.h                 |    4 +-
 drivers/gpu/drm/i915/oa/Makefile                   |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_bdw.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_bdw.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_bxt.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_bxt.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt2.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt2.h     |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt3.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt3.h     |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_chv.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_chv.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_cnl.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_cnl.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_glk.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_glk.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_hsw.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_hsw.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_icl.c        |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_icl.h        |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt2.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt2.h     |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt3.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt3.h     |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt2.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt2.h     |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt3.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt3.h     |    2 +-
 drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt4.c     |    0
 drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt4.h     |    2 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |  124 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   11 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    3 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |    4 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    2 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |   43 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |   65 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   10 +
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |    5 +-
 drivers/gpu/drm/i915/selftests/igt_reset.c         |   38 +-
 drivers/gpu/drm/i915/selftests/igt_reset.h         |   10 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |    8 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.h       |    3 +
 drivers/gpu/drm/i915/selftests/igt_wedge_me.h      |   58 -
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   18 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    3 +
 drivers/gpu/drm/i915/selftests/mock_uncore.c       |    4 +-
 include/drm/i915_component.h                       |    2 +-
 include/drm/i915_drm.h                             |   13 +-
 include/drm/i915_pciids.h                          |   13 +-
 include/uapi/drm/i915_drm.h                        |    1 +
 248 files changed, 13296 insertions(+), 10562 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/Makefile.header-test
 delete mode 100644 drivers/gpu/drm/i915/display/Makefile.header-test
 create mode 100644 drivers/gpu/drm/i915/display/intel_tc.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_tc.h
 delete mode 100644 drivers/gpu/drm/i915/gem/Makefile.header-test
 delete mode 100644 drivers/gpu/drm/i915/gt/Makefile.header-test
 rename drivers/gpu/drm/i915/{intel_renderstate_gen6.c => gt/gen6_renderstate.c} (100%)
 rename drivers/gpu/drm/i915/{intel_renderstate_gen7.c => gt/gen7_renderstate.c} (100%)
 rename drivers/gpu/drm/i915/{intel_renderstate_gen8.c => gt/gen8_renderstate.c} (100%)
 rename drivers/gpu/drm/i915/{intel_renderstate_gen9.c => gt/gen9_renderstate.c} (100%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt.h
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_types.h
 rename drivers/gpu/drm/i915/{i915_gem_render_state.c => gt/intel_renderstate.c} (94%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_renderstate.h (91%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_reset_types.h
 rename drivers/gpu/drm/i915/{i915_timeline.c => gt/intel_timeline.c} (69%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_timeline.h
 rename drivers/gpu/drm/i915/{i915_timeline_types.h => gt/intel_timeline_types.h} (92%)
 rename drivers/gpu/drm/i915/{selftests/i915_timeline.c => gt/selftest_timeline.c} (86%)
 rename drivers/gpu/drm/i915/{ => gt}/selftests/mock_timeline.c (68%)
 rename drivers/gpu/drm/i915/{ => gt}/selftests/mock_timeline.h (53%)
 create mode 100644 drivers/gpu/drm/i915/gt/uc/Makefile
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc.c (78%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc.h (90%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ads.c (93%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ads.h (100%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ct.c (97%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_ct.h (93%)
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_guc_fw.c
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_fw.h (100%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_fwif.h (88%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_log.c (91%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_log.h (98%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_reg.h (87%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_submission.c (68%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_guc_submission.h (98%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_huc.c (76%)
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_huc.h (91%)
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_huc_fw.c
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_huc_fw.h (100%)
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_uc.c
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_uc.h (60%)
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_uc_fw.c
 rename drivers/gpu/drm/i915/{ => gt/uc}/intel_uc_fw.h (57%)
 create mode 100644 drivers/gpu/drm/i915/gt/uc/intel_uc_fw_abi.h
 rename drivers/gpu/drm/i915/{selftests/intel_guc.c => gt/uc/selftest_guc.c} (85%)
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_render_state.h
 delete mode 100644 drivers/gpu/drm/i915/i915_timeline.h
 delete mode 100644 drivers/gpu/drm/i915/intel_guc_fw.c
 delete mode 100644 drivers/gpu/drm/i915/intel_huc_fw.c
 delete mode 100644 drivers/gpu/drm/i915/intel_uc.c
 delete mode 100644 drivers/gpu/drm/i915/intel_uc_fw.c
 create mode 100644 drivers/gpu/drm/i915/oa/Makefile
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_bdw.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_bdw.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_bxt.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_bxt.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt2.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt2.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt3.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_cflgt3.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_chv.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_chv.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_cnl.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_cnl.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_glk.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_glk.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_hsw.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_hsw.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_icl.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_icl.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt2.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt2.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt3.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_kblgt3.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt2.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt2.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt3.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt3.h (74%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt4.c (100%)
 rename drivers/gpu/drm/i915/{ => oa}/i915_oa_sklgt4.h (74%)
 delete mode 100644 drivers/gpu/drm/i915/selftests/igt_wedge_me.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-06-19 12:40 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2019-06-19 12:40 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel


Hi Dave & Daniel, final i915 feature pull for v5.3.

While I'll follow up with i915 fixes leading to the v5.3 release, I'll
pass the drm-intel-next baton to Rodrigo who'll take care of v5.4.

BR,
Jani.

drm-intel-next-2019-06-19:
Features:
- HDR support (Uma, Ville)
- Add I2C symlink under HDMI connector similar to DP (Oleg)
- Add ICL multi-segmented gamma support (Shashank, Uma)
- Update register whitelist support for new hardware (Robert, John)
- GuC firmware update with updated ABI interface (Michal, Oscar)
- Add support for new DMC header versions (Lucas)
- In-kernel blitter client for selftest use (Matthew)
- Add Mule Creec Canyon (MCC) PCH support to go with EHL (Matt)
- EHL platform feature updates (Matt)
- Use Command Transport Buffers with GuC on all gens (Daniele)
- New i915.force_probe module parameter to replace i915.alpha_support (Jani)

Refactoring:
- Better runtime PM code abstraction/encapsulation (Daniele)
- VBT parsing cleanup and improvements (Jani)
- Move display code to its own subdirectory (Jani)
- Header cleanup (Jani, Daniele)
- Prep work for subsclice mask expansion (Stuart)
- Use uncore mmio register accessors more, remove unused macro wrappers (Tvrtko)
- Remove unused atomic property get/set stubs (Maarten)
- GTT cleanups and improvements (Mika)
- Pass intel_ types instead of drm_ types in plenty of display code (Ville)
- Engine reset, hangcheck, fault code cleanups and improvements (Tvrtko)
- Consider AML variants simply as either KBL or CFL ULX (Ville)
- State checker cleanups and improvements (Ville)
- GEM code reorganization to more files under gem subdirectory (Chris)
- Reducing dependency on a coarse struct_mutex (Chris)

Fixes:
- Fix use of uninitialized/incorrect error pointers (Colin, Dan)
- Fix DSI fastboot on some VLV/CHV platforms (Hans)
- Fix DSI error path (Hans)
- Add ICL port A combo PHY HW state check (Imre)
- Fix ICL AUX-B HW not done issue (Imre)
- Fix perf whitelist on gen10+ (Lionel)
- Fix PSR exit by forcing manual exit on older gens (José)
- Match voltage ranges instead of exact values (Lucas)
- Fix SDVO HDMI audio, with cleanups (Ville)
- Fix plane state dumps (Ville)
- Fix driver cleanup code to support driver hot unbind (Janusz)
- Add checks for ICL memory bandwidth requirements (Ville)
- Fix toggling between no C8 planes vs. at least one C8 plane (Ville)
- Improved checks on PLL usage conditions, refactoring (Ville)
- Avoid clobbering M/N values in fastset fuzzy checks (Ville)
- Take a runtime pm wakeref for atomic commits (Chris)
- Do not allow runtime pm autosuspend to remove userspace GGTT mmaps too quickly (Chris)
- Avoid refcount_inc on known zero count to avoid debug flagging (Chris)

BR,
Jani.

The following changes since commit 14ee642c2ab0a3d8a1ded11fade692d8b77172b9:

  Merge tag 'drm-intel-next-2019-05-24' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2019-05-28 09:26:52 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-06-19

for you to fetch changes up to 1ee008f240ad5401f683ec3b79a2e3b044a82a89:

  drm/i915: Update DRIVER_DATE to 20190619 (2019-06-19 15:32:25 +0300)

----------------------------------------------------------------
Features:
- HDR support (Uma, Ville)
- Add I2C symlink under HDMI connector similar to DP (Oleg)
- Add ICL multi-segmented gamma support (Shashank, Uma)
- Update register whitelist support for new hardware (Robert, John)
- GuC firmware update with updated ABI interface (Michal, Oscar)
- Add support for new DMC header versions (Lucas)
- In-kernel blitter client for selftest use (Matthew)
- Add Mule Creec Canyon (MCC) PCH support to go with EHL (Matt)
- EHL platform feature updates (Matt)
- Use Command Transport Buffers with GuC on all gens (Daniele)
- New i915.force_probe module parameter to replace i915.alpha_support (Jani)

Refactoring:
- Better runtime PM code abstraction/encapsulation (Daniele)
- VBT parsing cleanup and improvements (Jani)
- Move display code to its own subdirectory (Jani)
- Header cleanup (Jani, Daniele)
- Prep work for subsclice mask expansion (Stuart)
- Use uncore mmio register accessors more, remove unused macro wrappers (Tvrtko)
- Remove unused atomic property get/set stubs (Maarten)
- GTT cleanups and improvements (Mika)
- Pass intel_ types instead of drm_ types in plenty of display code (Ville)
- Engine reset, hangcheck, fault code cleanups and improvements (Tvrtko)
- Consider AML variants simply as either KBL or CFL ULX (Ville)
- State checker cleanups and improvements (Ville)
- GEM code reorganization to more files under gem subdirectory (Chris)
- Reducing dependency on a coarse struct_mutex (Chris)

Fixes:
- Fix use of uninitialized/incorrect error pointers (Colin, Dan)
- Fix DSI fastboot on some VLV/CHV platforms (Hans)
- Fix DSI error path (Hans)
- Add ICL port A combo PHY HW state check (Imre)
- Fix ICL AUX-B HW not done issue (Imre)
- Fix perf whitelist on gen10+ (Lionel)
- Fix PSR exit by forcing manual exit on older gens (José)
- Match voltage ranges instead of exact values (Lucas)
- Fix SDVO HDMI audio, with cleanups (Ville)
- Fix plane state dumps (Ville)
- Fix driver cleanup code to support driver hot unbind (Janusz)
- Add checks for ICL memory bandwidth requirements (Ville)
- Fix toggling between no C8 planes vs. at least one C8 plane (Ville)
- Improved checks on PLL usage conditions, refactoring (Ville)
- Avoid clobbering M/N values in fastset fuzzy checks (Ville)
- Take a runtime pm wakeref for atomic commits (Chris)
- Do not allow runtime pm autosuspend to remove userspace GGTT mmaps too quickly (Chris)
- Avoid refcount_inc on known zero count to avoid debug flagging (Chris)

----------------------------------------------------------------
Chris Wilson (58):
      drm/i915: Keep user GGTT alive for a minimum of 250ms
      drm/i915: Kill the undead intel_context.c zombie
      drm/i915: Split GEM object type definition to its own header
      drm/i915: Pull GEM ioctls interface to its own file
      drm/i915: Move object->pages API to i915_gem_object.[ch]
      drm/i915: Move shmem object setup to its own file
      drm/i915: Move phys objects to its own file
      drm/i915: Move mmap and friends to its own file
      drm/i915: Move GEM domain management to its own file
      drm/i915: Move more GEM objects under gem/
      drm/i915: Pull scatterlist utils out of i915_gem.h
      drm/i915: Move GEM object domain management from struct_mutex to local
      drm/i915: Move GEM object waiting to its own file
      drm/i915: Move GEM object busy checking to its own file
      drm/i915: Move GEM client throttling to its own file
      drm/i915: Rename intel_context.active to .inflight
      drm/i915: Drop the deferred active reference
      drm/i915: Take a runtime pm wakeref for atomic commits
      drm/i915: Avoid refcount_inc on known zero count
      drm/i915/gtt: Avoid overflowing the WC stash
      drm/i915: Drop check for non-NULL entry in llist_for_each_entry_safe
      drm/i915: Make default value for i915.mmio_debug a compile time option
      drm/i915: Track the purgeable objects on a separate eviction list
      drm/i915: Report all objects with allocated pages to the shrinker
      drm/i915/selftests: Flush partial-tiling object once
      drm/i915: Use unchecked writes for setting up the fences
      drm/i915: Use unchecked uncore writes to flush the GTT
      drm/i915/gtt: Replace struct_mutex serialisation for allocation
      drm/i915: Move object close under its own lock
      drm/i915: Skip context_barrier emission for unused contexts
      drm/i915: Report an earlier wedged event when suspending the engines
      drm/i915: Allow interrupts when taking the timeline->mutex
      drm/i915: Promote i915->mm.obj_lock to be irqsafe
      drm/i915: Pull kref into i915_address_space
      drm/i915: Rename i915_hw_ppgtt to i915_ppgtt
      drm/i915: Add a label for config DRM_I915_SPIN_REQUEST
      drm/i915: Prevent lock-cycles between GPU waits and GPU resets
      drm/i915: Combine unbound/bound list tracking for objects
      drm/i915: kerneldoc warnings squelched
      drm/i915: Move fence register tracking from i915->mm to ggtt
      drm/i915: Enable refcount debugging for default debug levels
      drm/i915: Discard some redundant cache domain flushes
      drm/i915: Execute signal callbacks from no-op i915_request_wait
      drm/i915: Refine i915_reset.lock_map
      drm/i915: Keep contexts pinned until after the next kernel context switch
      drm/i915: Stop retiring along engine
      drm/i915: Replace engine->timeline with a plain list
      drm/i915: Avoid tainting i915_gem_park() with wakeref.lock
      drm/i915/gtt: Serialise both updates to PDE and our shadow
      drm/i915/guc: Reduce verbosity on log overflows
      drm/i915: Keep engine alive as we retire the context
      drm/i915: Use drm_gem_object.resv
      drm/i915: Skip shrinking already freed pages
      drm/i915/selftests: Flush live_evict
      drm/i915: Don't dereference request if it may have been retired when printing
      drm/i915: Make the semaphore saturation mask global
      drm/i915/execlists: Detect cross-contamination with GuC
      drm/i915: Stop passing I915_WAIT_LOCKED to i915_request_wait()

Colin Ian King (2):
      drm/i915/gtt: set err to -ENOMEM on memory allocation failure
      drm/i915: fix use of uninitialized pointer vaddr

Dan Carpenter (1):
      drm/i915: selftest_lrc: Check the correct variable

Daniele Ceraolo Spurio (12):
      drm/i915: extract intel_display_power.h/c from intel_runtime_pm.h/c
      drm/i915: move more defs in intel_display_power.h
      drm/i915/guc: always use Command Transport Buffers
      drm/i915/wopcm: update default size for gen11+
      drm/i915: prefer i915_runtime_pm in intel_runtime function
      drm/i915: Remove rpm asserts that use i915
      drm/i915: make enable/disable rpm assert function use the rpm structure
      drm/i915: move and rename i915_runtime_pm
      drm/i915: move a few more functions to accept the rpm structure
      drm/i915: update rpm_get/put to use the rpm structure
      drm/i915: update with_intel_runtime_pm to use the rpm structure
      drm/i915: make intel_wakeref work on the rpm struct

Geert Uytterhoeven (1):
      drm/i915: Grammar s/the its/its/

Greg Kroah-Hartman (1):
      drm/i915: no need to check return value of debugfs_create functions

Hans de Goede (5):
      drm/i915/dsi: Call drm_connector_cleanup on vlv_dsi_init error exit path
      drm/i915/dsi: Use a fuzzy check for burst mode clock check
      drm/i915/dsi: Move logging of DSI VBT parameters to a helper function
      drm/i915/dsi: Move vlv/icl_dphy_param_init call out of intel_dsi_vbt_init (v2)
      drm/i915/dsi: Read back pclk set by GOP and use that as pclk (v3)

Imre Deak (2):
      drm/i915/icl: Fix AUX-B HW not done issue w/o AUX-A
      drm/i915/icl: Ensure port A combo PHY HW state is correct

Jani Nikula (28):
      drm/i915: make REG_BIT() and REG_GENMASK() work with variables
      Merge drm/drm-next into drm-intel-next-queued
      Revert "drm/i915: Expand subslice mask"
      drm/i915: add force_probe module parameter to replace alpha_support
      drm/i915/bios: make child device order the priority order
      drm/i915/bios: store child device pointer in DDI port info
      drm/i915/bios: refactor DDC pin and AUX CH sanitize functions
      drm/i915/bios: use port info child pointer to determine HPD invert
      drm/i915/bios: use port info child pointer to determine LSPCON presence
      drm/i915/bios: clean up VBT port info debug logging
      drm/i915/bios: remove unused, obsolete VBT definitions
      drm/i915/bios: reserve struct bdb_ prefix for BDB blocks
      drm/i915/bios: add BDB block comments before definitions
      drm/i915/bios: sort BDB block definitions using block ID
      drm/i915/bios: add VBT swing bit to child device definition
      drm/i915/bios: add more LFP options
      drm/i915/bios: add an enum for BDB block IDs
      Documentation/i915: Fix kernel-doc references to moved gem files
      drm/i915: fix documentation build warnings
      drm/i915: move pm related declarations to intel_pm.h
      drm/i915: remove some unused declarations from intel_drv.h
      drm/i915: move more atomic plane declarations to intel_atomic_plane.h
      drm/i915/frontbuffer: remove obsolete comment about mark busy/idle
      drm/i915: make intel_sdvo_regs.h self-contained
      drm/i915: move modesetting output/encoder code under display/
      drm/i915: move modesetting core code under display/
      Documentation/i915: fix file references after display/ subdir renames
      drm/i915: Update DRIVER_DATE to 20190619

Janusz Krzysztofik (1):
      drm/i915: Split off pci_driver.remove() tail to drm_driver.release()

John Harrison (3):
      drm/i915: Support flags in whitlist WAs
      drm/i915: Support whitelist workarounds on all engines
      drm/i915: Add whitelist workarounds for ICL

José Roberto de Souza (1):
      drm/i915/psr: Force manual PSR exit in older gens

Lionel Landwerlin (1):
      drm/i915/perf: fix whitelist on Gen10+

Lucas De Marchi (13):
      drm/i915/dmc: protect against reading random memory
      drm/i915/icl: use ranges for voltage level lookup
      drm/i915/cnl: use ranges for voltage level lookup
      drm/i915/skl: use ranges for voltage level lookup
      drm/i915/dmc: use kernel types
      drm/i915/dmc: extract fw_info and table walk from intel_package_header
      drm/i915/dmc: add support for package_header with version 2
      drm/i915/dmc: extract function to parse css header
      drm/i915/dmc: extract function to parse package_header
      drm/i915/dmc: extract function to parse dmc_header
      drm/i915/dmc: add support to load dmc_header version 3
      drm/i915/dmc: remove redundant return in parse_csr_fw()
      drm/i915/dmc: protect against loading wrong firmware

Maarten Lankhorst (1):
      drm/i915: Nuke atomic set/get prop plane stubs

Matt Roper (4):
      drm/i915/ehl: Support HBR3 on EHL combo PHY
      drm/i915: Add Wa_1409120013:icl,ehl
      drm/i915/ehl: Update MOCS table for EHL
      drm/i915/ehl: Introduce Mule Creek Canyon PCH

Matthew Auld (2):
      drm/i915/gtt: grab wakeref in gen6_alloc_va_range
      drm/i915: add in-kernel blitter client

Mauro Carvalho Chehab (1):
      Documentation/i915: Fix references to renamed files

Michal Wajdeczko (14):
      drm/i915/guc: Change platform default GuC mode
      drm/i915/guc: Don't allow GuC submission
      drm/i915/guc: Updates for GuC 32.0.3 firmware
      drm/i915/guc: Reset GuC ADS during sanitize
      drm/i915/guc: Always ask GuC to update power domain states
      drm/i915/guc: Define GuC firmware version for Geminilake
      drm/i915/huc: Define HuC firmware version for Geminilake
      drm/i915/guc: New GuC interrupt register for Gen11
      drm/i915/guc: New GuC scratch registers for Gen11
      drm/i915/huc: New HuC status register for Gen11
      drm/i915/guc: Update GuC CTB response definition
      drm/i915/guc: Enable GuC CTB communication on Gen11
      drm/i915/guc: Define GuC firmware version for Icelake
      drm/i915/huc: Define HuC firmware version for Icelake

Mika Kuoppala (5):
      drm/i915/gtt: No need to zero the table for page dirs
      drm/i915/gtt: Use a common type for page directories
      drm/i915/gtt: Introduce init_pd_with_page
      drm/i915/gtt: Introduce init_pd
      drm/i915/gtt: Generalize alloc_pd

Oleg Vasilev (1):
      drm/i915: add i2c symlink under hdmi connector

Oscar Mateo (2):
      drm/i915/guc: Create vfuncs for the GuC interrupts control functions
      drm/i915/guc: Correctly handle GuC interrupts on Gen11

Robert M. Fosha (1):
      drm/i915: Update workarounds selftest for read only regs

Shashank Sharma (3):
      drm/i915: Change gamma/degamma_lut_size data type to u32
      drm/i915: Rename ivb_load_lut_10_max
      drm/i915/icl: Add Multi-segmented gamma support

Stuart Summers (5):
      drm/i915: Use local variable for SSEU info in GETPARAM ioctl
      drm/i915: Add macro for SSEU stride calculation
      drm/i915: Move calculation of subslices per slice to new function
      drm/i915: Refactor sseu helper functions
      drm/i915: Expand subslice mask

Swati Sharma (2):
      drm/i915: Introduce vfunc read_luts() to create hw lut
      drm/i915: Enable intel_color_get_config()

Tvrtko Ursulin (23):
      drm/i915: Reset only affected engines when handling error capture
      drm/i915: Tidy engine mask types in hangcheck
      drm/i915: Make Gen6/7 RING_FAULT_REG access engine centric
      drm/i915: Extract engine fault reset to a helper
      drm/i915: Unexport i915_gem_init/fini_aliasing_ppgtt
      drm/i915: Convert some more bits to use engine mmio accessors
      drm/i915: Tidy intel_execlists_submission_init
      drm/i915: Move i915_check_and_clear_faults to intel_reset.c
      drm/i915: Eliminate unused mmio accessors
      drm/i915: Convert i915_reg_read_ioctl to use explicit mmio accessors
      drm/i915: Convert icl_get_stolen_reserved to uncore mmio accessors
      drm/i915: Convert gem_record_fences to uncore mmio accessors
      drm/i915: Convert intel_read_wm_latency to uncore mmio accessors
      drm/i915: Remove I915_READ64 and I915_READ64_32x2
      drm/i915: Make read_subslice_reg take engine
      drm/i915/guc: Move intel_guc_reserved_gtt_size to intel_wopcm_guc_size
      drm/i915: Make GuC GGTT reservation work on ggtt
      drm/i915: Remove I915_READ8
      drm/i915: Remove I915_POSTING_READ_FW
      drm/i915: Remove POSTING_READ16
      drm/i915: Remove I915_WRITE_NOTRACE
      drm/i915: Remove I915_READ_NOTRACE
      drm/i915: Remove I915_READ16 and I915_WRITE16

Uma Shankar (6):
      drm/i915: Enabled Modeset when HDR Infoframe changes
      drm/i915: Add DRM Infoframe handling for BYT/CHT
      drm/i915: Write HDR infoframe and send to panel
      drm/i915: Add state readout for DRM infoframe
      drm/i915: Attach HDR metadata property to connector
      drm/i915/icl: Add register definitions for Multi Segmented gamma

Ville Syrjälä (40):
      drm/i915: Make sandybridge_pcode_read() deal with the second data register
      drm/i915: Make sure we have enough memory bandwidth on ICL
      drm/i915: Enable infoframes on GLK+ for HDR
      drm/i915: Update pipe gamma enable bits when C8 planes are getting enabled/disabled
      drm/i915: Add debugs for the C8 vs. legacy LUT case
      drm/i915: Pass intel_atomic_state to cdclk funcs
      drm/i915: Clean up cdclk vfunc assignments
      drm/i915: Pass intel_atomic state to check_digital_port_conflicts()
      drm/i915: Use intel_ types in intel_modeset_clear_plls()
      drm/i915: Use intel_ types in haswell_mode_set_planes_workaround()
      drm/i915: Don't pass the crtc to intel_dump_pipe_config()
      drm/i915: Don't pass the crtc to intel_modeset_pipe_config()
      drm/i915: Use intel_ types in intel_modeset_checks()
      drm/i915: Use intel_ types in intel_atomic_check()
      drm/i915: Move state dump to the end of atomic_check()
      drm/i915: Include crtc_state.active in crtc state dumps
      drm/i915: Dump failed crtc states during atomic check
      drm/i915: Make state dumpers take a const state
      drm/i915: Fix plane state dumps
      drm/i915: Move intel_dp->prepare_link_train assignment into ddi code
      drm/i915: Drop pointless WARN_ON
      drm/i915: Fix per-pixel alpha with CCS
      drm/i915/sdvo: Fix AVI infoframe TX rate readout
      drm/i915/sdvo: Implement proper HDMI audio support for SDVO
      drm/i915: Rename SDVO_AUDIO_ENABLE to HDMI_AUDIO_ENABLE
      drm/i915/sdvo: Check that we have space for the infoframe
      drm/i915/sdvo: Don't unpack stack garbage
      drm/i915/sdvo: Don't write stack garbage into the hbuf
      drm/i915/sdvo: Actually print the reason why the SDVO command failed
      drm/i915: Do not touch the PCH SSC reference if a PLL is using it
      drm/i915: Rename HSW/BDW PLL bits
      drm/i915: Nuke LC_FREQ
      drm/i915: Assert that HSW/BDW LCPLL is using the non-SSC reference
      drm/i915: Improve WRPLL reference clock readout on HSW/BDW
      drm/i915: Add missing commas to the end of the subplatform ID arrays
      drm/i915: Kill INTEL_SUBPLATFORM_AML
      drm/i915: Don't clobber M/N values during fastset check
      drm/i915: Constify intel_pipe_config_compare()
      drm/i915: Make pipe_config_err() vs. fastset less confusing
      drm/i915: Drop the _INCOMPLETE for has_infoframe

 Documentation/gpu/i915.rst                         |   87 +-
 drivers/gpu/drm/i915/Kconfig                       |   29 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |   15 +
 drivers/gpu/drm/i915/Kconfig.profile               |   16 +-
 drivers/gpu/drm/i915/Makefile                      |  159 +-
 drivers/gpu/drm/i915/Makefile.header-test          |   43 -
 drivers/gpu/drm/i915/display/Makefile              |    2 +
 drivers/gpu/drm/i915/display/Makefile.header-test  |   16 +
 drivers/gpu/drm/i915/{ => display}/dvo_ch7017.c    |    0
 drivers/gpu/drm/i915/{ => display}/dvo_ch7xxx.c    |    0
 drivers/gpu/drm/i915/{ => display}/dvo_ivch.c      |    0
 drivers/gpu/drm/i915/{ => display}/dvo_ns2501.c    |    0
 drivers/gpu/drm/i915/{ => display}/dvo_sil164.c    |    0
 drivers/gpu/drm/i915/{ => display}/dvo_tfp410.c    |    0
 drivers/gpu/drm/i915/{ => display}/icl_dsi.c       |  108 +
 drivers/gpu/drm/i915/{ => display}/intel_acpi.c    |    0
 drivers/gpu/drm/i915/{ => display}/intel_acpi.h    |    0
 drivers/gpu/drm/i915/{ => display}/intel_atomic.c  |   14 +-
 drivers/gpu/drm/i915/{ => display}/intel_atomic.h  |    0
 .../drm/i915/{ => display}/intel_atomic_plane.c    |   72 +-
 .../drm/i915/{ => display}/intel_atomic_plane.h    |   10 +
 drivers/gpu/drm/i915/{ => display}/intel_audio.c   |    0
 drivers/gpu/drm/i915/{ => display}/intel_audio.h   |    0
 drivers/gpu/drm/i915/{ => display}/intel_bios.c    |  212 +-
 drivers/gpu/drm/i915/{ => display}/intel_bios.h    |    4 +-
 drivers/gpu/drm/i915/display/intel_bw.c            |  421 ++
 drivers/gpu/drm/i915/display/intel_bw.h            |   47 +
 drivers/gpu/drm/i915/{ => display}/intel_cdclk.c   |  237 +-
 drivers/gpu/drm/i915/{ => display}/intel_cdclk.h   |    0
 drivers/gpu/drm/i915/{ => display}/intel_color.c   |  152 +-
 drivers/gpu/drm/i915/{ => display}/intel_color.h   |    1 +
 .../gpu/drm/i915/{ => display}/intel_combo_phy.c   |   10 +
 .../gpu/drm/i915/{ => display}/intel_combo_phy.h   |    0
 .../gpu/drm/i915/{ => display}/intel_connector.c   |    3 +-
 .../gpu/drm/i915/{ => display}/intel_connector.h   |    0
 drivers/gpu/drm/i915/{ => display}/intel_crt.c     |   41 +-
 drivers/gpu/drm/i915/{ => display}/intel_crt.h     |    0
 drivers/gpu/drm/i915/{ => display}/intel_ddi.c     |   53 +-
 drivers/gpu/drm/i915/{ => display}/intel_ddi.h     |    1 -
 drivers/gpu/drm/i915/{ => display}/intel_display.c |  786 +--
 drivers/gpu/drm/i915/{ => display}/intel_display.h |   82 -
 drivers/gpu/drm/i915/display/intel_display_power.c | 4618 ++++++++++++++++++
 drivers/gpu/drm/i915/display/intel_display_power.h |  288 ++
 drivers/gpu/drm/i915/{ => display}/intel_dp.c      |   51 +-
 drivers/gpu/drm/i915/{ => display}/intel_dp.h      |    0
 .../i915/{ => display}/intel_dp_aux_backlight.c    |    0
 .../i915/{ => display}/intel_dp_aux_backlight.h    |    0
 .../i915/{ => display}/intel_dp_link_training.c    |    0
 .../i915/{ => display}/intel_dp_link_training.h    |    0
 drivers/gpu/drm/i915/{ => display}/intel_dp_mst.c  |    0
 drivers/gpu/drm/i915/{ => display}/intel_dp_mst.h  |    0
 .../gpu/drm/i915/{ => display}/intel_dpio_phy.c    |    3 +-
 .../gpu/drm/i915/{ => display}/intel_dpio_phy.h    |    0
 .../gpu/drm/i915/{ => display}/intel_dpll_mgr.c    |   20 +-
 .../gpu/drm/i915/{ => display}/intel_dpll_mgr.h    |    4 +-
 drivers/gpu/drm/i915/{ => display}/intel_dsi.c     |    0
 drivers/gpu/drm/i915/{ => display}/intel_dsi.h     |    1 +
 .../i915/{ => display}/intel_dsi_dcs_backlight.c   |    0
 .../i915/{ => display}/intel_dsi_dcs_backlight.h   |    0
 drivers/gpu/drm/i915/{ => display}/intel_dsi_vbt.c |  354 +-
 drivers/gpu/drm/i915/{ => display}/intel_dvo.c     |    0
 drivers/gpu/drm/i915/{ => display}/intel_dvo.h     |    0
 drivers/gpu/drm/i915/{ => display}/intel_dvo_dev.h |    0
 drivers/gpu/drm/i915/{ => display}/intel_fbc.c     |    4 +
 drivers/gpu/drm/i915/{ => display}/intel_fbc.h     |    0
 drivers/gpu/drm/i915/{ => display}/intel_fbdev.c   |    8 +-
 drivers/gpu/drm/i915/{ => display}/intel_fbdev.h   |    0
 .../drm/i915/{ => display}/intel_fifo_underrun.c   |    0
 .../drm/i915/{ => display}/intel_fifo_underrun.h   |    0
 .../gpu/drm/i915/{ => display}/intel_frontbuffer.c |    7 +-
 .../gpu/drm/i915/{ => display}/intel_frontbuffer.h |    2 +-
 drivers/gpu/drm/i915/{ => display}/intel_gmbus.c   |   67 +-
 drivers/gpu/drm/i915/{ => display}/intel_gmbus.h   |    0
 drivers/gpu/drm/i915/{ => display}/intel_hdcp.c    |    0
 drivers/gpu/drm/i915/{ => display}/intel_hdcp.h    |    0
 drivers/gpu/drm/i915/{ => display}/intel_hdmi.c    |  145 +-
 drivers/gpu/drm/i915/{ => display}/intel_hdmi.h    |    0
 drivers/gpu/drm/i915/{ => display}/intel_hotplug.c |    4 +-
 drivers/gpu/drm/i915/{ => display}/intel_hotplug.h |    0
 .../gpu/drm/i915/{ => display}/intel_lpe_audio.c   |    0
 .../gpu/drm/i915/{ => display}/intel_lpe_audio.h   |    0
 drivers/gpu/drm/i915/{ => display}/intel_lspcon.c  |    0
 drivers/gpu/drm/i915/{ => display}/intel_lspcon.h  |    0
 drivers/gpu/drm/i915/{ => display}/intel_lvds.c    |    0
 drivers/gpu/drm/i915/{ => display}/intel_lvds.h    |    0
 .../gpu/drm/i915/{ => display}/intel_opregion.c    |    3 +-
 .../gpu/drm/i915/{ => display}/intel_opregion.h    |    0
 drivers/gpu/drm/i915/{ => display}/intel_overlay.c |   31 +-
 drivers/gpu/drm/i915/{ => display}/intel_overlay.h |    0
 drivers/gpu/drm/i915/{ => display}/intel_panel.c   |    2 +-
 drivers/gpu/drm/i915/{ => display}/intel_panel.h   |    0
 .../gpu/drm/i915/{ => display}/intel_pipe_crc.c    |    0
 .../gpu/drm/i915/{ => display}/intel_pipe_crc.h    |    0
 drivers/gpu/drm/i915/{ => display}/intel_psr.c     |   39 +-
 drivers/gpu/drm/i915/{ => display}/intel_psr.h     |    0
 drivers/gpu/drm/i915/{ => display}/intel_quirks.c  |    0
 drivers/gpu/drm/i915/{ => display}/intel_quirks.h  |    0
 drivers/gpu/drm/i915/{ => display}/intel_sdvo.c    |   79 +-
 drivers/gpu/drm/i915/{ => display}/intel_sdvo.h    |    0
 .../gpu/drm/i915/{ => display}/intel_sdvo_regs.h   |   11 +
 drivers/gpu/drm/i915/{ => display}/intel_sprite.c  |    8 -
 drivers/gpu/drm/i915/{ => display}/intel_sprite.h  |    0
 drivers/gpu/drm/i915/{ => display}/intel_tv.c      |    0
 drivers/gpu/drm/i915/{ => display}/intel_tv.h      |    0
 .../gpu/drm/i915/{ => display}/intel_vbt_defs.h    |  633 +--
 drivers/gpu/drm/i915/{ => display}/intel_vdsc.c    |    0
 drivers/gpu/drm/i915/{ => display}/intel_vdsc.h    |    0
 drivers/gpu/drm/i915/{ => display}/vlv_dsi.c       |  196 +-
 drivers/gpu/drm/i915/{ => display}/vlv_dsi_pll.c   |    0
 drivers/gpu/drm/i915/gem/Makefile                  |    1 +
 drivers/gpu/drm/i915/gem/Makefile.header-test      |   16 +
 drivers/gpu/drm/i915/gem/i915_gem_busy.c           |  139 +
 drivers/gpu/drm/i915/{ => gem}/i915_gem_clflush.c  |   34 +-
 drivers/gpu/drm/i915/gem/i915_gem_clflush.h        |   20 +
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c     |  304 ++
 drivers/gpu/drm/i915/gem/i915_gem_client_blt.h     |   21 +
 drivers/gpu/drm/i915/{ => gem}/i915_gem_context.c  |  284 +-
 drivers/gpu/drm/i915/{ => gem}/i915_gem_context.h  |   23 +-
 .../drm/i915/{ => gem}/i915_gem_context_types.h    |   18 +-
 drivers/gpu/drm/i915/{ => gem}/i915_gem_dmabuf.c   |   42 +-
 drivers/gpu/drm/i915/gem/i915_gem_domain.c         |  796 ++++
 .../gpu/drm/i915/{ => gem}/i915_gem_execbuffer.c   |  190 +-
 drivers/gpu/drm/i915/gem/i915_gem_fence.c          |   96 +
 drivers/gpu/drm/i915/{ => gem}/i915_gem_internal.c |   31 +-
 drivers/gpu/drm/i915/gem/i915_gem_ioctls.h         |   52 +
 drivers/gpu/drm/i915/gem/i915_gem_mman.c           |  508 ++
 drivers/gpu/drm/i915/gem/i915_gem_object.c         |  398 ++
 drivers/gpu/drm/i915/gem/i915_gem_object.h         |  430 ++
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c     |  107 +
 drivers/gpu/drm/i915/gem/i915_gem_object_blt.h     |   24 +
 drivers/gpu/drm/i915/gem/i915_gem_object_types.h   |  262 +
 drivers/gpu/drm/i915/gem/i915_gem_pages.c          |  544 +++
 drivers/gpu/drm/i915/gem/i915_gem_phys.c           |  212 +
 drivers/gpu/drm/i915/{ => gem}/i915_gem_pm.c       |   78 +-
 drivers/gpu/drm/i915/{ => gem}/i915_gem_pm.h       |    0
 drivers/gpu/drm/i915/gem/i915_gem_shmem.c          |  571 +++
 drivers/gpu/drm/i915/{ => gem}/i915_gem_shrinker.c |  218 +-
 drivers/gpu/drm/i915/{ => gem}/i915_gem_stolen.c   |   41 +-
 drivers/gpu/drm/i915/gem/i915_gem_throttle.c       |   73 +
 drivers/gpu/drm/i915/{ => gem}/i915_gem_tiling.c   |   31 +-
 drivers/gpu/drm/i915/{ => gem}/i915_gem_userptr.c  |   40 +-
 drivers/gpu/drm/i915/gem/i915_gem_wait.c           |  278 ++
 drivers/gpu/drm/i915/{ => gem}/i915_gemfs.c        |   22 +-
 drivers/gpu/drm/i915/gem/i915_gemfs.h              |   16 +
 .../drm/i915/{ => gem}/selftests/huge_gem_object.c |   24 +-
 .../gpu/drm/i915/gem/selftests/huge_gem_object.h   |   27 +
 .../gpu/drm/i915/{ => gem}/selftests/huge_pages.c  |  102 +-
 .../drm/i915/gem/selftests/i915_gem_client_blt.c   |  127 +
 .../i915/{ => gem}/selftests/i915_gem_coherency.c  |   54 +-
 .../i915/{ => gem}/selftests/i915_gem_context.c    |  168 +-
 .../drm/i915/{ => gem}/selftests/i915_gem_dmabuf.c |   35 +-
 .../selftests/i915_gem_mman.c}                     |  208 +-
 .../gpu/drm/i915/gem/selftests/i915_gem_object.c   |   99 +
 .../drm/i915/gem/selftests/i915_gem_object_blt.c   |  110 +
 drivers/gpu/drm/i915/gem/selftests/i915_gem_phys.c |   80 +
 .../drm/i915/{ => gem}/selftests/igt_gem_utils.c   |    6 +-
 .../drm/i915/{ => gem}/selftests/igt_gem_utils.h   |    0
 .../drm/i915/{ => gem}/selftests/mock_context.c    |   30 +-
 drivers/gpu/drm/i915/gem/selftests/mock_context.h  |   24 +
 .../gpu/drm/i915/{ => gem}/selftests/mock_dmabuf.c |   22 +-
 drivers/gpu/drm/i915/gem/selftests/mock_dmabuf.h   |   22 +
 .../drm/i915/{ => gem}/selftests/mock_gem_object.h |    7 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |   88 +-
 drivers/gpu/drm/i915/gt/intel_context.h            |    8 +-
 drivers/gpu/drm/i915/gt/intel_context_types.h      |   10 +-
 drivers/gpu/drm/i915/gt/intel_engine.h             |   29 +-
 drivers/gpu/drm/i915/gt/intel_engine_cs.c          |  146 +-
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |    8 +-
 drivers/gpu/drm/i915/gt/intel_engine_types.h       |   22 +-
 drivers/gpu/drm/i915/gt/intel_gpu_commands.h       |    1 +
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |    4 +-
 drivers/gpu/drm/i915/gt/intel_hangcheck.c          |   10 +-
 drivers/gpu/drm/i915/gt/intel_lrc.c                |  245 +-
 drivers/gpu/drm/i915/gt/intel_lrc.h                |   14 +-
 drivers/gpu/drm/i915/gt/intel_lrc_reg.h            |    2 +-
 drivers/gpu/drm/i915/gt/intel_mocs.c               |    8 +
 drivers/gpu/drm/i915/gt/intel_reset.c              |  114 +-
 drivers/gpu/drm/i915/gt/intel_reset.h              |    2 +-
 drivers/gpu/drm/i915/gt/intel_ringbuffer.c         |  114 +-
 drivers/gpu/drm/i915/gt/intel_sseu.c               |   17 +
 drivers/gpu/drm/i915/gt/intel_sseu.h               |    8 +
 drivers/gpu/drm/i915/gt/intel_workarounds.c        |  108 +-
 drivers/gpu/drm/i915/gt/mock_engine.c              |   32 +-
 drivers/gpu/drm/i915/gt/selftest_hangcheck.c       |   47 +-
 drivers/gpu/drm/i915/gt/selftest_lrc.c             |   75 +-
 drivers/gpu/drm/i915/gt/selftest_reset.c           |    6 +-
 drivers/gpu/drm/i915/gt/selftest_workarounds.c     |   92 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |   24 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   27 +-
 drivers/gpu/drm/i915/gvt/debugfs.c                 |    4 +-
 drivers/gpu/drm/i915/gvt/firmware.c                |    5 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    8 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |    1 +
 drivers/gpu/drm/i915/gvt/opregion.c                |    2 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c            |    4 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   65 +-
 drivers/gpu/drm/i915/i915_active.c                 |   96 +
 drivers/gpu/drm/i915/i915_active.h                 |    7 +-
 drivers/gpu/drm/i915/i915_active_types.h           |    3 +
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   23 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  440 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  126 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  662 +--
 drivers/gpu/drm/i915/i915_gem.c                    | 3885 ++-------------
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |    6 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.h         |    3 +-
 drivers/gpu/drm/i915/i915_gem_clflush.h            |   36 -
 drivers/gpu/drm/i915/i915_gem_evict.c              |    2 +
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |  207 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |   19 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  853 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  135 +-
 drivers/gpu/drm/i915/i915_gem_object.c             |   90 -
 drivers/gpu/drm/i915/i915_gem_object.h             |  509 --
 drivers/gpu/drm/i915/i915_gem_render_state.c       |    8 +-
 drivers/gpu/drm/i915/i915_gemfs.h                  |   34 -
 drivers/gpu/drm/i915/i915_globals.c                |    4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  141 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  168 +-
 drivers/gpu/drm/i915/i915_irq.h                    |    3 +
 drivers/gpu/drm/i915/i915_params.c                 |    7 +-
 drivers/gpu/drm/i915/i915_params.h                 |    3 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   56 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   11 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   21 +-
 drivers/gpu/drm/i915/i915_query.c                  |   17 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   91 +-
 drivers/gpu/drm/i915/i915_request.c                |  219 +-
 drivers/gpu/drm/i915/i915_request.h                |    2 +-
 drivers/gpu/drm/i915/i915_scatterlist.c            |   39 +
 drivers/gpu/drm/i915/i915_scatterlist.h            |  127 +
 drivers/gpu/drm/i915/i915_scheduler.c              |   38 +-
 drivers/gpu/drm/i915/i915_suspend.c                |    5 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   14 +-
 drivers/gpu/drm/i915/i915_timeline.c               |   14 +-
 drivers/gpu/drm/i915/i915_timeline.h               |   19 -
 drivers/gpu/drm/i915/i915_timeline_types.h         |    4 -
 drivers/gpu/drm/i915/i915_trace.h                  |    5 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   10 -
 drivers/gpu/drm/i915/i915_vma.c                    |  123 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   35 +-
 drivers/gpu/drm/i915/intel_context.c               |  270 --
 drivers/gpu/drm/i915/intel_csr.c                   |  397 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   78 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   59 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  126 +-
 drivers/gpu/drm/i915/intel_guc.c                   |  210 +-
 drivers/gpu/drm/i915/intel_guc.h                   |   12 +-
 drivers/gpu/drm/i915/intel_guc_ads.c               |  167 +-
 drivers/gpu/drm/i915/intel_guc_ads.h               |    1 +
 drivers/gpu/drm/i915/intel_guc_ct.c                |   16 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |   97 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |  201 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |   23 +-
 drivers/gpu/drm/i915/intel_guc_reg.h               |   25 +
 drivers/gpu/drm/i915/intel_guc_submission.c        |   30 +-
 drivers/gpu/drm/i915/intel_huc.c                   |   28 +-
 drivers/gpu/drm/i915/intel_huc.h                   |    7 +
 drivers/gpu/drm/i915/intel_huc_fw.c                |   24 +
 drivers/gpu/drm/i915/intel_pm.c                    |  176 +-
 drivers/gpu/drm/i915/intel_pm.h                    |    9 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            | 5013 +-------------------
 drivers/gpu/drm/i915/intel_runtime_pm.h            |  247 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   15 +-
 drivers/gpu/drm/i915/intel_sideband.h              |    3 +-
 drivers/gpu/drm/i915/intel_uc.c                    |   70 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |   29 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   47 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    4 +-
 drivers/gpu/drm/i915/intel_wakeref.c               |   85 +-
 drivers/gpu/drm/i915/intel_wakeref.h               |   45 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |   27 +-
 drivers/gpu/drm/i915/intel_wopcm.h                 |   15 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.h   |   45 -
 drivers/gpu/drm/i915/selftests/i915_active.c       |   12 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   18 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   33 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   31 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    3 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |   73 +-
 drivers/gpu/drm/i915/selftests/i915_timeline.c     |   26 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   16 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |    6 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   19 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.h       |    9 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         |   11 +-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |    4 +-
 drivers/gpu/drm/i915/selftests/mock_context.h      |   42 -
 drivers/gpu/drm/i915/selftests/mock_dmabuf.h       |   41 -
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   11 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    7 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.h          |    4 +-
 drivers/gpu/drm/i915/selftests/mock_request.c      |    2 +-
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |    1 -
 drivers/gpu/drm/i915/selftests/scatterlist.c       |    3 +-
 296 files changed, 17999 insertions(+), 15909 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/display/Makefile
 create mode 100644 drivers/gpu/drm/i915/display/Makefile.header-test
 rename drivers/gpu/drm/i915/{ => display}/dvo_ch7017.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/dvo_ch7xxx.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/dvo_ivch.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/dvo_ns2501.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/dvo_sil164.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/dvo_tfp410.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/icl_dsi.c (92%)
 rename drivers/gpu/drm/i915/{ => display}/intel_acpi.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_acpi.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_atomic.c (97%)
 rename drivers/gpu/drm/i915/{ => display}/intel_atomic.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_atomic_plane.c (88%)
 rename drivers/gpu/drm/i915/{ => display}/intel_atomic_plane.h (77%)
 rename drivers/gpu/drm/i915/{ => display}/intel_audio.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_audio.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_bios.c (94%)
 rename drivers/gpu/drm/i915/{ => display}/intel_bios.h (97%)
 create mode 100644 drivers/gpu/drm/i915/display/intel_bw.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_bw.h
 rename drivers/gpu/drm/i915/{ => display}/intel_cdclk.c (93%)
 rename drivers/gpu/drm/i915/{ => display}/intel_cdclk.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_color.c (89%)
 rename drivers/gpu/drm/i915/{ => display}/intel_color.h (87%)
 rename drivers/gpu/drm/i915/{ => display}/intel_combo_phy.c (97%)
 rename drivers/gpu/drm/i915/{ => display}/intel_combo_phy.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_connector.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_connector.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_crt.c (96%)
 rename drivers/gpu/drm/i915/{ => display}/intel_crt.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_ddi.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_ddi.h (97%)
 rename drivers/gpu/drm/i915/{ => display}/intel_display.c (96%)
 rename drivers/gpu/drm/i915/{ => display}/intel_display.h (79%)
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_power.c
 create mode 100644 drivers/gpu/drm/i915/display/intel_display_power.h
 rename drivers/gpu/drm/i915/{ => display}/intel_dp.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp_aux_backlight.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp_aux_backlight.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp_link_training.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp_link_training.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp_mst.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dp_mst.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dpio_phy.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dpio_phy.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dpll_mgr.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dpll_mgr.h (98%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dsi.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dsi.h (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dsi_dcs_backlight.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dsi_dcs_backlight.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dsi_vbt.c (70%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dvo.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dvo.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_dvo_dev.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_fbc.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_fbc.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_fbdev.c (98%)
 rename drivers/gpu/drm/i915/{ => display}/intel_fbdev.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_fifo_underrun.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_fifo_underrun.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_frontbuffer.c (96%)
 rename drivers/gpu/drm/i915/{ => display}/intel_frontbuffer.h (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_gmbus.c (93%)
 rename drivers/gpu/drm/i915/{ => display}/intel_gmbus.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_hdcp.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_hdcp.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_hdmi.c (96%)
 rename drivers/gpu/drm/i915/{ => display}/intel_hdmi.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_hotplug.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_hotplug.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_lpe_audio.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_lpe_audio.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_lspcon.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_lspcon.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_lvds.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_lvds.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_opregion.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_opregion.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_overlay.c (98%)
 rename drivers/gpu/drm/i915/{ => display}/intel_overlay.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_panel.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_panel.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_pipe_crc.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_pipe_crc.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_psr.c (97%)
 rename drivers/gpu/drm/i915/{ => display}/intel_psr.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_quirks.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_quirks.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_sdvo.c (98%)
 rename drivers/gpu/drm/i915/{ => display}/intel_sdvo.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_sdvo_regs.h (98%)
 rename drivers/gpu/drm/i915/{ => display}/intel_sprite.c (99%)
 rename drivers/gpu/drm/i915/{ => display}/intel_sprite.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_tv.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_tv.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_vbt_defs.h (71%)
 rename drivers/gpu/drm/i915/{ => display}/intel_vdsc.c (100%)
 rename drivers/gpu/drm/i915/{ => display}/intel_vdsc.h (100%)
 rename drivers/gpu/drm/i915/{ => display}/vlv_dsi.c (91%)
 rename drivers/gpu/drm/i915/{ => display}/vlv_dsi_pll.c (100%)
 create mode 100644 drivers/gpu/drm/i915/gem/Makefile
 create mode 100644 drivers/gpu/drm/i915/gem/Makefile.header-test
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_busy.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_clflush.c (74%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_clflush.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_client_blt.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_client_blt.h
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_context.c (91%)
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_context.h (84%)
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_context_types.h (93%)
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_dmabuf.c (83%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_domain.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_execbuffer.c (96%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_fence.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_internal.c (81%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_ioctls.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_mman.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object_blt.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object_blt.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_object_types.h
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_pages.c
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_phys.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_pm.c (78%)
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_pm.h (100%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_shmem.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_shrinker.c (71%)
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_stolen.c (92%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_throttle.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_tiling.c (90%)
 rename drivers/gpu/drm/i915/{ => gem}/i915_gem_userptr.c (94%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gem_wait.c
 rename drivers/gpu/drm/i915/{ => gem}/i915_gemfs.c (51%)
 create mode 100644 drivers/gpu/drm/i915/gem/i915_gemfs.h
 rename drivers/gpu/drm/i915/{ => gem}/selftests/huge_gem_object.c (70%)
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/huge_gem_object.h
 rename drivers/gpu/drm/i915/{ => gem}/selftests/huge_pages.c (93%)
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/i915_gem_client_blt.c
 rename drivers/gpu/drm/i915/{ => gem}/selftests/i915_gem_coherency.c (83%)
 rename drivers/gpu/drm/i915/{ => gem}/selftests/i915_gem_context.c (91%)
 rename drivers/gpu/drm/i915/{ => gem}/selftests/i915_gem_dmabuf.c (85%)
 rename drivers/gpu/drm/i915/{selftests/i915_gem_object.c => gem/selftests/i915_gem_mman.c} (69%)
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/i915_gem_object.c
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/i915_gem_object_blt.c
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/i915_gem_phys.c
 rename drivers/gpu/drm/i915/{ => gem}/selftests/igt_gem_utils.c (87%)
 rename drivers/gpu/drm/i915/{ => gem}/selftests/igt_gem_utils.h (100%)
 rename drivers/gpu/drm/i915/{ => gem}/selftests/mock_context.c (60%)
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/mock_context.h
 rename drivers/gpu/drm/i915/{ => gem}/selftests/mock_dmabuf.c (73%)
 create mode 100644 drivers/gpu/drm/i915/gem/selftests/mock_dmabuf.h
 rename drivers/gpu/drm/i915/{ => gem}/selftests/mock_gem_object.h (65%)
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_clflush.h
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_object.c
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_object.h
 delete mode 100644 drivers/gpu/drm/i915/i915_gemfs.h
 create mode 100644 drivers/gpu/drm/i915/i915_scatterlist.c
 create mode 100644 drivers/gpu/drm/i915/i915_scatterlist.h
 delete mode 100644 drivers/gpu/drm/i915/intel_context.c
 delete mode 100644 drivers/gpu/drm/i915/selftests/huge_gem_object.h
 delete mode 100644 drivers/gpu/drm/i915/selftests/mock_context.h
 delete mode 100644 drivers/gpu/drm/i915/selftests/mock_dmabuf.h

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-05-24 17:38 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2019-05-24 17:38 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel


Hi Dave, Daniel -

First i915 feature pull for v5.3.

BR,
Jani.

drm-intel-next-2019-05-24:
Features:
- Engine discovery query (Tvrtko)
- Support for DP YCbCr4:2:0 outputs (Gwan-gyeong)
- HDCP revocation support, refactoring (Ramalingam)
- Remove DRM_AUTH from IOCTLs which also have DRM_RENDER_ALLOW (Christian König)
- Asynchronous display power disabling (Imre)
- Perma-pin uC firmware and re-enable global reset (Fernando)
- GTT remapping for display, for bigger fb size and stride (Ville)
- Enable pipe HDR mode on ICL if only HDR planes are used (Ville)
- Kconfig to tweak the busyspin durations for i915_wait_request (Chris)
- Allow multiple user handles to the same VM (Chris)
- GT/GEM runtime pm improvements using wakerefs (Chris)
- Gen 4&5 render context support (Chris)
- Allow userspace to clone contexts on creation (Chris)
- SINGLE_TIMELINE flags for context creation (Chris)
- Allow specification of parallel execbuf (Chris)

Refactoring:
- Header refactoring (Jani)
- Move GraphicsTechnology files under gt/ (Chris)
- Sideband code refactoring (Chris)

Fixes:
- ICL DSI state readout and checker fixes (Vandita)
- GLK DSI picture corruption fix (Stanislav)
- HDMI deep color fixes (Clinton, Aditya)
- Fix driver unbinding from a device in use (Janusz)
- Fix clock gating with pipe scaling (Radhakrishna)
- Disable broken FBC on GLK (Daniel Drake)
- Miscellaneous GuC fixes (Michal)
- Fix MG PHY DP register programming (Imre)
- Add missing combo PHY lane power setup (Imre)
- Workarounds for early ICL VBT issues (Imre)
- Fix fastset vs. pfit on/off on HSW EDP transcoder (Ville)
- Add readout and state check for pch_pfit.force_thru (Ville)
- Miscellaneous display fixes and refactoring (Ville)
- Display workaround fixes (Ville)
- Enable audio even if ELD is bogus (Ville)
- Fix use-after-free in reporting create.size (Chris)
- Sideband fixes to avoid BYT hard lockups (Chris)
- Workaround fixes and improvements (Chris)

Maintainer shortcomings:
- Failure to adequately describe and give credit for all changes (Jani)


The following changes since commit 7c13e5cc2391950541f41fc9ab0336aae77c7f63:

  Merge tag 'drm-intel-next-fixes-2019-04-25' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2019-04-26 11:35:59 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-05-24

for you to fetch changes up to c0a74c732568ad347f7b3de281922808dab30504:

  drm/i915: Update DRIVER_DATE to 20190524 (2019-05-24 20:35:22 +0300)

----------------------------------------------------------------
Features:
- Engine discovery query (Tvrtko)
- Support for DP YCbCr4:2:0 outputs (Gwan-gyeong)
- HDCP revocation support, refactoring (Ramalingam)
- Remove DRM_AUTH from IOCTLs which also have DRM_RENDER_ALLOW (Christian König)
- Asynchronous display power disabling (Imre)
- Perma-pin uC firmware and re-enable global reset (Fernando)
- GTT remapping for display, for bigger fb size and stride (Ville)
- Enable pipe HDR mode on ICL if only HDR planes are used (Ville)
- Kconfig to tweak the busyspin durations for i915_wait_request (Chris)
- Allow multiple user handles to the same VM (Chris)
- GT/GEM runtime pm improvements using wakerefs (Chris)
- Gen 4&5 render context support (Chris)
- Allow userspace to clone contexts on creation (Chris)
- SINGLE_TIMELINE flags for context creation (Chris)
- Allow specification of parallel execbuf (Chris)

Refactoring:
- Header refactoring (Jani)
- Move GraphicsTechnology files under gt/ (Chris)
- Sideband code refactoring (Chris)

Fixes:
- ICL DSI state readout and checker fixes (Vandita)
- GLK DSI picture corruption fix (Stanislav)
- HDMI deep color fixes (Clinton, Aditya)
- Fix driver unbinding from a device in use (Janusz)
- Fix clock gating with pipe scaling (Radhakrishna)
- Disable broken FBC on GLK (Daniel Drake)
- Miscellaneous GuC fixes (Michal)
- Fix MG PHY DP register programming (Imre)
- Add missing combo PHY lane power setup (Imre)
- Workarounds for early ICL VBT issues (Imre)
- Fix fastset vs. pfit on/off on HSW EDP transcoder (Ville)
- Add readout and state check for pch_pfit.force_thru (Ville)
- Miscellaneous display fixes and refactoring (Ville)
- Display workaround fixes (Ville)
- Enable audio even if ELD is bogus (Ville)
- Fix use-after-free in reporting create.size (Chris)
- Sideband fixes to avoid BYT hard lockups (Chris)
- Workaround fixes and improvements (Chris)

Maintainer shortcomings:
- Failure to adequately describe and give credit for all changes (Jani)

----------------------------------------------------------------
Aditya Swarup (1):
      drm/i915/icl: Fix setting 10 bit deep color mode

Chris Wilson (87):
      drm/i915: Verify workarounds immediately after application
      drm/i915: Verify the engine workarounds stick on application
      drm/i915: Make workaround verification *optional*
      drm/i915: Avoid use-after-free in reporting create.size
      drm/i915: Stop overwriting RING_IMR in rcs resume
      drm/i915: Setup the RCS ring prior to execution
      drm/i915: Remove unwarranted clamping for hsw/bdw
      drm/i915: Track HAS_RPS alongside HAS_RC6 in the device info
      drm/i915: Expose the busyspin durations for i915_wait_request
      drm/i915/gtt: Skip clearing the GGTT under gen6+ full-ppgtt
      drm/i915: Start writeback from the shrinker
      drm/i915: Store the default sseu setup on the engine
      drm/i915/selftests: Verify whitelist of context registers
      drm/i915: Move GraphicsTechnology files under gt/
      drm/i915: Introduce struct intel_wakeref
      drm/i915: Pull the GEM powermangement coupling into its own file
      drm/i915: Introduce context->enter() and context->exit()
      drm/i915: Pass intel_context to i915_request_create()
      drm/i915: Invert the GEM wakeref hierarchy
      drm/i915: Explicitly pin the logical context for execbuf
      drm/i915: Allow multiple user handles to the same VM
      drm/i915: Disable preemption and sleeping while using the punit sideband
      drm/i915: Lift acquiring the vlv punit magic to a common sb-get
      drm/i915: Lift sideband locking for vlv_punit_(read|write)
      drm/i915: Replace pcu_lock with sb_lock
      drm/i915: Separate sideband declarations to intel_sideband.h
      drm/i915: Merge sbi read/write into a single accessor
      drm/i915: Merge sandybridge_pcode_(read|write)
      drm/i915: Move sandybride pcode access to intel_sideband.c
      drm/i915/ringbuffer: EMIT_INVALIDATE *before* switch context
      drm/i915: Enable render context support for Ironlake (gen5)
      drm/i915: Enable render context support for gen4 (Broadwater to Cantiga)
      drm/i915/gvt: Pin the per-engine GVT shadow contexts
      drm/i915: Export intel_context_instance()
      drm/i915/selftests: Use the real kernel context for sseu isolation tests
      drm/i915/selftests: Pass around intel_context for sseu
      drm/i915: Pass intel_context to intel_context_pin_lock()
      drm/i915: Split engine setup/init into two phases
      drm/i915: Switch back to an array of logical per-engine HW contexts
      drm/i915: Remove intel_context.active_link
      drm/i915: Move i915_request_alloc into selftests/
      drm/i915: Skip unused contexts for context_barrier_task()
      drm/i915: Wait for the struct_mutex on idling
      drm/i915: Move the engine->destroy() vfunc onto the engine
      drm/i915: Complete both freed-object passes before draining the workqueue
      drm/i915: Include fence signaled bit in print_request()
      drm/i915/guc: Fix runtime suspend
      drm/i915/execlists: Flush the tasklet on parking
      drm/i915: Leave engine parking to the engines
      drm/i915/hangcheck: Track context changes
      drm/i915: Delay semaphore submission until the start of the signaler
      drm/i915: Disable semaphore busywaits on saturated systems
      drm/i915: Acquire the signaler's timeline HWSP last
      drm/i915: Assert breadcrumbs are correctly ordered in the signal handler
      drm/i915: Prefer checking the wakeref itself rather than the counter
      drm/i915: Assert the local engine->wakeref is active
      drm/i915: Flush the switch-to-kernel-context harder for DROP_IDLE
      drm/i915: Remove delay for idle_work
      drm/i915: Cancel retire_worker on parking
      drm/i915: Stop spinning for DROP_IDLE (debugfs/i915_drop_caches)
      drm/i915: Only reschedule the submission tasklet if preemption is possible
      drm/i915/execlists: Don't apply priority boost for resets
      drm/i915: Reboot CI if forcewake fails
      drm/i915/hangcheck: Replace hangcheck.seqno with RING_HEAD
      drm/i915: Seal races between async GPU cancellation, retirement and signaling
      drm/i915: Rearrange i915_scheduler.c
      drm/i915: Pass i915_sched_node around internally
      drm/i915: Check for no-op priority changes first
      drm/i915: Mark semaphores as complete on unsubmit out if payload was started
      drm/i915: Truly bump ready tasks ahead of busywaits
      drm/i915/dp: Initialise locals for static analysis
      drm/i915/hdcp: Use both bits for device_count
      drm/i915: Bump signaler priority on adding a waiter
      drm/i915: Downgrade NEWCLIENT to non-preemptive
      drm/i915/execlists: Drop promotion on unsubmit
      drm/i915: Restore control over ppgtt for context creation ABI
      drm/i915: Allow a context to define its set of engines
      drm/i915: Extend I915_CONTEXT_PARAM_SSEU to support local ctx->engine[]
      drm/i915: Re-expose SINGLE_TIMELINE flags for context creation
      drm/i915: Allow userspace to clone contexts on creation
      drm/i915: Load balancing across a virtual engine
      drm/i915: Apply an execution_mask to the virtual_engine
      drm/i915: Extend execution fence to support a callback
      drm/i915/execlists: Virtual engine bonding
      drm/i915: Allow specification of parallel execbuf
      drm/i915/gtt: Always acquire struct_mutex for gen6_ppgtt_cleanup
      drm/i915/gtt: Neuter the deferred unbind callback from gen6_ppgtt_cleanup

Christian König (1):
      drm/i915: remove DRM_AUTH from IOCTLs which also have DRM_RENDER_ALLOW

Clinton Taylor (1):
      drm/i915/icl: Set GCP_COLOR_INDICATION only for 10/12 bit deep color

Daniel Drake (1):
      drm/i915/fbc: disable framebuffer compression on GeminiLake

Dongli Zhang (1):
      drm/i915: remove unused IO_TLB_SEGPAGES which should be defined by swiotlb

Dongwon Kim (1):
      drm/i915/gen11: enable support for headerless msgs

Fernando Pacheco (5):
      drm/i915/uc: Rename uC firmware init/fini functions
      drm/i915/uc: Reserve upper range of GGTT
      drm/i915/uc: Place uC firmware in upper range of GGTT
      Revert "drm/i915/guc: Disable global reset"
      drm/i915/selftests: Check that gpu reset is usable from atomic context

Gwan-gyeong Mun (6):
      drm/i915/dp: Add a config function for YCBCR420 outputs
      drm: Rename struct edp_vsc_psr to struct dp_sdp
      drm/i915/dp: Program VSC Header and DB for Pixel Encoding/Colorimetry Format
      drm/i915/dp: Add a support of YCBCR 4:2:0 to DP MSA
      drm/i915/dp: Change a link bandwidth computation for DP
      drm/i915/dp: Support DP ports YUV 4:2:0 output to GEN11

Imre Deak (16):
      drm/i915/icl: Fix MG_DP_MODE() register programming
      drm/i915/icl: Factor out combo PHY lane power setup helper
      drm/i915/icl: Add missing combo PHY lane power setup
      drm/i915: Tune down WARN about incorrect VBT TC legacy flag
      drm/i915/icl: More workaround for port F detection due to broken VBTs
      drm/i915: Add support for tracking wakerefs w/o power-on guarantee
      drm/i915: Force printing wakeref tacking during pm_cleanup
      drm/i915: Verify power domains state during suspend in all cases
      drm/i915: Add support for asynchronous display power disabling
      drm/i915: Disable power asynchronously during DP AUX transfers
      drm/i915: WARN for eDP encoders in intel_dp_detect_dpcd()
      drm/i915: Remove the unneeded AUX power ref from intel_dp_detect()
      drm/i915: Remove the unneeded AUX power ref from intel_dp_hpd_pulse()
      drm/i915: Replace use of PLLS power domain with DISPLAY_CORE domain
      drm/i915: Avoid taking the PPS lock for non-eDP/VLV/CHV
      drm/i915: Assert that TypeC ports are not used for eDP

Jani Nikula (39):
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: ensure more headers remain self-contained
      drm/i915: make intel_bios.h self-contained
      drm/i915/dvo: rename dvo.h to intel_dvo_dev.h and make self-contained
      drm/i915: make intel_dpll_mgr.h self-contained
      drm/i915: move dsi init functions to intel_dsi.h
      drm/i915: extract intel_fifo_underrun.h from intel_drv.h
      drm/i915: extract intel_dp_link_training.h from intel_drv.h
      drm/i915: extract intel_dp_aux_backlight.h from intel_drv.h
      drm/i915: extract i915_irq.h from intel_drv.h and i915_drv.h
      drm/i915: extract intel_hotplug.h from intel_drv.h and i915_drv.h
      drm/i915: extract intel_bios.h functions from i915_drv.h
      drm/i915: extract intel_quirks.h from intel_drv.h
      drm/i915: extract intel_overlay.h from intel_drv.h and i915_drv.h
      drm/i915: extract intel_vdsc.h from intel_drv.h and i915_drv.h
      drm/i915: extract intel_dp_mst.h from intel_drv.h
      drm/i915: extract intel_dsi_dcs_backlight.h from intel_drv.h
      drm/i915: extract intel_atomic.h from intel_drv.h
      drm/i915: extract intel_runtime_pm.h from intel_drv.h
      drm/i915: move some leftovers to intel_pm.h from i915_drv.h
      drm/i915: extract intel_combo_phy.h from i915_drv.h
      drm/i915/csr: alpha_support doesn't depend on csr or vice versa
      drm/i915: add single combo phy init/unit functions
      drm/i915/dvo: move DVO chip types to intel_dvo.c
      drm/i915/dsi: move operation mode types to intel_dsi.h
      drm/i915: move ranges to intel_display.c
      drm/i915: remove unused/stale macros and comments from intel_drv.h
      drm/i915/csr: move CSR version macros to intel_csr.h
      drm/i915: extract intel_dpio_phy.h from i915_drv.h
      drm/i915: extract intel_lpe_audio.h from i915_drv.h
      drm/i915: extract intel_acpi.h from i915_drv.h
      drm/i915: extract i915_debugfs.h from i915_drv.h
      drm/i915: move i915_vgacntrl_reg() where needed
      drm/i915: make i915_utils.h self-contained
      drm/i915: move more generic utils to i915_utils.h
      drm/i915: extract intel_gmbus.h from i915_drv.h and rename intel_i2c.c
      drm/i915: Update DRIVER_DATE to 20190523
      drm/i915: remove duplicate typedef for intel_wakeref_t
      drm/i915: Update DRIVER_DATE to 20190524

Janusz Krzysztofik (1):
      drm/i915: Use drm_dev_unplug()

Lucas De Marchi (3):
      drm/i915/icl: fix step numbers in icl_display_core_init()
      drm/i915: reorder if chain to have last gen first
      drm/i915: do not mix workaround with normal flow

Michal Wajdeczko (9):
      drm/i915/selftests: Move some reset testcases to separate file
      drm/i915/selftests: Split igt_atomic_reset testcase
      drm/i915/selftests: Use prepare/finish during atomic reset test
      drm/i915/guc: Rename intel_guc_is_alive to intel_guc_is_loaded
      drm/i915/uc: Explicitly sanitize GuC/HuC on failure and finish
      drm/i915/uc: Use GuC firmware status helper
      drm/i915/uc: Skip GuC HW unwinding if GuC is already dead
      drm/i915/uc: Stop talking with GuC when resetting
      drm/i915/uc: Skip reset preparation if GuC is already dead

Radhakrishna Sripada (1):
      drm/i915/icl: Fix clockgating issue when using scalers

Ramalingam C (7):
      drm: move content protection property to mode_config
      drm/i915: debugfs: HDCP2.2 capability read
      drm: generic fn converting be24 to cpu and vice versa
      drm: revocation check at drm subsystem
      drm/i915: SRM revocation check for HDCP1.4 and 2.2
      drm/hdcp: gathering hdcp related code into drm_hdcp.c
      drm/hdcp: drm_hdcp_request_srm() as static

Stanislav Lisovskiy (1):
      drm/i915: Corrupt DSI picture fix for GeminiLake

Tvrtko Ursulin (4):
      drm/i915/icl: Whitelist GEN9_SLICE_COMMON_ECO_CHICKEN1
      drm/i915/selftests: Verify context workarounds
      drm/i915/icl: Add WaDisableBankHangMode
      drm/i915: Engine discovery query

Vandita Kulkarni (4):
      drm/i915: Fix the pipe state timing mismatch warnings
      drm/i915: Refactor bdw_get_pipemisc_bpp
      drm/i915: Fix pipe config mismatch for bpp, output format
      drm/i915: Fix pixel clock and crtc clock config mismatch

Ville Syrjälä (26):
      drm/i915: Fix skl+ max plane width
      drm/i915: Fix ICL output CSC programming
      drm/i915: Clean up cherryview_load_luts()
      drm/i915: Flatten and rename haswell_set_pipemisc()
      drm/i915: Enable pipe HDR mode on ICL if only HDR planes are used
      drm/i915: Don't skip audio enable if ELD is bogus
      drm/i915: hsw+ audio regs are per-transocder
      drm/i915: Move the PIPEMISC write the correct place
      drm/i915: Allow ICL pipe "HDR mode" when the cursor is visible
      drm/i915: Use mul_u32_u32() more
      drm/i915: Document that we implement WaIncreaseLatencyIPCEnabled
      drm/i915: Drop WaIncreaseLatencyIPCEnabled/1140 for cnl
      drm/i915: Move w/a 0477/WaDisableIPC:skl into intel_init_ipc()
      drm/i915: Replace intel_ddi_pll_init()
      drm/i915: Move the hsw/bdw pc8 code to intel_runtime_pm.c
      drm/i915: Kill PCH_KBP
      drm/i915: Fix fastset vs. pfit on/off on HSW EDP transcoder
      drm/i915: Add readout and state check for pch_pfit.force_thru
      drm/i915: Add a new "remapped" gtt_view
      drm/i915/selftests: Add mock selftest for remapped vmas
      drm/i915/selftests: Add live vma selftest
      drm/i915: Shuffle stride checking code around
      drm/i915: Overcome display engine stride limits via GTT remapping
      drm/i915: Align dumb buffer stride to 4k to allow for gtt remapping
      drm/i915: Bump fb stride limit to 128KiB for gen4+ and 256KiB for gen7+
      drm/i915: Bump gen7+ fb size limits to 16kx16k

 Documentation/gpu/drm-kms-helpers.rst              |    6 +
 drivers/dma-buf/dma-fence.c                        |    1 +
 drivers/gpu/drm/Makefile                           |    2 +-
 drivers/gpu/drm/bridge/analogix/analogix_dp_core.c |   12 +-
 drivers/gpu/drm/bridge/analogix/analogix_dp_core.h |    2 +-
 drivers/gpu/drm/bridge/analogix/analogix_dp_reg.c  |   10 +-
 drivers/gpu/drm/drm_atomic_uapi.c                  |    4 +-
 drivers/gpu/drm/drm_connector.c                    |   43 -
 drivers/gpu/drm/drm_hdcp.c                         |  382 +++++++
 drivers/gpu/drm/drm_internal.h                     |    5 +
 drivers/gpu/drm/drm_sysfs.c                        |    2 +
 drivers/gpu/drm/i915/Kconfig                       |    6 +
 drivers/gpu/drm/i915/Kconfig.profile               |   13 +
 drivers/gpu/drm/i915/Makefile                      |   52 +-
 drivers/gpu/drm/i915/Makefile.header-test          |   34 +-
 drivers/gpu/drm/i915/dvo_ch7017.c                  |    3 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c                  |    3 +-
 drivers/gpu/drm/i915/dvo_ivch.c                    |    3 +-
 drivers/gpu/drm/i915/dvo_ns2501.c                  |    5 +-
 drivers/gpu/drm/i915/dvo_sil164.c                  |    3 +-
 drivers/gpu/drm/i915/dvo_tfp410.c                  |    3 +-
 drivers/gpu/drm/i915/gt/Makefile                   |    2 +
 drivers/gpu/drm/i915/gt/Makefile.header-test       |   16 +
 drivers/gpu/drm/i915/{ => gt}/intel_breadcrumbs.c  |   97 +-
 drivers/gpu/drm/i915/gt/intel_context.c            |  179 +++
 drivers/gpu/drm/i915/gt/intel_context.h            |  130 +++
 .../gpu/drm/i915/{ => gt}/intel_context_types.h    |   22 +-
 .../i915/{intel_ringbuffer.h => gt/intel_engine.h} |   54 +-
 drivers/gpu/drm/i915/{ => gt}/intel_engine_cs.c    |  381 +++----
 drivers/gpu/drm/i915/gt/intel_engine_pm.c          |  164 +++
 drivers/gpu/drm/i915/gt/intel_engine_pm.h          |   22 +
 drivers/gpu/drm/i915/{ => gt}/intel_engine_types.h |   38 +-
 drivers/gpu/drm/i915/{ => gt}/intel_gpu_commands.h |    0
 drivers/gpu/drm/i915/gt/intel_gt_pm.c              |  143 +++
 drivers/gpu/drm/i915/gt/intel_gt_pm.h              |   27 +
 drivers/gpu/drm/i915/{ => gt}/intel_hangcheck.c    |   29 +-
 drivers/gpu/drm/i915/{ => gt}/intel_lrc.c          | 1187 +++++++++++++++-----
 drivers/gpu/drm/i915/{ => gt}/intel_lrc.h          |   22 +-
 drivers/gpu/drm/i915/{ => gt}/intel_lrc_reg.h      |    0
 drivers/gpu/drm/i915/{ => gt}/intel_mocs.c         |    4 +-
 drivers/gpu/drm/i915/{ => gt}/intel_mocs.h         |    4 +-
 .../drm/i915/{i915_reset.c => gt/intel_reset.c}    |  114 +-
 .../drm/i915/{i915_reset.h => gt/intel_reset.h}    |    3 +-
 drivers/gpu/drm/i915/{ => gt}/intel_ringbuffer.c   |  360 +++---
 drivers/gpu/drm/i915/gt/intel_sseu.c               |  142 +++
 drivers/gpu/drm/i915/gt/intel_sseu.h               |   67 ++
 drivers/gpu/drm/i915/{ => gt}/intel_workarounds.c  |  312 +++--
 drivers/gpu/drm/i915/{ => gt}/intel_workarounds.h  |   10 +-
 .../drm/i915/{ => gt}/intel_workarounds_types.h    |    7 +-
 .../gpu/drm/i915/{selftests => gt}/mock_engine.c   |   53 +-
 .../gpu/drm/i915/{selftests => gt}/mock_engine.h   |    4 +-
 .../intel_engine_cs.c => gt/selftest_engine_cs.c}  |    0
 .../intel_hangcheck.c => gt/selftest_hangcheck.c}  |  227 +---
 .../{selftests/intel_lrc.c => gt/selftest_lrc.c}   |  550 ++++++++-
 drivers/gpu/drm/i915/gt/selftest_reset.c           |  118 ++
 .../selftest_workarounds.c}                        |  429 +++++--
 drivers/gpu/drm/i915/gvt/gvt.h                     |    2 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |    2 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |    3 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |  147 +--
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    3 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  121 +-
 drivers/gpu/drm/i915/i915_debugfs.h                |   20 +
 drivers/gpu/drm/i915/i915_drv.c                    |   45 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  411 +------
 drivers/gpu/drm/i915/i915_fixed.h                  |    6 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  551 ++-------
 drivers/gpu/drm/i915/i915_gem.h                    |    8 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  974 +++++++++++++---
 drivers/gpu/drm/i915/i915_gem_context.h            |   83 +-
 drivers/gpu/drm/i915/i915_gem_context_types.h      |   45 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   47 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  175 ++-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  179 ++-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   32 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    3 -
 drivers/gpu/drm/i915/i915_gem_pm.c                 |  250 +++++
 drivers/gpu/drm/i915/i915_gem_pm.h                 |   25 +
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   75 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |    5 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    7 +-
 drivers/gpu/drm/i915/i915_irq.c                    |    8 +-
 drivers/gpu/drm/i915/i915_irq.h                    |  114 ++
 drivers/gpu/drm/i915/i915_pci.c                    |    7 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   90 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |    7 +-
 drivers/gpu/drm/i915/i915_priolist_types.h         |    5 +-
 drivers/gpu/drm/i915/i915_query.c                  |   49 +
 drivers/gpu/drm/i915/i915_reg.h                    |   20 +-
 drivers/gpu/drm/i915/i915_request.c                |  449 +++++---
 drivers/gpu/drm/i915/i915_request.h                |   17 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |  308 ++---
 drivers/gpu/drm/i915/i915_scheduler.h              |   18 +
 drivers/gpu/drm/i915/i915_scheduler_types.h        |    5 +-
 drivers/gpu/drm/i915/i915_suspend.c                |    3 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   51 +-
 drivers/gpu/drm/i915/i915_timeline_types.h         |    1 +
 drivers/gpu/drm/i915/i915_trace.h                  |    4 +-
 drivers/gpu/drm/i915/i915_utils.h                  |  197 +++-
 drivers/gpu/drm/i915/i915_vma.c                    |   13 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    3 +
 drivers/gpu/drm/i915/icl_dsi.c                     |   63 +-
 drivers/gpu/drm/i915/intel_acpi.c                  |    3 +
 drivers/gpu/drm/i915/intel_acpi.h                  |   17 +
 drivers/gpu/drm/i915/intel_atomic.c                |   13 +
 drivers/gpu/drm/i915/intel_atomic.h                |   49 +
 drivers/gpu/drm/i915/intel_audio.c                 |   61 +-
 drivers/gpu/drm/i915/intel_bios.c                  |    2 +
 drivers/gpu/drm/i915/intel_bios.h                  |   21 +
 drivers/gpu/drm/i915/intel_cdclk.c                 |   59 +-
 drivers/gpu/drm/i915/intel_color.c                 |  102 +-
 drivers/gpu/drm/i915/intel_combo_phy.c             |   77 +-
 drivers/gpu/drm/i915/intel_combo_phy.h             |   20 +
 drivers/gpu/drm/i915/intel_context.c               |  269 -----
 drivers/gpu/drm/i915/intel_context.h               |   87 --
 drivers/gpu/drm/i915/intel_crt.c                   |    3 +
 drivers/gpu/drm/i915/intel_csr.c                   |    2 -
 drivers/gpu/drm/i915/intel_csr.h                   |    4 +
 drivers/gpu/drm/i915/intel_ddi.c                   |   40 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   33 +-
 drivers/gpu/drm/i915/intel_display.c               | 1022 ++++++++++-------
 drivers/gpu/drm/i915/intel_display.h               |   10 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  263 ++++-
 drivers/gpu/drm/i915/intel_dp.h                    |    1 +
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |    1 +
 drivers/gpu/drm/i915/intel_dp_aux_backlight.h      |   13 +
 drivers/gpu/drm/i915/intel_dp_link_training.c      |    1 +
 drivers/gpu/drm/i915/intel_dp_link_training.h      |   14 +
 drivers/gpu/drm/i915/intel_dp_mst.c                |    3 +
 drivers/gpu/drm/i915/intel_dp_mst.h                |   14 +
 drivers/gpu/drm/i915/intel_dpio_phy.c              |   39 +-
 drivers/gpu/drm/i915/intel_dpio_phy.h              |   58 +
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   67 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |    8 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  412 +------
 drivers/gpu/drm/i915/intel_dsi.h                   |    7 +
 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.c     |    8 +-
 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.h     |   13 +
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |   21 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    8 +-
 drivers/gpu/drm/i915/{dvo.h => intel_dvo_dev.h}    |   10 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |    4 +
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |    1 +
 drivers/gpu/drm/i915/intel_fifo_underrun.h         |   27 +
 .../gpu/drm/i915/{intel_i2c.c => intel_gmbus.c}    |   33 +-
 drivers/gpu/drm/i915/intel_gmbus.h                 |   27 +
 drivers/gpu/drm/i915/intel_guc.c                   |   40 +-
 drivers/gpu/drm/i915/intel_guc.h                   |   12 +-
 drivers/gpu/drm/i915/intel_guc_ct.h                |    5 +
 drivers/gpu/drm/i915/intel_guc_fw.c                |   20 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |   33 +-
 drivers/gpu/drm/i915/intel_guc_submission.h        |    3 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  |   55 +-
 drivers/gpu/drm/i915/intel_hdcp.h                  |    1 +
 drivers/gpu/drm/i915/intel_hdmi.c                  |   30 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |    1 +
 drivers/gpu/drm/i915/intel_hotplug.h               |   30 +
 drivers/gpu/drm/i915/intel_huc.c                   |   74 +-
 drivers/gpu/drm/i915/intel_huc.h                   |    6 +-
 drivers/gpu/drm/i915/intel_huc_fw.c                |   49 +-
 drivers/gpu/drm/i915/intel_lpe_audio.c             |    8 +-
 drivers/gpu/drm/i915/intel_lpe_audio.h             |   22 +
 drivers/gpu/drm/i915/intel_lvds.c                  |    2 +
 drivers/gpu/drm/i915/intel_overlay.c               |    9 +-
 drivers/gpu/drm/i915/intel_overlay.h               |   29 +
 drivers/gpu/drm/i915/intel_panel.c                 |    2 +
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   11 +-
 drivers/gpu/drm/i915/intel_pipe_crc.h              |    3 +
 drivers/gpu/drm/i915/intel_pm.c                    |  393 ++-----
 drivers/gpu/drm/i915/intel_pm.h                    |   10 +
 drivers/gpu/drm/i915/intel_psr.c                   |   12 +-
 drivers/gpu/drm/i915/intel_quirks.c                |    1 +
 drivers/gpu/drm/i915/intel_quirks.h                |   13 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  885 +++++++++++++--
 drivers/gpu/drm/i915/intel_runtime_pm.h            |  142 +++
 drivers/gpu/drm/i915/intel_sdvo.c                  |    4 +
 drivers/gpu/drm/i915/intel_sideband.c              |  480 ++++++--
 drivers/gpu/drm/i915/intel_sideband.h              |  140 +++
 drivers/gpu/drm/i915/intel_sprite.c                |   34 +-
 drivers/gpu/drm/i915/intel_sprite.h                |   12 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    1 +
 drivers/gpu/drm/i915/intel_uc.c                    |   90 +-
 drivers/gpu/drm/i915/intel_uc.h                    |    3 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  103 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |   10 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    8 +-
 drivers/gpu/drm/i915/intel_vdsc.c                  |    2 +
 drivers/gpu/drm/i915/intel_vdsc.h                  |   21 +
 drivers/gpu/drm/i915/intel_wakeref.c               |   75 ++
 drivers/gpu/drm/i915/intel_wakeref.h               |  133 +++
 drivers/gpu/drm/i915/selftests/huge_pages.c        |    3 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   21 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  223 +---
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    3 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   31 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |   13 +-
 drivers/gpu/drm/i915/selftests/i915_timeline.c     |    4 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |  246 +++-
 drivers/gpu/drm/i915/selftests/igt_atomic.h        |   56 +
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |   32 +-
 drivers/gpu/drm/i915/selftests/igt_gem_utils.c     |   34 +
 drivers/gpu/drm/i915/selftests/igt_gem_utils.h     |   17 +
 drivers/gpu/drm/i915/selftests/igt_reset.c         |   11 +-
 drivers/gpu/drm/i915/selftests/igt_reset.h         |    1 +
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |    3 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.h       |    3 +-
 drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |    3 +
 drivers/gpu/drm/i915/selftests/mock_context.c      |   15 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   37 +-
 drivers/gpu/drm/i915/selftests/mock_request.c      |    6 +-
 drivers/gpu/drm/i915/vlv_dsi.c                     |   34 +-
 drivers/gpu/drm/i915/vlv_dsi_pll.c                 |   18 +-
 drivers/misc/mei/hdcp/mei_hdcp.c                   |    2 +-
 include/drm/drm_connector.h                        |    8 -
 include/drm/drm_dp_helper.h                        |   33 +-
 include/drm/drm_hdcp.h                             |   31 +-
 include/drm/drm_mode_config.h                      |    6 +
 include/drm/i915_pciids.h                          |    4 +-
 include/uapi/drm/i915_drm.h                        |  209 +++-
 222 files changed, 11774 insertions(+), 5465 deletions(-)
 create mode 100644 drivers/gpu/drm/drm_hdcp.c
 create mode 100644 drivers/gpu/drm/i915/Kconfig.profile
 create mode 100644 drivers/gpu/drm/i915/gt/Makefile
 create mode 100644 drivers/gpu/drm/i915/gt/Makefile.header-test
 rename drivers/gpu/drm/i915/{ => gt}/intel_breadcrumbs.c (80%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_context.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_context.h
 rename drivers/gpu/drm/i915/{ => gt}/intel_context_types.h (81%)
 rename drivers/gpu/drm/i915/{intel_ringbuffer.h => gt/intel_engine.h} (90%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_engine_cs.c (88%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pm.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_engine_pm.h
 rename drivers/gpu/drm/i915/{ => gt}/intel_engine_types.h (95%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_gpu_commands.h (100%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_pm.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_gt_pm.h
 rename drivers/gpu/drm/i915/{ => gt}/intel_hangcheck.c (94%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_lrc.c (77%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_lrc.h (86%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_lrc_reg.h (100%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_mocs.c (99%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_mocs.h (97%)
 rename drivers/gpu/drm/i915/{i915_reset.c => gt/intel_reset.c} (94%)
 rename drivers/gpu/drm/i915/{i915_reset.h => gt/intel_reset.h} (95%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_ringbuffer.c (94%)
 create mode 100644 drivers/gpu/drm/i915/gt/intel_sseu.c
 create mode 100644 drivers/gpu/drm/i915/gt/intel_sseu.h
 rename drivers/gpu/drm/i915/{ => gt}/intel_workarounds.c (86%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_workarounds.h (79%)
 rename drivers/gpu/drm/i915/{ => gt}/intel_workarounds_types.h (88%)
 rename drivers/gpu/drm/i915/{selftests => gt}/mock_engine.c (88%)
 rename drivers/gpu/drm/i915/{selftests => gt}/mock_engine.h (95%)
 rename drivers/gpu/drm/i915/{selftests/intel_engine_cs.c => gt/selftest_engine_cs.c} (100%)
 rename drivers/gpu/drm/i915/{selftests/intel_hangcheck.c => gt/selftest_hangcheck.c} (90%)
 rename drivers/gpu/drm/i915/{selftests/intel_lrc.c => gt/selftest_lrc.c} (70%)
 create mode 100644 drivers/gpu/drm/i915/gt/selftest_reset.c
 rename drivers/gpu/drm/i915/{selftests/intel_workarounds.c => gt/selftest_workarounds.c} (66%)
 create mode 100644 drivers/gpu/drm/i915/i915_debugfs.h
 create mode 100644 drivers/gpu/drm/i915/i915_gem_pm.c
 create mode 100644 drivers/gpu/drm/i915/i915_gem_pm.h
 create mode 100644 drivers/gpu/drm/i915/i915_irq.h
 create mode 100644 drivers/gpu/drm/i915/intel_acpi.h
 create mode 100644 drivers/gpu/drm/i915/intel_atomic.h
 create mode 100644 drivers/gpu/drm/i915/intel_combo_phy.h
 delete mode 100644 drivers/gpu/drm/i915/intel_context.c
 delete mode 100644 drivers/gpu/drm/i915/intel_context.h
 create mode 100644 drivers/gpu/drm/i915/intel_dp_aux_backlight.h
 create mode 100644 drivers/gpu/drm/i915/intel_dp_link_training.h
 create mode 100644 drivers/gpu/drm/i915/intel_dp_mst.h
 create mode 100644 drivers/gpu/drm/i915/intel_dpio_phy.h
 create mode 100644 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.h
 rename drivers/gpu/drm/i915/{dvo.h => intel_dvo_dev.h} (97%)
 create mode 100644 drivers/gpu/drm/i915/intel_fifo_underrun.h
 rename drivers/gpu/drm/i915/{intel_i2c.c => intel_gmbus.c} (97%)
 create mode 100644 drivers/gpu/drm/i915/intel_gmbus.h
 create mode 100644 drivers/gpu/drm/i915/intel_hotplug.h
 create mode 100644 drivers/gpu/drm/i915/intel_lpe_audio.h
 create mode 100644 drivers/gpu/drm/i915/intel_overlay.h
 create mode 100644 drivers/gpu/drm/i915/intel_quirks.h
 create mode 100644 drivers/gpu/drm/i915/intel_runtime_pm.h
 create mode 100644 drivers/gpu/drm/i915/intel_sideband.h
 create mode 100644 drivers/gpu/drm/i915/intel_vdsc.h
 create mode 100644 drivers/gpu/drm/i915/intel_wakeref.c
 create mode 100644 drivers/gpu/drm/i915/intel_wakeref.h
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_atomic.h
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_gem_utils.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_gem_utils.h

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-04-18  8:04 Joonas Lahtinen
@ 2019-04-18 11:40 ` Ville Syrjälä
  0 siblings, 0 replies; 265+ messages in thread
From: Ville Syrjälä @ 2019-04-18 11:40 UTC (permalink / raw)
  To: Joonas Lahtinen
  Cc: Sean Paul, dim-tools, Maxime Ripard, Daniel Vetter, intel-gfx,
	dri-devel, Rodrigo Vivi

On Thu, Apr 18, 2019 at 11:04:26AM +0300, Joonas Lahtinen wrote:
> - Suppress spurious combo PHY B warning (Vile)

That's putting it a bit strong :)

-- 
Ville Syrjälä
Intel
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-04-18  8:04 Joonas Lahtinen
  2019-04-18 11:40 ` Ville Syrjälä
  0 siblings, 1 reply; 265+ messages in thread
From: Joonas Lahtinen @ 2019-04-18  8:04 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel

Hi Dave & Daniel,

A bag of Icelake fixes still. As the biggest thing software frequency
control was found out to be ineffective due to register change.

We've got GEM_BUSY regression fix (noticed by media folks) and
reset handling improvements. For display there's the HDR work and
plenty of clocking, gamma and CSC fixes.

Nothing too critical outside Icelake. Most of the stuff is fixes to
corner cases found by the CI, which is a good trend.

This also includes the GVT pull with async/sync display flip handling
and changes to make the i915 changes in this kernel work in the VM,
too.

Best Regards, Joonas

***

drm-intel-next-2019-04-17:

UAPI Changes:

- uAPI "Fixes:" patch for the upcoming kernel 5.1, included here too

  We have an Ack from the media folks (only current user) for this
  late tweak

Cross-subsystem Changes:

- ALSA: hda: Fix racy display power access (Takashi, Chris)

Driver Changes:

- DDI and MIPI-DSI clocks fixes for Icelake (Vandita)
- Fix Icelake frequency change/locking (RPS) (Mika)
- Temporarily disable ppGTT read-only bit on Icelake (Mika)
- Add missing Icelake W/As (Mika)
- Enable 12 deep CSB status FIFO on Icelake (Mika)
- Inherit more Icelake code for Elkhartlake (Bob, Jani)

- Regression fix for GEM_BUSY causing us to report a mixed uabi-class request as not busy (Chris)
- Handle catastrophic error on engine reset (Mika)
- Shortcut readiness to reset check (Mika)
- Revert back to max link rate and lane count on eDP (Jani)
- Fix pipe BPP readout for BXT/GLK DSI (Ville)
- Set DP min_bpp to 8*3 for non-RGB output formats (Ville)
- Enable coarse preemption boundaries for Gen8 (Chris)
- Do not enable FEC without DSC (Ville)
- Restore correct BXT DDI latency optim setting calculation (Ville)
- Always reset context's RING registers to avoid running workload twice during reset (Chris)
- Set GPU wedged on driver unload (Janusz)
- Consolidate two similar barries from timeline into one (Chris)
- Only reset the pinned kernel contexts on resume (Chris)
- Wakeref tracking improvements (Chris, Imre)
- Lockdep fixes for shrinker interactions (Chris)
- Bump ready tasks ahead of busywaits in prep of semaphore use (Chris)

- Huge step in splitting display code into fine grained files (Jani)
- Refactor the IRQ init/reset macros for code saving (Paulo)
- Convert IRQ initialization code to uncore MMIO access (Paulo)
- Convert workarounds code to use uncore MMIO access (Chris)
- Nuke drm_crtc_state and use intel_atomic_state instead (Manasi)
- Update SKL clock-gating WA (Radhakrishna, Ville)
- Isolate GuC reset code flow (Chris)
- Expose force_dsc_enable through debugfs (Manasi)
- Header standalone compile testing framework (Jani)
- Code cleanups to reduce driver footprint (Chris)
- PSR code fixes and cleanups (Jose)
- Sparse and kerneldoc updates (Chris)
- Suppress spurious combo PHY B warning (Vile)

drm-intel-next-2019-04-04:

Cross-subsystem Changes:

- Add Elkhartlake (Gen11) stolen memory early quirks (Rodrigo)

Driver Changes:

- Mark all Elkhartlake ports as combo phys (Bob)
- Enable 10bit gamma for Ivybridge and newer platforms (Ville)
- Expose the legacy LUT via the GAMMA_LUT/GAMMA_LUT_SIZE props on gen2/3 (Ville)
- Skip modeset for cdclk changes if possible (Ville, Abhay, Imre)
- Force 2*96 MHz cdclk on Geminilake/Cannonlake when audio power is enabled (Ville)
- Modularize and correct gamma/degamma/CSC code per platform (Ville)
- Fix gamma register programming (Uma)
- Avoid drm_modeset_lock() deadlock splat (Chris)
- Check cache domains for userptr on release (Chris)
- Avoid deadlock if we pwrite into mmap'd region (Chris)
- Only emit one semaphore per request to avoid exhausting ringbuf (Chris)

- Continue to clean up the uncore code (Daniele)
- Introduce sub-platform concept to organize code (Tvrtko)
- Header splitting untangling (Chris)
- Avoid using ctx->file_priv during construction (Chris)
- GuC code improvements (Robert)

The following changes since commit a01b2c6f47d86c7d1a9fa822b3b91ec233b61784:

  drm/i915: Update DRIVER_DATE to 20190328 (2019-03-28 14:41:55 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-04-17

for you to fetch changes up to ad2c467aa92e283e9e8009bb9eb29a5c6a2d1217:

  drm/i915: Update DRIVER_DATE to 20190417 (2019-04-17 12:07:47 +0300)

----------------------------------------------------------------
UAPI Changes:

- uAPI "Fixes:" patch for the upcoming kernel 5.1, included here too

  We have an Ack from the media folks (only current user) for this
  late tweak

Cross-subsystem Changes:

- ALSA: hda: Fix racy display power access (Takashi, Chris)

Driver Changes:

- DDI and MIPI-DSI clocks fixes for Icelake (Vandita)
- Fix Icelake frequency change/locking (RPS) (Mika)
- Temporarily disable ppGTT read-only bit on Icelake (Mika)
- Add missing Icelake W/As (Mika)
- Enable 12 deep CSB status FIFO on Icelake (Mika)
- Inherit more Icelake code for Elkhartlake (Bob, Jani)

- Handle catastrophic error on engine reset (Mika)
- Shortcut readiness to reset check (Mika)
- Regression fix for GEM_BUSY causing us to report a mixed uabi-class request as not busy (Chris)
- Revert back to max link rate and lane count on eDP (Jani)
- Fix pipe BPP readout for BXT/GLK DSI (Ville)
- Set DP min_bpp to 8*3 for non-RGB output formats (Ville)
- Enable coarse preemption boundaries for Gen8 (Chris)
- Do not enable FEC without DSC (Ville)
- Restore correct BXT DDI latency optim setting calculation (Ville)
- Always reset context's RING registers to avoid running workload twice during reset (Chris)
- Set GPU wedged on driver unload (Janusz)
- Consolidate two similar barries from timeline into one (Chris)
- Only reset the pinned kernel contexts on resume (Chris)
- Wakeref tracking improvements (Chris, Imre)
- Lockdep fixes for shrinker interactions (Chris)
- Bump ready tasks ahead of busywaits in prep of semaphore use (Chris)

- Huge step in splitting display code into fine grained files (Jani)
- Refactor the IRQ init/reset macros for code saving (Paulo)
- Convert IRQ initialization code to uncore MMIO access (Paulo)
- Convert workarounds code to use uncore MMIO access (Chris)
- Nuke drm_crtc_state and use intel_atomic_state instead (Manasi)
- Update SKL clock-gating WA (Radhakrishna, Ville)
- Isolate GuC reset code flow (Chris)
- Expose force_dsc_enable through debugfs (Manasi)
- Header standalone compile testing framework (Jani)
- Code cleanups to reduce driver footprint (Chris)
- PSR code fixes and cleanups (Jose)
- Sparse and kerneldoc updates (Chris)
- Suppress spurious combo PHY B warning (Vile)

----------------------------------------------------------------
Bob Paauwe (2):
      drm/i915/ehl: All EHL ports are combo phys
      drm/i915/ehl: Inherit Ice Lake conditional code

Chris Wilson (34):
      drm/i915: Always backoff after a drm_modeset_lock() deadlock
      drm/i915: Avoid using ctx->file_priv during construction
      drm/i915: Check domains for userptr on release
      drm/i915: Prefault before locking pages in shmem_pwrite
      drm/i915: Move intel_engine_mask_t around for use by i915_request_types.h
      drm/i915: Split out i915_priolist_types into its own header
      drm/i915: Only emit one semaphore per request
      drm/i915: Move the decision to use the breadcrumb tasklet to the backend
      drm/i915: Be precise in types for i915_gem_busy
      drm/i915: Fixup kerneldoc for intel_cdclk_needs_cd2x_update
      drm/i915: Use lockdep_pin_lock() over the construction of the request
      drm/i915/execlists: Enable coarse preemption boundaries for gen8
      drm/i915/selftests: Fix plain use of integer 0 as NULL
      drm/i915: Make RING_PDP relative to engine->mmio_base
      drm/i915: Make use of 'engine->uncore'
      drm/i915: Convert i915_reset.c over to using uncore mmio
      drm/i915: Track the temporary wakerefs used for hsw_get_pipe_config
      drm/i915/guc: Replace WARN with a DRM_ERROR
      drm/i915: Use static allocation for i915_globals_park()
      drm/i915: Consolidate the timeline->barrier
      drm/i915/selftests: Mark live_forcewake_ops as unreliable
      drm/i915/guc: Replace preempt_client lookup with engine->preempt_context
      drm/i915: Only reset the pinned kernel contexts on resume
      drm/i915: Bump ready tasks ahead of busywaits
      drm/i915: Call i915_sw_fence_fini on request cleanup
      drm/i915/guc: Implement reset locally
      drm/i915/execlists: Always reset the context's RING registers
      drm/i915: Avoid reclaim taints from runtime-pm debug
      drm/i915: Flush the CSB pointer reset
      drm/i915: Teach intel_workarounds to use uncore mmio access
      drm/i915/selftests: Skip live timeline/suspend tests if wedged
      drm/i915: Drop bool return from breadcrumbs signaler
      drm/i915: Mark up ips for RCU protection
      drm/i915: Introduce struct class_instance for engines across the uAPI

Colin Xu (5):
      drm/i915/gvt: Use consist max display pipe numbers as i915 definition
      drm/i915/gvt: Add macro define for mmio 0x50080 and gvt flip event
      drm/i915/gvt: Enable synchronous flip on handling MI_DISPLAY_FLIP
      drm/i915/gvt: Enable async flip on plane surface mmio writes
      drm/i915/gvt: Fix incorrect mask of mmio 0x22028 in gen8/9 mmio list

Daniele Ceraolo Spurio (4):
      drm/i915: move the edram detection out of uncore init
      drm/i915: fix i9xx irq enable/disable
      drm/i915: add intel_uncore_init_early
      drm/i915: rename init/fini/prune uncore functions

Imre Deak (4):
      drm/i915: Save the old CDCLK atomic state
      drm/i915: Remove redundant store of logical CDCLK state
      drm/i915: Get power refs in encoder->get_power_domains()
      drm/i915/icl: Simplify release of encoder power refs

Jani Nikula (28):
      drm/i915: add Makefile magic for testing headers are self-contained
      drm/i915: make intel_frontbuffer.h self-contained
      drm/i915: extract intel_audio.h from intel_drv.h
      drm/i915: extract intel_crt.h from intel_drv.h
      drm/i915: extract intel_ddi.h from intel_drv.h
      drm/i915: extract intel_connector.h from intel_drv.h
      drm/i915: extract intel_csr.h from intel_drv.h
      drm/i915: extract intel_fbc.h from intel_drv.h
      drm/i915: extract intel_psr.h from intel_drv.h
      drm/i915: extract intel_color.h from intel_drv.h
      drm/i915: extract intel_lspcon.h from intel_drv.h
      drm/i915: extract intel_sdvo.h from intel_drv.h
      drm/i915: extract intel_hdcp.h from intel_drv.h
      drm/i915: extract intel_panel.h from intel_drv.h
      drm/i915: extract intel_pm.h from intel_drv.h
      drm/i915: extract intel_fbdev.h from intel_drv.h
      drm/i915: extract intel_dp.h from intel_drv.h
      drm/i915: extract intel_hdmi.h from intel_drv.h
      drm/i915: extract intel_atomic_plane.h from intel_drv.h
      drm/i915: extract intel_pipe_crc.h from intel_drv.h
      drm/i915: extract intel_tv.h from intel_drv.h
      drm/i915: extract intel_lvds.h from intel_drv.h
      drm/i915: extract intel_dvo.h from intel_drv.h
      drm/i915: extract intel_sprite.h from intel_drv.h
      drm/i915: extract intel_cdclk.h from intel_drv.h
      drm/i915/cdclk: have only one init/uninit function
      drm/i915/dp: revert back to max link rate and lane count on eDP
      drm/i915/ehl: inherit icl cdclk init/uninit

Janusz Krzysztofik (1):
      drm/i915: Mark GEM wedged right after marking device unplugged

Joonas Lahtinen (3):
      drm/i915: Update DRIVER_DATE to 20190404
      Merge tag 'gvt-next-2019-04-16' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190417

José Roberto de Souza (4):
      drm/i915/psr: Update PSR2 SU corruption workaround comment
      drm/i915: Remove unused VLV/CHV PSR registers
      drm/i915/psr: Initialize PSR mutex even when sink is not reliable
      drm/i915/psr: Do not enable PSR in interlaced mode for all GENs

Manasi Navare (2):
      drm/i915/dp: Expose force_dsc_enable through debugfs
      drm/i915: Nuke drm_crtc_state and use intel_atomic_state instead

Mika Kuoppala (12):
      drm/i915/icl: Handle rps interrupts without irq lock
      drm/i915/icl: Don't warn on spurious interrupts
      drm/i915: Use dedicated rc6 enabling sequence for gen11
      drm/i915/icl: Apply a recommended rc6 threshold
      drm/i915/icl: Enable media sampler powergate
      drm/i915/icl: Disable video turbo mode for rp control
      drm/i915: Use Engine1 instance for gen11 pm interrupts
      drm/i915: Prepare for larger CSB status FIFO size
      drm/i915/icl: Switch to using 12 deep CSB status FIFO
      drm/i915: Disable read only ppgtt support for gen11
      drm/i915: Shortcut readiness to reset check
      drm/i915: Handle catastrophic error on engine reset

Paulo Zanoni (5):
      drm/i915: refactor the IRQ init/reset macros
      drm/i915: don't specify the IRQ register in the gen2 macros
      drm/i915: add GEN2_ prefix to the I{E, I, M, S}R registers
      drm/i915: convert the IRQ initialization functions to intel_uncore
      drm/i915: fully convert the IRQ initialization macros to intel_uncore

Radhakrishna Sripada (2):
      drm/i915: Rename skl_wa_clkgating to the actual WA
      drm/i915: Fix the inconsistent RMW in WA 827

Robert M. Fosha (1):
      drm/i915/guc: Retry GuC load for all load failures

Rodrigo Vivi (1):
      x86/gpu: add ElkhartLake to gen11 early quirks

Takashi Iwai (1):
      ALSA: hda: Fix racy display power access

Tvrtko Ursulin (5):
      drm/i915: Split Pineview device info into desktop and mobile
      drm/i915: Remove redundant device id from IS_IRONLAKE_M macro
      drm/i915: Split some PCI ids into separate groups
      drm/i915: Introduce concept of a sub-platform
      drm/i915: Fix uninitialized mask in intel_device_info_subplatform_init

Uma Shankar (2):
      drm/i915: Fix GCMAX color register programming
      drm/i915: Program EXT2 GC MAX registers

Vandita Kulkarni (2):
      drm/i915/icl: Ungate ddi clocks before IO enable
      drm/i915/icl: Fix port disable sequence for mipi-dsi

Ville Syrjälä (25):
      drm/i915: Extract check_luts()
      drm/i915: Turn intel_color_check() into a vfunc
      drm/i915: Extract i9xx_color_check()
      drm/i915: Extract chv_color_check()
      drm/i915: Extract icl_color_check()
      drm/i915: Extract glk_color_check()
      drm/i915: Extract bdw_color_check()
      drm/i915: Extract ilk_color_check()
      drm/i915: Drop the pointless linear legacy LUT load on CHV
      drm/i915: Skip the linear degamma LUT load on ICL+
      drm/i915: Force 2*96 MHz cdclk on glk/cnl when audio power is enabled
      drm/i915: Skip modeset for cdclk changes if possible
      drm/i915: Extract ilk_lut_10()
      drm/i915: Don't use split gamma when we don't have to
      drm/i915: Implement split/10bit gamma for ivb/hsw
      drm/i915: Add 10bit LUT for ilk/snb
      drm/i915: Add "10.6" LUT mode for i965+
      drm/i915: Expose the legacy LUT via the GAMMA_LUT/GAMMA_LUT_SIZE props on gen2/3
      drm/i915: Expose full 1024 LUT entries on ivb+
      drm/i915: Fix pipe_bpp readout for BXT/GLK DSI
      drm/i915: Set DP min_bpp to 8*3 for non-RGB output formats
      drm/i915: Clean up DSC vs. not bpp handling
      drm/i915: Do not enable FEC without DSC
      drm/i915: Restore correct bxt_ddi_phy_calc_lane_lat_optim_mask() calculation
      drm/i915: Suppress spurious combo PHY B warning

Xiaolin Zhang (2):
      drm/i915/gvt: replaced register address with name
      drm/i915/gvt: addressed guest GPU hang with HWS index mode

Yan Zhao (1):
      drm/i915/gvt: remove the unused sreg

Zhao Yakui (2):
      drm/i915/gvt: Refine the snapshort range of I915 MCHBAR to optimize gvt-g boot time
      drm/i915/gvt: Refine the combined intel_vgpu_oos_page struct to save memory

Zhenyu Wang (1):
      Merge tag 'drm-intel-next-2019-04-04' into gvt-next

 arch/x86/kernel/early-quirks.c                     |   4 +-
 drivers/gpu/drm/i915/.gitignore                    |   1 +
 drivers/gpu/drm/i915/Makefile                      |  14 +-
 drivers/gpu/drm/i915/Makefile.header-test          |  47 ++
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  30 +-
 drivers/gpu/drm/i915/gvt/display.c                 |   1 -
 drivers/gpu/drm/i915/gvt/execlist.c                |  11 +-
 drivers/gpu/drm/i915/gvt/execlist.h                |   2 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   7 +
 drivers/gpu/drm/i915/gvt/gtt.h                     |   2 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |  17 +-
 drivers/gpu/drm/i915/gvt/handlers.c                | 161 +++--
 drivers/gpu/drm/i915/gvt/mmio.c                    |   8 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   4 +-
 drivers/gpu/drm/i915/gvt/reg.h                     |  34 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |   8 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   6 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  32 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  79 ++-
 drivers/gpu/drm/i915/i915_drv.h                    | 166 +++--
 drivers/gpu/drm/i915/i915_gem.c                    |  62 +-
 drivers/gpu/drm/i915/i915_gem.h                    |   2 -
 drivers/gpu/drm/i915/i915_gem_context.c            |  57 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |   2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  11 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   2 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   4 +
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   4 +-
 drivers/gpu/drm/i915/i915_globals.c                |  74 +--
 drivers/gpu/drm/i915/i915_gpu_error.c              |  31 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   2 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 396 ++++++-----
 drivers/gpu/drm/i915/i915_pci.c                    |  38 +-
 drivers/gpu/drm/i915/i915_priolist_types.h         |  42 ++
 drivers/gpu/drm/i915/i915_reg.h                    |  80 +--
 drivers/gpu/drm/i915/i915_request.c                |  69 +-
 drivers/gpu/drm/i915/i915_request.h                |  11 +
 drivers/gpu/drm/i915/i915_reset.c                  | 222 ++++---
 drivers/gpu/drm/i915/i915_reset.h                  |  10 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |  26 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |  86 +--
 drivers/gpu/drm/i915/i915_scheduler_types.h        |  72 ++
 drivers/gpu/drm/i915/i915_suspend.c                |   4 +-
 drivers/gpu/drm/i915/i915_timeline.c               |   2 -
 drivers/gpu/drm/i915/i915_timeline.h               |  16 +-
 drivers/gpu/drm/i915/i915_timeline_types.h         |  13 +-
 drivers/gpu/drm/i915/icl_dsi.c                     |  66 +-
 drivers/gpu/drm/i915/intel_atomic.c                |   2 +
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   3 +
 drivers/gpu/drm/i915/intel_atomic_plane.h          |  40 ++
 drivers/gpu/drm/i915/intel_audio.c                 |  76 ++-
 drivers/gpu/drm/i915/intel_audio.h                 |  24 +
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  14 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 | 307 +++++----
 drivers/gpu/drm/i915/intel_cdclk.h                 |  46 ++
 drivers/gpu/drm/i915/intel_color.c                 | 734 ++++++++++++++++-----
 drivers/gpu/drm/i915/intel_color.h                 |  17 +
 drivers/gpu/drm/i915/intel_combo_phy.c             |   3 +-
 drivers/gpu/drm/i915/intel_connector.c             |   9 +-
 drivers/gpu/drm/i915/intel_connector.h             |  35 +
 drivers/gpu/drm/i915/intel_context_types.h         |   1 +
 drivers/gpu/drm/i915/intel_crt.c                   |   7 +-
 drivers/gpu/drm/i915/intel_crt.h                   |  21 +
 drivers/gpu/drm/i915/intel_csr.c                   |   3 +
 drivers/gpu/drm/i915/intel_csr.h                   |  17 +
 drivers/gpu/drm/i915/intel_ddi.c                   |  39 +-
 drivers/gpu/drm/i915/intel_ddi.h                   |  53 ++
 drivers/gpu/drm/i915/intel_device_info.c           |  93 +++
 drivers/gpu/drm/i915/intel_device_info.h           |  30 +-
 drivers/gpu/drm/i915/intel_display.c               | 210 ++++--
 drivers/gpu/drm/i915/intel_dp.c                    | 132 ++--
 drivers/gpu/drm/i915/intel_dp.h                    | 122 ++++
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   1 +
 drivers/gpu/drm/i915/intel_dp_mst.c                |  11 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |   1 +
 drivers/gpu/drm/i915/intel_drv.h                   | 584 +---------------
 drivers/gpu/drm/i915/intel_dvo.c                   |  10 +-
 drivers/gpu/drm/i915/intel_dvo.h                   |  13 +
 drivers/gpu/drm/i915/intel_engine_cs.c             |  53 +-
 drivers/gpu/drm/i915/intel_engine_types.h          |  23 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |   4 +-
 drivers/gpu/drm/i915/intel_fbc.h                   |  42 ++
 drivers/gpu/drm/i915/intel_fbdev.c                 |  17 +-
 drivers/gpu/drm/i915/intel_fbdev.h                 |  53 ++
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   1 +
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   5 +-
 drivers/gpu/drm/i915/intel_frontbuffer.h           |  10 +
 drivers/gpu/drm/i915/intel_guc_submission.c        | 113 +++-
 drivers/gpu/drm/i915/intel_guc_submission.h        |   1 +
 drivers/gpu/drm/i915/intel_hangcheck.c             |   2 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  |  10 +-
 drivers/gpu/drm/i915/intel_hdcp.h                  |  33 +
 drivers/gpu/drm/i915/intel_hdmi.c                  |  17 +-
 drivers/gpu/drm/i915/intel_hdmi.h                  |  51 ++
 drivers/gpu/drm/i915/intel_lrc.c                   | 417 +++++++-----
 drivers/gpu/drm/i915/intel_lrc.h                   |  19 +-
 drivers/gpu/drm/i915/intel_lspcon.c                |   6 +-
 drivers/gpu/drm/i915/intel_lspcon.h                |  38 ++
 drivers/gpu/drm/i915/intel_lvds.c                  |   9 +-
 drivers/gpu/drm/i915/intel_lvds.h                  |  22 +
 drivers/gpu/drm/i915/intel_opregion.c              |   3 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   4 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   3 +
 drivers/gpu/drm/i915/intel_panel.h                 |  65 ++
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   4 +-
 drivers/gpu/drm/i915/intel_pipe_crc.h              |  35 +
 drivers/gpu/drm/i915/intel_pm.c                    |  95 ++-
 drivers/gpu/drm/i915/intel_pm.h                    |  71 ++
 drivers/gpu/drm/i915/intel_psr.c                   |  25 +-
 drivers/gpu/drm/i915/intel_psr.h                   |  40 ++
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  31 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   9 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  34 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |  13 +-
 drivers/gpu/drm/i915/intel_sdvo.h                  |  23 +
 drivers/gpu/drm/i915/intel_sprite.c                |  16 +-
 drivers/gpu/drm/i915/intel_sprite.h                |  55 ++
 drivers/gpu/drm/i915/intel_tv.c                    |   5 +-
 drivers/gpu/drm/i915/intel_tv.h                    |  13 +
 drivers/gpu/drm/i915/intel_uc.c                    |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  65 +-
 drivers/gpu/drm/i915/intel_uncore.h                |  31 +-
 drivers/gpu/drm/i915/intel_workarounds.c           |  73 +-
 drivers/gpu/drm/i915/intel_workarounds.h           |   6 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   3 +
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   8 +-
 drivers/gpu/drm/i915/selftests/i915_timeline.c     |   3 +
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   3 +-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 180 +++++
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |  11 +
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |   5 +-
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |   1 -
 .../drm/i915/test_i915_active_types_standalone.c   |   7 -
 .../i915/test_i915_gem_context_types_standalone.c  |   7 -
 .../drm/i915/test_i915_timeline_types_standalone.c |   7 -
 .../drm/i915/test_intel_context_types_standalone.c |   7 -
 .../drm/i915/test_intel_engine_types_standalone.c  |   7 -
 .../i915/test_intel_workarounds_types_standalone.c |   7 -
 drivers/gpu/drm/i915/vlv_dsi.c                     |  34 +-
 include/drm/i915_pciids.h                          | 179 +++--
 include/uapi/drm/i915_drm.h                        |  15 +-
 sound/hda/ext/hdac_ext_bus.c                       |   1 -
 sound/hda/hdac_bus.c                               |   1 +
 sound/hda/hdac_component.c                         |   6 +-
 145 files changed, 4518 insertions(+), 2341 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/.gitignore
 create mode 100644 drivers/gpu/drm/i915/Makefile.header-test
 create mode 100644 drivers/gpu/drm/i915/i915_priolist_types.h
 create mode 100644 drivers/gpu/drm/i915/i915_scheduler_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_atomic_plane.h
 create mode 100644 drivers/gpu/drm/i915/intel_audio.h
 create mode 100644 drivers/gpu/drm/i915/intel_cdclk.h
 create mode 100644 drivers/gpu/drm/i915/intel_color.h
 create mode 100644 drivers/gpu/drm/i915/intel_connector.h
 create mode 100644 drivers/gpu/drm/i915/intel_crt.h
 create mode 100644 drivers/gpu/drm/i915/intel_csr.h
 create mode 100644 drivers/gpu/drm/i915/intel_ddi.h
 create mode 100644 drivers/gpu/drm/i915/intel_dp.h
 create mode 100644 drivers/gpu/drm/i915/intel_dvo.h
 create mode 100644 drivers/gpu/drm/i915/intel_fbc.h
 create mode 100644 drivers/gpu/drm/i915/intel_fbdev.h
 create mode 100644 drivers/gpu/drm/i915/intel_hdcp.h
 create mode 100644 drivers/gpu/drm/i915/intel_hdmi.h
 create mode 100644 drivers/gpu/drm/i915/intel_lspcon.h
 create mode 100644 drivers/gpu/drm/i915/intel_lvds.h
 create mode 100644 drivers/gpu/drm/i915/intel_panel.h
 create mode 100644 drivers/gpu/drm/i915/intel_pipe_crc.h
 create mode 100644 drivers/gpu/drm/i915/intel_pm.h
 create mode 100644 drivers/gpu/drm/i915/intel_psr.h
 create mode 100644 drivers/gpu/drm/i915/intel_sdvo.h
 create mode 100644 drivers/gpu/drm/i915/intel_sprite.h
 create mode 100644 drivers/gpu/drm/i915/intel_tv.h
 delete mode 100644 drivers/gpu/drm/i915/test_i915_active_types_standalone.c
 delete mode 100644 drivers/gpu/drm/i915/test_i915_gem_context_types_standalone.c
 delete mode 100644 drivers/gpu/drm/i915/test_i915_timeline_types_standalone.c
 delete mode 100644 drivers/gpu/drm/i915/test_intel_context_types_standalone.c
 delete mode 100644 drivers/gpu/drm/i915/test_intel_engine_types_standalone.c
 delete mode 100644 drivers/gpu/drm/i915/test_intel_workarounds_types_standalone.c
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-03-28  2:09 ` Dave Airlie
@ 2019-03-28 15:29   ` Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2019-03-28 15:29 UTC (permalink / raw)
  To: Dave Airlie
  Cc: DRM maintainer tools announcements, discussion, and development,
	Maxime Ripard, Daniel Vetter, Intel Graphics Development,
	dri-devel, Rodrigo Vivi, Sean Paul

Quoting Dave Airlie (2019-03-28 04:09:56)
> On Mon, 25 Mar 2019 at 22:49, Joonas Lahtinen
> <joonas.lahtinen@linux.intel.com> wrote:
> >
> > Hi Dave & Daniel,
> >
> > First batch of features for 5.2, tagged last week.
> 
> I asked on irc, but got no answer I saw,
> /home/airlied/devel/kernel/dim/src/drivers/gpu/drm/i915/i915_gem_context.c:698:12:
> warning: ‘context_barrier_task’ defined but not used
> [-Wunused-function]
>  static int context_barrier_task(struct i915_gem_context *ctx,
>             ^~~~~~~~~~~~~~~~~~~~
> 
> Is there a fix for this I can throw on top of the merge?
> 
> I don't like warnings in my builds.

As discussed in IRC, I sent a followup PR that has the patches that fix
the build warning.

Regards, Joonas

> 
> Dave.
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-03-28 15:15 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2019-03-28 15:15 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

Hi Dave & Daniel,

Here's a pull request with a further drop of features. Sending this instead of
the build warning fix to avoid diverging the trees. To avoid such build warnings
in futore, I'll talk about doing a non-debug build of the PR tags. The build
warning only occurred when selftests were disabled, so I missed it.

This adds Elkhartlake support code and PCI IDs (still under alpha_support flag).
Adds DP MST properties and removes 8bpc restriction on DP MST. HDR format fixes
from Maarten. Fixes Bugzilla #109780 by using first EDID mode when preferred is
missing.

There is also slight uAPI optimization, to remove an implicit SET_DOMAIN on mmap
fault. No userspace known was depending on that one, and it gets us asynchronous
mmap, which is desireable in the ongoing war against too many synchronous locks.

Then there is a fix to add back missing writeback of BO size on creation that has
been gone from 2011 after a mysterious DRM maintainer's patch. And we added an
IGT to avoid it from happening again :)

Best Regards, Joonas

drm-intel-next-2019-03-28:

UAPI Changes:
- Make mmap code more asynchronous. Avoid full SET_DOMAIN on GTT mmap pagefault,
  and flushes pages on acquisition instead. Moves some of the work from mmap fault
  time to execbuf time to avoid lock contention during mmap access.

  Has neutral to positive impact on perf as the flushing moves to execbuf time
  in real world workloads on the current known userspaces due to recycling of BOs.

  If there exist an unknown non-recycling userspace, they should explicitly do the
  SET_DOMAIN and not rely on kernel doing implicit SET_DOMAIN because swapout/in
  might have happenedt.

- Restore the accidentally removed behaviour of returning object size on GEM_CREATE
  From 2011: ff72145badb8 ("drm: dumb scanout create/mmap for intel/radeon (v3)")

- Includes a some neutered patches to prepare to complete the earlier Mesa
  recovery feature uAPI. Looking to enable this in the next PR.

Driver Changes:

- Add Elkhartlake (Gen11) support code and PCI IDs
- Add missing Amberlake PCI ID 0x87CA (Ville)
- Fix to Bugzilla #109780: Pick the first mode from EDID as the fixed mode when there is no preferred mode (Ville)
- Fix GCC 4.8 build by using __is_constexpr() (Chris, Randy, Uma)
- Add "Broadcast RGB", "force_audio" and "max_bpc" properties to DP MST (Ville)
- Remove 8bpc limitation from DP MST (Ville)
- Fix changing between limited and full range RGB output in DP fastsets (Ville)
- Reject unsupported HDR formats (Maarten)
- Handle YUV subpixel support better (Maarten)

- Various plane watermarks fixes and cleaning of the code (Ville)
- Icelake port sync master select fix (Manasi)
- Icelake VEBOX disable bitmask fix (Jose)
- Close a race where userspace could see incompletely initialized GEM context (Chris)
- Avoid C3 on i945gm to keep vblank interrupts steady (Ville)
- Avoid recalculating PLL HW readout each time (Lucas)
- A ton of patches to modularize uncore code (Daniel)

- Instead of storing media fuse value, immediately derive engine masks (Daniele)
- Reduce struct_mutex usage (Chris)
- Iterate over child devices to initialize ddi_port_info (Jani)
- Fixes to return correct error values when bailing out of functions (Dan)
- Use bitmap_zalloc() (Andy)
- Reorder and clarify Gen3/4 code (Ville)
- Refactor out common code in display mode handling (Ville)
- GuC code fixes (Sujaritha, Michal)
- Selftest improvements (Chris)

The following changes since commit 0bec6219e5a0cf2dd17716949a7592807e10f3d7:

  Merge tag 'drm-misc-next-2019-03-21' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2019-03-25 11:05:12 +0100)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-03-28

for you to fetch changes up to a01b2c6f47d86c7d1a9fa822b3b91ec233b61784:

  drm/i915: Update DRIVER_DATE to 20190328 (2019-03-28 14:41:55 +0200)

----------------------------------------------------------------

Abdiel Janulgue (1):
      drm/i915/query: Split out query item checks

Aditya Swarup (3):
      drm/i915: Make combo PHY DDI macro definitions consistent for ICL and CNL
      drm/i915: Make MG PHY macros semantically consistent
      drm/i915/icl: Fix CRC mismatch error for DP link layer compliance

Andy Shevchenko (1):
      drm/i915: Switch to bitmap_zalloc()

Anusha Srivatsa (3):
      drm/i915/cml: Add CML PCI IDS
      drm/i915/cml: Introduce Comet Lake PCH
      drm/i915/ehl: Add Support for DMC on EHL

Bob Paauwe (3):
      drm/i915/ehl: Add ElkhartLake platform
      drm/i915/ehl: EHL outputs are different from ICL
      drm/i915/ehl: Set proper eu slice/subslice parameters for EHL

Chengguang Xu (1):
      drm/i915: remove redundant likely/unlikely annotation

Chris Wilson (124):
      drm/i915: Defer removing fence register tracking to rpm wakeup
      drm/i915: Revoke mmaps and prevent access to fence registers across reset
      drm/i915: Force the GPU reset upon wedging
      drm/i915: Uninterruptibly drain the timelines on unwedging
      drm/i915: Wait for old resets before applying debugfs/i915_wedged
      drm/i915: Serialise resets with wedging
      drm/i915: Don't claim an unstarted request was guilty
      drm/i915/execlists: Refactor out can_merge_rq()
      drm/i915: Protect i915_active iterators from the shrinker
      drm/i915: Pull sync_scru for device reset outside of wedge_mutex
      drm/i915: Use synchronize_srcu_expedited() for resets
      drm/i915: Include the current timeline seqno for debugging execlists
      drm/i915: Reacquire priolist cache after dropping the engine lock
      drm/i915: Recursive i915_reset_trylock() verboten
      drm/i915: Detect potential i915_reset_trylock() lockups
      drm/i915: Apply rps waitboosting for dma_fence_wait_timeout()
      snd/hda, drm/i915: Track the display_power_status using a cookie
      drm/i915: Only try to park engines after a failed reset
      drm/i915/selftests: Always use an active engine while resetting
      drm/i915: Defer application of request banning to submission
      drm/i915/selftests: Drop unnecessary struct_mutex around i915_reset()
      drm/i915/fbdev: Actually configure untiled displays
      drm/i915/selftests: Always free spinner on __sseu_prepare error
      drm/i915/selftests: Move local mock_ggtt allocations to the heap
      drm/i915: Optionally disable automatic recovery after a GPU reset
      drm/i915/selftests: Make unbannable contexts for reset handling
      drm/i915: Restore interrupt enabling after a reset
      drm/i915: Include reminders about leaving no holes in uAPI enums
      drm/i915: Move verify_wm_state() to heap
      drm/i915: Trim delays for wedging
      drm/i915: Use time based guilty context banning
      drm/i915: Beware temporary wedging when determining -EIO
      drm/i915: Avoid reset lock in writing fence registers
      drm/i915: Reduce the RPS shock
      drm/i915: Prevent user context creation while wedged
      drm/i915/hdcp: Silence compiler critics
      drm/i915: Reorder struct_mutex-vs-reset_lock in i915_gem_fault()
      drm/i915/guc: Flush the residual log capture irq on disabling
      drm/i915/pmu: Always sample an active ringbuffer
      drm/i915: Replace global_seqno with a hangcheck heartbeat seqno
      drm/i915: Remove access to global seqno in the HWSP
      drm/i915: Remove i915_request.global_seqno
      drm/i915/selftests: Exercise resetting during non-user payloads
      drm/i915: Skip scanning for signalers if we are already inflight
      drm/i915: Avoid waking the engines just to check if they are idle
      drm/i915: Compute the global scheduler caps
      Revert "drm/i915: Avoid waking the engines just to check if they are idle"
      drm/i915: Report engines are idle if already parked
      drm/i915: Make request allocation caches global
      drm/i915: Make object/vma allocation caches global
      drm/i915: Remove second level open-coded rcu work
      drm/i915: Use __ffs() in for_each_priolist for more compact code
      drm/i915/execlists: Suppress mere WAIT preemption
      drm/i915: Introduce i915_timeline.mutex
      drm/i915/selftests: Check that whitelisted registers are accessible
      drm/i915/execlists: Suppress redundant preemption
      drm/i915: Keep timeline HWSP allocated until idle across the system
      drm/i915: Use HW semaphores for inter-engine synchronisation on gen8+
      drm/i915: Prioritise non-busywait semaphore workloads
      drm/i915: Fix I915_EXEC_RING_MASK
      drm/i915: Acquire breadcrumb ref before cancelling
      drm/i915/gtt: Use optimised memset32/64 for clearing PTE
      drm/i915/gtt: Store scratch page size alongside not in the common struct
      drm/i915: Just check the vebox IIR regardless
      drm/i915: Stop capturing semaphore registers for gen6/7 GPU hangs
      drm/i915: Remove last traces of exec-id (GEM_BUSY)
      drm/i915: Store the BIT(engine->id) as the engine's mask
      drm/i915/gtt: Mark ALL_ENGINES as dirty on ppGTT modification
      drm/i915: Move find_active_request() to the engine
      drm/i915: Use i915_global_register()
      drm/i915: Pass around the intel_context
      drm/i915/selftests: Fix MI_STORE_DWORD_IMM alignment
      drm/i915: Make I915_GEM_IDLE_TIMEOUT into a macro
      drm/i915: Force GPU idle on suspend
      drm/i915/selftests: Improve switch-to-kernel-context checking
      drm/i915/selftests: Check preemption support on each engine
      drm/i915: Do a synchronous switch-to-kernel-context on idling
      drm/i915: Refactor common code to load initial power context
      drm/i915: Reduce presumption of request ordering for barriers
      drm/i915: Remove has-kernel-context
      drm/i915: Track active engines within a context
      drm/i915: Split struct intel_context definition to its own header
      drm/i915: Store the intel_context_ops in the intel_engine_cs
      drm/i915: Move over to intel_context_lookup()
      drm/i915: Make context pinning part of intel_context_ops
      drm/i915: Track the pinned kernel contexts on each engine
      drm/i915: Introduce intel_context.pin_mutex for pin management
      drm/i915: Suppress the "Failed to idle" warning for gem_eio
      drm/i915: Introduce a context barrier callback
      drm/i915: Consolidate reset-request debug message
      drm/i915/selftests: Improve error detection of reset failure
      drm/i915/selftests: Disable preemption while setting up fence-timers
      drm/i915: Refactor to common helpers for prepare/finish between reset & wedge
      drm/i915: Mark up vGPU support for full-ppgtt
      drm/i915: Record platform specific ppGTT size in intel_device_info
      drm/i915: Drop address size from ppgtt_type
      drm/i915/gtt: Rename i915_vm_is_48b to i915_vm_is_4lvl
      drm/i915/gtt: Refactor common ppgtt initialisation
      drm/i915: Always kick the execlists tasklet after reset
      drm/i915: Fix off-by-one in reporting hanging process
      drm/i915: Sanity check mmap length against object size
      drm/i915: Stop needlessly acquiring wakeref for debugfs/drop_caches_set
      drm/i915: Switch to use HWS indices rather than addresses
      drm/i915: Hold a ref to the ring while retiring
      drm/i915: Lock the gem_context->active_list while dropping the link
      drm/i915: Hold a reference to the active HW context
      drm/i915/selftests: Provide stub reset functions
      drm/i915: Use __is_constexpr()
      drm/i915: Separate GEM context construction and registration to userspace
      drm/i915: Introduce a mutex for file_priv->context_idr
      drm/i915: Stop storing ctx->user_handle
      drm/i915: Stop storing the context name as the timeline name
      drm/i915: Flush pages on acquisition
      drm/i915: Skip object locking around a no-op set-domain ioctl
      drm/i915/selftests: Calculate maximum ring size for preemption chain
      drm/i915/selftests: Mark up preemption tests for hang detection
      drm/i915: Introduce the i915_user_extension_method
      drm/i915: Create/destroy VM (ppGTT) for use with contexts
      drm/i915: Extend CONTEXT_CREATE to set parameters upon construction
      drm/i915: Allow contexts to share a single timeline across all engines
      drm/i915: Remove defunct intel_suspend_gt_powersave()
      drm/i915: Report the correct errno from i915_gem_context_open()
      drm/i915: Adding missing '; ' to ENGINE_INSTANCES
      drm/i915: Drop new chunks of context creation ABI (for now)

Dan Carpenter (2):
      drm/i915/selftests: fix NULL vs IS_ERR() check in mock_context_barrier()
      drm/i915/selftests: Fix an IS_ERR() vs NULL check

Daniele Ceraolo Spurio (21):
      drm/i915: do not pass dev_priv to low-level forcewake functions
      drm/i915/selftests: add test to verify get/put fw domains
      drm/i915: always use masks on FW regs
      drm/i915: use intel_uncore in fw get/put internal paths
      drm/i915: use intel_uncore for all forcewake get/put
      drm/i915: make more uncore function work on intel_uncore
      drm/i915: make find_fw_domain work on intel_uncore
      drm/i915: reduce the dev_priv->uncore dance in uncore.c
      drm/i915: move regs pointer inside the uncore structure
      drm/i915: make raw access function work on uncore
      drm/i915: stop storing the media fuse
      drm/i915: rename raw reg access functions
      drm/i915: add HAS_FORCEWAKE flag to uncore
      drm/i915: add uncore flags for unclaimed mmio
      drm/i915: take a ref to the rpm in the uncore structure
      drm/i915: switch uncore mmio funcs to use intel_uncore
      drm/i915: switch intel_uncore_forcewake_for_reg to intel_uncore
      drm/i915: intel_wait_for_register_fw to uncore
      drm/i915: switch intel_wait_for_register to uncore
      drm/i915: take a reference to uncore in the engine and use it
      drm/i915: fix i386 build of 64b raw_uncore functions

Imre Deak (1):
      drm/i915/icl: Prevent incorrect DBuf enabling

James Ausmus (1):
      drm/i915/ehl: Add EHL platform info and PCI IDs

Jani Nikula (10):
      drm/i915/opregion: fix version check
      drm/i915/opregion: rvda is relative from opregion base in opregion 2.1+
      drm/i915/dp: deconflate PPS unlock from divisor register
      drm/i915/dp: use single point of truth for PPS divisor register
      drm/i915: introduce REG_BIT() and REG_GENMASK() to define register contents
      drm/i915: deprecate _SHIFT in favor of _MASK passed to accessors
      drm/i915: use REG_FIELD_PREP() to define register bitfield values
      drm/i915: stick to kernel fixed size types
      drm/i915/psr: remove drmP.h include that crept in
      drm/i915/bios: iterate over child devices to initialize ddi_port_info

Joonas Lahtinen (12):
      Merge drm/drm-next into drm-intel-next-queued
      Merge tag 'topic/mei-hdcp-2019-02-19' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190220
      drm/i915: Update DRIVER_DATE to 20190311
      Merge drm/drm-next into drm-intel-next-queued
      Merge tag 'topic/hdr-formats-2019-03-07' of git://anongit.freedesktop.org/drm/drm-misc into drm-intel-next-queued
      Merge tag 'topic/hdr-formats-2019-03-13' of git://anongit.freedesktop.org/drm/drm-misc into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190320
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190328
      drm/i915: Update DRIVER_DATE to 20190328
      drm/i915: Update DRIVER_DATE to 20190328

José Roberto de Souza (21):
      drm/i915/psr: Execute the default PSR code path when setting i915_edp_psr_debug
      drm/i915: Call MG_DP_MODE() macro with the right parameters order
      drm/i915: Fix atomic state leak when resetting HDMI link
      drm/i915: Don't manually add connectors and planes state
      drm/i915: Forcing a modeset when resetting HDMI link
      drm/i915/icl: Remove alpha support protection
      drm/i915/psr: Remove PSR2 FIXME
      drm/i915/psr: Only lookup for enabled CRTCs when forcing a fastset
      drm/i915: Compute and commit color features in fastsets
      drm/i915/psr: Drop test for EDP in CRTC when forcing commit
      drm/i915/crc: Make IPS workaround generic
      drm/i915: Disable PSR2 while getting pipe CRC
      drm/i915: Drop redundant checks to update PSR state
      drm/i915: Force PSR1 exit when getting pipe CRC
      drm/i915: Enable PSR2 by default
      drm/i915: Add new ICL PCI ID
      drm/i915/vbt: Parse and use the new field with PSR2 TP2/3 wakeup time
      drm/i915/psr: Move logic to get TPS registers values to another function
      drm/i915/icl+: Always use TPS2 or TPS3 when exiting PSR1
      drm/i915: Fix PSR2 selective update corruption after PSR1 setup
      drm/i915/icl: Fix VEBOX mismatch BUG_ON()

Lucas De Marchi (16):
      drm/i915/icl: move MG pll hw_state readout
      drm/i915: extract AUX mask assignment to separate function
      drm/i915: refactor transcoders reporting on error state
      drm/i915: allow platforms without eDP transcoder
      drm/i915: Fix bit name in PP_STATUS register
      drm/i915/icl: split combo and mg pll enable
      drm/i915/icl: split pll enable in three steps
      drm/i915/icl: split combo and mg pll disable
      drm/i915/icl: split combo and tbt pll funcs
      drm/i915/icl: remove intel_dpll_is_combophy()
      drm/i915/ehl: Add dpll mgr
      drm/i915/skl: use previous pll hw readout
      drm/i915/bxt: make bxt_calc_pll_link() similar to skl
      drm/i915/cnl: use previous pll hw readout
      drm/i915/icl: use previous pll hw readout
      drm/i915/icl: reduce pll_id scope and use enum type

Maarten Lankhorst (3):
      drm/i915: Handle YUV subpixel support better
      drm/i915: Reject Yf tiling for HDR formats, v2.
      drm/i915: Reject rotation for some hdr formats

Manasi Navare (1):
      drm/i915/icl: Fix the TRANS_DDI_FUNC_CTL2 bitfield macro

Michal Wajdeczko (1):
      drm/i915/guc: Support for extended GuC notification messages

Michał Winiarski (3):
      drm/i915/icl: Default to Thread Group preemption for compute workloads
      drm/i915/selftests: Upgrade printing test/subtest name to pr_info
      drm/i915: Update size upon return from GEM_CREATE

Ramalingam C (16):
      drm/i915: HDCP state handling in ddi_update_pipe
      drm/i915: Gathering the HDCP1.4 routines together
      drm/i915: Initialize HDCP2.2
      drm/i915: MEI interface implementation
      drm/i915: hdcp1.4 CP_IRQ handling and SW encryption tracking
      drm/i915: Enable and Disable of HDCP2.2
      drm/i915: Implement HDCP2.2 receiver authentication
      drm/i915: Implement HDCP2.2 repeater authentication
      drm: HDCP2.2 link check period
      drm/i915: Implement HDCP2.2 link integrity check
      drm/i915: Handle HDCP2.2 downstream topology change
      drm: removing the DP Errata msg and its msg id
      drm/i915: Implement the HDCP2.2 support for DP
      drm/i915: Implement the HDCP2.2 support for HDMI
      drm/i915: CP_IRQ handling for DP HDCP2.2 msgs
      drm/i915: Fix KBL HDCP2.2 encrypt status signalling

Rodrigo Vivi (8):
      drm/i915: Sort ctx workarounds init from newer to older platforms.
      drm/i915: Sort newer to older platforms.
      drm/i915: Remove unused HAS_PCH_CNP_LP
      drm/i915: Yet another if/else sort of newer to older platforms.
      drm/i915/gen11+: First assume next platforms will inherit stuff
      drm/i915: Move PCH_NOP to -1
      drm/i915: Start using comparative INTEL_PCH_TYPE
      drm/i915: Also use new comparative stuff for more ICP+ stuff

Sujaritha Sundaresan (4):
      drm/i915/guc: Splitting CT channel open/close functions
      drm/i915/guc: Calling guc_disable_communication in all suspend paths
      drm/i915/guc: Preparing for GuC reset along with engine reset
      drm/i915/guc: GuC suspend path cleanup

Thomas Preston (1):
      drm/i915/bios: assume eDP is present on port A when there is no VBT

Tvrtko Ursulin (2):
      drm/i915: Re-arrange execbuf so context is known before engine
      drm/i915: Relax mmap VMA check

Uma Shankar (6):
      drm/i915/glk: Fix degamma lut programming
      drm/i915/icl: Add icl pipe degamma and gamma support
      drm/i915/icl: Enable ICL Pipe CSC block
      drm/i915/icl: Enable pipe output csc
      drm/i915/icl: Add degamma and gamma lut size to gen11 caps
      drm/i915/icl: Drop redundant gamma mode mask

Ville Syrjälä (101):
      drm/i915: Populate gamma_mode for all platforms
      drm/i915: Track pipe gamma enable/disable in crtc state
      drm/i915: Track pipe csc enable in crtc state
      drm/i915: Turn off pipe gamma when it's not needed
      drm/i915: Turn off pipe CSC when it's not needed
      drm/i915: Disable pipe gamma when C8 pixel format is used
      drm/i915: Update DSPCNTR gamma/csc bits during crtc_enable()
      drm/i915: Dump skl+ watermark changes
      drm/i915: s/PUNIT_REG_DSPFREQ/PUNIT_REG_DSPSSPM/
      drm/i915: Assert that VED and ISP are power gated
      Revert "drm/i915: W/A for underruns with WM1+ disabled on icl"
      drm/i915: Include "ignore lines" in skl+ wm state
      drm/i915: Implement new w/a for underruns with wm1+ disabled
      drm/i915: Add pipe crc tracepoint
      drm/i915: Add pipe enable/disable tracepoints
      drm/i915: Add overlooked plane disable tracepoint into intel_crtc_disable_planes()
      drm/i915: Wrap plane update/disable hook calls
      drm/i915: Remove the "pf" crc source
      drm/i915: Use named initializers for the crc source name array
      drm/i915: Remove the broken DP CRC support for g4x
      drm/i915: Extend skl+ crc sources with more planes
      drm/i915: Add the missing HDMI gamut metadata packet stuff
      drm/i915: Return the mask of enabled infoframes from ->inforame_enabled()
      drm/i915: Store mask of enabled infoframes in the crtc state
      drm/i915: Precompute HDMI infoframes
      drm/i915: Read out HDMI infoframes
      drm/i915/sdvo: Precompute HDMI infoframes
      drm/i915/sdvo: Read out HDMI infoframes
      drm/i915: Check infoframe state in intel_pipe_config_compare()
      drm/i915: Include infoframes in the crtc state dump
      drm/i915: Finalize Wa_1408961008:icl
      drm/i915: Fix the state checker for ICL Y planes
      drm/i915: Do not temporarily disable the DPLL on i830
      drm/i915: Simplify i830 DVO 2x clock handling
      drm/i915: Populate pipe_offsets[] & co. accurately
      drm/i915: Store DIMM rank information as a number
      drm/i915: Extract functions to derive SKL+ DIMM info
      drm/i915: Polish skl_is_16gb_dimm()
      drm/i915: Extract BXT DIMM helpers
      drm/i915: Fix DRAM size reporting for BXT
      drm/i915: Extract DIMM info on GLK too
      drm/i915: Use dram_dimm_info more
      drm/i915: Generalize intel_is_dram_symmetric()
      drm/i914: s/l_info/dimm_l/ etc.
      drm/i915: Clean up intel_get_dram_info() a bit
      drm/i915: Extract DIMM info on cnl+
      drm/i915: Read out memory type
      drm/i915: Readout and check csc_mode
      drm/i915: Precompute/readout/check CHV CGM mode
      drm/i915: Extract ilk_csc_limited_range()
      drm/i915: Clean up ilk/icl pipe/output CSC programming
      drm/i915: Extract ilk_csc_convert_ctm()
      drm/i915: Clean the csc limited range/identity programming
      drm/i915: Split ilk vs. icl csc matrix handling
      drm/i915: Fix legacy gamma mode for ICL
      drm/i915: Turn off the CUS when turning off a HDR plane
      drm/i915: Don't pass crtc to intel_find_shared_dpll()
      drm/i915: Don't pass crtc to intel_get_shared_dpll() and .get_dpll()
      drm/i915: Pass crtc_state down to skl dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from skl_get_dpll()
      drm/i915: Pass crtc_state down to bxt dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from bxt_get_dpll()
      drm/i915: Pass crtc_state down to cnl dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from cnl_get_dpll()
      drm/i915: Pass crtc_state down to icl dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from icl_get_dpll()
      drm/i915: Fix readout for cnl DPLL kdiv==3
      drm/i915: Nuke icl_calc_dp_combo_pll_link()
      drm/i915: Remove the fragile array index -> link rate mapping
      drm/i915: Add some missing curly braces
      drm/i915: Polish intel_get_lvds_encoder()
      drm/i915: Pass dev_priv to intel_is_dual_link_lvds()
      drm/i915: Reorder gen3/4 swizzle detection logic
      drm/i915: Introduce i9xx_has_pfit()
      drm/i915: Introduce i9xx_has_pps()
      drm/i915: Introduce i915_has_asle()
      drm/i915: Use HPLLVCO_MOBILE for all PNVs
      drm/i915: Accept alloc_size == blocks
      drm/i915: Don't pass plane state to skl_compute_plane_wm()
      drm/i915: Extract skl_compute_wm_params()
      drm/i915: Allocate enough DDB for the cursor
      drm/i915: Make sure cursor has enough ddb for the selected wm level
      drm/i915: Keep plane watermarks enabled more aggressively
      drm/i915: Move some variables to tighter scope
      drm/i915: Don't pass pipe_wm around so much
      drm/i915: Inline skl_update_pipe_wm() into its only caller
      drm/i915: Really calculate the cursor ddb based on the highest enabled wm level
      drm/i915: Refactor EDID fixed mode search
      drm/i915: Pick the first mode from EDID as the fixed mode when there is no preferred mode
      drm/i915: Refactor VBT fixed mode handling
      drm/i915: Adjust DSI fixed mode handling
      drm/i915: Stop hand rolling drm_mode_match()
      drm/i915: Clean up EDID downclock mode lookup
      drm/i915: Mark AML 0x87CA as ULX
      drm/i915: Disable C3 when enabling vblank interrupts on i945gm
      drm/i915: Use vblank_disable_immediate on gen2
      drm/i915: Add broadcast RGB property for DP MST
      drm/i915: Expose the force_audio property with DP MST
      drm/i915: Remove the 8bpc shackles from DP MST
      drm/i915: Add max_bpc property for DP MST
      drm/i915: Update TRANS_MSA_MISC for fastsets

Zhenyu Wang (2):
      drm/i915: always pin hw_id for GVT context
      drm/i915: Disable semaphore on vGPU for now

 drivers/gpu/drm/i915/Makefile                      |   12 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   44 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    2 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |   17 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   28 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |    2 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |  246 ++--
 drivers/gpu/drm/i915/gvt/scheduler.c               |   31 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    2 +-
 drivers/gpu/drm/i915/i915_active.c                 |   23 +-
 drivers/gpu/drm/i915/i915_active.h                 |   16 -
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   12 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  145 +--
 drivers/gpu/drm/i915/i915_drv.c                    |  553 +++++----
 drivers/gpu/drm/i915/i915_drv.h                    |  251 ++--
 drivers/gpu/drm/i915/i915_gem.c                    |  726 ++++-------
 drivers/gpu/drm/i915/i915_gem.h                    |    9 +-
 drivers/gpu/drm/i915/i915_gem_context.c            | 1078 ++++++++++++-----
 drivers/gpu/drm/i915/i915_gem_context.h            |  260 +---
 drivers/gpu/drm/i915/i915_gem_context_types.h      |  175 +++
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |    3 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   18 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   42 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |  156 +--
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  130 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   26 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    2 +-
 drivers/gpu/drm/i915/i915_gem_object.c             |   42 +
 drivers/gpu/drm/i915/i915_gem_object.h             |    4 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |    4 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |    6 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |    2 +-
 drivers/gpu/drm/i915/i915_globals.c                |  135 +++
 drivers/gpu/drm/i915/i915_globals.h                |   35 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  154 +--
 drivers/gpu/drm/i915/i915_gpu_error.h              |   49 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  271 +++--
 drivers/gpu/drm/i915/i915_pci.c                    |  226 ++--
 drivers/gpu/drm/i915/i915_perf.c                   |  114 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   67 +-
 drivers/gpu/drm/i915/i915_pvinfo.h                 |    2 +-
 drivers/gpu/drm/i915/i915_query.c                  |   39 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  486 +++++---
 drivers/gpu/drm/i915/i915_request.c                |  433 +++++--
 drivers/gpu/drm/i915/i915_request.h                |   76 +-
 drivers/gpu/drm/i915/i915_reset.c                  |  437 ++++---
 drivers/gpu/drm/i915/i915_reset.h                  |    6 +
 drivers/gpu/drm/i915/i915_scheduler.c              |   90 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |   43 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |   43 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |   16 +-
 drivers/gpu/drm/i915/i915_timeline.c               |  299 ++++-
 drivers/gpu/drm/i915/i915_timeline.h               |   75 +-
 drivers/gpu/drm/i915/i915_timeline_types.h         |   79 ++
 drivers/gpu/drm/i915/i915_trace.h                  |  106 +-
 drivers/gpu/drm/i915/i915_user_extensions.c        |   61 +
 drivers/gpu/drm/i915/i915_user_extensions.h        |   20 +
 drivers/gpu/drm/i915/i915_utils.h                  |   31 +
 drivers/gpu/drm/i915/i915_vgpu.c                   |   11 +-
 drivers/gpu/drm/i915/i915_vgpu.h                   |    2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   51 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    3 +
 drivers/gpu/drm/i915/icl_dsi.c                     |   33 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   54 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   23 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  133 ++-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   75 +-
 drivers/gpu/drm/i915/intel_color.c                 |  591 ++++++---
 drivers/gpu/drm/i915/intel_connector.c             |    2 +
 drivers/gpu/drm/i915/intel_context.c               |  269 +++++
 drivers/gpu/drm/i915/intel_context.h               |   87 ++
 drivers/gpu/drm/i915/intel_context_types.h         |   73 ++
 drivers/gpu/drm/i915/intel_crt.c                   |    6 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    2 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  295 +++--
 drivers/gpu/drm/i915/intel_device_info.c           |   43 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   18 +-
 drivers/gpu/drm/i915/intel_display.c               |  516 +++++---
 drivers/gpu/drm/i915/intel_dp.c                    |  526 ++++++--
 drivers/gpu/drm/i915/intel_dp_mst.c                |  145 ++-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |    5 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  770 ++++++------
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |    5 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  195 ++-
 drivers/gpu/drm/i915/intel_dsi.h                   |    1 -
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |   24 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  454 +++----
 drivers/gpu/drm/i915/intel_engine_types.h          |  527 ++++++++
 drivers/gpu/drm/i915/intel_fbc.c                   |    2 +-
 drivers/gpu/drm/i915/intel_gpu_commands.h          |    9 +-
 drivers/gpu/drm/i915/intel_guc.c                   |   45 +-
 drivers/gpu/drm/i915/intel_guc.h                   |    4 +-
 drivers/gpu/drm/i915/intel_guc_ads.c               |    3 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                |   99 +-
 drivers/gpu/drm/i915/intel_guc_ct.h                |    3 +
 drivers/gpu/drm/i915/intel_guc_fw.c                |    4 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |    5 +
 drivers/gpu/drm/i915/intel_guc_submission.c        |   22 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |   24 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  | 1255 ++++++++++++++++++--
 drivers/gpu/drm/i915/intel_hdmi.c                  |  772 ++++++++++--
 drivers/gpu/drm/i915/intel_huc.c                   |    2 +-
 drivers/gpu/drm/i915/intel_huc_fw.c                |   27 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |    2 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  509 ++++----
 drivers/gpu/drm/i915/intel_lrc.h                   |   22 +-
 drivers/gpu/drm/i915/intel_lspcon.c                |   13 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   92 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   14 +-
 drivers/gpu/drm/i915/intel_overlay.c               |    2 +-
 drivers/gpu/drm/i915/intel_panel.c                 |  147 ++-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |  228 ++--
 drivers/gpu/drm/i915/intel_pm.c                    |  454 ++++---
 drivers/gpu/drm/i915/intel_psr.c                   |  303 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  416 +++----
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  641 ++--------
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   65 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |  156 ++-
 drivers/gpu/drm/i915/intel_sideband.c              |   12 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   80 +-
 drivers/gpu/drm/i915/intel_uc.c                    |   23 +-
 drivers/gpu/drm/i915/intel_uc.h                    |    1 +
 drivers/gpu/drm/i915/intel_uncore.c                |  943 ++++++++-------
 drivers/gpu/drm/i915/intel_uncore.h                |  267 ++++-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |    3 +
 drivers/gpu/drm/i915/intel_workarounds.c           |  119 +-
 drivers/gpu/drm/i915/intel_workarounds.h           |   13 +-
 drivers/gpu/drm/i915/intel_workarounds_types.h     |   27 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.c   |    2 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   25 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |    9 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  449 +++++--
 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c   |    1 +
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   21 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    4 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |   37 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |    4 +-
 drivers/gpu/drm/i915/selftests/i915_sw_fence.c     |    9 +-
 drivers/gpu/drm/i915/selftests/i915_timeline.c     |  117 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   16 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |    4 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |    9 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         |    4 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  298 ++++-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |  266 ++++-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |  155 ++-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |  418 ++++++-
 drivers/gpu/drm/i915/selftests/mock_context.c      |   34 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  145 ++-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   54 +-
 drivers/gpu/drm/i915/selftests/mock_request.c      |   12 +-
 drivers/gpu/drm/i915/selftests/mock_request.h      |    7 -
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |    1 +
 drivers/gpu/drm/i915/selftests/mock_uncore.c       |   10 +-
 drivers/gpu/drm/i915/selftests/mock_uncore.h       |    2 +-
 .../drm/i915/test_i915_active_types_standalone.c   |    7 +
 .../i915/test_i915_gem_context_types_standalone.c  |    7 +
 .../drm/i915/test_i915_timeline_types_standalone.c |    7 +
 .../drm/i915/test_intel_context_types_standalone.c |    7 +
 .../drm/i915/test_intel_engine_types_standalone.c  |    7 +
 .../i915/test_intel_workarounds_types_standalone.c |    7 +
 drivers/gpu/drm/i915/vlv_dsi.c                     |   74 +-
 drivers/gpu/drm/i915/vlv_dsi_pll.c                 |    4 +-
 include/drm/drm_audio_component.h                  |    7 +-
 include/drm/drm_hdcp.h                             |    7 +-
 include/drm/i915_pciids.h                          |   38 +-
 include/sound/hdaudio.h                            |    2 +-
 include/uapi/drm/i915_drm.h                        |  239 ++--
 sound/hda/hdac_component.c                         |   18 +-
 173 files changed, 14365 insertions(+), 7426 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_context_types.h
 create mode 100644 drivers/gpu/drm/i915/i915_globals.c
 create mode 100644 drivers/gpu/drm/i915/i915_globals.h
 create mode 100644 drivers/gpu/drm/i915/i915_timeline_types.h
 create mode 100644 drivers/gpu/drm/i915/i915_user_extensions.c
 create mode 100644 drivers/gpu/drm/i915/i915_user_extensions.h
 create mode 100644 drivers/gpu/drm/i915/intel_context.c
 create mode 100644 drivers/gpu/drm/i915/intel_context.h
 create mode 100644 drivers/gpu/drm/i915/intel_context_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_engine_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds_types.h
 create mode 100644 drivers/gpu/drm/i915/test_i915_active_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_i915_gem_context_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_i915_timeline_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_intel_context_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_intel_engine_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_intel_workarounds_types_standalone.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-03-25 12:49 Joonas Lahtinen
@ 2019-03-28  2:09 ` Dave Airlie
  2019-03-28 15:29   ` Joonas Lahtinen
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2019-03-28  2:09 UTC (permalink / raw)
  To: Joonas Lahtinen
  Cc: DRM maintainer tools announcements, discussion, and development,
	Maxime Ripard, Daniel Vetter, Intel Graphics Development,
	dri-devel

On Mon, 25 Mar 2019 at 22:49, Joonas Lahtinen
<joonas.lahtinen@linux.intel.com> wrote:
>
> Hi Dave & Daniel,
>
> First batch of features for 5.2, tagged last week.

I asked on irc, but got no answer I saw,
/home/airlied/devel/kernel/dim/src/drivers/gpu/drm/i915/i915_gem_context.c:698:12:
warning: ‘context_barrier_task’ defined but not used
[-Wunused-function]
 static int context_barrier_task(struct i915_gem_context *ctx,
            ^~~~~~~~~~~~~~~~~~~~

Is there a fix for this I can throw on top of the merge?

I don't like warnings in my builds.

Dave.
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-03-25 12:49 Joonas Lahtinen
  2019-03-28  2:09 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Joonas Lahtinen @ 2019-03-25 12:49 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel

Hi Dave & Daniel,

First batch of features for 5.2, tagged last week.

Most notably there are a lot of Icelake fixes that finally lead to removal
of alpha_support protection for it. We're also adding Cometlake PCI IDs
(Gen9 ~= Coffeelake), so those platforms should be supported.

Then we have HDCP 2.2 support, PSR2 enabling by default and VBT data parsing
fixes on display side. On GT side there is an option to allow Mesa to better
recover from GPU hangs and fixes to the mmap behaviour.

Then the usual assortment of fixes and some prep code for Virtual Engine work,
parts of which will follow in next PR.

Best Regards, Joonas

PS. This contains a backmerge and Maarten's topic/hdr-format topic branch
merged twice + MEI topic branch merged from Daniel.

***

drm-intel-next-2019-03-20:
UAPI Changes:
- Report an error early instead of SIGBUS later when mmap beyond BO size

Core Changes:
- This includes backmerge of drm-next and two merges of Maarten's
  topic/hdr-formats

Driver Changes:
- Add Comet Lake (Gen9) PCI IDs to Coffee Lake ID list (Anusha)
- Add missing ICL PCI ID (Jose)
- Fix legacy gamma mode for ICL (Ville)
- Assume eDP is present on port A when there is no VBT (Thomas)
- Corrections to eDP training patterns (Jose)
- Fix PSR2 selective update corruption after PSR1 setup (Jose)
- Fix CRC mismatch error for DP link layer compliance (Aditya)
- Fix CNL DPLL readout and clean up code (Ville)
- Turn off the CUS when turning off a HDR plane (Ville)
- Avoid a race with execlist tasklet during race (Chris)
- Add missing CSC readout and clean up code (Ville)
- Avoid unnecessary wakeref during debugfs/drop_caches/set (Chris, Caz)
- Hold references to ring/HW context/context explicitly when used (Chris)

- Assume next platforms inherit old platform (Rodrigo)
- Use HWS indices rather than addresses for breadcrumbs (Chris)
- Add REG_BIT/REG_GENMASK and REG_FIELD_PREP macros (Jani)
- Convert crept in C99 types to kernel fixed size types (Jani)
- Avoid passing full dev_priv in forcewake functions (Daniele)
- Reset GuC on GPU reset (Sujaritha)
- Rework MG and Combo PLLs to vfuncs (Lucas)
- Explicitly track ppGTT size (Chris, Bob)
- Coding style improvements and code modularization (Ville)
- Selftest and debugging improvements (Chris)

drm-intel-next-2019-03-11:
UAPI Changes:
- Disallow creating user context when GPU is wedged (Chris)
  Mesa: https://lists.freedesktop.org/archives/mesa-dev/2019-February/215469.html
- Remove engine instance from GEM_BUSY extended info (Chris)
  Only user of extended info is ddx/sna and it doesn't use instance

Driver Changes:

- Remove alpha_support protection for ICL
- HDCP 2.2 support (Ramalingam)
- HDMI infoframe support (Ville)
- Enable PSR2 by default (Jose)
- Support CRC on more planes on SKL+ (Ville)
- Read out memory type on Gen9+ (Ville)
- Pretend to only have 1 DBuf slice on ICL for now (Imre)
- Fix gamma mode state check on ICL (Uma)
- Fix the state checker for ICL Y planes (Ville)
- Force modeset when resetting HDMI link (Jose)
- Remove broken DP CRC support on g4x (Ville)
- Remove last global seqno and use random number in hangcheck to identify progress (Chris)
- Suppress mere WAIT and redundant preemption (Chris)
- Fix Bugzilla #109580: Limit deboosting and boosting for more GPU frequency hysteresis (Chris, Lyude)
- Use HW semaphores for inter-engine synchronization on Gen8+ (Chris)
- Avoid reporting GPU wedged while check is still in progress (Chris)
- Always sample an active ringbuffer in PMU (Chris)
- Report engines are idle if already parked (Chris)
- Default to Thread Group preemption for compute workloads on ICL (Michal)
- Fix a regression caused by the mmap VMA check (Tvrtko, Guenter)
- Remove assumptions about request ordering (Chris)
- Use single point of truth for PPS divisor register (Jani)
- Selftest improvements and CI bug fixes (Chris)

- Prepare codebase for Virtual Engine (Chris)
- Populate pipe_offsets[] & co. accurately (Ville)
- Make request/object/vma allocation caches global (Chris)
- Remove redundant likely/unlikely annotations (Chengguang)
- Reset locking fixes (Chris)
- GuC code refactoring (Sujaritha)
- Skip scanning signalers for inflight requests (Chris)
- Remove second level open-coded rcu work (Chris)
- Order if-ladders from newer to older platform (Rodrigo)
- Move MG pll hw_state readout / AUX mask code to separate function (Lucas)
- Track active contexts and pinning in context (Chris)
drm-intel-next-2019-02-20:

UAPI Changes:
- Optionally disable automatic recovery after a GPU reset (Chris)
  Mesa changes at:
  https://lists.freedesktop.org/archives/mesa-dev/2019-February/215431.html
- Added reminders about not leaving holes to uAPI number sequences

Cross-subsystem Changes:

- Includes the backmerge of drm-next and merge of Daniel's mei-hdcp/i915
  component interface work

Driver Changes:

- Correctly interpret Raw VBT Data Address on OpRegion version 2.1 (ICL+) (Jani)
- Fix to actually configure untiled fbdev displays (Maarten, Chris)
- Avoid referencing stale pointer in priority scheduler (Chris)
- Always restore interrupt enabling after a reset on older gens (Chris)
- Use time based guilty context banning (Chris)
- Implement new W/A for ICL pipe underruns with wm1+ disabled (Ville)
- Fix GLK degamma programming and add ICL degamma/gamma/CSC support (Uma)
- Apply RPS waitboosting to non-i915 fences (Chris)
- Protect i915_active iterators from the shrinker (Chris)
- Defer application of request banning to submission to avoid escapes (Chris)
- Track the snd/hda display_power_status using a cookie (Chris)
- Only try to park engines after a failed reset (Chris)
- Don't claim an unstarted request was guilty of causing hang (Chris)
- Revoke mmaps and prevent access to fence registers across reset (Chris)
- Make driver reset and wedging more robust (Chris)
- Avoid fence releasing race (Mika, Chris)
- Fix HDCP state handling for DDI during fastset (Ram)

- Register naming fixes (Aditya, Ville)
- Fix OpRegion version check (Jani)
- Gamma and CSC state tracking fixes (Ville)
- Add driver debugging aids (Ville, Chris)
- Fixes and improvements to CI issues and kernel selftests (Chris, Jose)

The following changes since commit a94bed60cb73962f344ead14b2ee7613280432c6:

  drm/i915/icl: Implement half float formats (2019-03-13 11:23:12 +0100)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-03-20

for you to fetch changes up to 1284ec985572232ace4817476baeb2d82b60be7a:

  drm/i915: Update DRIVER_DATE to 20190320 (2019-03-20 10:03:48 +0200)

----------------------------------------------------------------
Abdiel Janulgue (1):
      drm/i915/query: Split out query item checks

Aditya Swarup (3):
      drm/i915: Make combo PHY DDI macro definitions consistent for ICL and CNL
      drm/i915: Make MG PHY macros semantically consistent
      drm/i915/icl: Fix CRC mismatch error for DP link layer compliance

Anusha Srivatsa (2):
      drm/i915/cml: Add CML PCI IDS
      drm/i915/cml: Introduce Comet Lake PCH

Chengguang Xu (1):
      drm/i915: remove redundant likely/unlikely annotation

Chris Wilson (106):
      drm/i915: Defer removing fence register tracking to rpm wakeup
      drm/i915: Revoke mmaps and prevent access to fence registers across reset
      drm/i915: Force the GPU reset upon wedging
      drm/i915: Uninterruptibly drain the timelines on unwedging
      drm/i915: Wait for old resets before applying debugfs/i915_wedged
      drm/i915: Serialise resets with wedging
      drm/i915: Don't claim an unstarted request was guilty
      drm/i915/execlists: Refactor out can_merge_rq()
      drm/i915: Protect i915_active iterators from the shrinker
      drm/i915: Pull sync_scru for device reset outside of wedge_mutex
      drm/i915: Use synchronize_srcu_expedited() for resets
      drm/i915: Include the current timeline seqno for debugging execlists
      drm/i915: Reacquire priolist cache after dropping the engine lock
      drm/i915: Recursive i915_reset_trylock() verboten
      drm/i915: Detect potential i915_reset_trylock() lockups
      drm/i915: Apply rps waitboosting for dma_fence_wait_timeout()
      snd/hda, drm/i915: Track the display_power_status using a cookie
      drm/i915: Only try to park engines after a failed reset
      drm/i915/selftests: Always use an active engine while resetting
      drm/i915: Defer application of request banning to submission
      drm/i915/selftests: Drop unnecessary struct_mutex around i915_reset()
      drm/i915/fbdev: Actually configure untiled displays
      drm/i915/selftests: Always free spinner on __sseu_prepare error
      drm/i915/selftests: Move local mock_ggtt allocations to the heap
      drm/i915: Optionally disable automatic recovery after a GPU reset
      drm/i915/selftests: Make unbannable contexts for reset handling
      drm/i915: Restore interrupt enabling after a reset
      drm/i915: Include reminders about leaving no holes in uAPI enums
      drm/i915: Move verify_wm_state() to heap
      drm/i915: Trim delays for wedging
      drm/i915: Use time based guilty context banning
      drm/i915: Beware temporary wedging when determining -EIO
      drm/i915: Avoid reset lock in writing fence registers
      drm/i915: Reduce the RPS shock
      drm/i915: Prevent user context creation while wedged
      drm/i915/hdcp: Silence compiler critics
      drm/i915: Reorder struct_mutex-vs-reset_lock in i915_gem_fault()
      drm/i915/guc: Flush the residual log capture irq on disabling
      drm/i915/pmu: Always sample an active ringbuffer
      drm/i915: Replace global_seqno with a hangcheck heartbeat seqno
      drm/i915: Remove access to global seqno in the HWSP
      drm/i915: Remove i915_request.global_seqno
      drm/i915/selftests: Exercise resetting during non-user payloads
      drm/i915: Skip scanning for signalers if we are already inflight
      drm/i915: Avoid waking the engines just to check if they are idle
      drm/i915: Compute the global scheduler caps
      Revert "drm/i915: Avoid waking the engines just to check if they are idle"
      drm/i915: Report engines are idle if already parked
      drm/i915: Make request allocation caches global
      drm/i915: Make object/vma allocation caches global
      drm/i915: Remove second level open-coded rcu work
      drm/i915: Use __ffs() in for_each_priolist for more compact code
      drm/i915/execlists: Suppress mere WAIT preemption
      drm/i915: Introduce i915_timeline.mutex
      drm/i915/selftests: Check that whitelisted registers are accessible
      drm/i915/execlists: Suppress redundant preemption
      drm/i915: Keep timeline HWSP allocated until idle across the system
      drm/i915: Use HW semaphores for inter-engine synchronisation on gen8+
      drm/i915: Prioritise non-busywait semaphore workloads
      drm/i915: Fix I915_EXEC_RING_MASK
      drm/i915: Acquire breadcrumb ref before cancelling
      drm/i915/gtt: Use optimised memset32/64 for clearing PTE
      drm/i915/gtt: Store scratch page size alongside not in the common struct
      drm/i915: Just check the vebox IIR regardless
      drm/i915: Stop capturing semaphore registers for gen6/7 GPU hangs
      drm/i915: Remove last traces of exec-id (GEM_BUSY)
      drm/i915: Store the BIT(engine->id) as the engine's mask
      drm/i915/gtt: Mark ALL_ENGINES as dirty on ppGTT modification
      drm/i915: Move find_active_request() to the engine
      drm/i915: Use i915_global_register()
      drm/i915: Pass around the intel_context
      drm/i915/selftests: Fix MI_STORE_DWORD_IMM alignment
      drm/i915: Make I915_GEM_IDLE_TIMEOUT into a macro
      drm/i915: Force GPU idle on suspend
      drm/i915/selftests: Improve switch-to-kernel-context checking
      drm/i915/selftests: Check preemption support on each engine
      drm/i915: Do a synchronous switch-to-kernel-context on idling
      drm/i915: Refactor common code to load initial power context
      drm/i915: Reduce presumption of request ordering for barriers
      drm/i915: Remove has-kernel-context
      drm/i915: Track active engines within a context
      drm/i915: Split struct intel_context definition to its own header
      drm/i915: Store the intel_context_ops in the intel_engine_cs
      drm/i915: Move over to intel_context_lookup()
      drm/i915: Make context pinning part of intel_context_ops
      drm/i915: Track the pinned kernel contexts on each engine
      drm/i915: Introduce intel_context.pin_mutex for pin management
      drm/i915: Suppress the "Failed to idle" warning for gem_eio
      drm/i915: Introduce a context barrier callback
      drm/i915: Consolidate reset-request debug message
      drm/i915/selftests: Improve error detection of reset failure
      drm/i915/selftests: Disable preemption while setting up fence-timers
      drm/i915: Refactor to common helpers for prepare/finish between reset & wedge
      drm/i915: Mark up vGPU support for full-ppgtt
      drm/i915: Record platform specific ppGTT size in intel_device_info
      drm/i915: Drop address size from ppgtt_type
      drm/i915/gtt: Rename i915_vm_is_48b to i915_vm_is_4lvl
      drm/i915/gtt: Refactor common ppgtt initialisation
      drm/i915: Always kick the execlists tasklet after reset
      drm/i915: Fix off-by-one in reporting hanging process
      drm/i915: Sanity check mmap length against object size
      drm/i915: Stop needlessly acquiring wakeref for debugfs/drop_caches_set
      drm/i915: Switch to use HWS indices rather than addresses
      drm/i915: Hold a ref to the ring while retiring
      drm/i915: Lock the gem_context->active_list while dropping the link
      drm/i915: Hold a reference to the active HW context

Daniele Ceraolo Spurio (1):
      drm/i915: do not pass dev_priv to low-level forcewake functions

Imre Deak (1):
      drm/i915/icl: Prevent incorrect DBuf enabling

Jani Nikula (9):
      drm/i915/opregion: fix version check
      drm/i915/opregion: rvda is relative from opregion base in opregion 2.1+
      drm/i915/dp: deconflate PPS unlock from divisor register
      drm/i915/dp: use single point of truth for PPS divisor register
      drm/i915: introduce REG_BIT() and REG_GENMASK() to define register contents
      drm/i915: deprecate _SHIFT in favor of _MASK passed to accessors
      drm/i915: use REG_FIELD_PREP() to define register bitfield values
      drm/i915: stick to kernel fixed size types
      drm/i915/psr: remove drmP.h include that crept in

Joonas Lahtinen (8):
      Merge drm/drm-next into drm-intel-next-queued
      Merge tag 'topic/mei-hdcp-2019-02-19' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190220
      drm/i915: Update DRIVER_DATE to 20190311
      Merge drm/drm-next into drm-intel-next-queued
      Merge tag 'topic/hdr-formats-2019-03-07' of git://anongit.freedesktop.org/drm/drm-misc into drm-intel-next-queued
      Merge tag 'topic/hdr-formats-2019-03-13' of git://anongit.freedesktop.org/drm/drm-misc into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190320

José Roberto de Souza (20):
      drm/i915/psr: Execute the default PSR code path when setting i915_edp_psr_debug
      drm/i915: Call MG_DP_MODE() macro with the right parameters order
      drm/i915: Fix atomic state leak when resetting HDMI link
      drm/i915: Don't manually add connectors and planes state
      drm/i915: Forcing a modeset when resetting HDMI link
      drm/i915/icl: Remove alpha support protection
      drm/i915/psr: Remove PSR2 FIXME
      drm/i915/psr: Only lookup for enabled CRTCs when forcing a fastset
      drm/i915: Compute and commit color features in fastsets
      drm/i915/psr: Drop test for EDP in CRTC when forcing commit
      drm/i915/crc: Make IPS workaround generic
      drm/i915: Disable PSR2 while getting pipe CRC
      drm/i915: Drop redundant checks to update PSR state
      drm/i915: Force PSR1 exit when getting pipe CRC
      drm/i915: Enable PSR2 by default
      drm/i915: Add new ICL PCI ID
      drm/i915/vbt: Parse and use the new field with PSR2 TP2/3 wakeup time
      drm/i915/psr: Move logic to get TPS registers values to another function
      drm/i915/icl+: Always use TPS2 or TPS3 when exiting PSR1
      drm/i915: Fix PSR2 selective update corruption after PSR1 setup

Lucas De Marchi (10):
      drm/i915/icl: move MG pll hw_state readout
      drm/i915: extract AUX mask assignment to separate function
      drm/i915: refactor transcoders reporting on error state
      drm/i915: allow platforms without eDP transcoder
      drm/i915: Fix bit name in PP_STATUS register
      drm/i915/icl: split combo and mg pll enable
      drm/i915/icl: split pll enable in three steps
      drm/i915/icl: split combo and mg pll disable
      drm/i915/icl: split combo and tbt pll funcs
      drm/i915/icl: remove intel_dpll_is_combophy()

Michał Winiarski (2):
      drm/i915/icl: Default to Thread Group preemption for compute workloads
      drm/i915/selftests: Upgrade printing test/subtest name to pr_info

Ramalingam C (16):
      drm/i915: HDCP state handling in ddi_update_pipe
      drm/i915: Gathering the HDCP1.4 routines together
      drm/i915: Initialize HDCP2.2
      drm/i915: MEI interface implementation
      drm/i915: hdcp1.4 CP_IRQ handling and SW encryption tracking
      drm/i915: Enable and Disable of HDCP2.2
      drm/i915: Implement HDCP2.2 receiver authentication
      drm/i915: Implement HDCP2.2 repeater authentication
      drm: HDCP2.2 link check period
      drm/i915: Implement HDCP2.2 link integrity check
      drm/i915: Handle HDCP2.2 downstream topology change
      drm: removing the DP Errata msg and its msg id
      drm/i915: Implement the HDCP2.2 support for DP
      drm/i915: Implement the HDCP2.2 support for HDMI
      drm/i915: CP_IRQ handling for DP HDCP2.2 msgs
      drm/i915: Fix KBL HDCP2.2 encrypt status signalling

Rodrigo Vivi (8):
      drm/i915: Sort ctx workarounds init from newer to older platforms.
      drm/i915: Sort newer to older platforms.
      drm/i915: Remove unused HAS_PCH_CNP_LP
      drm/i915: Yet another if/else sort of newer to older platforms.
      drm/i915/gen11+: First assume next platforms will inherit stuff
      drm/i915: Move PCH_NOP to -1
      drm/i915: Start using comparative INTEL_PCH_TYPE
      drm/i915: Also use new comparative stuff for more ICP+ stuff

Sujaritha Sundaresan (3):
      drm/i915/guc: Splitting CT channel open/close functions
      drm/i915/guc: Calling guc_disable_communication in all suspend paths
      drm/i915/guc: Preparing for GuC reset along with engine reset

Thomas Preston (1):
      drm/i915/bios: assume eDP is present on port A when there is no VBT

Tvrtko Ursulin (2):
      drm/i915: Re-arrange execbuf so context is known before engine
      drm/i915: Relax mmap VMA check

Uma Shankar (6):
      drm/i915/glk: Fix degamma lut programming
      drm/i915/icl: Add icl pipe degamma and gamma support
      drm/i915/icl: Enable ICL Pipe CSC block
      drm/i915/icl: Enable pipe output csc
      drm/i915/icl: Add degamma and gamma lut size to gen11 caps
      drm/i915/icl: Drop redundant gamma mode mask

Ville Syrjälä (72):
      drm/i915: Populate gamma_mode for all platforms
      drm/i915: Track pipe gamma enable/disable in crtc state
      drm/i915: Track pipe csc enable in crtc state
      drm/i915: Turn off pipe gamma when it's not needed
      drm/i915: Turn off pipe CSC when it's not needed
      drm/i915: Disable pipe gamma when C8 pixel format is used
      drm/i915: Update DSPCNTR gamma/csc bits during crtc_enable()
      drm/i915: Dump skl+ watermark changes
      drm/i915: s/PUNIT_REG_DSPFREQ/PUNIT_REG_DSPSSPM/
      drm/i915: Assert that VED and ISP are power gated
      Revert "drm/i915: W/A for underruns with WM1+ disabled on icl"
      drm/i915: Include "ignore lines" in skl+ wm state
      drm/i915: Implement new w/a for underruns with wm1+ disabled
      drm/i915: Add pipe crc tracepoint
      drm/i915: Add pipe enable/disable tracepoints
      drm/i915: Add overlooked plane disable tracepoint into intel_crtc_disable_planes()
      drm/i915: Wrap plane update/disable hook calls
      drm/i915: Remove the "pf" crc source
      drm/i915: Use named initializers for the crc source name array
      drm/i915: Remove the broken DP CRC support for g4x
      drm/i915: Extend skl+ crc sources with more planes
      drm/i915: Add the missing HDMI gamut metadata packet stuff
      drm/i915: Return the mask of enabled infoframes from ->inforame_enabled()
      drm/i915: Store mask of enabled infoframes in the crtc state
      drm/i915: Precompute HDMI infoframes
      drm/i915: Read out HDMI infoframes
      drm/i915/sdvo: Precompute HDMI infoframes
      drm/i915/sdvo: Read out HDMI infoframes
      drm/i915: Check infoframe state in intel_pipe_config_compare()
      drm/i915: Include infoframes in the crtc state dump
      drm/i915: Finalize Wa_1408961008:icl
      drm/i915: Fix the state checker for ICL Y planes
      drm/i915: Do not temporarily disable the DPLL on i830
      drm/i915: Simplify i830 DVO 2x clock handling
      drm/i915: Populate pipe_offsets[] & co. accurately
      drm/i915: Store DIMM rank information as a number
      drm/i915: Extract functions to derive SKL+ DIMM info
      drm/i915: Polish skl_is_16gb_dimm()
      drm/i915: Extract BXT DIMM helpers
      drm/i915: Fix DRAM size reporting for BXT
      drm/i915: Extract DIMM info on GLK too
      drm/i915: Use dram_dimm_info more
      drm/i915: Generalize intel_is_dram_symmetric()
      drm/i914: s/l_info/dimm_l/ etc.
      drm/i915: Clean up intel_get_dram_info() a bit
      drm/i915: Extract DIMM info on cnl+
      drm/i915: Read out memory type
      drm/i915: Readout and check csc_mode
      drm/i915: Precompute/readout/check CHV CGM mode
      drm/i915: Extract ilk_csc_limited_range()
      drm/i915: Clean up ilk/icl pipe/output CSC programming
      drm/i915: Extract ilk_csc_convert_ctm()
      drm/i915: Clean the csc limited range/identity programming
      drm/i915: Split ilk vs. icl csc matrix handling
      drm/i915: Fix legacy gamma mode for ICL
      drm/i915: Turn off the CUS when turning off a HDR plane
      drm/i915: Don't pass crtc to intel_find_shared_dpll()
      drm/i915: Don't pass crtc to intel_get_shared_dpll() and .get_dpll()
      drm/i915: Pass crtc_state down to skl dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from skl_get_dpll()
      drm/i915: Pass crtc_state down to bxt dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from bxt_get_dpll()
      drm/i915: Pass crtc_state down to cnl dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from cnl_get_dpll()
      drm/i915: Pass crtc_state down to icl dpll funcs
      drm/i915: Remove redundant on stack dpll_hw_state from icl_get_dpll()
      drm/i915: Fix readout for cnl DPLL kdiv==3
      drm/i915: Nuke icl_calc_dp_combo_pll_link()
      drm/i915: Remove the fragile array index -> link rate mapping
      drm/i915: Add some missing curly braces
      drm/i915: Polish intel_get_lvds_encoder()
      drm/i915: Pass dev_priv to intel_is_dual_link_lvds()

 Documentation/driver-api/component.rst             |   17 +
 Documentation/driver-api/device_link.rst           |    3 +
 Documentation/driver-api/index.rst                 |    1 +
 drivers/base/component.c                           |  206 +++-
 drivers/gpu/drm/i915/Makefile                      |   11 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   44 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    2 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |   17 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   26 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |    2 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |  231 ++--
 drivers/gpu/drm/i915/gvt/scheduler.c               |   27 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    2 +-
 drivers/gpu/drm/i915/i915_active.c                 |   59 +-
 drivers/gpu/drm/i915/i915_active.h                 |   16 -
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   12 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  121 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  476 +++++---
 drivers/gpu/drm/i915/i915_drv.h                    |  134 +--
 drivers/gpu/drm/i915/i915_gem.c                    |  628 +++-------
 drivers/gpu/drm/i915/i915_gem.h                    |    9 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  287 +++--
 drivers/gpu/drm/i915/i915_gem_context.h            |  250 +---
 drivers/gpu/drm/i915/i915_gem_context_types.h      |  182 +++
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |    2 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   18 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   35 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   88 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  104 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   10 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    2 +-
 drivers/gpu/drm/i915/i915_gem_object.c             |   42 +
 drivers/gpu/drm/i915/i915_gem_object.h             |    4 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |    2 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |    2 +-
 drivers/gpu/drm/i915/i915_globals.c                |  135 +++
 drivers/gpu/drm/i915/i915_globals.h                |   35 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  109 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   48 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  143 ++-
 drivers/gpu/drm/i915/i915_pci.c                    |  219 ++--
 drivers/gpu/drm/i915/i915_perf.c                   |   92 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   67 +-
 drivers/gpu/drm/i915/i915_pvinfo.h                 |    2 +-
 drivers/gpu/drm/i915/i915_query.c                  |   39 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  466 +++++---
 drivers/gpu/drm/i915/i915_request.c                |  346 ++++--
 drivers/gpu/drm/i915/i915_request.h                |   71 +-
 drivers/gpu/drm/i915/i915_reset.c                  |  376 +++---
 drivers/gpu/drm/i915/i915_reset.h                  |    6 +
 drivers/gpu/drm/i915/i915_scheduler.c              |  117 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |   43 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |    4 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    3 +
 drivers/gpu/drm/i915/i915_timeline.c               |  294 ++++-
 drivers/gpu/drm/i915/i915_timeline.h               |   73 +-
 drivers/gpu/drm/i915/i915_timeline_types.h         |   80 ++
 drivers/gpu/drm/i915/i915_trace.h                  |  106 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    4 +-
 drivers/gpu/drm/i915/i915_vgpu.h                   |    2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   51 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    3 +
 drivers/gpu/drm/i915/icl_dsi.c                     |    8 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   54 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   27 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   30 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   18 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   60 +-
 drivers/gpu/drm/i915/intel_color.c                 |  591 +++++++---
 drivers/gpu/drm/i915/intel_connector.c             |    2 +
 drivers/gpu/drm/i915/intel_context.c               |  269 +++++
 drivers/gpu/drm/i915/intel_context.h               |   87 ++
 drivers/gpu/drm/i915/intel_context_types.h         |   73 ++
 drivers/gpu/drm/i915/intel_ddi.c                   |  147 +--
 drivers/gpu/drm/i915/intel_device_info.c           |   10 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   13 +-
 drivers/gpu/drm/i915/intel_display.c               |  466 +++++---
 drivers/gpu/drm/i915/intel_display.h               |   16 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  447 ++++++-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  735 ++++++------
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |    5 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  155 ++-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |    6 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  288 +++--
 drivers/gpu/drm/i915/intel_engine_types.h          |  525 +++++++++
 drivers/gpu/drm/i915/intel_gpu_commands.h          |    9 +-
 drivers/gpu/drm/i915/intel_guc.c                   |   12 +
 drivers/gpu/drm/i915/intel_guc.h                   |    1 +
 drivers/gpu/drm/i915/intel_guc_ads.c               |    3 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                |   94 +-
 drivers/gpu/drm/i915/intel_guc_ct.h                |    3 +
 drivers/gpu/drm/i915/intel_guc_log.c               |    5 +
 drivers/gpu/drm/i915/intel_guc_submission.c        |   22 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |   18 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  | 1242 ++++++++++++++++++--
 drivers/gpu/drm/i915/intel_hdmi.c                  |  770 ++++++++++--
 drivers/gpu/drm/i915/intel_lrc.c                   |  417 ++++---
 drivers/gpu/drm/i915/intel_lspcon.c                |   13 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   57 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   14 +-
 drivers/gpu/drm/i915/intel_overlay.c               |    2 +-
 drivers/gpu/drm/i915/intel_panel.c                 |    5 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |  228 ++--
 drivers/gpu/drm/i915/intel_pm.c                    |  135 ++-
 drivers/gpu/drm/i915/intel_psr.c                   |  295 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  177 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  598 +---------
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   57 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |  156 ++-
 drivers/gpu/drm/i915/intel_sprite.c                |   36 +-
 drivers/gpu/drm/i915/intel_uc.c                    |   23 +-
 drivers/gpu/drm/i915/intel_uc.h                    |    1 +
 drivers/gpu/drm/i915/intel_uncore.c                |  120 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    9 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |    3 +
 drivers/gpu/drm/i915/intel_workarounds.c           |  113 +-
 drivers/gpu/drm/i915/intel_workarounds.h           |   13 +-
 drivers/gpu/drm/i915/intel_workarounds_types.h     |   27 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.c   |    2 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   17 +-
 drivers/gpu/drm/i915/selftests/i915_active.c       |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |    9 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  269 +++--
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   18 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    4 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |   23 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |    4 +-
 drivers/gpu/drm/i915/selftests/i915_sw_fence.c     |    9 +-
 drivers/gpu/drm/i915/selftests/i915_timeline.c     |  113 ++
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   16 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |    4 +-
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |    7 +
 drivers/gpu/drm/i915/selftests/intel_guc.c         |    4 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  296 ++++-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |  187 ++-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |  415 ++++++-
 drivers/gpu/drm/i915/selftests/mock_context.c      |    9 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  100 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   52 +-
 drivers/gpu/drm/i915/selftests/mock_request.c      |   12 +-
 drivers/gpu/drm/i915/selftests/mock_request.h      |    7 -
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |    1 +
 .../drm/i915/test_i915_active_types_standalone.c   |    7 +
 .../i915/test_i915_gem_context_types_standalone.c  |    7 +
 .../drm/i915/test_i915_timeline_types_standalone.c |    7 +
 .../drm/i915/test_intel_context_types_standalone.c |    7 +
 .../drm/i915/test_intel_engine_types_standalone.c  |    7 +
 .../i915/test_intel_workarounds_types_standalone.c |    7 +
 include/drm/drm_audio_component.h                  |    8 +-
 include/drm/drm_hdcp.h                             |   25 +-
 include/drm/i915_component.h                       |    5 +
 include/drm/i915_drm.h                             |   15 +
 include/drm/i915_mei_hdcp_interface.h              |  149 +++
 include/drm/i915_pciids.h                          |   31 +-
 include/linux/component.h                          |   76 ++
 include/sound/hda_component.h                      |    5 +-
 include/sound/hdaudio.h                            |    2 +-
 include/uapi/drm/i915_drm.h                        |   63 +-
 sound/hda/hdac_component.c                         |   22 +-
 sound/hda/hdac_i915.c                              |    6 +-
 163 files changed, 11337 insertions(+), 5420 deletions(-)
 create mode 100644 Documentation/driver-api/component.rst
 create mode 100644 drivers/gpu/drm/i915/i915_gem_context_types.h
 create mode 100644 drivers/gpu/drm/i915/i915_globals.c
 create mode 100644 drivers/gpu/drm/i915/i915_globals.h
 create mode 100644 drivers/gpu/drm/i915/i915_timeline_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_context.c
 create mode 100644 drivers/gpu/drm/i915/intel_context.h
 create mode 100644 drivers/gpu/drm/i915/intel_context_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_engine_types.h
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds_types.h
 create mode 100644 drivers/gpu/drm/i915/test_i915_active_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_i915_gem_context_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_i915_timeline_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_intel_context_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_intel_engine_types_standalone.c
 create mode 100644 drivers/gpu/drm/i915/test_intel_workarounds_types_standalone.c
 create mode 100644 include/drm/i915_mei_hdcp_interface.h
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-02-08 16:50 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2019-02-08 16:50 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

Hi Dave and Daniel,

This is the last batch from us targeting 5.1

Here goes drm-intel-next-2019-02-07:
UAPI Changes:

- Expose RPCS (SSEU) configuration to userspace for Ice Lake
in order to allow userspace to reconfigure the subslice config
per context basis. (Tvrtko, Lionel)

Driver Changes:

- Execbuf and preemption improvements including selftests (Chris)
- Rename HAS_GMCH_DISPLAY/HAS_GMCH (Rodrigo)
- Debugfs error handling fix for robustness (Greg)
- Improve reg_rw traces (Ville)
- Push clear_intel_crtc_state onto the heap (Chris)
- Watermark fixes for Ice Lake (Ville)
- Fix enable count array size and bounds checking (Tvrtko)
- MST Fixes (Lyude)
- Prevent race and handle error on I915_GEM_MMAP (Joonas)
- Initial rework for an full atomic gamma mode (Ville)

Thanks,
Rodrigo.

The following changes since commit 46c0cd8c562bc3e4a99cbaa4ba0904b6871b7b4b:

  drm/i915: Update DRIVER_DATE to 20190202 (2019-02-02 00:14:28 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-02-07

for you to fetch changes up to c09d39166d8a3f3788680b32dbb0a40a70de32e2:

  drm/i915: Update DRIVER_DATE to 20190207 (2019-02-07 12:45:32 -0800)

----------------------------------------------------------------
UAPI Changes:

- Expose RPCS (SSEU) configuration to userspace for Ice Lake
in order to allow userspace to reconfigure the subslice config
per context basis. (Tvrtko, Lionel)

Driver Changes:

- Execbuf and preemption improvements including selftests (Chris)
- Rename HAS_GMCH_DISPLAY/HAS_GMCH (Rodrigo)
- Debugfs error handling fix for robustness (Greg)
- Improve reg_rw traces (Ville)
- Push clear_intel_crtc_state onto the heap (Chris)
- Watermark fixes for Ice Lake (Ville)
- Fix enable count array size and bounds checking (Tvrtko)
- MST Fixes (Lyude)
- Prevent race and handle error on I915_GEM_MMAP (Joonas)
- Initial rework for an full atomic gamma mode (Ville)

----------------------------------------------------------------
Chris Wilson (9):
      drm/i915: Allow normal clients to always preempt idle priority clients
      drm/i915: Trim NEWCLIENT boosting
      drm/i915/selftests: Exercise some AB...BA preemption chains
      drm/i915: Generalise GPU activity tracking
      drm/i915: Release the active tracker tree upon idling
      drm/i915: Allocate active tracking nodes from a slabcache
      drm/i915: Pull i915_gem_active into the i915_active family
      drm/i915: Push clear_intel_crtc_state() onto the heap
      drm/i915: Hack and slash, throttle execbuffer hogs

Greg Kroah-Hartman (1):
      drm/i915: do not return invalid pointers as a *dentry

Joonas Lahtinen (2):
      drm/i915: Prevent a race during I915_GEM_MMAP ioctl with WC set
      drm/i915: Handle vm_mmap error during I915_GEM_MMAP ioctl with WC set

Lionel Landwerlin (2):
      drm/i915: Record the sseu configuration per-context & engine
      drm/i915/perf: lock powergating configuration to default when active

Lyude Paul (3):
      drm/i915: Block fbdev HPD processing during suspend
      drm/i915: Don't send MST hotplugs during resume
      drm/i915: Don't send hotplug in intel_dp_check_mst_status()

Rodrigo Vivi (2):
      drm/i915: Rename HAS_GMCH
      drm/i915: Update DRIVER_DATE to 20190207

Tvrtko Ursulin (4):
      drm/i915: Add timeline barrier support
      drm/i915: Expose RPCS (SSEU) configuration to userspace (Gen11 only)
      drm/i915/selftests: Context SSEU reconfiguration tests
      drm/i915/pmu: Fix enable count array size and bounds checking

Ville Syrjälä (14):
      drm/i915: Include register polling in reg_rw traces
      drm/i915: Fix wm latency==0 disable on skl+
      drm/i915: Extract icl_set_pipe_chicken()
      drm/i915: Setup PIPE_CHICKEN for fastsets too
      drm/i915: W/A for underruns with WM1+ disabled on icl
      drm/i915: Bump skl+ wm blocks to 11 bits
      drm/i915: Just use icl+ definition for PLANE_WM blocks field
      drm/i915: Don't set update_wm_post on g4x+
      drm/i915: Split the gamma/csc enable bits from the plane_ctl() function
      drm/i915: Precompute gamma_mode
      drm/i915: Constify the state arguments to the color management stuff
      drm/i915: Pull GAMMA_MODE write out from haswell_load_luts()
      drm/i915: Split color mgmt based on single vs. double buffered registers
      drm/i915: Move LUT programming to happen after vblank waits

 drivers/gpu/drm/i915/Makefile                      |   4 +-
 drivers/gpu/drm/i915/i915_active.c                 | 286 +++++++++++++
 drivers/gpu/drm/i915/i915_active.h                 | 425 +++++++++++++++++++
 drivers/gpu/drm/i915/i915_active_types.h           |  36 ++
 drivers/gpu/drm/i915/i915_debugfs.c                |   6 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  12 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  38 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  34 +-
 drivers/gpu/drm/i915/i915_gem_context.c            | 359 +++++++++++++++-
 drivers/gpu/drm/i915/i915_gem_context.h            |  20 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  67 +++
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   5 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  10 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  14 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  13 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |  22 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |   2 +
 drivers/gpu/drm/i915/i915_reg.h                    |   6 +-
 drivers/gpu/drm/i915/i915_request.c                |  36 +-
 drivers/gpu/drm/i915/i915_request.h                | 383 -----------------
 drivers/gpu/drm/i915/i915_reset.c                  |   2 +-
 drivers/gpu/drm/i915/i915_suspend.c                |   4 +-
 drivers/gpu/drm/i915/i915_timeline.c               |   4 +-
 drivers/gpu/drm/i915/i915_timeline.h               |  30 +-
 drivers/gpu/drm/i915/i915_vma.c                    | 183 ++------
 drivers/gpu/drm/i915/i915_vma.h                    |  11 +-
 drivers/gpu/drm/i915/intel_color.c                 | 247 ++++++-----
 drivers/gpu/drm/i915/intel_device_info.h           |   2 +-
 drivers/gpu/drm/i915/intel_display.c               | 300 ++++++++-----
 drivers/gpu/drm/i915/intel_dp.c                    |  31 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  17 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   2 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |  33 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   6 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |   3 +
 drivers/gpu/drm/i915/intel_hdmi.c                  |   6 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   2 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  61 ++-
 drivers/gpu/drm/i915/intel_lrc.h                   |   2 +
 drivers/gpu/drm/i915/intel_overlay.c               |  33 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  21 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  13 -
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  36 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  54 ++-
 drivers/gpu/drm/i915/intel_uncore.c                |   3 +
 drivers/gpu/drm/i915/selftests/i915_active.c       | 157 +++++++
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  | 467 +++++++++++++++++++++
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   3 +-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 103 +++++
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |   3 +-
 drivers/gpu/drm/i915/vlv_dsi.c                     |   4 +-
 include/uapi/drm/i915_drm.h                        |  64 +++
 55 files changed, 2756 insertions(+), 937 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_active.c
 create mode 100644 drivers/gpu/drm/i915/i915_active.h
 create mode 100644 drivers/gpu/drm/i915/i915_active_types.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_active.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-02-04  9:30     ` Daniel Vetter
@ 2019-02-05  8:03       ` Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2019-02-05  8:03 UTC (permalink / raw)
  To: Daniel Vetter, Joonas Lahtinen
  Cc: DRM maintainer tools announcements, discussion, and development,
	Maxime Ripard, Daniel Vetter, intel-gfx, dri-devel

On Mon, 04 Feb 2019, Daniel Vetter <daniel@ffwll.ch> wrote:
> On Mon, Feb 04, 2019 at 10:47:36AM +0200, Joonas Lahtinen wrote:
>> Quoting Dave Airlie (2019-02-04 07:02:07)
>> > On Sat, 2 Feb 2019 at 18:29, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
>> > >
>> > > Hi Dave and Daniel,
>> > >
>> > > Here goes another pull request for 5.1.
>> > 
>> > dim complained:
>> > 
>> > Chris committed this without an S-O-B, now because it's all Intel this
>> > probably doesn't matter, so I'll pull it, put please try and let it
>> > not happen again.
>> 
>> It's a tooling issue. It even has the Link: tag, so it is applied with
>> dim, which automatically should apply the S-o-b of committer. The issue
>> should already have a fix.
>> 
>> And we also concluded that as it's all Intel, it should be legally OK,
>> and not worthy force pushing the history (as it was noticed rather
>> late).
>> 
>> But looks like the communication back to you fell short. Apologies for
>> that.
>
> Hm yeah I thought Dave was on cc: but he wasn't. Some I was on cc: for
> that thread though (no idea why that tbh). Also just noticed that we only
> had the private subthread that Jani started, but never replied in public
> (or to sfr or anyone).

Sorry about that, the intention was to sort it out in private first, the
follow-up failed.

BR,
Jani.

> -Daniel
>
>> 
>> Regards, Joonas
>> 
>> > Dave.
>> > 
>> > commit 8e525cb4a622148fbe30134ee3a1a34ad839a43a
>> > Author: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
>> > Commit: Chris Wilson <chris@chris-wilson.co.uk>
>> > 
>> >     drm/i915/execlists: Move RPCS setup to context pin
>> > 
>> >     Configuring RPCS in context image just before pin is sufficient and will
>> >     come extra handy in one of the following patches.
>> > 
>> >     v2:
>> >      * Split image setup a bit differently. (Chris Wilson)
>> > 
>> >     v3:
>> >      * Update context image after reset as well - otherwise the application
>> >        of pinned default state clears the RPCS.
>> > 
>> >     v4:
>> >      * Use local variable throughout the function. (Chris Wilson)
>> > 
>> >     Signed-off-by: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
>> >     Suggested-by: Chris Wilson <chris@chris-wilson.co.uk>
>> >     Cc: Chris Wilson <chris@chris-wilson.co.uk>
>> >     Reviewed-by: Chris Wilson <chris@chris-wilson.co.uk>
>> >     Reviewed-by: Joonas Lahtinen <joonas.lahtinen@linux.intel.com>
>> >     Link: https://patchwork.freedesktop.org/patch/msgid/20190125023005.1007-1-chris@chris-wilson.co.uk
>> > 
>> > >
>> > > Maybe I will still send another next week.
>> > >
>> > > This pull also include a GVT one with:
>> > > "
>> > > Here is gvt-next stuff. This includes Coffeelake support for GVT,
>> > > making kvmgt as self load module to have better dependence with
>> > > vfio/mdev, with some const treatment and kernel type change.
>> > > "
>> > >
>> > > And also it includes a drm change for constify drm_color_lut_check.
>> > >
>> > > Rest of details are on the tags below.
>> > >
>> > > drm-intel-next-2019-02-02:
>> > > - Make background color and LUT more robust (Matt)
>> > > - Icelake display fixes (Ville, Imre)
>> > > - Workarounds fixes and reorg (Tvrtko, Talha)
>> > > - Enable fastboot by default on VLV and CHV (Hans)
>> > > - Add another PCI ID for Coffee Lake (Rodrigo)
>> > >
>> > > drm-intel-next-2019-01-29:
>> > > - MOCS table rework for simplification and to add ICL (Lucas, Tomasz)
>> > > - Move RPCS setup to context pin (Tvrtko)
>> > > - Breadcrumb simplification and GPU Reset improvements (Chris)
>> > > - Many fixes for TV modeset (Ville)
>> > > - Clean up on atomic plane checks (Ville)
>> > > - NV12 pich check fix (Raviraj)
>> > > - Disable -Wuninitialized (Nathan)
>> > > - Sanitize DPLL state for broken BIOSes on SNB (Ville)
>> > > - Rework on vma locking and counting and introduce a concept of per-timeline
>> > >   HWSP (Chris)
>> > > - Enable fastboot by default on Skylake and newer platforms (Hans)
>> > > - Fix slk srckey mask bits (Ville)
>> > > - Selftests fixes (Chris)
>> > > - Execlists and preemption improvements and fixes (Chris)
>> > > - drm consitify drm_color_lut_check (Ville)
>> > > - Ice Lake clock fixes (Lucas)
>> > >
>> > > Thanks,
>> > > Rodrigo.
>> > >
>> > > The following changes since commit 85baa5dbf79163026dcb78f742294c522e176432:
>> > >
>> > >   drm/i915: Update DRIVER_DATE to 20190124 (2019-01-24 15:00:59 -0800)
>> > >
>> > > are available in the Git repository at:
>> > >
>> > >   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-02-02
>> > >
>> > > for you to fetch changes up to 46c0cd8c562bc3e4a99cbaa4ba0904b6871b7b4b:
>> > >
>> > >   drm/i915: Update DRIVER_DATE to 20190202 (2019-02-02 00:14:28 -0800)
>> > >
>> > > ----------------------------------------------------------------
>> > > - Make background color and LUT more robust (Matt)
>> > > - Icelake display fixes (Ville, Imre)
>> > > - Workarounds fixes and reorg (Tvrtko, Talha)
>> > > - Enable fastboot by default on VLV and CHV (Hans)
>> > > - Add another PCI ID for Coffee Lake (Rodrigo)
>> > >
>> > > ----------------------------------------------------------------
>> > > Chris Wilson (27):
>> > >       drm/i915: Measure the required reserved size for request emission
>> > >       drm/i915: Remove manual breadcumb counting
>> > >       drm/i915: Compute the HWS offsets explicitly
>> > >       drm/i915: Make all GPU resets atomic
>> > >       drm/i915/guc: Disable global reset
>> > >       drm/i915: Remove GPU reset dependence on struct_mutex
>> > >       drm/i915/selftests: Trim struct_mutex duration for set-wedged selftest
>> > >       drm/i915: Issue engine resets onto idle engines
>> > >       drm/i915: Wait for a moment before forcibly resetting the device
>> > >       drm/i915: Stop tracking MRU activity on VMA
>> > >       drm/i915: Pull VM lists under the VM mutex.
>> > >       drm/i915: Move vma lookup to its own lock
>> > >       drm/i915: Always allocate an object/vma for the HWSP
>> > >       drm/i915: Move list of timelines under its own lock
>> > >       drm/i915: Introduce concept of per-timeline (context) HWSP
>> > >       drm/i915: Enlarge vma->pin_count
>> > >       drm/i915: Allocate a status page for each timeline
>> > >       drm/i915: Share per-timeline HWSP using a slab suballocator
>> > >       drm/i915: Track the context's seqno in its own timeline HWSP
>> > >       drm/i915: Track active timelines
>> > >       drm/i915/selftests: Apply a subtest filter
>> > >       drm/i915: Identify active requests
>> > >       drm/i915: Rename execlists->queue_priority to queue_priority_hint
>> > >       drm/i915/execlists: Suppress preempting self
>> > >       drm/i915: Remove the intel_engine_notify tracepoint
>> > >       drm/i915: Replace global breadcrumbs with per-context interrupt tracking
>> > >       drm/i915: Drop fake breadcrumb irq
>> > >
>> > > Hang Yuan (3):
>> > >       drm/i915/gvt: add functions to get default resolution
>> > >       drm/i915/gvt: add hotplug emulation
>> > >       drm/i915/gvt: add VFIO EDID region
>> > >
>> > > Hans de Goede (2):
>> > >       drm/i915: Enable fastboot by default on Skylake and newer
>> > >       drm/i915: Enable fastboot by default on VLV and CHV
>> > >
>> > > Imre Deak (2):
>> > >       drm/i915/icl: Add TypeC ports only if VBT is present
>> > >       drm/i915/icl: Work around broken VBTs for port F detection
>> > >
>> > > Lucas De Marchi (9):
>> > >       drm/i915: initialize unused MOCS entries to PTE
>> > >       drm/i915: Simplify MOCS table definition
>> > >       drm/i915: use a macro to define MOCS entries
>> > >       drm/i915: keep track of used entries in MOCS table
>> > >       drm/i915: cache number of MOCS entries
>> > >       drm/i915/icl: use tc_port in MG_PLL macros
>> > >       drm/i915: always return something on DDI clock selection
>> > >       drm/i915/icl: remove dpll from clk_sel
>> > >       drm/i915/icl: keep track of unused pll while looping
>> > >
>> > > Matt Roper (2):
>> > >       drm/i915: Force background color to black for gen9+ (v2)
>> > >       drm/i915: Apply LUT validation checks to platforms more accurately (v3)
>> > >
>> > > Nathan Chancellor (1):
>> > >       drm/i915: Disable -Wuninitialized
>> > >
>> > > P Raviraj Sitaram (1):
>> > >       drm/i915: correct the pitch check for NV12 framebuffer
>> > >
>> > > Rodrigo Vivi (5):
>> > >       Merge drm/drm-next into drm-intel-next-queued
>> > >       drm/i915: Update DRIVER_DATE to 20190129
>> > >       Merge tag 'gvt-next-2019-02-01' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>> > >       drm/i915/cfl: Adding another PCI Device ID.
>> > >       drm/i915: Update DRIVER_DATE to 20190202
>> > >
>> > > Talha Nassar (1):
>> > >       drm/i915/icl: restore WaEnableFloatBlendOptimization
>> > >
>> > > Tomasz Lis (2):
>> > >       drm/i915/skl: Rework MOCS tables to keep common part in a define
>> > >       drm/i915/icl: Define MOCS table for Icelake
>> > >
>> > > Tvrtko Ursulin (3):
>> > >       drm/i915/execlists: Move RPCS setup to context pin
>> > >       drm/i915: Move workaround infrastructure code up
>> > >       drm/i915: Save some lines of source code in workarounds
>> > >
>> > > Ville Syrjälä (33):
>> > >       drm/i915: Don't try to use the hardware frame counter with i965gm TV output
>> > >       drm/i915/tv: Fix interlaced ysize calculation
>> > >       drm/i915/tv: Fix tv mode clocks
>> > >       drm/i915/tv: Store the TV oversampling factor in the TV mode
>> > >       drm/i915/tv: Use bools where appropriate
>> > >       drm/i915/tv: Nuke silly 0 initialzation of xpos/ypos
>> > >       drm/i915/tv: Deobfuscate preferred mode selection
>> > >       drm/i915/tv: Use drm_mode_set_name() to name TV modes
>> > >       drm/i915/tv: Make TV mode autoselection actually useable
>> > >       drm/i915/tv: Nuke reported_modes[]
>> > >       drm/i915/tv: Add 1080p30/50/60 TV modes
>> > >       drm/i915/tv: Generate better pipe timings for TV encoder
>> > >       drm/i915/tv: Fix >1024 modes on gen3
>> > >       drm/i915/tv: Filter out >1024 wide modes that would need vertical scaling on gen3
>> > >       drm/i915: Clean up intel_plane_atomic_check_with_state()
>> > >       drm/i915/tv: Fix return value for intel_tv_compute_config()
>> > >       drm/i915/tv: Use the scanline counter for timestamps on i965gm TV output
>> > >       drm/i915: Try to sanitize bogus DPLL state left over by broken SNB BIOSen
>> > >       drm/i915: Fix skl srckey mask bits
>> > >       drm: Constify drm_color_lut_check()
>> > >       drm/i915/tv: Fix adjusted_mode dotclock for interlaced modes
>> > >       drm/i915/tv: Bypass the vertical filter if possible
>> > >       drm/i915: Don't ignore level 0 lines watermark for glk+
>> > >       drm/i915: Reinstate an early latency==0 check for skl+
>> > >       drm/i915: Fix bits vs. bytes mixup in dbuf block size computation
>> > >       drm/i915: Fix > vs >= mismatch in watermark/ddb calculations
>> > >       drm/i915: Account for minimum ddb allocation restrictions
>> > >       drm/i915: Pass dev_priv to skl_needs_memory_bw_wa()
>> > >       drm/i915: Drop the definite article in front of SAGV
>> > >       drm/i915: Drop the pointless linetime==0 check
>> > >       drm/i915: Use IS_GEN9_LP() for the linetime w/a check
>> > >       drm/i915: Don't use the second dbuf slice on icl
>> > >       drm/i915: Pick the first unused PLL once again
>> > >
>> > >  .../bindings/display/bridge/lvds-transmitter.txt   |  12 +-
>> > >  .../bindings/display/bridge/thine,thc63lvdm83d.txt |   2 +-
>> > >  .../bindings/display/bridge/ti,ds90c185.txt        |  55 ++
>> > >  Documentation/gpu/todo.rst                         |  35 -
>> > >  drivers/gpu/drm/Kconfig                            |   4 -
>> > >  drivers/gpu/drm/bridge/lvds-encoder.c              |  53 +-
>> > >  drivers/gpu/drm/drm_color_mgmt.c                   |   5 +-
>> > >  drivers/gpu/drm/drm_damage_helper.c                |  41 +
>> > >  drivers/gpu/drm/drm_dp_helper.c                    |  11 +-
>> > >  drivers/gpu/drm/drm_fb_cma_helper.c                | 137 +---
>> > >  drivers/gpu/drm/drm_fb_helper.c                    |  12 +-
>> > >  drivers/gpu/drm/drm_gem_framebuffer_helper.c       |  50 +-
>> > >  drivers/gpu/drm/i915/Makefile                      |   1 +
>> > >  drivers/gpu/drm/i915/gvt/display.c                 |  31 +
>> > >  drivers/gpu/drm/i915/gvt/display.h                 |  37 +-
>> > >  drivers/gpu/drm/i915/gvt/gvt.c                     |   1 +
>> > >  drivers/gpu/drm/i915/gvt/gvt.h                     |   3 +
>> > >  drivers/gpu/drm/i915/gvt/hypercall.h               |   1 +
>> > >  drivers/gpu/drm/i915/gvt/kvmgt.c                   | 143 ++++
>> > >  drivers/gpu/drm/i915/gvt/mpt.h                     |  17 +
>> > >  drivers/gpu/drm/i915/gvt/vgpu.c                    |   6 +
>> > >  drivers/gpu/drm/i915/i915_debugfs.c                | 162 +---
>> > >  drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
>> > >  drivers/gpu/drm/i915/i915_gem.c                    | 195 ++---
>> > >  drivers/gpu/drm/i915/i915_gem_context.c            |   3 +
>> > >  drivers/gpu/drm/i915/i915_gem_context.h            |   2 +
>> > >  drivers/gpu/drm/i915/i915_gem_evict.c              |  89 ++-
>> > >  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  12 +
>> > >  drivers/gpu/drm/i915/i915_gem_fence_reg.h          |   1 -
>> > >  drivers/gpu/drm/i915/i915_gem_gtt.c                |  30 +-
>> > >  drivers/gpu/drm/i915/i915_gem_gtt.h                |  53 +-
>> > >  drivers/gpu/drm/i915/i915_gem_object.h             |  45 +-
>> > >  drivers/gpu/drm/i915/i915_gem_shrinker.c           |  12 +-
>> > >  drivers/gpu/drm/i915/i915_gem_stolen.c             |   5 +-
>> > >  drivers/gpu/drm/i915/i915_gpu_error.c              | 231 ++----
>> > >  drivers/gpu/drm/i915/i915_gpu_error.h              |  40 +-
>> > >  drivers/gpu/drm/i915/i915_irq.c                    | 118 +--
>> > >  drivers/gpu/drm/i915/i915_params.c                 |   6 +-
>> > >  drivers/gpu/drm/i915/i915_params.h                 |   2 +-
>> > >  drivers/gpu/drm/i915/i915_pci.c                    |  11 +-
>> > >  drivers/gpu/drm/i915/i915_reg.h                    |  62 +-
>> > >  drivers/gpu/drm/i915/i915_request.c                | 204 ++---
>> > >  drivers/gpu/drm/i915/i915_request.h                | 134 +++-
>> > >  drivers/gpu/drm/i915/i915_reset.c                  | 500 ++++++------
>> > >  drivers/gpu/drm/i915/i915_reset.h                  |   3 +
>> > >  drivers/gpu/drm/i915/i915_scheduler.c              |  29 +-
>> > >  drivers/gpu/drm/i915/i915_selftest.h               |   1 +
>> > >  drivers/gpu/drm/i915/i915_timeline.c               | 253 +++++-
>> > >  drivers/gpu/drm/i915/i915_timeline.h               |  27 +-
>> > >  drivers/gpu/drm/i915/i915_trace.h                  |  25 -
>> > >  drivers/gpu/drm/i915/i915_vma.c                    |  84 +-
>> > >  drivers/gpu/drm/i915/i915_vma.h                    |  47 +-
>> > >  drivers/gpu/drm/i915/intel_atomic_plane.c          |  36 +-
>> > >  drivers/gpu/drm/i915/intel_bios.c                  |   7 +
>> > >  drivers/gpu/drm/i915/intel_breadcrumbs.c           | 863 +++++----------------
>> > >  drivers/gpu/drm/i915/intel_color.c                 |  60 +-
>> > >  drivers/gpu/drm/i915/intel_ddi.c                   |  20 +-
>> > >  drivers/gpu/drm/i915/intel_device_info.h           |   2 +
>> > >  drivers/gpu/drm/i915/intel_display.c               | 150 +++-
>> > >  drivers/gpu/drm/i915/intel_dpll_mgr.c              |  99 ++-
>> > >  drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +-
>> > >  drivers/gpu/drm/i915/intel_drv.h                   |   4 +-
>> > >  drivers/gpu/drm/i915/intel_engine_cs.c             | 259 ++++---
>> > >  drivers/gpu/drm/i915/intel_gpu_commands.h          |   1 -
>> > >  drivers/gpu/drm/i915/intel_guc_submission.c        |  22 +-
>> > >  drivers/gpu/drm/i915/intel_hangcheck.c             |  30 +-
>> > >  drivers/gpu/drm/i915/intel_lrc.c                   | 365 ++++++---
>> > >  drivers/gpu/drm/i915/intel_mocs.c                  | 406 ++++++----
>> > >  drivers/gpu/drm/i915/intel_overlay.c               |   2 -
>> > >  drivers/gpu/drm/i915/intel_pm.c                    | 130 ++--
>> > >  drivers/gpu/drm/i915/intel_ringbuffer.c            | 286 ++++---
>> > >  drivers/gpu/drm/i915/intel_ringbuffer.h            | 166 ++--
>> > >  drivers/gpu/drm/i915/intel_sprite.c                |   2 +-
>> > >  drivers/gpu/drm/i915/intel_tv.c                    | 718 +++++++++++++----
>> > >  drivers/gpu/drm/i915/intel_workarounds.c           |  70 +-
>> > >  drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   7 +-
>> > >  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   5 +-
>> > >  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
>> > >  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   3 +-
>> > >  drivers/gpu/drm/i915/selftests/i915_random.c       |  33 +-
>> > >  drivers/gpu/drm/i915/selftests/i915_random.h       |   3 +
>> > >  drivers/gpu/drm/i915/selftests/i915_request.c      | 425 ++++++++++
>> > >  drivers/gpu/drm/i915/selftests/i915_selftest.c     |  47 ++
>> > >  drivers/gpu/drm/i915/selftests/i915_timeline.c     | 464 ++++++++++-
>> > >  drivers/gpu/drm/i915/selftests/i915_vma.c          |   4 +-
>> > >  drivers/gpu/drm/i915/selftests/igt_live_test.c     |   7 -
>> > >  drivers/gpu/drm/i915/selftests/igt_spinner.c       |   5 -
>> > >  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c | 470 -----------
>> > >  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  88 +--
>> > >  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 138 ++++
>> > >  drivers/gpu/drm/i915/selftests/intel_workarounds.c |   3 -
>> > >  drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |  54 ++
>> > >  drivers/gpu/drm/i915/selftests/lib_sw_fence.h      |   3 +
>> > >  drivers/gpu/drm/i915/selftests/mock_engine.c       |  58 +-
>> > >  drivers/gpu/drm/i915/selftests/mock_engine.h       |   6 -
>> > >  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  11 +-
>> > >  drivers/gpu/drm/i915/selftests/mock_timeline.c     |   3 +-
>> > >  drivers/gpu/drm/panel/panel-innolux-p079zca.c      |  11 +-
>> > >  drivers/gpu/drm/stm/ltdc.c                         |   4 +-
>> > >  drivers/gpu/drm/sun4i/sun4i_backend.c              |  25 +-
>> > >  drivers/gpu/drm/sun4i/sun4i_drv.c                  |   1 +
>> > >  drivers/gpu/drm/sun4i/sun4i_frontend.c             | 350 ++++++++-
>> > >  drivers/gpu/drm/sun4i/sun4i_frontend.h             |  52 ++
>> > >  drivers/gpu/drm/sun4i/sun4i_layer.c                |  28 +-
>> > >  drivers/gpu/drm/tinydrm/core/tinydrm-core.c        |  21 +-
>> > >  drivers/gpu/drm/tinydrm/core/tinydrm-helpers.c     | 100 +--
>> > >  drivers/gpu/drm/tinydrm/core/tinydrm-pipe.c        |  31 -
>> > >  drivers/gpu/drm/tinydrm/hx8357d.c                  |   2 +-
>> > >  drivers/gpu/drm/tinydrm/ili9225.c                  | 149 ++--
>> > >  drivers/gpu/drm/tinydrm/ili9341.c                  |   2 +-
>> > >  drivers/gpu/drm/tinydrm/mi0283qt.c                 |   2 +-
>> > >  drivers/gpu/drm/tinydrm/mipi-dbi.c                 |  94 ++-
>> > >  drivers/gpu/drm/tinydrm/repaper.c                  |  43 +-
>> > >  drivers/gpu/drm/tinydrm/st7586.c                   |  86 +-
>> > >  drivers/gpu/drm/tinydrm/st7735r.c                  |   2 +-
>> > >  include/drm/drm_color_mgmt.h                       |   3 +-
>> > >  include/drm/drm_damage_helper.h                    |   3 +
>> > >  include/drm/drm_fb_cma_helper.h                    |  22 -
>> > >  include/drm/drm_fourcc.h                           | 117 +++
>> > >  include/drm/drm_gem_framebuffer_helper.h           |   3 +
>> > >  include/drm/drm_util.h                             |   2 +-
>> > >  include/drm/i915_pciids.h                          |   4 +
>> > >  include/drm/tinydrm/mipi-dbi.h                     |   5 +-
>> > >  include/drm/tinydrm/tinydrm-helpers.h              |  20 +-
>> > >  include/drm/tinydrm/tinydrm.h                      |  26 -
>> > >  include/linux/dma-fence-array.h                    |   1 +
>> > >  include/uapi/drm/drm_fourcc.h                      |  16 +
>> > >  127 files changed, 5732 insertions(+), 4095 deletions(-)
>> > >  create mode 100644 Documentation/devicetree/bindings/display/bridge/ti,ds90c185.txt
>> > >  delete mode 100644 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-02-04  8:47   ` Joonas Lahtinen
@ 2019-02-04  9:30     ` Daniel Vetter
  2019-02-05  8:03       ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2019-02-04  9:30 UTC (permalink / raw)
  To: Joonas Lahtinen
  Cc: DRM maintainer tools announcements, discussion, and development,
	Maxime Ripard, Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi,
	Sean Paul

On Mon, Feb 04, 2019 at 10:47:36AM +0200, Joonas Lahtinen wrote:
> Quoting Dave Airlie (2019-02-04 07:02:07)
> > On Sat, 2 Feb 2019 at 18:29, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
> > >
> > > Hi Dave and Daniel,
> > >
> > > Here goes another pull request for 5.1.
> > 
> > dim complained:
> > 
> > Chris committed this without an S-O-B, now because it's all Intel this
> > probably doesn't matter, so I'll pull it, put please try and let it
> > not happen again.
> 
> It's a tooling issue. It even has the Link: tag, so it is applied with
> dim, which automatically should apply the S-o-b of committer. The issue
> should already have a fix.
> 
> And we also concluded that as it's all Intel, it should be legally OK,
> and not worthy force pushing the history (as it was noticed rather
> late).
> 
> But looks like the communication back to you fell short. Apologies for
> that.

Hm yeah I thought Dave was on cc: but he wasn't. Some I was on cc: for
that thread though (no idea why that tbh). Also just noticed that we only
had the private subthread that Jani started, but never replied in public
(or to sfr or anyone).
-Daniel

> 
> Regards, Joonas
> 
> > Dave.
> > 
> > commit 8e525cb4a622148fbe30134ee3a1a34ad839a43a
> > Author: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
> > Commit: Chris Wilson <chris@chris-wilson.co.uk>
> > 
> >     drm/i915/execlists: Move RPCS setup to context pin
> > 
> >     Configuring RPCS in context image just before pin is sufficient and will
> >     come extra handy in one of the following patches.
> > 
> >     v2:
> >      * Split image setup a bit differently. (Chris Wilson)
> > 
> >     v3:
> >      * Update context image after reset as well - otherwise the application
> >        of pinned default state clears the RPCS.
> > 
> >     v4:
> >      * Use local variable throughout the function. (Chris Wilson)
> > 
> >     Signed-off-by: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
> >     Suggested-by: Chris Wilson <chris@chris-wilson.co.uk>
> >     Cc: Chris Wilson <chris@chris-wilson.co.uk>
> >     Reviewed-by: Chris Wilson <chris@chris-wilson.co.uk>
> >     Reviewed-by: Joonas Lahtinen <joonas.lahtinen@linux.intel.com>
> >     Link: https://patchwork.freedesktop.org/patch/msgid/20190125023005.1007-1-chris@chris-wilson.co.uk
> > 
> > >
> > > Maybe I will still send another next week.
> > >
> > > This pull also include a GVT one with:
> > > "
> > > Here is gvt-next stuff. This includes Coffeelake support for GVT,
> > > making kvmgt as self load module to have better dependence with
> > > vfio/mdev, with some const treatment and kernel type change.
> > > "
> > >
> > > And also it includes a drm change for constify drm_color_lut_check.
> > >
> > > Rest of details are on the tags below.
> > >
> > > drm-intel-next-2019-02-02:
> > > - Make background color and LUT more robust (Matt)
> > > - Icelake display fixes (Ville, Imre)
> > > - Workarounds fixes and reorg (Tvrtko, Talha)
> > > - Enable fastboot by default on VLV and CHV (Hans)
> > > - Add another PCI ID for Coffee Lake (Rodrigo)
> > >
> > > drm-intel-next-2019-01-29:
> > > - MOCS table rework for simplification and to add ICL (Lucas, Tomasz)
> > > - Move RPCS setup to context pin (Tvrtko)
> > > - Breadcrumb simplification and GPU Reset improvements (Chris)
> > > - Many fixes for TV modeset (Ville)
> > > - Clean up on atomic plane checks (Ville)
> > > - NV12 pich check fix (Raviraj)
> > > - Disable -Wuninitialized (Nathan)
> > > - Sanitize DPLL state for broken BIOSes on SNB (Ville)
> > > - Rework on vma locking and counting and introduce a concept of per-timeline
> > >   HWSP (Chris)
> > > - Enable fastboot by default on Skylake and newer platforms (Hans)
> > > - Fix slk srckey mask bits (Ville)
> > > - Selftests fixes (Chris)
> > > - Execlists and preemption improvements and fixes (Chris)
> > > - drm consitify drm_color_lut_check (Ville)
> > > - Ice Lake clock fixes (Lucas)
> > >
> > > Thanks,
> > > Rodrigo.
> > >
> > > The following changes since commit 85baa5dbf79163026dcb78f742294c522e176432:
> > >
> > >   drm/i915: Update DRIVER_DATE to 20190124 (2019-01-24 15:00:59 -0800)
> > >
> > > are available in the Git repository at:
> > >
> > >   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-02-02
> > >
> > > for you to fetch changes up to 46c0cd8c562bc3e4a99cbaa4ba0904b6871b7b4b:
> > >
> > >   drm/i915: Update DRIVER_DATE to 20190202 (2019-02-02 00:14:28 -0800)
> > >
> > > ----------------------------------------------------------------
> > > - Make background color and LUT more robust (Matt)
> > > - Icelake display fixes (Ville, Imre)
> > > - Workarounds fixes and reorg (Tvrtko, Talha)
> > > - Enable fastboot by default on VLV and CHV (Hans)
> > > - Add another PCI ID for Coffee Lake (Rodrigo)
> > >
> > > ----------------------------------------------------------------
> > > Chris Wilson (27):
> > >       drm/i915: Measure the required reserved size for request emission
> > >       drm/i915: Remove manual breadcumb counting
> > >       drm/i915: Compute the HWS offsets explicitly
> > >       drm/i915: Make all GPU resets atomic
> > >       drm/i915/guc: Disable global reset
> > >       drm/i915: Remove GPU reset dependence on struct_mutex
> > >       drm/i915/selftests: Trim struct_mutex duration for set-wedged selftest
> > >       drm/i915: Issue engine resets onto idle engines
> > >       drm/i915: Wait for a moment before forcibly resetting the device
> > >       drm/i915: Stop tracking MRU activity on VMA
> > >       drm/i915: Pull VM lists under the VM mutex.
> > >       drm/i915: Move vma lookup to its own lock
> > >       drm/i915: Always allocate an object/vma for the HWSP
> > >       drm/i915: Move list of timelines under its own lock
> > >       drm/i915: Introduce concept of per-timeline (context) HWSP
> > >       drm/i915: Enlarge vma->pin_count
> > >       drm/i915: Allocate a status page for each timeline
> > >       drm/i915: Share per-timeline HWSP using a slab suballocator
> > >       drm/i915: Track the context's seqno in its own timeline HWSP
> > >       drm/i915: Track active timelines
> > >       drm/i915/selftests: Apply a subtest filter
> > >       drm/i915: Identify active requests
> > >       drm/i915: Rename execlists->queue_priority to queue_priority_hint
> > >       drm/i915/execlists: Suppress preempting self
> > >       drm/i915: Remove the intel_engine_notify tracepoint
> > >       drm/i915: Replace global breadcrumbs with per-context interrupt tracking
> > >       drm/i915: Drop fake breadcrumb irq
> > >
> > > Hang Yuan (3):
> > >       drm/i915/gvt: add functions to get default resolution
> > >       drm/i915/gvt: add hotplug emulation
> > >       drm/i915/gvt: add VFIO EDID region
> > >
> > > Hans de Goede (2):
> > >       drm/i915: Enable fastboot by default on Skylake and newer
> > >       drm/i915: Enable fastboot by default on VLV and CHV
> > >
> > > Imre Deak (2):
> > >       drm/i915/icl: Add TypeC ports only if VBT is present
> > >       drm/i915/icl: Work around broken VBTs for port F detection
> > >
> > > Lucas De Marchi (9):
> > >       drm/i915: initialize unused MOCS entries to PTE
> > >       drm/i915: Simplify MOCS table definition
> > >       drm/i915: use a macro to define MOCS entries
> > >       drm/i915: keep track of used entries in MOCS table
> > >       drm/i915: cache number of MOCS entries
> > >       drm/i915/icl: use tc_port in MG_PLL macros
> > >       drm/i915: always return something on DDI clock selection
> > >       drm/i915/icl: remove dpll from clk_sel
> > >       drm/i915/icl: keep track of unused pll while looping
> > >
> > > Matt Roper (2):
> > >       drm/i915: Force background color to black for gen9+ (v2)
> > >       drm/i915: Apply LUT validation checks to platforms more accurately (v3)
> > >
> > > Nathan Chancellor (1):
> > >       drm/i915: Disable -Wuninitialized
> > >
> > > P Raviraj Sitaram (1):
> > >       drm/i915: correct the pitch check for NV12 framebuffer
> > >
> > > Rodrigo Vivi (5):
> > >       Merge drm/drm-next into drm-intel-next-queued
> > >       drm/i915: Update DRIVER_DATE to 20190129
> > >       Merge tag 'gvt-next-2019-02-01' of https://github.com/intel/gvt-linux into drm-intel-next-queued
> > >       drm/i915/cfl: Adding another PCI Device ID.
> > >       drm/i915: Update DRIVER_DATE to 20190202
> > >
> > > Talha Nassar (1):
> > >       drm/i915/icl: restore WaEnableFloatBlendOptimization
> > >
> > > Tomasz Lis (2):
> > >       drm/i915/skl: Rework MOCS tables to keep common part in a define
> > >       drm/i915/icl: Define MOCS table for Icelake
> > >
> > > Tvrtko Ursulin (3):
> > >       drm/i915/execlists: Move RPCS setup to context pin
> > >       drm/i915: Move workaround infrastructure code up
> > >       drm/i915: Save some lines of source code in workarounds
> > >
> > > Ville Syrjälä (33):
> > >       drm/i915: Don't try to use the hardware frame counter with i965gm TV output
> > >       drm/i915/tv: Fix interlaced ysize calculation
> > >       drm/i915/tv: Fix tv mode clocks
> > >       drm/i915/tv: Store the TV oversampling factor in the TV mode
> > >       drm/i915/tv: Use bools where appropriate
> > >       drm/i915/tv: Nuke silly 0 initialzation of xpos/ypos
> > >       drm/i915/tv: Deobfuscate preferred mode selection
> > >       drm/i915/tv: Use drm_mode_set_name() to name TV modes
> > >       drm/i915/tv: Make TV mode autoselection actually useable
> > >       drm/i915/tv: Nuke reported_modes[]
> > >       drm/i915/tv: Add 1080p30/50/60 TV modes
> > >       drm/i915/tv: Generate better pipe timings for TV encoder
> > >       drm/i915/tv: Fix >1024 modes on gen3
> > >       drm/i915/tv: Filter out >1024 wide modes that would need vertical scaling on gen3
> > >       drm/i915: Clean up intel_plane_atomic_check_with_state()
> > >       drm/i915/tv: Fix return value for intel_tv_compute_config()
> > >       drm/i915/tv: Use the scanline counter for timestamps on i965gm TV output
> > >       drm/i915: Try to sanitize bogus DPLL state left over by broken SNB BIOSen
> > >       drm/i915: Fix skl srckey mask bits
> > >       drm: Constify drm_color_lut_check()
> > >       drm/i915/tv: Fix adjusted_mode dotclock for interlaced modes
> > >       drm/i915/tv: Bypass the vertical filter if possible
> > >       drm/i915: Don't ignore level 0 lines watermark for glk+
> > >       drm/i915: Reinstate an early latency==0 check for skl+
> > >       drm/i915: Fix bits vs. bytes mixup in dbuf block size computation
> > >       drm/i915: Fix > vs >= mismatch in watermark/ddb calculations
> > >       drm/i915: Account for minimum ddb allocation restrictions
> > >       drm/i915: Pass dev_priv to skl_needs_memory_bw_wa()
> > >       drm/i915: Drop the definite article in front of SAGV
> > >       drm/i915: Drop the pointless linetime==0 check
> > >       drm/i915: Use IS_GEN9_LP() for the linetime w/a check
> > >       drm/i915: Don't use the second dbuf slice on icl
> > >       drm/i915: Pick the first unused PLL once again
> > >
> > >  .../bindings/display/bridge/lvds-transmitter.txt   |  12 +-
> > >  .../bindings/display/bridge/thine,thc63lvdm83d.txt |   2 +-
> > >  .../bindings/display/bridge/ti,ds90c185.txt        |  55 ++
> > >  Documentation/gpu/todo.rst                         |  35 -
> > >  drivers/gpu/drm/Kconfig                            |   4 -
> > >  drivers/gpu/drm/bridge/lvds-encoder.c              |  53 +-
> > >  drivers/gpu/drm/drm_color_mgmt.c                   |   5 +-
> > >  drivers/gpu/drm/drm_damage_helper.c                |  41 +
> > >  drivers/gpu/drm/drm_dp_helper.c                    |  11 +-
> > >  drivers/gpu/drm/drm_fb_cma_helper.c                | 137 +---
> > >  drivers/gpu/drm/drm_fb_helper.c                    |  12 +-
> > >  drivers/gpu/drm/drm_gem_framebuffer_helper.c       |  50 +-
> > >  drivers/gpu/drm/i915/Makefile                      |   1 +
> > >  drivers/gpu/drm/i915/gvt/display.c                 |  31 +
> > >  drivers/gpu/drm/i915/gvt/display.h                 |  37 +-
> > >  drivers/gpu/drm/i915/gvt/gvt.c                     |   1 +
> > >  drivers/gpu/drm/i915/gvt/gvt.h                     |   3 +
> > >  drivers/gpu/drm/i915/gvt/hypercall.h               |   1 +
> > >  drivers/gpu/drm/i915/gvt/kvmgt.c                   | 143 ++++
> > >  drivers/gpu/drm/i915/gvt/mpt.h                     |  17 +
> > >  drivers/gpu/drm/i915/gvt/vgpu.c                    |   6 +
> > >  drivers/gpu/drm/i915/i915_debugfs.c                | 162 +---
> > >  drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
> > >  drivers/gpu/drm/i915/i915_gem.c                    | 195 ++---
> > >  drivers/gpu/drm/i915/i915_gem_context.c            |   3 +
> > >  drivers/gpu/drm/i915/i915_gem_context.h            |   2 +
> > >  drivers/gpu/drm/i915/i915_gem_evict.c              |  89 ++-
> > >  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  12 +
> > >  drivers/gpu/drm/i915/i915_gem_fence_reg.h          |   1 -
> > >  drivers/gpu/drm/i915/i915_gem_gtt.c                |  30 +-
> > >  drivers/gpu/drm/i915/i915_gem_gtt.h                |  53 +-
> > >  drivers/gpu/drm/i915/i915_gem_object.h             |  45 +-
> > >  drivers/gpu/drm/i915/i915_gem_shrinker.c           |  12 +-
> > >  drivers/gpu/drm/i915/i915_gem_stolen.c             |   5 +-
> > >  drivers/gpu/drm/i915/i915_gpu_error.c              | 231 ++----
> > >  drivers/gpu/drm/i915/i915_gpu_error.h              |  40 +-
> > >  drivers/gpu/drm/i915/i915_irq.c                    | 118 +--
> > >  drivers/gpu/drm/i915/i915_params.c                 |   6 +-
> > >  drivers/gpu/drm/i915/i915_params.h                 |   2 +-
> > >  drivers/gpu/drm/i915/i915_pci.c                    |  11 +-
> > >  drivers/gpu/drm/i915/i915_reg.h                    |  62 +-
> > >  drivers/gpu/drm/i915/i915_request.c                | 204 ++---
> > >  drivers/gpu/drm/i915/i915_request.h                | 134 +++-
> > >  drivers/gpu/drm/i915/i915_reset.c                  | 500 ++++++------
> > >  drivers/gpu/drm/i915/i915_reset.h                  |   3 +
> > >  drivers/gpu/drm/i915/i915_scheduler.c              |  29 +-
> > >  drivers/gpu/drm/i915/i915_selftest.h               |   1 +
> > >  drivers/gpu/drm/i915/i915_timeline.c               | 253 +++++-
> > >  drivers/gpu/drm/i915/i915_timeline.h               |  27 +-
> > >  drivers/gpu/drm/i915/i915_trace.h                  |  25 -
> > >  drivers/gpu/drm/i915/i915_vma.c                    |  84 +-
> > >  drivers/gpu/drm/i915/i915_vma.h                    |  47 +-
> > >  drivers/gpu/drm/i915/intel_atomic_plane.c          |  36 +-
> > >  drivers/gpu/drm/i915/intel_bios.c                  |   7 +
> > >  drivers/gpu/drm/i915/intel_breadcrumbs.c           | 863 +++++----------------
> > >  drivers/gpu/drm/i915/intel_color.c                 |  60 +-
> > >  drivers/gpu/drm/i915/intel_ddi.c                   |  20 +-
> > >  drivers/gpu/drm/i915/intel_device_info.h           |   2 +
> > >  drivers/gpu/drm/i915/intel_display.c               | 150 +++-
> > >  drivers/gpu/drm/i915/intel_dpll_mgr.c              |  99 ++-
> > >  drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +-
> > >  drivers/gpu/drm/i915/intel_drv.h                   |   4 +-
> > >  drivers/gpu/drm/i915/intel_engine_cs.c             | 259 ++++---
> > >  drivers/gpu/drm/i915/intel_gpu_commands.h          |   1 -
> > >  drivers/gpu/drm/i915/intel_guc_submission.c        |  22 +-
> > >  drivers/gpu/drm/i915/intel_hangcheck.c             |  30 +-
> > >  drivers/gpu/drm/i915/intel_lrc.c                   | 365 ++++++---
> > >  drivers/gpu/drm/i915/intel_mocs.c                  | 406 ++++++----
> > >  drivers/gpu/drm/i915/intel_overlay.c               |   2 -
> > >  drivers/gpu/drm/i915/intel_pm.c                    | 130 ++--
> > >  drivers/gpu/drm/i915/intel_ringbuffer.c            | 286 ++++---
> > >  drivers/gpu/drm/i915/intel_ringbuffer.h            | 166 ++--
> > >  drivers/gpu/drm/i915/intel_sprite.c                |   2 +-
> > >  drivers/gpu/drm/i915/intel_tv.c                    | 718 +++++++++++++----
> > >  drivers/gpu/drm/i915/intel_workarounds.c           |  70 +-
> > >  drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   7 +-
> > >  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   5 +-
> > >  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
> > >  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   3 +-
> > >  drivers/gpu/drm/i915/selftests/i915_random.c       |  33 +-
> > >  drivers/gpu/drm/i915/selftests/i915_random.h       |   3 +
> > >  drivers/gpu/drm/i915/selftests/i915_request.c      | 425 ++++++++++
> > >  drivers/gpu/drm/i915/selftests/i915_selftest.c     |  47 ++
> > >  drivers/gpu/drm/i915/selftests/i915_timeline.c     | 464 ++++++++++-
> > >  drivers/gpu/drm/i915/selftests/i915_vma.c          |   4 +-
> > >  drivers/gpu/drm/i915/selftests/igt_live_test.c     |   7 -
> > >  drivers/gpu/drm/i915/selftests/igt_spinner.c       |   5 -
> > >  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c | 470 -----------
> > >  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  88 +--
> > >  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 138 ++++
> > >  drivers/gpu/drm/i915/selftests/intel_workarounds.c |   3 -
> > >  drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |  54 ++
> > >  drivers/gpu/drm/i915/selftests/lib_sw_fence.h      |   3 +
> > >  drivers/gpu/drm/i915/selftests/mock_engine.c       |  58 +-
> > >  drivers/gpu/drm/i915/selftests/mock_engine.h       |   6 -
> > >  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  11 +-
> > >  drivers/gpu/drm/i915/selftests/mock_timeline.c     |   3 +-
> > >  drivers/gpu/drm/panel/panel-innolux-p079zca.c      |  11 +-
> > >  drivers/gpu/drm/stm/ltdc.c                         |   4 +-
> > >  drivers/gpu/drm/sun4i/sun4i_backend.c              |  25 +-
> > >  drivers/gpu/drm/sun4i/sun4i_drv.c                  |   1 +
> > >  drivers/gpu/drm/sun4i/sun4i_frontend.c             | 350 ++++++++-
> > >  drivers/gpu/drm/sun4i/sun4i_frontend.h             |  52 ++
> > >  drivers/gpu/drm/sun4i/sun4i_layer.c                |  28 +-
> > >  drivers/gpu/drm/tinydrm/core/tinydrm-core.c        |  21 +-
> > >  drivers/gpu/drm/tinydrm/core/tinydrm-helpers.c     | 100 +--
> > >  drivers/gpu/drm/tinydrm/core/tinydrm-pipe.c        |  31 -
> > >  drivers/gpu/drm/tinydrm/hx8357d.c                  |   2 +-
> > >  drivers/gpu/drm/tinydrm/ili9225.c                  | 149 ++--
> > >  drivers/gpu/drm/tinydrm/ili9341.c                  |   2 +-
> > >  drivers/gpu/drm/tinydrm/mi0283qt.c                 |   2 +-
> > >  drivers/gpu/drm/tinydrm/mipi-dbi.c                 |  94 ++-
> > >  drivers/gpu/drm/tinydrm/repaper.c                  |  43 +-
> > >  drivers/gpu/drm/tinydrm/st7586.c                   |  86 +-
> > >  drivers/gpu/drm/tinydrm/st7735r.c                  |   2 +-
> > >  include/drm/drm_color_mgmt.h                       |   3 +-
> > >  include/drm/drm_damage_helper.h                    |   3 +
> > >  include/drm/drm_fb_cma_helper.h                    |  22 -
> > >  include/drm/drm_fourcc.h                           | 117 +++
> > >  include/drm/drm_gem_framebuffer_helper.h           |   3 +
> > >  include/drm/drm_util.h                             |   2 +-
> > >  include/drm/i915_pciids.h                          |   4 +
> > >  include/drm/tinydrm/mipi-dbi.h                     |   5 +-
> > >  include/drm/tinydrm/tinydrm-helpers.h              |  20 +-
> > >  include/drm/tinydrm/tinydrm.h                      |  26 -
> > >  include/linux/dma-fence-array.h                    |   1 +
> > >  include/uapi/drm/drm_fourcc.h                      |  16 +
> > >  127 files changed, 5732 insertions(+), 4095 deletions(-)
> > >  create mode 100644 Documentation/devicetree/bindings/display/bridge/ti,ds90c185.txt
> > >  delete mode 100644 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-02-04  5:02 ` Dave Airlie
@ 2019-02-04  8:47   ` Joonas Lahtinen
  2019-02-04  9:30     ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Joonas Lahtinen @ 2019-02-04  8:47 UTC (permalink / raw)
  To: Chris Wilson, Dave Airlie, Rodrigo Vivi
  Cc: DRM maintainer tools announcements, discussion, and development,
	Maxime Ripard, Daniel Vetter, intel-gfx, dri-devel, Sean Paul

Quoting Dave Airlie (2019-02-04 07:02:07)
> On Sat, 2 Feb 2019 at 18:29, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
> >
> > Hi Dave and Daniel,
> >
> > Here goes another pull request for 5.1.
> 
> dim complained:
> 
> Chris committed this without an S-O-B, now because it's all Intel this
> probably doesn't matter, so I'll pull it, put please try and let it
> not happen again.

It's a tooling issue. It even has the Link: tag, so it is applied with
dim, which automatically should apply the S-o-b of committer. The issue
should already have a fix.

And we also concluded that as it's all Intel, it should be legally OK,
and not worthy force pushing the history (as it was noticed rather
late).

But looks like the communication back to you fell short. Apologies for
that.

Regards, Joonas

> Dave.
> 
> commit 8e525cb4a622148fbe30134ee3a1a34ad839a43a
> Author: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
> Commit: Chris Wilson <chris@chris-wilson.co.uk>
> 
>     drm/i915/execlists: Move RPCS setup to context pin
> 
>     Configuring RPCS in context image just before pin is sufficient and will
>     come extra handy in one of the following patches.
> 
>     v2:
>      * Split image setup a bit differently. (Chris Wilson)
> 
>     v3:
>      * Update context image after reset as well - otherwise the application
>        of pinned default state clears the RPCS.
> 
>     v4:
>      * Use local variable throughout the function. (Chris Wilson)
> 
>     Signed-off-by: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
>     Suggested-by: Chris Wilson <chris@chris-wilson.co.uk>
>     Cc: Chris Wilson <chris@chris-wilson.co.uk>
>     Reviewed-by: Chris Wilson <chris@chris-wilson.co.uk>
>     Reviewed-by: Joonas Lahtinen <joonas.lahtinen@linux.intel.com>
>     Link: https://patchwork.freedesktop.org/patch/msgid/20190125023005.1007-1-chris@chris-wilson.co.uk
> 
> >
> > Maybe I will still send another next week.
> >
> > This pull also include a GVT one with:
> > "
> > Here is gvt-next stuff. This includes Coffeelake support for GVT,
> > making kvmgt as self load module to have better dependence with
> > vfio/mdev, with some const treatment and kernel type change.
> > "
> >
> > And also it includes a drm change for constify drm_color_lut_check.
> >
> > Rest of details are on the tags below.
> >
> > drm-intel-next-2019-02-02:
> > - Make background color and LUT more robust (Matt)
> > - Icelake display fixes (Ville, Imre)
> > - Workarounds fixes and reorg (Tvrtko, Talha)
> > - Enable fastboot by default on VLV and CHV (Hans)
> > - Add another PCI ID for Coffee Lake (Rodrigo)
> >
> > drm-intel-next-2019-01-29:
> > - MOCS table rework for simplification and to add ICL (Lucas, Tomasz)
> > - Move RPCS setup to context pin (Tvrtko)
> > - Breadcrumb simplification and GPU Reset improvements (Chris)
> > - Many fixes for TV modeset (Ville)
> > - Clean up on atomic plane checks (Ville)
> > - NV12 pich check fix (Raviraj)
> > - Disable -Wuninitialized (Nathan)
> > - Sanitize DPLL state for broken BIOSes on SNB (Ville)
> > - Rework on vma locking and counting and introduce a concept of per-timeline
> >   HWSP (Chris)
> > - Enable fastboot by default on Skylake and newer platforms (Hans)
> > - Fix slk srckey mask bits (Ville)
> > - Selftests fixes (Chris)
> > - Execlists and preemption improvements and fixes (Chris)
> > - drm consitify drm_color_lut_check (Ville)
> > - Ice Lake clock fixes (Lucas)
> >
> > Thanks,
> > Rodrigo.
> >
> > The following changes since commit 85baa5dbf79163026dcb78f742294c522e176432:
> >
> >   drm/i915: Update DRIVER_DATE to 20190124 (2019-01-24 15:00:59 -0800)
> >
> > are available in the Git repository at:
> >
> >   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-02-02
> >
> > for you to fetch changes up to 46c0cd8c562bc3e4a99cbaa4ba0904b6871b7b4b:
> >
> >   drm/i915: Update DRIVER_DATE to 20190202 (2019-02-02 00:14:28 -0800)
> >
> > ----------------------------------------------------------------
> > - Make background color and LUT more robust (Matt)
> > - Icelake display fixes (Ville, Imre)
> > - Workarounds fixes and reorg (Tvrtko, Talha)
> > - Enable fastboot by default on VLV and CHV (Hans)
> > - Add another PCI ID for Coffee Lake (Rodrigo)
> >
> > ----------------------------------------------------------------
> > Chris Wilson (27):
> >       drm/i915: Measure the required reserved size for request emission
> >       drm/i915: Remove manual breadcumb counting
> >       drm/i915: Compute the HWS offsets explicitly
> >       drm/i915: Make all GPU resets atomic
> >       drm/i915/guc: Disable global reset
> >       drm/i915: Remove GPU reset dependence on struct_mutex
> >       drm/i915/selftests: Trim struct_mutex duration for set-wedged selftest
> >       drm/i915: Issue engine resets onto idle engines
> >       drm/i915: Wait for a moment before forcibly resetting the device
> >       drm/i915: Stop tracking MRU activity on VMA
> >       drm/i915: Pull VM lists under the VM mutex.
> >       drm/i915: Move vma lookup to its own lock
> >       drm/i915: Always allocate an object/vma for the HWSP
> >       drm/i915: Move list of timelines under its own lock
> >       drm/i915: Introduce concept of per-timeline (context) HWSP
> >       drm/i915: Enlarge vma->pin_count
> >       drm/i915: Allocate a status page for each timeline
> >       drm/i915: Share per-timeline HWSP using a slab suballocator
> >       drm/i915: Track the context's seqno in its own timeline HWSP
> >       drm/i915: Track active timelines
> >       drm/i915/selftests: Apply a subtest filter
> >       drm/i915: Identify active requests
> >       drm/i915: Rename execlists->queue_priority to queue_priority_hint
> >       drm/i915/execlists: Suppress preempting self
> >       drm/i915: Remove the intel_engine_notify tracepoint
> >       drm/i915: Replace global breadcrumbs with per-context interrupt tracking
> >       drm/i915: Drop fake breadcrumb irq
> >
> > Hang Yuan (3):
> >       drm/i915/gvt: add functions to get default resolution
> >       drm/i915/gvt: add hotplug emulation
> >       drm/i915/gvt: add VFIO EDID region
> >
> > Hans de Goede (2):
> >       drm/i915: Enable fastboot by default on Skylake and newer
> >       drm/i915: Enable fastboot by default on VLV and CHV
> >
> > Imre Deak (2):
> >       drm/i915/icl: Add TypeC ports only if VBT is present
> >       drm/i915/icl: Work around broken VBTs for port F detection
> >
> > Lucas De Marchi (9):
> >       drm/i915: initialize unused MOCS entries to PTE
> >       drm/i915: Simplify MOCS table definition
> >       drm/i915: use a macro to define MOCS entries
> >       drm/i915: keep track of used entries in MOCS table
> >       drm/i915: cache number of MOCS entries
> >       drm/i915/icl: use tc_port in MG_PLL macros
> >       drm/i915: always return something on DDI clock selection
> >       drm/i915/icl: remove dpll from clk_sel
> >       drm/i915/icl: keep track of unused pll while looping
> >
> > Matt Roper (2):
> >       drm/i915: Force background color to black for gen9+ (v2)
> >       drm/i915: Apply LUT validation checks to platforms more accurately (v3)
> >
> > Nathan Chancellor (1):
> >       drm/i915: Disable -Wuninitialized
> >
> > P Raviraj Sitaram (1):
> >       drm/i915: correct the pitch check for NV12 framebuffer
> >
> > Rodrigo Vivi (5):
> >       Merge drm/drm-next into drm-intel-next-queued
> >       drm/i915: Update DRIVER_DATE to 20190129
> >       Merge tag 'gvt-next-2019-02-01' of https://github.com/intel/gvt-linux into drm-intel-next-queued
> >       drm/i915/cfl: Adding another PCI Device ID.
> >       drm/i915: Update DRIVER_DATE to 20190202
> >
> > Talha Nassar (1):
> >       drm/i915/icl: restore WaEnableFloatBlendOptimization
> >
> > Tomasz Lis (2):
> >       drm/i915/skl: Rework MOCS tables to keep common part in a define
> >       drm/i915/icl: Define MOCS table for Icelake
> >
> > Tvrtko Ursulin (3):
> >       drm/i915/execlists: Move RPCS setup to context pin
> >       drm/i915: Move workaround infrastructure code up
> >       drm/i915: Save some lines of source code in workarounds
> >
> > Ville Syrjälä (33):
> >       drm/i915: Don't try to use the hardware frame counter with i965gm TV output
> >       drm/i915/tv: Fix interlaced ysize calculation
> >       drm/i915/tv: Fix tv mode clocks
> >       drm/i915/tv: Store the TV oversampling factor in the TV mode
> >       drm/i915/tv: Use bools where appropriate
> >       drm/i915/tv: Nuke silly 0 initialzation of xpos/ypos
> >       drm/i915/tv: Deobfuscate preferred mode selection
> >       drm/i915/tv: Use drm_mode_set_name() to name TV modes
> >       drm/i915/tv: Make TV mode autoselection actually useable
> >       drm/i915/tv: Nuke reported_modes[]
> >       drm/i915/tv: Add 1080p30/50/60 TV modes
> >       drm/i915/tv: Generate better pipe timings for TV encoder
> >       drm/i915/tv: Fix >1024 modes on gen3
> >       drm/i915/tv: Filter out >1024 wide modes that would need vertical scaling on gen3
> >       drm/i915: Clean up intel_plane_atomic_check_with_state()
> >       drm/i915/tv: Fix return value for intel_tv_compute_config()
> >       drm/i915/tv: Use the scanline counter for timestamps on i965gm TV output
> >       drm/i915: Try to sanitize bogus DPLL state left over by broken SNB BIOSen
> >       drm/i915: Fix skl srckey mask bits
> >       drm: Constify drm_color_lut_check()
> >       drm/i915/tv: Fix adjusted_mode dotclock for interlaced modes
> >       drm/i915/tv: Bypass the vertical filter if possible
> >       drm/i915: Don't ignore level 0 lines watermark for glk+
> >       drm/i915: Reinstate an early latency==0 check for skl+
> >       drm/i915: Fix bits vs. bytes mixup in dbuf block size computation
> >       drm/i915: Fix > vs >= mismatch in watermark/ddb calculations
> >       drm/i915: Account for minimum ddb allocation restrictions
> >       drm/i915: Pass dev_priv to skl_needs_memory_bw_wa()
> >       drm/i915: Drop the definite article in front of SAGV
> >       drm/i915: Drop the pointless linetime==0 check
> >       drm/i915: Use IS_GEN9_LP() for the linetime w/a check
> >       drm/i915: Don't use the second dbuf slice on icl
> >       drm/i915: Pick the first unused PLL once again
> >
> >  .../bindings/display/bridge/lvds-transmitter.txt   |  12 +-
> >  .../bindings/display/bridge/thine,thc63lvdm83d.txt |   2 +-
> >  .../bindings/display/bridge/ti,ds90c185.txt        |  55 ++
> >  Documentation/gpu/todo.rst                         |  35 -
> >  drivers/gpu/drm/Kconfig                            |   4 -
> >  drivers/gpu/drm/bridge/lvds-encoder.c              |  53 +-
> >  drivers/gpu/drm/drm_color_mgmt.c                   |   5 +-
> >  drivers/gpu/drm/drm_damage_helper.c                |  41 +
> >  drivers/gpu/drm/drm_dp_helper.c                    |  11 +-
> >  drivers/gpu/drm/drm_fb_cma_helper.c                | 137 +---
> >  drivers/gpu/drm/drm_fb_helper.c                    |  12 +-
> >  drivers/gpu/drm/drm_gem_framebuffer_helper.c       |  50 +-
> >  drivers/gpu/drm/i915/Makefile                      |   1 +
> >  drivers/gpu/drm/i915/gvt/display.c                 |  31 +
> >  drivers/gpu/drm/i915/gvt/display.h                 |  37 +-
> >  drivers/gpu/drm/i915/gvt/gvt.c                     |   1 +
> >  drivers/gpu/drm/i915/gvt/gvt.h                     |   3 +
> >  drivers/gpu/drm/i915/gvt/hypercall.h               |   1 +
> >  drivers/gpu/drm/i915/gvt/kvmgt.c                   | 143 ++++
> >  drivers/gpu/drm/i915/gvt/mpt.h                     |  17 +
> >  drivers/gpu/drm/i915/gvt/vgpu.c                    |   6 +
> >  drivers/gpu/drm/i915/i915_debugfs.c                | 162 +---
> >  drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
> >  drivers/gpu/drm/i915/i915_gem.c                    | 195 ++---
> >  drivers/gpu/drm/i915/i915_gem_context.c            |   3 +
> >  drivers/gpu/drm/i915/i915_gem_context.h            |   2 +
> >  drivers/gpu/drm/i915/i915_gem_evict.c              |  89 ++-
> >  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  12 +
> >  drivers/gpu/drm/i915/i915_gem_fence_reg.h          |   1 -
> >  drivers/gpu/drm/i915/i915_gem_gtt.c                |  30 +-
> >  drivers/gpu/drm/i915/i915_gem_gtt.h                |  53 +-
> >  drivers/gpu/drm/i915/i915_gem_object.h             |  45 +-
> >  drivers/gpu/drm/i915/i915_gem_shrinker.c           |  12 +-
> >  drivers/gpu/drm/i915/i915_gem_stolen.c             |   5 +-
> >  drivers/gpu/drm/i915/i915_gpu_error.c              | 231 ++----
> >  drivers/gpu/drm/i915/i915_gpu_error.h              |  40 +-
> >  drivers/gpu/drm/i915/i915_irq.c                    | 118 +--
> >  drivers/gpu/drm/i915/i915_params.c                 |   6 +-
> >  drivers/gpu/drm/i915/i915_params.h                 |   2 +-
> >  drivers/gpu/drm/i915/i915_pci.c                    |  11 +-
> >  drivers/gpu/drm/i915/i915_reg.h                    |  62 +-
> >  drivers/gpu/drm/i915/i915_request.c                | 204 ++---
> >  drivers/gpu/drm/i915/i915_request.h                | 134 +++-
> >  drivers/gpu/drm/i915/i915_reset.c                  | 500 ++++++------
> >  drivers/gpu/drm/i915/i915_reset.h                  |   3 +
> >  drivers/gpu/drm/i915/i915_scheduler.c              |  29 +-
> >  drivers/gpu/drm/i915/i915_selftest.h               |   1 +
> >  drivers/gpu/drm/i915/i915_timeline.c               | 253 +++++-
> >  drivers/gpu/drm/i915/i915_timeline.h               |  27 +-
> >  drivers/gpu/drm/i915/i915_trace.h                  |  25 -
> >  drivers/gpu/drm/i915/i915_vma.c                    |  84 +-
> >  drivers/gpu/drm/i915/i915_vma.h                    |  47 +-
> >  drivers/gpu/drm/i915/intel_atomic_plane.c          |  36 +-
> >  drivers/gpu/drm/i915/intel_bios.c                  |   7 +
> >  drivers/gpu/drm/i915/intel_breadcrumbs.c           | 863 +++++----------------
> >  drivers/gpu/drm/i915/intel_color.c                 |  60 +-
> >  drivers/gpu/drm/i915/intel_ddi.c                   |  20 +-
> >  drivers/gpu/drm/i915/intel_device_info.h           |   2 +
> >  drivers/gpu/drm/i915/intel_display.c               | 150 +++-
> >  drivers/gpu/drm/i915/intel_dpll_mgr.c              |  99 ++-
> >  drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +-
> >  drivers/gpu/drm/i915/intel_drv.h                   |   4 +-
> >  drivers/gpu/drm/i915/intel_engine_cs.c             | 259 ++++---
> >  drivers/gpu/drm/i915/intel_gpu_commands.h          |   1 -
> >  drivers/gpu/drm/i915/intel_guc_submission.c        |  22 +-
> >  drivers/gpu/drm/i915/intel_hangcheck.c             |  30 +-
> >  drivers/gpu/drm/i915/intel_lrc.c                   | 365 ++++++---
> >  drivers/gpu/drm/i915/intel_mocs.c                  | 406 ++++++----
> >  drivers/gpu/drm/i915/intel_overlay.c               |   2 -
> >  drivers/gpu/drm/i915/intel_pm.c                    | 130 ++--
> >  drivers/gpu/drm/i915/intel_ringbuffer.c            | 286 ++++---
> >  drivers/gpu/drm/i915/intel_ringbuffer.h            | 166 ++--
> >  drivers/gpu/drm/i915/intel_sprite.c                |   2 +-
> >  drivers/gpu/drm/i915/intel_tv.c                    | 718 +++++++++++++----
> >  drivers/gpu/drm/i915/intel_workarounds.c           |  70 +-
> >  drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   7 +-
> >  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   5 +-
> >  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
> >  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   3 +-
> >  drivers/gpu/drm/i915/selftests/i915_random.c       |  33 +-
> >  drivers/gpu/drm/i915/selftests/i915_random.h       |   3 +
> >  drivers/gpu/drm/i915/selftests/i915_request.c      | 425 ++++++++++
> >  drivers/gpu/drm/i915/selftests/i915_selftest.c     |  47 ++
> >  drivers/gpu/drm/i915/selftests/i915_timeline.c     | 464 ++++++++++-
> >  drivers/gpu/drm/i915/selftests/i915_vma.c          |   4 +-
> >  drivers/gpu/drm/i915/selftests/igt_live_test.c     |   7 -
> >  drivers/gpu/drm/i915/selftests/igt_spinner.c       |   5 -
> >  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c | 470 -----------
> >  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  88 +--
> >  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 138 ++++
> >  drivers/gpu/drm/i915/selftests/intel_workarounds.c |   3 -
> >  drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |  54 ++
> >  drivers/gpu/drm/i915/selftests/lib_sw_fence.h      |   3 +
> >  drivers/gpu/drm/i915/selftests/mock_engine.c       |  58 +-
> >  drivers/gpu/drm/i915/selftests/mock_engine.h       |   6 -
> >  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  11 +-
> >  drivers/gpu/drm/i915/selftests/mock_timeline.c     |   3 +-
> >  drivers/gpu/drm/panel/panel-innolux-p079zca.c      |  11 +-
> >  drivers/gpu/drm/stm/ltdc.c                         |   4 +-
> >  drivers/gpu/drm/sun4i/sun4i_backend.c              |  25 +-
> >  drivers/gpu/drm/sun4i/sun4i_drv.c                  |   1 +
> >  drivers/gpu/drm/sun4i/sun4i_frontend.c             | 350 ++++++++-
> >  drivers/gpu/drm/sun4i/sun4i_frontend.h             |  52 ++
> >  drivers/gpu/drm/sun4i/sun4i_layer.c                |  28 +-
> >  drivers/gpu/drm/tinydrm/core/tinydrm-core.c        |  21 +-
> >  drivers/gpu/drm/tinydrm/core/tinydrm-helpers.c     | 100 +--
> >  drivers/gpu/drm/tinydrm/core/tinydrm-pipe.c        |  31 -
> >  drivers/gpu/drm/tinydrm/hx8357d.c                  |   2 +-
> >  drivers/gpu/drm/tinydrm/ili9225.c                  | 149 ++--
> >  drivers/gpu/drm/tinydrm/ili9341.c                  |   2 +-
> >  drivers/gpu/drm/tinydrm/mi0283qt.c                 |   2 +-
> >  drivers/gpu/drm/tinydrm/mipi-dbi.c                 |  94 ++-
> >  drivers/gpu/drm/tinydrm/repaper.c                  |  43 +-
> >  drivers/gpu/drm/tinydrm/st7586.c                   |  86 +-
> >  drivers/gpu/drm/tinydrm/st7735r.c                  |   2 +-
> >  include/drm/drm_color_mgmt.h                       |   3 +-
> >  include/drm/drm_damage_helper.h                    |   3 +
> >  include/drm/drm_fb_cma_helper.h                    |  22 -
> >  include/drm/drm_fourcc.h                           | 117 +++
> >  include/drm/drm_gem_framebuffer_helper.h           |   3 +
> >  include/drm/drm_util.h                             |   2 +-
> >  include/drm/i915_pciids.h                          |   4 +
> >  include/drm/tinydrm/mipi-dbi.h                     |   5 +-
> >  include/drm/tinydrm/tinydrm-helpers.h              |  20 +-
> >  include/drm/tinydrm/tinydrm.h                      |  26 -
> >  include/linux/dma-fence-array.h                    |   1 +
> >  include/uapi/drm/drm_fourcc.h                      |  16 +
> >  127 files changed, 5732 insertions(+), 4095 deletions(-)
> >  create mode 100644 Documentation/devicetree/bindings/display/bridge/ti,ds90c185.txt
> >  delete mode 100644 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2019-02-02  8:29 Rodrigo Vivi
@ 2019-02-04  5:02 ` Dave Airlie
  2019-02-04  8:47   ` Joonas Lahtinen
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2019-02-04  5:02 UTC (permalink / raw)
  To: Rodrigo Vivi, Chris Wilson
  Cc: DRM maintainer tools announcements, discussion, and development,
	Maxime Ripard, Daniel Vetter, intel-gfx, dri-devel

On Sat, 2 Feb 2019 at 18:29, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
>
> Hi Dave and Daniel,
>
> Here goes another pull request for 5.1.

dim complained:

Chris committed this without an S-O-B, now because it's all Intel this
probably doesn't matter, so I'll pull it, put please try and let it
not happen again.

Dave.

commit 8e525cb4a622148fbe30134ee3a1a34ad839a43a
Author: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
Commit: Chris Wilson <chris@chris-wilson.co.uk>

    drm/i915/execlists: Move RPCS setup to context pin

    Configuring RPCS in context image just before pin is sufficient and will
    come extra handy in one of the following patches.

    v2:
     * Split image setup a bit differently. (Chris Wilson)

    v3:
     * Update context image after reset as well - otherwise the application
       of pinned default state clears the RPCS.

    v4:
     * Use local variable throughout the function. (Chris Wilson)

    Signed-off-by: Tvrtko Ursulin <tvrtko.ursulin@intel.com>
    Suggested-by: Chris Wilson <chris@chris-wilson.co.uk>
    Cc: Chris Wilson <chris@chris-wilson.co.uk>
    Reviewed-by: Chris Wilson <chris@chris-wilson.co.uk>
    Reviewed-by: Joonas Lahtinen <joonas.lahtinen@linux.intel.com>
    Link: https://patchwork.freedesktop.org/patch/msgid/20190125023005.1007-1-chris@chris-wilson.co.uk

>
> Maybe I will still send another next week.
>
> This pull also include a GVT one with:
> "
> Here is gvt-next stuff. This includes Coffeelake support for GVT,
> making kvmgt as self load module to have better dependence with
> vfio/mdev, with some const treatment and kernel type change.
> "
>
> And also it includes a drm change for constify drm_color_lut_check.
>
> Rest of details are on the tags below.
>
> drm-intel-next-2019-02-02:
> - Make background color and LUT more robust (Matt)
> - Icelake display fixes (Ville, Imre)
> - Workarounds fixes and reorg (Tvrtko, Talha)
> - Enable fastboot by default on VLV and CHV (Hans)
> - Add another PCI ID for Coffee Lake (Rodrigo)
>
> drm-intel-next-2019-01-29:
> - MOCS table rework for simplification and to add ICL (Lucas, Tomasz)
> - Move RPCS setup to context pin (Tvrtko)
> - Breadcrumb simplification and GPU Reset improvements (Chris)
> - Many fixes for TV modeset (Ville)
> - Clean up on atomic plane checks (Ville)
> - NV12 pich check fix (Raviraj)
> - Disable -Wuninitialized (Nathan)
> - Sanitize DPLL state for broken BIOSes on SNB (Ville)
> - Rework on vma locking and counting and introduce a concept of per-timeline
>   HWSP (Chris)
> - Enable fastboot by default on Skylake and newer platforms (Hans)
> - Fix slk srckey mask bits (Ville)
> - Selftests fixes (Chris)
> - Execlists and preemption improvements and fixes (Chris)
> - drm consitify drm_color_lut_check (Ville)
> - Ice Lake clock fixes (Lucas)
>
> Thanks,
> Rodrigo.
>
> The following changes since commit 85baa5dbf79163026dcb78f742294c522e176432:
>
>   drm/i915: Update DRIVER_DATE to 20190124 (2019-01-24 15:00:59 -0800)
>
> are available in the Git repository at:
>
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-02-02
>
> for you to fetch changes up to 46c0cd8c562bc3e4a99cbaa4ba0904b6871b7b4b:
>
>   drm/i915: Update DRIVER_DATE to 20190202 (2019-02-02 00:14:28 -0800)
>
> ----------------------------------------------------------------
> - Make background color and LUT more robust (Matt)
> - Icelake display fixes (Ville, Imre)
> - Workarounds fixes and reorg (Tvrtko, Talha)
> - Enable fastboot by default on VLV and CHV (Hans)
> - Add another PCI ID for Coffee Lake (Rodrigo)
>
> ----------------------------------------------------------------
> Chris Wilson (27):
>       drm/i915: Measure the required reserved size for request emission
>       drm/i915: Remove manual breadcumb counting
>       drm/i915: Compute the HWS offsets explicitly
>       drm/i915: Make all GPU resets atomic
>       drm/i915/guc: Disable global reset
>       drm/i915: Remove GPU reset dependence on struct_mutex
>       drm/i915/selftests: Trim struct_mutex duration for set-wedged selftest
>       drm/i915: Issue engine resets onto idle engines
>       drm/i915: Wait for a moment before forcibly resetting the device
>       drm/i915: Stop tracking MRU activity on VMA
>       drm/i915: Pull VM lists under the VM mutex.
>       drm/i915: Move vma lookup to its own lock
>       drm/i915: Always allocate an object/vma for the HWSP
>       drm/i915: Move list of timelines under its own lock
>       drm/i915: Introduce concept of per-timeline (context) HWSP
>       drm/i915: Enlarge vma->pin_count
>       drm/i915: Allocate a status page for each timeline
>       drm/i915: Share per-timeline HWSP using a slab suballocator
>       drm/i915: Track the context's seqno in its own timeline HWSP
>       drm/i915: Track active timelines
>       drm/i915/selftests: Apply a subtest filter
>       drm/i915: Identify active requests
>       drm/i915: Rename execlists->queue_priority to queue_priority_hint
>       drm/i915/execlists: Suppress preempting self
>       drm/i915: Remove the intel_engine_notify tracepoint
>       drm/i915: Replace global breadcrumbs with per-context interrupt tracking
>       drm/i915: Drop fake breadcrumb irq
>
> Hang Yuan (3):
>       drm/i915/gvt: add functions to get default resolution
>       drm/i915/gvt: add hotplug emulation
>       drm/i915/gvt: add VFIO EDID region
>
> Hans de Goede (2):
>       drm/i915: Enable fastboot by default on Skylake and newer
>       drm/i915: Enable fastboot by default on VLV and CHV
>
> Imre Deak (2):
>       drm/i915/icl: Add TypeC ports only if VBT is present
>       drm/i915/icl: Work around broken VBTs for port F detection
>
> Lucas De Marchi (9):
>       drm/i915: initialize unused MOCS entries to PTE
>       drm/i915: Simplify MOCS table definition
>       drm/i915: use a macro to define MOCS entries
>       drm/i915: keep track of used entries in MOCS table
>       drm/i915: cache number of MOCS entries
>       drm/i915/icl: use tc_port in MG_PLL macros
>       drm/i915: always return something on DDI clock selection
>       drm/i915/icl: remove dpll from clk_sel
>       drm/i915/icl: keep track of unused pll while looping
>
> Matt Roper (2):
>       drm/i915: Force background color to black for gen9+ (v2)
>       drm/i915: Apply LUT validation checks to platforms more accurately (v3)
>
> Nathan Chancellor (1):
>       drm/i915: Disable -Wuninitialized
>
> P Raviraj Sitaram (1):
>       drm/i915: correct the pitch check for NV12 framebuffer
>
> Rodrigo Vivi (5):
>       Merge drm/drm-next into drm-intel-next-queued
>       drm/i915: Update DRIVER_DATE to 20190129
>       Merge tag 'gvt-next-2019-02-01' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>       drm/i915/cfl: Adding another PCI Device ID.
>       drm/i915: Update DRIVER_DATE to 20190202
>
> Talha Nassar (1):
>       drm/i915/icl: restore WaEnableFloatBlendOptimization
>
> Tomasz Lis (2):
>       drm/i915/skl: Rework MOCS tables to keep common part in a define
>       drm/i915/icl: Define MOCS table for Icelake
>
> Tvrtko Ursulin (3):
>       drm/i915/execlists: Move RPCS setup to context pin
>       drm/i915: Move workaround infrastructure code up
>       drm/i915: Save some lines of source code in workarounds
>
> Ville Syrjälä (33):
>       drm/i915: Don't try to use the hardware frame counter with i965gm TV output
>       drm/i915/tv: Fix interlaced ysize calculation
>       drm/i915/tv: Fix tv mode clocks
>       drm/i915/tv: Store the TV oversampling factor in the TV mode
>       drm/i915/tv: Use bools where appropriate
>       drm/i915/tv: Nuke silly 0 initialzation of xpos/ypos
>       drm/i915/tv: Deobfuscate preferred mode selection
>       drm/i915/tv: Use drm_mode_set_name() to name TV modes
>       drm/i915/tv: Make TV mode autoselection actually useable
>       drm/i915/tv: Nuke reported_modes[]
>       drm/i915/tv: Add 1080p30/50/60 TV modes
>       drm/i915/tv: Generate better pipe timings for TV encoder
>       drm/i915/tv: Fix >1024 modes on gen3
>       drm/i915/tv: Filter out >1024 wide modes that would need vertical scaling on gen3
>       drm/i915: Clean up intel_plane_atomic_check_with_state()
>       drm/i915/tv: Fix return value for intel_tv_compute_config()
>       drm/i915/tv: Use the scanline counter for timestamps on i965gm TV output
>       drm/i915: Try to sanitize bogus DPLL state left over by broken SNB BIOSen
>       drm/i915: Fix skl srckey mask bits
>       drm: Constify drm_color_lut_check()
>       drm/i915/tv: Fix adjusted_mode dotclock for interlaced modes
>       drm/i915/tv: Bypass the vertical filter if possible
>       drm/i915: Don't ignore level 0 lines watermark for glk+
>       drm/i915: Reinstate an early latency==0 check for skl+
>       drm/i915: Fix bits vs. bytes mixup in dbuf block size computation
>       drm/i915: Fix > vs >= mismatch in watermark/ddb calculations
>       drm/i915: Account for minimum ddb allocation restrictions
>       drm/i915: Pass dev_priv to skl_needs_memory_bw_wa()
>       drm/i915: Drop the definite article in front of SAGV
>       drm/i915: Drop the pointless linetime==0 check
>       drm/i915: Use IS_GEN9_LP() for the linetime w/a check
>       drm/i915: Don't use the second dbuf slice on icl
>       drm/i915: Pick the first unused PLL once again
>
>  .../bindings/display/bridge/lvds-transmitter.txt   |  12 +-
>  .../bindings/display/bridge/thine,thc63lvdm83d.txt |   2 +-
>  .../bindings/display/bridge/ti,ds90c185.txt        |  55 ++
>  Documentation/gpu/todo.rst                         |  35 -
>  drivers/gpu/drm/Kconfig                            |   4 -
>  drivers/gpu/drm/bridge/lvds-encoder.c              |  53 +-
>  drivers/gpu/drm/drm_color_mgmt.c                   |   5 +-
>  drivers/gpu/drm/drm_damage_helper.c                |  41 +
>  drivers/gpu/drm/drm_dp_helper.c                    |  11 +-
>  drivers/gpu/drm/drm_fb_cma_helper.c                | 137 +---
>  drivers/gpu/drm/drm_fb_helper.c                    |  12 +-
>  drivers/gpu/drm/drm_gem_framebuffer_helper.c       |  50 +-
>  drivers/gpu/drm/i915/Makefile                      |   1 +
>  drivers/gpu/drm/i915/gvt/display.c                 |  31 +
>  drivers/gpu/drm/i915/gvt/display.h                 |  37 +-
>  drivers/gpu/drm/i915/gvt/gvt.c                     |   1 +
>  drivers/gpu/drm/i915/gvt/gvt.h                     |   3 +
>  drivers/gpu/drm/i915/gvt/hypercall.h               |   1 +
>  drivers/gpu/drm/i915/gvt/kvmgt.c                   | 143 ++++
>  drivers/gpu/drm/i915/gvt/mpt.h                     |  17 +
>  drivers/gpu/drm/i915/gvt/vgpu.c                    |   6 +
>  drivers/gpu/drm/i915/i915_debugfs.c                | 162 +---
>  drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
>  drivers/gpu/drm/i915/i915_gem.c                    | 195 ++---
>  drivers/gpu/drm/i915/i915_gem_context.c            |   3 +
>  drivers/gpu/drm/i915/i915_gem_context.h            |   2 +
>  drivers/gpu/drm/i915/i915_gem_evict.c              |  89 ++-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  12 +
>  drivers/gpu/drm/i915/i915_gem_fence_reg.h          |   1 -
>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  30 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.h                |  53 +-
>  drivers/gpu/drm/i915/i915_gem_object.h             |  45 +-
>  drivers/gpu/drm/i915/i915_gem_shrinker.c           |  12 +-
>  drivers/gpu/drm/i915/i915_gem_stolen.c             |   5 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c              | 231 ++----
>  drivers/gpu/drm/i915/i915_gpu_error.h              |  40 +-
>  drivers/gpu/drm/i915/i915_irq.c                    | 118 +--
>  drivers/gpu/drm/i915/i915_params.c                 |   6 +-
>  drivers/gpu/drm/i915/i915_params.h                 |   2 +-
>  drivers/gpu/drm/i915/i915_pci.c                    |  11 +-
>  drivers/gpu/drm/i915/i915_reg.h                    |  62 +-
>  drivers/gpu/drm/i915/i915_request.c                | 204 ++---
>  drivers/gpu/drm/i915/i915_request.h                | 134 +++-
>  drivers/gpu/drm/i915/i915_reset.c                  | 500 ++++++------
>  drivers/gpu/drm/i915/i915_reset.h                  |   3 +
>  drivers/gpu/drm/i915/i915_scheduler.c              |  29 +-
>  drivers/gpu/drm/i915/i915_selftest.h               |   1 +
>  drivers/gpu/drm/i915/i915_timeline.c               | 253 +++++-
>  drivers/gpu/drm/i915/i915_timeline.h               |  27 +-
>  drivers/gpu/drm/i915/i915_trace.h                  |  25 -
>  drivers/gpu/drm/i915/i915_vma.c                    |  84 +-
>  drivers/gpu/drm/i915/i915_vma.h                    |  47 +-
>  drivers/gpu/drm/i915/intel_atomic_plane.c          |  36 +-
>  drivers/gpu/drm/i915/intel_bios.c                  |   7 +
>  drivers/gpu/drm/i915/intel_breadcrumbs.c           | 863 +++++----------------
>  drivers/gpu/drm/i915/intel_color.c                 |  60 +-
>  drivers/gpu/drm/i915/intel_ddi.c                   |  20 +-
>  drivers/gpu/drm/i915/intel_device_info.h           |   2 +
>  drivers/gpu/drm/i915/intel_display.c               | 150 +++-
>  drivers/gpu/drm/i915/intel_dpll_mgr.c              |  99 ++-
>  drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +-
>  drivers/gpu/drm/i915/intel_drv.h                   |   4 +-
>  drivers/gpu/drm/i915/intel_engine_cs.c             | 259 ++++---
>  drivers/gpu/drm/i915/intel_gpu_commands.h          |   1 -
>  drivers/gpu/drm/i915/intel_guc_submission.c        |  22 +-
>  drivers/gpu/drm/i915/intel_hangcheck.c             |  30 +-
>  drivers/gpu/drm/i915/intel_lrc.c                   | 365 ++++++---
>  drivers/gpu/drm/i915/intel_mocs.c                  | 406 ++++++----
>  drivers/gpu/drm/i915/intel_overlay.c               |   2 -
>  drivers/gpu/drm/i915/intel_pm.c                    | 130 ++--
>  drivers/gpu/drm/i915/intel_ringbuffer.c            | 286 ++++---
>  drivers/gpu/drm/i915/intel_ringbuffer.h            | 166 ++--
>  drivers/gpu/drm/i915/intel_sprite.c                |   2 +-
>  drivers/gpu/drm/i915/intel_tv.c                    | 718 +++++++++++++----
>  drivers/gpu/drm/i915/intel_workarounds.c           |  70 +-
>  drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   7 +-
>  drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   5 +-
>  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
>  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   3 +-
>  drivers/gpu/drm/i915/selftests/i915_random.c       |  33 +-
>  drivers/gpu/drm/i915/selftests/i915_random.h       |   3 +
>  drivers/gpu/drm/i915/selftests/i915_request.c      | 425 ++++++++++
>  drivers/gpu/drm/i915/selftests/i915_selftest.c     |  47 ++
>  drivers/gpu/drm/i915/selftests/i915_timeline.c     | 464 ++++++++++-
>  drivers/gpu/drm/i915/selftests/i915_vma.c          |   4 +-
>  drivers/gpu/drm/i915/selftests/igt_live_test.c     |   7 -
>  drivers/gpu/drm/i915/selftests/igt_spinner.c       |   5 -
>  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c | 470 -----------
>  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  88 +--
>  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 138 ++++
>  drivers/gpu/drm/i915/selftests/intel_workarounds.c |   3 -
>  drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |  54 ++
>  drivers/gpu/drm/i915/selftests/lib_sw_fence.h      |   3 +
>  drivers/gpu/drm/i915/selftests/mock_engine.c       |  58 +-
>  drivers/gpu/drm/i915/selftests/mock_engine.h       |   6 -
>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  11 +-
>  drivers/gpu/drm/i915/selftests/mock_timeline.c     |   3 +-
>  drivers/gpu/drm/panel/panel-innolux-p079zca.c      |  11 +-
>  drivers/gpu/drm/stm/ltdc.c                         |   4 +-
>  drivers/gpu/drm/sun4i/sun4i_backend.c              |  25 +-
>  drivers/gpu/drm/sun4i/sun4i_drv.c                  |   1 +
>  drivers/gpu/drm/sun4i/sun4i_frontend.c             | 350 ++++++++-
>  drivers/gpu/drm/sun4i/sun4i_frontend.h             |  52 ++
>  drivers/gpu/drm/sun4i/sun4i_layer.c                |  28 +-
>  drivers/gpu/drm/tinydrm/core/tinydrm-core.c        |  21 +-
>  drivers/gpu/drm/tinydrm/core/tinydrm-helpers.c     | 100 +--
>  drivers/gpu/drm/tinydrm/core/tinydrm-pipe.c        |  31 -
>  drivers/gpu/drm/tinydrm/hx8357d.c                  |   2 +-
>  drivers/gpu/drm/tinydrm/ili9225.c                  | 149 ++--
>  drivers/gpu/drm/tinydrm/ili9341.c                  |   2 +-
>  drivers/gpu/drm/tinydrm/mi0283qt.c                 |   2 +-
>  drivers/gpu/drm/tinydrm/mipi-dbi.c                 |  94 ++-
>  drivers/gpu/drm/tinydrm/repaper.c                  |  43 +-
>  drivers/gpu/drm/tinydrm/st7586.c                   |  86 +-
>  drivers/gpu/drm/tinydrm/st7735r.c                  |   2 +-
>  include/drm/drm_color_mgmt.h                       |   3 +-
>  include/drm/drm_damage_helper.h                    |   3 +
>  include/drm/drm_fb_cma_helper.h                    |  22 -
>  include/drm/drm_fourcc.h                           | 117 +++
>  include/drm/drm_gem_framebuffer_helper.h           |   3 +
>  include/drm/drm_util.h                             |   2 +-
>  include/drm/i915_pciids.h                          |   4 +
>  include/drm/tinydrm/mipi-dbi.h                     |   5 +-
>  include/drm/tinydrm/tinydrm-helpers.h              |  20 +-
>  include/drm/tinydrm/tinydrm.h                      |  26 -
>  include/linux/dma-fence-array.h                    |   1 +
>  include/uapi/drm/drm_fourcc.h                      |  16 +
>  127 files changed, 5732 insertions(+), 4095 deletions(-)
>  create mode 100644 Documentation/devicetree/bindings/display/bridge/ti,ds90c185.txt
>  delete mode 100644 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-02-02  8:29 Rodrigo Vivi
  2019-02-04  5:02 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2019-02-02  8:29 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel

Hi Dave and Daniel,

Here goes another pull request for 5.1.

Maybe I will still send another next week.

This pull also include a GVT one with:
"
Here is gvt-next stuff. This includes Coffeelake support for GVT,
making kvmgt as self load module to have better dependence with
vfio/mdev, with some const treatment and kernel type change.
"

And also it includes a drm change for constify drm_color_lut_check.

Rest of details are on the tags below.

drm-intel-next-2019-02-02:
- Make background color and LUT more robust (Matt)
- Icelake display fixes (Ville, Imre)
- Workarounds fixes and reorg (Tvrtko, Talha)
- Enable fastboot by default on VLV and CHV (Hans)
- Add another PCI ID for Coffee Lake (Rodrigo)

drm-intel-next-2019-01-29:
- MOCS table rework for simplification and to add ICL (Lucas, Tomasz)
- Move RPCS setup to context pin (Tvrtko)
- Breadcrumb simplification and GPU Reset improvements (Chris)
- Many fixes for TV modeset (Ville)
- Clean up on atomic plane checks (Ville)
- NV12 pich check fix (Raviraj)
- Disable -Wuninitialized (Nathan)
- Sanitize DPLL state for broken BIOSes on SNB (Ville)
- Rework on vma locking and counting and introduce a concept of per-timeline
  HWSP (Chris)
- Enable fastboot by default on Skylake and newer platforms (Hans)
- Fix slk srckey mask bits (Ville)
- Selftests fixes (Chris)
- Execlists and preemption improvements and fixes (Chris)
- drm consitify drm_color_lut_check (Ville)
- Ice Lake clock fixes (Lucas)

Thanks,
Rodrigo.

The following changes since commit 85baa5dbf79163026dcb78f742294c522e176432:

  drm/i915: Update DRIVER_DATE to 20190124 (2019-01-24 15:00:59 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-02-02

for you to fetch changes up to 46c0cd8c562bc3e4a99cbaa4ba0904b6871b7b4b:

  drm/i915: Update DRIVER_DATE to 20190202 (2019-02-02 00:14:28 -0800)

----------------------------------------------------------------
- Make background color and LUT more robust (Matt)
- Icelake display fixes (Ville, Imre)
- Workarounds fixes and reorg (Tvrtko, Talha)
- Enable fastboot by default on VLV and CHV (Hans)
- Add another PCI ID for Coffee Lake (Rodrigo)

----------------------------------------------------------------
Chris Wilson (27):
      drm/i915: Measure the required reserved size for request emission
      drm/i915: Remove manual breadcumb counting
      drm/i915: Compute the HWS offsets explicitly
      drm/i915: Make all GPU resets atomic
      drm/i915/guc: Disable global reset
      drm/i915: Remove GPU reset dependence on struct_mutex
      drm/i915/selftests: Trim struct_mutex duration for set-wedged selftest
      drm/i915: Issue engine resets onto idle engines
      drm/i915: Wait for a moment before forcibly resetting the device
      drm/i915: Stop tracking MRU activity on VMA
      drm/i915: Pull VM lists under the VM mutex.
      drm/i915: Move vma lookup to its own lock
      drm/i915: Always allocate an object/vma for the HWSP
      drm/i915: Move list of timelines under its own lock
      drm/i915: Introduce concept of per-timeline (context) HWSP
      drm/i915: Enlarge vma->pin_count
      drm/i915: Allocate a status page for each timeline
      drm/i915: Share per-timeline HWSP using a slab suballocator
      drm/i915: Track the context's seqno in its own timeline HWSP
      drm/i915: Track active timelines
      drm/i915/selftests: Apply a subtest filter
      drm/i915: Identify active requests
      drm/i915: Rename execlists->queue_priority to queue_priority_hint
      drm/i915/execlists: Suppress preempting self
      drm/i915: Remove the intel_engine_notify tracepoint
      drm/i915: Replace global breadcrumbs with per-context interrupt tracking
      drm/i915: Drop fake breadcrumb irq

Hang Yuan (3):
      drm/i915/gvt: add functions to get default resolution
      drm/i915/gvt: add hotplug emulation
      drm/i915/gvt: add VFIO EDID region

Hans de Goede (2):
      drm/i915: Enable fastboot by default on Skylake and newer
      drm/i915: Enable fastboot by default on VLV and CHV

Imre Deak (2):
      drm/i915/icl: Add TypeC ports only if VBT is present
      drm/i915/icl: Work around broken VBTs for port F detection

Lucas De Marchi (9):
      drm/i915: initialize unused MOCS entries to PTE
      drm/i915: Simplify MOCS table definition
      drm/i915: use a macro to define MOCS entries
      drm/i915: keep track of used entries in MOCS table
      drm/i915: cache number of MOCS entries
      drm/i915/icl: use tc_port in MG_PLL macros
      drm/i915: always return something on DDI clock selection
      drm/i915/icl: remove dpll from clk_sel
      drm/i915/icl: keep track of unused pll while looping

Matt Roper (2):
      drm/i915: Force background color to black for gen9+ (v2)
      drm/i915: Apply LUT validation checks to platforms more accurately (v3)

Nathan Chancellor (1):
      drm/i915: Disable -Wuninitialized

P Raviraj Sitaram (1):
      drm/i915: correct the pitch check for NV12 framebuffer

Rodrigo Vivi (5):
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190129
      Merge tag 'gvt-next-2019-02-01' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915/cfl: Adding another PCI Device ID.
      drm/i915: Update DRIVER_DATE to 20190202

Talha Nassar (1):
      drm/i915/icl: restore WaEnableFloatBlendOptimization

Tomasz Lis (2):
      drm/i915/skl: Rework MOCS tables to keep common part in a define
      drm/i915/icl: Define MOCS table for Icelake

Tvrtko Ursulin (3):
      drm/i915/execlists: Move RPCS setup to context pin
      drm/i915: Move workaround infrastructure code up
      drm/i915: Save some lines of source code in workarounds

Ville Syrjälä (33):
      drm/i915: Don't try to use the hardware frame counter with i965gm TV output
      drm/i915/tv: Fix interlaced ysize calculation
      drm/i915/tv: Fix tv mode clocks
      drm/i915/tv: Store the TV oversampling factor in the TV mode
      drm/i915/tv: Use bools where appropriate
      drm/i915/tv: Nuke silly 0 initialzation of xpos/ypos
      drm/i915/tv: Deobfuscate preferred mode selection
      drm/i915/tv: Use drm_mode_set_name() to name TV modes
      drm/i915/tv: Make TV mode autoselection actually useable
      drm/i915/tv: Nuke reported_modes[]
      drm/i915/tv: Add 1080p30/50/60 TV modes
      drm/i915/tv: Generate better pipe timings for TV encoder
      drm/i915/tv: Fix >1024 modes on gen3
      drm/i915/tv: Filter out >1024 wide modes that would need vertical scaling on gen3
      drm/i915: Clean up intel_plane_atomic_check_with_state()
      drm/i915/tv: Fix return value for intel_tv_compute_config()
      drm/i915/tv: Use the scanline counter for timestamps on i965gm TV output
      drm/i915: Try to sanitize bogus DPLL state left over by broken SNB BIOSen
      drm/i915: Fix skl srckey mask bits
      drm: Constify drm_color_lut_check()
      drm/i915/tv: Fix adjusted_mode dotclock for interlaced modes
      drm/i915/tv: Bypass the vertical filter if possible
      drm/i915: Don't ignore level 0 lines watermark for glk+
      drm/i915: Reinstate an early latency==0 check for skl+
      drm/i915: Fix bits vs. bytes mixup in dbuf block size computation
      drm/i915: Fix > vs >= mismatch in watermark/ddb calculations
      drm/i915: Account for minimum ddb allocation restrictions
      drm/i915: Pass dev_priv to skl_needs_memory_bw_wa()
      drm/i915: Drop the definite article in front of SAGV
      drm/i915: Drop the pointless linetime==0 check
      drm/i915: Use IS_GEN9_LP() for the linetime w/a check
      drm/i915: Don't use the second dbuf slice on icl
      drm/i915: Pick the first unused PLL once again

 .../bindings/display/bridge/lvds-transmitter.txt   |  12 +-
 .../bindings/display/bridge/thine,thc63lvdm83d.txt |   2 +-
 .../bindings/display/bridge/ti,ds90c185.txt        |  55 ++
 Documentation/gpu/todo.rst                         |  35 -
 drivers/gpu/drm/Kconfig                            |   4 -
 drivers/gpu/drm/bridge/lvds-encoder.c              |  53 +-
 drivers/gpu/drm/drm_color_mgmt.c                   |   5 +-
 drivers/gpu/drm/drm_damage_helper.c                |  41 +
 drivers/gpu/drm/drm_dp_helper.c                    |  11 +-
 drivers/gpu/drm/drm_fb_cma_helper.c                | 137 +---
 drivers/gpu/drm/drm_fb_helper.c                    |  12 +-
 drivers/gpu/drm/drm_gem_framebuffer_helper.c       |  50 +-
 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/gvt/display.c                 |  31 +
 drivers/gpu/drm/i915/gvt/display.h                 |  37 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |   1 +
 drivers/gpu/drm/i915/gvt/gvt.h                     |   3 +
 drivers/gpu/drm/i915/gvt/hypercall.h               |   1 +
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 143 ++++
 drivers/gpu/drm/i915/gvt/mpt.h                     |  17 +
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   6 +
 drivers/gpu/drm/i915/i915_debugfs.c                | 162 +---
 drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
 drivers/gpu/drm/i915/i915_gem.c                    | 195 ++---
 drivers/gpu/drm/i915/i915_gem_context.c            |   3 +
 drivers/gpu/drm/i915/i915_gem_context.h            |   2 +
 drivers/gpu/drm/i915/i915_gem_evict.c              |  89 ++-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  12 +
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |   1 -
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  30 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  53 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |  45 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |  12 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   5 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              | 231 ++----
 drivers/gpu/drm/i915/i915_gpu_error.h              |  40 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 118 +--
 drivers/gpu/drm/i915/i915_params.c                 |   6 +-
 drivers/gpu/drm/i915/i915_params.h                 |   2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  11 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  62 +-
 drivers/gpu/drm/i915/i915_request.c                | 204 ++---
 drivers/gpu/drm/i915/i915_request.h                | 134 +++-
 drivers/gpu/drm/i915/i915_reset.c                  | 500 ++++++------
 drivers/gpu/drm/i915/i915_reset.h                  |   3 +
 drivers/gpu/drm/i915/i915_scheduler.c              |  29 +-
 drivers/gpu/drm/i915/i915_selftest.h               |   1 +
 drivers/gpu/drm/i915/i915_timeline.c               | 253 +++++-
 drivers/gpu/drm/i915/i915_timeline.h               |  27 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  25 -
 drivers/gpu/drm/i915/i915_vma.c                    |  84 +-
 drivers/gpu/drm/i915/i915_vma.h                    |  47 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |  36 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   7 +
 drivers/gpu/drm/i915/intel_breadcrumbs.c           | 863 +++++----------------
 drivers/gpu/drm/i915/intel_color.c                 |  60 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  20 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   2 +
 drivers/gpu/drm/i915/intel_display.c               | 150 +++-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  99 ++-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   4 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 259 ++++---
 drivers/gpu/drm/i915/intel_gpu_commands.h          |   1 -
 drivers/gpu/drm/i915/intel_guc_submission.c        |  22 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  30 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 365 ++++++---
 drivers/gpu/drm/i915/intel_mocs.c                  | 406 ++++++----
 drivers/gpu/drm/i915/intel_overlay.c               |   2 -
 drivers/gpu/drm/i915/intel_pm.c                    | 130 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 286 ++++---
 drivers/gpu/drm/i915/intel_ringbuffer.h            | 166 ++--
 drivers/gpu/drm/i915/intel_sprite.c                |   2 +-
 drivers/gpu/drm/i915/intel_tv.c                    | 718 +++++++++++++----
 drivers/gpu/drm/i915/intel_workarounds.c           |  70 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   7 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   5 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   3 +-
 drivers/gpu/drm/i915/selftests/i915_random.c       |  33 +-
 drivers/gpu/drm/i915/selftests/i915_random.h       |   3 +
 drivers/gpu/drm/i915/selftests/i915_request.c      | 425 ++++++++++
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |  47 ++
 drivers/gpu/drm/i915/selftests/i915_timeline.c     | 464 ++++++++++-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   4 +-
 drivers/gpu/drm/i915/selftests/igt_live_test.c     |   7 -
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   5 -
 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c | 470 -----------
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  88 +--
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 138 ++++
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |   3 -
 drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |  54 ++
 drivers/gpu/drm/i915/selftests/lib_sw_fence.h      |   3 +
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  58 +-
 drivers/gpu/drm/i915/selftests/mock_engine.h       |   6 -
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  11 +-
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |   3 +-
 drivers/gpu/drm/panel/panel-innolux-p079zca.c      |  11 +-
 drivers/gpu/drm/stm/ltdc.c                         |   4 +-
 drivers/gpu/drm/sun4i/sun4i_backend.c              |  25 +-
 drivers/gpu/drm/sun4i/sun4i_drv.c                  |   1 +
 drivers/gpu/drm/sun4i/sun4i_frontend.c             | 350 ++++++++-
 drivers/gpu/drm/sun4i/sun4i_frontend.h             |  52 ++
 drivers/gpu/drm/sun4i/sun4i_layer.c                |  28 +-
 drivers/gpu/drm/tinydrm/core/tinydrm-core.c        |  21 +-
 drivers/gpu/drm/tinydrm/core/tinydrm-helpers.c     | 100 +--
 drivers/gpu/drm/tinydrm/core/tinydrm-pipe.c        |  31 -
 drivers/gpu/drm/tinydrm/hx8357d.c                  |   2 +-
 drivers/gpu/drm/tinydrm/ili9225.c                  | 149 ++--
 drivers/gpu/drm/tinydrm/ili9341.c                  |   2 +-
 drivers/gpu/drm/tinydrm/mi0283qt.c                 |   2 +-
 drivers/gpu/drm/tinydrm/mipi-dbi.c                 |  94 ++-
 drivers/gpu/drm/tinydrm/repaper.c                  |  43 +-
 drivers/gpu/drm/tinydrm/st7586.c                   |  86 +-
 drivers/gpu/drm/tinydrm/st7735r.c                  |   2 +-
 include/drm/drm_color_mgmt.h                       |   3 +-
 include/drm/drm_damage_helper.h                    |   3 +
 include/drm/drm_fb_cma_helper.h                    |  22 -
 include/drm/drm_fourcc.h                           | 117 +++
 include/drm/drm_gem_framebuffer_helper.h           |   3 +
 include/drm/drm_util.h                             |   2 +-
 include/drm/i915_pciids.h                          |   4 +
 include/drm/tinydrm/mipi-dbi.h                     |   5 +-
 include/drm/tinydrm/tinydrm-helpers.h              |  20 +-
 include/drm/tinydrm/tinydrm.h                      |  26 -
 include/linux/dma-fence-array.h                    |   1 +
 include/uapi/drm/drm_fourcc.h                      |  16 +
 127 files changed, 5732 insertions(+), 4095 deletions(-)
 create mode 100644 Documentation/devicetree/bindings/display/bridge/ti,ds90c185.txt
 delete mode 100644 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-01-28 18:10 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2019-01-28 18:10 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter
  Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel, Rodrigo Vivi, Sean Paul

Hi Dave,

This pull includes the tag as described below and the GVT stuff, which
"
includes Coffeelake support for GVT,
making kvmgt as self load module to have better dependence with
vfio/mdev, with some const treatment and kernel type change.
"

Also please notice that we have a drm color management LUT validation helper
coming on this bucket.


Here goes drm-intel-next-2019-01-24:
- Track all runtime-PM wakerefs and other rpm improvements (Chris)
- Fix ILK-IVB primary plane enable delays (Juha-Pekka)
- Differentiate between gtt->mutex and ppgtt->mutex (Chris)
- Prevent concurrent GGTT update and use on Braswell (Chris)
- Fix CNL macros for DDI vswing (Aditya)
- Fix static code analysis warning (RK)
- Only dump GPU state on set-wedged if interesting (Chris)
- Port F detection improvements (Imre)
- userptr mutex lock fixes (Chris)
- Fix on MST allocation by propagating error value at compute_config (Lyude)
- Serialise concurrent calls to set_wedge (Chris)
- Unify reset functionality into i915_reset.c (Chris)
- Switch to kernel fixed size types (Jani)
- Limit the for_each_set_bit to the valid range (Chris)
- Fix wakeref cooie handling (Tvrtko)
- IRQs handling improvements (Chris)
- Selftests improvements (Chris)
- Remove superfluous PANEL_POWER_OFF macro (Jani)
- Global seqno fix (Chris)
- DSI fixes (Hans)
- Refactor out intel_context_init() (Chris)
- Show all active engines on hangcheck (Chris)
- PSR2 fixes and improvements (Jose)
- Do a posting read after irq install on Ice Lake (Daniele)
- Add few more device IDs for Ice Lake (Rodrigo)
- Mark up priority boost on preemption (Chris)
- Add color management LUT validation helper (Matt)
- Split out intel_crt_present to platform specific setup (Jani)
- LVDS and TV clean up and improvements (Jani)
- Simplify CRT VBT check for per-VLV/DDI (Jani)
- De-inline intel_context_init() (Chris)
- Backlight fixes (Maarten)
- Enable fastset for non-boot modesets (Maarten)
- Make HW readout mark CRTC scaler as in use (Maarten)

Thanks,
Rodrigo.

The following changes since commit f164a94c2c87752caeb1a3cbe068c440e7f7921f:

  Merge tag 'drm-misc-next-2019-01-16' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2019-01-18 09:31:28 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-01-24

for you to fetch changes up to 85baa5dbf79163026dcb78f742294c522e176432:

  drm/i915: Update DRIVER_DATE to 20190124 (2019-01-24 15:00:59 -0800)

----------------------------------------------------------------
- Track all runtime-PM wakerefs and other rpm improvements (Chris)
- Fix ILK-IVB primary plane enable delays (Juha-Pekka)
- Differentiate between gtt->mutex and ppgtt->mutex (Chris)
- Prevent concurrent GGTT update and use on Braswell (Chris)
- Fix CNL macros for DDI vswing (Aditya)
- Fix static code analysis warning (RK)
- Only dump GPU state on set-wedged if interesting (Chris)
- Port F detection improvements (Imre)
- userptr mutex lock fixes (Chris)
- Fix on MST allocation by propagating error value at compute_config (Lyude)
- Serialise concurrent calls to set_wedge (Chris)
- Unify reset functionality into i915_reset.c (Chris)
- Switch to kernel fixed size types (Jani)
- Limit the for_each_set_bit to the valid range (Chris)
- Fix wakeref cooie handling (Tvrtko)
- IRQs handling improvements (Chris)
- Selftests improvements (Chris)
- Remove superfluous PANEL_POWER_OFF macro (Jani)
- Global seqno fix (Chris)
- DSI fixes (Hans)
- Refactor out intel_context_init() (Chris)
- Show all active engines on hangcheck (Chris)
- PSR2 fixes and improvements (Jose)
- Do a posting read after irq install on Ice Lake (Daniele)
- Add few more device IDs for Ice Lake (Rodrigo)
- Mark up priority boost on preemption (Chris)
- Add color management LUT validation helper (Matt)
- Split out intel_crt_present to platform specific setup (Jani)
- LVDS and TV clean up and improvements (Jani)
- Simplify CRT VBT check for per-VLV/DDI (Jani)
- De-inline intel_context_init() (Chris)
- Backlight fixes (Maarten)
- Enable fastset for non-boot modesets (Maarten)
- Make HW readout mark CRTC scaler as in use (Maarten)

----------------------------------------------------------------
Aditya Swarup (1):
      drm/i915/cnl: Fix CNL macros for Voltage Swing programming

Chris Wilson (46):
      drm/i915: Track all held rpm wakerefs
      drm/i915: Markup paired operations on wakerefs
      drm/i915: Track GT wakeref
      drm/i915: Track the rpm wakerefs for error handling
      drm/i915: Mark up sysfs with rpm wakeref tracking
      drm/i915: Mark up debugfs with rpm wakeref tracking
      drm/i915/perf: Track the rpm wakeref
      drm/i915/pmu: Track rpm wakeref
      drm/i915/guc: Track the rpm wakeref
      drm/i915/gem: Track the rpm wakerefs
      drm/i915/fb: Track rpm wakerefs
      drm/i915/hotplug: Track temporary rpm wakeref
      drm/i915/panel: Track temporary rpm wakeref
      drm/i915/selftests: Mark up rpm wakerefs
      drm/i915: Syntatic sugar for using intel_runtime_pm
      drm/i915: Markup paired operations on display power domains
      drm/i915: Track the wakeref used to initialise display power domains
      drm/i915/dp: Markup pps lock power well
      drm/i915: Complain if hsw_get_pipe_config acquires the same power well twice
      drm/i915: Combined gt.awake/gt.power wakerefs
      drm/i915: Mark up Ironlake ips with rpm wakerefs
      drm/i915: Differentiate between ggtt->mutex and ppgtt->mutex
      drm/i915: Prevent concurrent GGTT update and use on Braswell (again)
      drm/i915/perf: Annotate i915_perf.wakeref for keneldoc
      drm/i915: Only dump GPU state on set-wedged if interesting
      drm/i915/userptr: Avoid struct_mutex recursion for mmu_invalidate_range_start
      drm/i915: Move intel_execlists_show_requests() aside
      drm/i915/userptr: Fix error handling of mutex_lock_killable()
      drm/i915: Serialise concurrent calls to i915_gem_set_wedged()
      drm/i915: Pull all the reset functionality together into i915_reset.c
      drm/i915: Limit the for_each_set_bit() to the valid range
      drm/i915/breadcrumbs: Drop assertion that we've already enabled irqs
      drm/i915/selftests: Query the vm under test for hugepage support
      drm/i915: Use b->irq_enable() as predicate for mock engine
      drm/i915/selftests: Make evict tolerant of foreign objects
      drm/i915/selftests: Allocate mock ring/timeline per context
      drm/i915: Prevent use of global_seqno=0
      drm/i915/selftests: Track evict objects explicitly
      drm/i915/selftests: Create a clean GGTT for vma/gtt selftesting
      drm/i915/selftests: Refactor common live_test framework
      drm/i915/selftests: Use common mock_engine::advance
      drm/i915: Refactor out intel_context_init()
      drm/i915: Tidy common test_bit probing of i915_request->fence.flags
      drm/i915: Show all active engines on hangcheck
      drm/i915/execlists: Mark up priority boost on preemption
      drm/i915: De-inline intel_context_init()

Daniele Ceraolo Spurio (1):
      drm/i915/icl: do a posting read after irq install

Hans de Goede (2):
      drm/i915/dsi: Fix pipe_bpp for handling for 6 bpc pixel-formats
      drm/i915/dsi: Enable dithering for 6 bpc panels

Imre Deak (2):
      drm/i915/ddi: Move DDI port detection to the corresponding helper
      drm/i915/icl: Detect port F presence via VBT

Jani Nikula (29):
      drm/i915/gvt: remove drmP.h include
      drm/i915/gvt: give the cmd parser decode_info a const treatment
      drm/i915/gvt: give the cmd parser cmd_info a const treatment
      drm/i915: small isolated c99 types to kernel types switch
      drm/i915/crt: switch to kernel types
      drm/i915/lspcon: switch to kernel types
      drm/i915/debugfs: switch to kernel types
      drm/i915/irq: switch to kernel types
      drm/i915/cdclk: switch to kernel types
      drm/i915/dpll_mgr: switch to kernel types
      drm/i915/dp: switch to kernel types
      drm/i915/sprite: switch to kernel types
      drm/i915/dp: remove PANEL_POWER_OFF macro and its use
      drm/i915/color: switch to kernel types
      drm/i915/pm: switch to kernel types
      drm/i915/ddi: switch to kernel types
      drm/i915/csr: switch to kernel types
      drm/i915/display: switch to kernel types
      drm/i915/i915_drv.h: switch to kernel types
      drm/i915/intel_drv.h: switch to kernel types
      drm/i915/gvt: switch to kernel types
      drm/i915/sdvo: switch to kernel types
      drm/i915/crt: split out intel_crt_present() to platform specific setup
      drm/i915/lvds: only call intel_lvds_init() on platforms that might have LVDS
      drm/i915/lvds: nuke intel_lvds_supported()
      drm/i915/tv: only call intel_tv_init() on platforms that might have TV
      drm/i915: rename has_edp_a() to ilk_has_edp_a()
      drm/i915/lvds: simplify gen 2 lvds presence
      drm/i915/crt: simplify CRT VBT check on pre-VLV/DDI

José Roberto de Souza (4):
      drm/i915/psr: Allow PSR2 to be enabled when debugfs asks
      drm/i915: Refactor PSR status debugfs
      drm/i915: Add PSR2 selective update status registers and bits definitions
      drm/i915/debugfs: Print PSR selective update status register values

Juha-Pekka Heikkila (1):
      drm/i915: Fix ILK-IVB primary plane enable delays

Lyude Paul (1):
      drm/i915: Pass down rc in intel_encoder->compute_config()

Maarten Lankhorst (4):
      drm/i915/backlight: Restore backlight on resume, v3.
      drm/i915/backlight: Fix backlight takeover on LPT, v3.
      drm/i915: Enable fastset for non-boot modesets.
      drm/i915: Make HW readout mark CRTC scaler as in use.

Matt Roper (2):
      drm: Add color management LUT validation helper (v4)
      drm/i915: Validate userspace-provided color management LUT's (v4)

Radhakrishna Sripada (1):
      drm/i915: Fix the static code analysis warning in debugfs

Rodrigo Vivi (4):
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915/icl: Adding few more device IDs for Ice Lake
      Merge tag 'gvt-next-2019-01-24' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20190124

Tvrtko Ursulin (1):
      drm/i915: Fix wakeref cookie handling in debugfs/i915_forcewake_user

Zhenyu Wang (3):
      drm/i915/gvt: mandatory require hypervisor's host_init
      drm/i915/gvt: remove unused parameter for hypervisor's host_exit call
      drm/i915/gvt: Change KVMGT as self load module

fred gao (6):
      drm/i915/gvt: Add coffeelake platform definition
      drm/i915/gvt: Add mmio handler for CFL
      drm/i915/gvt: Enable gfx virtualiztion for CFL
      drm/i915/gvt: Reuse the gmbus pin macro
      drm/i915/gvt: Refine port select logic for CFL platform
      drm/i915: Enable gfx virtualization for Coffeelake platform

 drivers/acpi/pmic/intel_pmic.c                     |   61 +
 drivers/acpi/pmic/intel_pmic.h                     |    4 +
 drivers/acpi/pmic/intel_pmic_chtwc.c               |   19 +
 drivers/acpi/pmic/intel_pmic_xpower.c              |    1 +
 drivers/gpu/drm/drm_color_mgmt.c                   |   44 +
 drivers/gpu/drm/drm_dp_helper.c                    |    2 +
 drivers/gpu/drm/i915/Kconfig.debug                 |    3 +-
 drivers/gpu/drm/i915/Makefile                      |    7 +-
 drivers/gpu/drm/i915/dvo.h                         |    1 -
 drivers/gpu/drm/i915/gvt/Makefile                  |    1 -
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |    8 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   83 +-
 drivers/gpu/drm/i915/gvt/display.c                 |   12 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    5 +-
 drivers/gpu/drm/i915/gvt/edid.c                    |   32 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |   12 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |  108 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    8 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   29 +-
 drivers/gpu/drm/i915/gvt/hypercall.h               |    9 +-
 drivers/gpu/drm/i915/gvt/interrupt.c               |    4 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   42 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |    6 +-
 drivers/gpu/drm/i915/gvt/mmio.h                    |   11 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   18 +-
 drivers/gpu/drm/i915/gvt/mpt.h                     |   13 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c            |    4 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   11 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |    2 +-
 drivers/gpu/drm/i915/gvt/trace.h                   |    2 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    4 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  896 ++++++-------
 drivers/gpu/drm/i915/i915_drv.c                    |  265 +---
 drivers/gpu/drm/i915/i915_drv.h                    |  474 +++----
 drivers/gpu/drm/i915/i915_gem.c                    |  752 ++---------
 drivers/gpu/drm/i915/i915_gem_context.c            |   18 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |    4 +
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |    1 -
 drivers/gpu/drm/i915/i915_gem_evict.c              |    1 -
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   10 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   25 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  209 +--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    4 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    1 -
 drivers/gpu/drm/i915/i915_gem_object.h             |   10 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |  163 +--
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    8 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |    5 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |  225 ++--
 drivers/gpu/drm/i915/i915_gpu_error.c              |   86 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    5 +-
 drivers/gpu/drm/i915/i915_ioc32.c                  |    1 -
 drivers/gpu/drm/i915/i915_irq.c                    |  363 +----
 drivers/gpu/drm/i915/i915_params.c                 |   32 +-
 drivers/gpu/drm/i915/i915_params.h                 |   11 +
 drivers/gpu/drm/i915/i915_pci.c                    |    6 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   20 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |   23 +-
 drivers/gpu/drm/i915/i915_query.c                  |    2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  250 ++--
 drivers/gpu/drm/i915/i915_request.c                |  220 +---
 drivers/gpu/drm/i915/i915_request.h                |    6 +-
 drivers/gpu/drm/i915/i915_reset.c                  | 1389 ++++++++++++++++++++
 drivers/gpu/drm/i915/i915_reset.h                  |   56 +
 drivers/gpu/drm/i915/i915_suspend.c                |   13 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   31 +-
 drivers/gpu/drm/i915/i915_timeline.h               |    8 -
 drivers/gpu/drm/i915/i915_trace.h                  |   30 -
 drivers/gpu/drm/i915/icl_dsi.c                     |   37 +-
 drivers/gpu/drm/i915/intel_acpi.c                  |    1 -
 drivers/gpu/drm/i915/intel_atomic.c                |    7 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |    5 +-
 drivers/gpu/drm/i915/intel_audio.c                 |    6 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   23 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   74 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   60 +-
 drivers/gpu/drm/i915/intel_color.c                 |  274 ++--
 drivers/gpu/drm/i915/intel_connector.c             |    1 -
 drivers/gpu/drm/i915/intel_crt.c                   |   54 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   93 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  418 +++---
 drivers/gpu/drm/i915/intel_device_info.c           |  107 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   31 +-
 drivers/gpu/drm/i915/intel_display.c               |  450 ++++---
 drivers/gpu/drm/i915/intel_display.h               |    6 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  545 ++++----
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   32 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |    3 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |   18 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  205 +--
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |   53 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  202 +--
 drivers/gpu/drm/i915/intel_dsi.h                   |    6 +-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |   24 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    1 -
 drivers/gpu/drm/i915/intel_engine_cs.c             |  179 +--
 drivers/gpu/drm/i915/intel_fbc.c                   |   33 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   10 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   18 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |    1 -
 drivers/gpu/drm/i915/intel_guc.h                   |    3 +
 drivers/gpu/drm/i915/intel_guc_fw.c                |    6 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |   29 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |    3 +-
 drivers/gpu/drm/i915/intel_gvt.c                   |   12 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  183 +--
 drivers/gpu/drm/i915/intel_hdcp.c                  |   21 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   19 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |    6 +-
 drivers/gpu/drm/i915/intel_huc.c                   |    8 +-
 drivers/gpu/drm/i915/intel_huc_fw.c                |    7 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   21 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  318 +++--
 drivers/gpu/drm/i915/intel_lrc.h                   |   10 +-
 drivers/gpu/drm/i915/intel_lspcon.c                |   20 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   37 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |    2 +-
 drivers/gpu/drm/i915/intel_mocs.h                  |    1 -
 drivers/gpu/drm/i915/intel_opregion.c              |    1 -
 drivers/gpu/drm/i915/intel_overlay.c               |   11 +-
 drivers/gpu/drm/i915/intel_panel.c                 |  102 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   32 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 1123 +++++++---------
 drivers/gpu/drm/i915/intel_psr.c                   |   89 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  508 ++++---
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   96 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  594 +++++++--
 drivers/gpu/drm/i915/intel_sdvo.c                  |   79 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   91 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    1 -
 drivers/gpu/drm/i915/intel_uc.c                    |   15 +-
 drivers/gpu/drm/i915/intel_uc.h                    |    6 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |   11 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  508 +------
 drivers/gpu/drm/i915/intel_vdsc.c                  |    5 +-
 drivers/gpu/drm/i915/intel_wopcm.c                 |    6 +-
 drivers/gpu/drm/i915/intel_workarounds.c           |  131 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   42 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   47 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    5 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  140 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   98 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |  118 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   22 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |  113 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   77 +-
 drivers/gpu/drm/i915/selftests/igt_live_test.c     |   85 ++
 drivers/gpu/drm/i915/selftests/igt_live_test.h     |   35 +
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |   86 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         |   10 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  327 ++++-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |   31 +-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |  120 +-
 drivers/gpu/drm/i915/selftests/mock_context.c      |    7 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  110 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   15 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   15 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.h          |    4 +-
 drivers/gpu/drm/i915/vlv_dsi.c                     |   37 +-
 drivers/gpu/drm/i915/vlv_dsi_pll.c                 |   31 +-
 include/drm/drm_color_mgmt.h                       |   29 +
 include/drm/drm_dp_helper.h                        |   11 +
 include/drm/i915_pciids.h                          |    4 +
 include/linux/mfd/intel_soc_pmic.h                 |    3 +
 165 files changed, 7710 insertions(+), 7195 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_reset.c
 create mode 100644 drivers/gpu/drm/i915/i915_reset.h
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_live_test.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_live_test.h
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2019-01-14 18:38 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2019-01-14 18:38 UTC (permalink / raw)
  To: Dave Airlie, Daniel Vetter; +Cc: dim-tools, Maxime Ripard, intel-gfx, dri-devel

Hi Dave and Daniel,

Here goes first pull request targeting 5.1
made out of 2 tags:

drm-intel-next-2019-01-10:

- Unwind failure on pinning the gen7 PPGTT (Chris)
- Fastset updates to make sure DRRS and PSR are properly enabled (Hans)
- Header include clean-up (Brajeswar, Jani)
- Improvements and clean-up on debugfs (Chris, Jani)
- Avoid division by zero on CNL clocks setup (Xiao)
- Restrict PSMI context load w/a to Haswell GT1 (Chris)
- Remove HW semaphores for gen7 inter-engine sync (Chris)
- Pull the render flush into breadcrumb emission (Chris)
- i915_params copy and free helpers and other reorgs and docs (Jani)
- Remove has_pooled_eu static initializer (Tvrtko)
- Updates on kerneldoc (Chris)
- Remove redundant trailing request flush (Chris)
- ringbuffer irq seqno fixes and clean-up (Chris)
- splitting off runtime device info and other clean-up around (Jani)
- Selftests improvements (Chris, Daniele)
- Flush RING_IMR changes before changing the global GT IMR on gen6 and HSW (Chris)
- Some improvements and fixes around GPU reset and GPU hang report (Chris)
- Remove partial attempt to swizzle on pread/pwrite (Chris)
- Return immediately if trylock fails for direct-reclaim (Chris)
- Downgrade scare message for unknown HuC firmware (Jani)
- ACPI / PMIC for MIPI / DSI (Hans)
- Reduce i915_request_alloc retirement to local context (Chris)
- Init per-engine WAs for all engines (Daniele)
- drop DPF code for gen8+ (Daniele)
- Guard error capture against unpinned vma (Chris)
- Use mutex_lock_killable from inside the shrinker (Chris)
- Removing pooling from struct_mutex from vmap shrinker (Chris)

drm-intel-next-2018-12-21-1:

- Selftests fixes and improvements (Chris, Tvrtko)
- PSR/PSR2 fixes and improvements (Jose)
- Makefile style fixes (Pedro)
- Implement Vesa's DP Extended Receiver Capability field (Matt Atwood)
- Allow FBC with 5k on newer displays gen10+ (Jose)
- HDCP-1.4 fixes and improvements (Ram)
- Move Render ring mmio init workaround to new common wa_list (Chris)
- Execlist: Apply a full mb before execution for Braswell (Chris)
- Forcibly evict stale csb entries on ICL (Mika)
- Push EMIT_INVALIDATE at request start to backends (Chris)
- EMIT_INVALIDATE after switch context (Chris)
- Pipeline PDP updates for Braswell (chris)
- Skip the ERR_PTR error state (Chris)
- Flush GPU relocs harder for gen3 (Chris)
- Watermark fixes and improvements (Ville, Matt Roper, Paulo)
- Use intel_ types more consistently (Matt Roper)
- Fix HDMI SCDC scrambling enable for CTS (Clint)
- Expand DFSM pipe disable to gen >= 9 (Bob)
- IS_GEN/IS_GEN_RANGE reorg and improvements (Lucas)
- Ice Lake VDBoxes (Oscar/Chris)
- DSC per connector debugfs node and fix (Manasi)
- HuC updated version for Broxton (Anusha)
- Update crtc scaler settings when needed for gen9+ (Hans)
- Ice Lake vswing programming changes for combo ports (Clint)
- Apply missed interrupt after reset w/a to all ringbuffer gen (Chris)
- Ice Lake fixes for TypeC and Thunderbolt (Imre)

Thanks,
Rodrigo.

The following changes since commit bfeffd155283772bbe78c6a05dec7c0128ee500c:

  Linux 5.0-rc1 (2019-01-06 17:08:20 -0800)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2019-01-10

for you to fetch changes up to 74256b7ecf7790de78ea14facfc9dac02afcc76a:

  drm/i915: Update DRIVER_DATE to 20190110 (2019-01-10 15:18:57 -0800)

----------------------------------------------------------------
- Unwind failure on pinning the gen7 PPGTT (Chris)
- Fastset updates to make sure DRRS and PSR are properly enabled (Hans)
- Header include clean-up (Brajeswar, Jani)
- Improvements and clean-up on debugfs (Chris, Jani)
- Avoid division by zero on CNL clocks setup (Xiao)
- Restrict PSMI context load w/a to Haswell GT1 (Chris)
- Remove HW semaphores for gen7 inter-engine sync (Chris)
- Pull the render flush into breadcrumb emission (Chris)
- i915_params copy and free helpers and other reorgs and docs (Jani)
- Remove has_pooled_eu static initializer (Tvrtko)
- Updates on kerneldoc (Chris)
- Remove redundant trailing request flush (Chris)
- ringbuffer irq seqno fixes and clean-up (Chris)
- splitting off runtime device info and other clean-up around (Jani)
- Selftests improvements (Chris, Daniele)
- Flush RING_IMR changes before changing the global GT IMR on gen6 and HSW (Chris)
- Some improvements and fixes around GPU reset and GPU hang report (Chris)
- Remove partial attempt to swizzle on pread/pwrite (Chris)
- Return immediately if trylock fails for direct-reclaim (Chris)
- Downgrade scare message for unknown HuC firmware (Jani)
- ACPI / PMIC for MIPI / DSI (Hans)
- Reduce i915_request_alloc retirement to local context (Chris)
- Init per-engine WAs for all engines (Daniele)
- drop DPF code for gen8+ (Daniele)
- Guard error capture against unpinned vma (Chris)
- Use mutex_lock_killable from inside the shrinker (Chris)
- Removing pooling from struct_mutex from vmap shrinker (Chris)

----------------------------------------------------------------
Anusha Srivatsa (1):
      drm/i915/huc: Update the HuC version for BXT

Bob Paauwe (1):
      drm/i915: DFSM pipe disable is valid from gen9 onwards (v2)

Brajeswar Ghosh (2):
      drm/i915: Remove duplicate header from i915_request.h
      drm/intel_dsi_vbt: Remove duplicate header

Chris Wilson (43):
      drm/i915/selftests: Reorder request allocation vs vma pinning
      drm/i915/selftests: verify_gt_engine_wa() needs rpm wakeref
      drm/i915/execlists: Move RCS mmio workaround to new common wa_list
      drm/i915/execlists: Apply a full mb before execution for Braswell
      drm/i915: Push EMIT_INVALIDATE at request start to backends
      drm/i915/ringbuffer: EMIT_INVALIDATE after switch context
      drm/i915: Pipeline PDP updates for Braswell
      drm/i915: Skip the ERR_PTR error state
      drm/i915: Flush GPU relocs harder for gen3
      drm/i915/selftests: Check we can recover a wedged device
      drm/i915/selftests: Verify we can perform resets from atomic context
      drm/i915: Fix Cherryview oops on boot
      drm/i915: Apply missed interrupt after reset w/a to all ringbuffer gen
      drm/i915: Unwind failure on pinning the gen7 ppgtt
      drm/i915: Remove debugfs/i915_ppgtt_info
      drm/i915: Restrict PSMI context load w/a to Haswell GT1
      drm/i915: Remove HW semaphores for gen7 inter-engine synchronisation
      drm/i915: Drop debugfs/i915_next_seqno
      drm/i915/execlists: Pull the render flush into breadcrumb emission
      drm/i915/ringbuffer: Pull the render flush into breadcrumb emission
      drm/i915: Update kerneldoc for intel_wm_need_update()
      drm/i915: Remove redundant trailing request flush
      drm/i915/ringbuffer: Remove irq-seqno w/a for gen6/7 rcs
      drm/i915/ringbuffer: Remove irq-seqno w/a for gen6 xcs
      drm/i915/ringbuffer: Move irq seqno barrier to the GPU for gen7
      drm/i915/ringbuffer: Move irq seqno barrier to the GPU for gen5
      drm/i915: Drop unused engine->irq_seqno_barrier w/a
      drm/i915/selftests: Take a breath during check_partial_mappings()
      drm/i915/gen6: Flush RING_IMR changes before changing the global GT IMR
      drm/i915: Show machine type in error state
      drm/i915: Always try to reset the GPU on takeover
      drm/i915: Do not allow unwedging following a failed driver initialisation
      drm/i915: Remove partial attempt to swizzle on pread/pwrite
      drm/i915: Fixup kerneldoc for intel_device_info_runtime_init
      drm/i915/hsw: Flush RING_IMR changes before changing the global GT IMR (vecs)
      drm/i915: Report the number of closed vma held by each context in debugfs
      drm/i915/selftests: Mark the whole mock device as DMA capable
      drm/i915: Return immediately if trylock fails for direct-reclaim
      drm/i915: Downgrade scare message for unknown HuC firmware
      drm/i915: Reduce i915_request_alloc retirement to local context
      drm/i915: Guard error capture against unpinned vma
      drm/i915: Use mutex_lock_killable() from inside the shrinker
      drm/i915: Removing polling for struct_mutex from vmap shrinker

Clint Taylor (2):
      drm/i915/hdmi: SCDC Scrambling enable without CTS mode
      drm/i915/icl: combo port vswing programming changes per BSPEC

Daniele Ceraolo Spurio (3):
      drm/i915/selftests: recreate WA lists inside the selftest
      drm/i915: init per-engine WAs for all engines
      drm/i915: drop DPF code for gen8+

Hans de Goede (8):
      drm/i915: Update crtc scaler settings when update_pipe is set
      drm/i915: Add an update_pipe callback to intel_encoder and call this on fastsets (v2)
      drm/i915: Allow calling intel_edp_drrs_enable twice
      drm/i915: DDI: call intel_psr_ and _edp_drrs_enable() on pipe updates (v2)
      ACPI / PMIC: Add support for executing PMIC MIPI sequence elements
      ACPI / PMIC: Implement exec_mipi_pmic_seq_element for CHT Whiskey Cove PMIC
      ACPI / PMIC: Add generic intel_soc_pmic_exec_mipi_pmic_seq_element handling
      drm/i915/intel_dsi_vbt: Add support for PMIC MIPI sequences

Imre Deak (4):
      drm/i915/icl: Add a debug print for TypeC port disconnection
      drm/i915/bios: Parse the VBT TypeC and Thunderbolt port flags
      drm/i915/icl: Fix HPD handling for TypeC legacy ports
      drm/i915/icl: Add fallback detection method for TypeC legacy ports

Jani Nikula (15):
      drm/i915: add a helper to make a copy of i915_params
      drm/i915: add a helper to free the members of i915_params
      drm/i915/uc: add dev_priv parameter to intel_uc_is_using_* functions
      drm/i915/params: set i915.enable_hangcheck permissions to 0600
      drm/i915/params: document I915_PARAMS_FOR_EACH()
      drm/i915: start moving runtime device info to a separate struct
      drm/i915/reg: abstract display_mmio_offset access
      drm/i915: pass dev_priv to intel_device_info_runtime_init()
      drm/i915: always use INTEL_INFO() to access device info
      drm/i915: drop intel_device_info_dump()
      drm/i915: rename dev_priv info to __info to avoid usage
      Merge tag 'topic/drmp-cleanup-2019-01-02' of git://anongit.freedesktop.org/drm/drm-intel into drm-intel-next-queued
      Merge drm/drm-next into drm-intel-next-queued
      Ndrm/i915/debugfs: store rotation string buffer on stack
      drm/i915: drop all drmP.h includes

José Roberto de Souza (11):
      drm/i915: Disable PSR in Apple panels
      drm/i915/psr: Don't tell sink that main link will be active while is active PSR2
      drm/i915/psr: Set PSR CRC verification bit in sink inside PSR1 block
      drm/i915/psr: Enable sink to trigger a interruption on PSR2 CRC mismatch
      drm/i915/icl: Do not change reserved registers related to PSR2
      drm/i915: Remove old PSR2 FIXME about frontbuffer tracking
      drm: Add the PSR SU granularity registers offsets
      drm/i915/psr: Check if resolution is supported by default SU granularity
      drm/i915/psr: Check if source supports sink specific SU granularity
      drm: Fix documentation generation for DP_DPCD_QUIRK_NO_PSR
      drm/i915/fbc/cnl: Add GLK and CNL+ hardware tracking area

Lucas De Marchi (3):
      drm/i915: Rename IS_GEN to IS_GEN_RANGE
      drm/i915: replace IS_GEN<N> with IS_GEN(..., N)
      drm/i915: merge gen checks to use range

Maarten Lankhorst (1):
      drm/i915: Disable FBC on fastset if necessary, v2.

Manasi Navare (2):
      drm/i915/dsc: Add Per connector debugfs node for DSC support/enable
      drm/i915/dsc: Fix the deadlock in dsc debugfs node

Matt Atwood (1):
      drm/i915: implement EXTENDED_RECEIVER_CAPABILITY_FIELD_PRESENT

Matt Roper (5):
      drm/i915: Use intel_ types more consistently for watermark code (v2)
      drm/i915: Use intel_ types more consistently for color management code (v2)
      drm/i915: Don't use DDB allocation when choosing gen9 watermark method
      drm/i915: Switch to level-based DDB allocation algorithm (v5)
      drm/i915: Don't forget to reset blocks when testing lower wm levels

Mika Kuoppala (1):
      drm/i915/icl: Forcibly evict stale csb entries

Oscar Mateo (2):
      drm/i915/icl: Record the valid VDBoxes with SFC capability
      drm/i915/icl: Mind the SFC units when resetting VD or VEBox engines

Paulo Zanoni (1):
      drm/i915: don't apply Display WAs 1125 and 1126 to GLK/CNL+

Pedro Tammela (1):
      Makefile: fix mixed tab and spaces

Ramalingam C (4):
      drm/i915: Fix GEN9 HDCP1.4 key load process
      drm/i915: Fix platform coverage for HDCP1.4
      drm/i915: debug log for REPLY_ACK missing
      drm/i915: Increase timeout for Encrypt status change

Rodrigo Vivi (3):
      drm/i915: Update DRIVER_DATE to 20181221
      drm/i915: Update DRIVER_DATE to 20181221
      drm/i915: Update DRIVER_DATE to 20190110

Tvrtko Ursulin (2):
      drm/i915: Dial down workaround debug messages
      drm/i915: Remove has_pooled_eu static initializer

Ville Syrjälä (3):
      drm/i915: Use explicit old crtc state in skl_compute_wm()
      drm/i915: Remove bogus FIXME from SKL wm computation
      drm/i915: Remove dead update_wm_pre assignment from SKL wm code

Young Xiao (1):
      drm/i915: avoid division by zero on skl_calc_wrpll_link

 drivers/acpi/pmic/intel_pmic.c                     |  61 ++
 drivers/acpi/pmic/intel_pmic.h                     |   4 +
 drivers/acpi/pmic/intel_pmic_chtwc.c               |  19 +
 drivers/acpi/pmic/intel_pmic_xpower.c              |   1 +
 drivers/gpu/drm/drm_bufs.c                         |  11 +
 drivers/gpu/drm/drm_dp_helper.c                    |   2 +
 drivers/gpu/drm/i915/Makefile                      |   2 +-
 drivers/gpu/drm/i915/dvo.h                         |   1 -
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   4 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 440 ++++++------
 drivers/gpu/drm/i915/i915_drv.c                    |  50 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 250 +++----
 drivers/gpu/drm/i915/i915_gem.c                    | 221 +-----
 drivers/gpu/drm/i915/i915_gem_context.c            |   3 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   1 -
 drivers/gpu/drm/i915/i915_gem_evict.c              |   1 -
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   5 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |  11 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 166 +----
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   2 -
 drivers/gpu/drm/i915/i915_gem_internal.c           |   1 -
 drivers/gpu/drm/i915/i915_gem_object.h             |   3 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           | 104 ++-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   8 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   5 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   1 -
 drivers/gpu/drm/i915/i915_gpu_error.c              |  76 +--
 drivers/gpu/drm/i915/i915_gpu_error.h              |   1 +
 drivers/gpu/drm/i915/i915_ioc32.c                  |   1 -
 drivers/gpu/drm/i915/i915_irq.c                    |  42 +-
 drivers/gpu/drm/i915/i915_params.c                 |  32 +-
 drivers/gpu/drm/i915/i915_params.h                 |  11 +
 drivers/gpu/drm/i915/i915_pci.c                    |   6 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  12 +-
 drivers/gpu/drm/i915/i915_query.c                  |   2 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 222 ++++---
 drivers/gpu/drm/i915/i915_request.c                | 208 ++----
 drivers/gpu/drm/i915/i915_request.h                |   1 -
 drivers/gpu/drm/i915/i915_suspend.c                |  13 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   4 +-
 drivers/gpu/drm/i915/i915_timeline.h               |   8 -
 drivers/gpu/drm/i915/i915_trace.h                  |  30 -
 drivers/gpu/drm/i915/intel_acpi.c                  |   1 -
 drivers/gpu/drm/i915/intel_atomic.c                |   3 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   1 -
 drivers/gpu/drm/i915/intel_audio.c                 |   3 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  14 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  26 -
 drivers/gpu/drm/i915/intel_cdclk.c                 |  10 +-
 drivers/gpu/drm/i915/intel_color.c                 | 218 +++---
 drivers/gpu/drm/i915/intel_connector.c             |   1 -
 drivers/gpu/drm/i915/intel_crt.c                   |   7 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 328 ++++-----
 drivers/gpu/drm/i915/intel_device_info.c           | 107 ++-
 drivers/gpu/drm/i915/intel_device_info.h           |  31 +-
 drivers/gpu/drm/i915/intel_display.c               | 167 ++---
 drivers/gpu/drm/i915/intel_display.h               |   6 +-
 drivers/gpu/drm/i915/intel_dp.c                    | 142 +++-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   1 -
 drivers/gpu/drm/i915/intel_drv.h                   |  29 +-
 drivers/gpu/drm/i915/intel_dsi.h                   |   1 -
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |  24 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |   1 -
 drivers/gpu/drm/i915/intel_engine_cs.c             |  88 +--
 drivers/gpu/drm/i915/intel_fbc.c                   |  31 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   1 -
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   6 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   1 -
 drivers/gpu/drm/i915/intel_guc_fw.c                |   6 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |   3 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             | 167 +----
 drivers/gpu/drm/i915/intel_hdcp.c                  |  17 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   1 -
 drivers/gpu/drm/i915/intel_hotplug.c               |   1 -
 drivers/gpu/drm/i915/intel_huc_fw.c                |   7 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   1 -
 drivers/gpu/drm/i915/intel_lrc.c                   | 250 ++++---
 drivers/gpu/drm/i915/intel_lvds.c                  |   5 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   2 +-
 drivers/gpu/drm/i915/intel_mocs.h                  |   1 -
 drivers/gpu/drm/i915/intel_opregion.c              |   1 -
 drivers/gpu/drm/i915/intel_overlay.c               |  11 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   8 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   8 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 738 +++++++++------------
 drivers/gpu/drm/i915/intel_psr.c                   |  75 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 505 +++++++-------
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  93 +--
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   4 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   1 -
 drivers/gpu/drm/i915/intel_sprite.c                |   7 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   1 -
 drivers/gpu/drm/i915/intel_uc.c                    |  14 +-
 drivers/gpu/drm/i915/intel_uc.h                    |   6 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  11 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 147 +++-
 drivers/gpu/drm/i915/intel_vdsc.c                  |   1 -
 drivers/gpu/drm/i915/intel_wopcm.c                 |   6 +-
 drivers/gpu/drm/i915/intel_workarounds.c           | 131 ++--
 drivers/gpu/drm/i915/selftests/huge_pages.c        |  35 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |   2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   6 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   1 +
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |  86 +--
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 320 +++++++--
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |   4 +-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |  82 ++-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |   2 -
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   2 +-
 drivers/gpu/drm/i915/vlv_dsi.c                     |   1 -
 include/drm/drm_dp_helper.h                        |  11 +
 include/drm/drm_file.h                             |   1 +
 include/drm/drm_hdcp.h                             |   2 +
 include/drm/drm_legacy.h                           |  14 +-
 include/drm/drm_syncobj.h                          |   4 +-
 include/drm/intel-gtt.h                            |   3 +
 include/linux/mfd/intel_soc_pmic.h                 |   3 +
 118 files changed, 2847 insertions(+), 3257 deletions(-)
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-12-04 17:58 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2018-12-04 17:58 UTC (permalink / raw)
  To: Dave Airlie
  Cc: dim-tools, Maxime Ripard, Daniel Vetter, intel-gfx, dri-devel,
	Rodrigo Vivi, Sean Paul


Hi Dave, last i915 changes for -next.

drm-intel-next-2018-12-04:
Final drm/i915 changes for v4.21:
- ICL DSI video mode enabling (Madhav, Vandita, Jani, Imre)
- eDP sink count fix (José)
- PSR fixes (José)
- DRM DP helper and i915 DSC enabling (Manasi, Gaurav, Anusha)
- DP FEC enabling (Anusha)
- SKL+ watermark/ddb programming improvements (Ville)
- Pixel format fixes (Ville)
- Selftest updates (Chris, Tvrtko)
- GT and engine workaround improvements (Tvrtko)

BR,
Jani.

The following changes since commit b4bf44d2dcbd6c35d9651bc6286e4940b8b3df95:

  drm/i915: Update DRIVER_DATE to 20181122 (2018-11-22 16:49:47 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-12-04

for you to fetch changes up to 4377d4e0d3d511986033ba7b4182d5a80b7f9ea2:

  drm/i915: Update DRIVER_DATE to 20181204 (2018-12-04 19:26:17 +0200)

----------------------------------------------------------------
Final drm/i915 changes for v4.21:
- ICL DSI video mode enabling (Madhav, Vandita, Jani, Imre)
- eDP sink count fix (José)
- PSR fixes (José)
- DRM DP helper and i915 DSC enabling (Manasi, Gaurav, Anusha)
- DP FEC enabling (Anusha)
- SKL+ watermark/ddb programming improvements (Ville)
- Pixel format fixes (Ville)
- Selftest updates (Chris, Tvrtko)
- GT and engine workaround improvements (Tvrtko)

----------------------------------------------------------------
Anusha Srivatsa (4):
      i915/dp/fec: Add fec_enable to the crtc state.
      drm/i915/fec: Set FEC_READY in FEC_CONFIGURATION
      i915/dp/fec: Configure the Forward Error Correction bits.
      drm/i915/fec: Disable FEC state.

Chris Wilson (12):
      drm/i915: Cache the error string
      drm/i915/ringbuffer: 2-step restart
      drm/i915: Skip engine serialisation for no-op seqno reset
      drm/i915: Mark up early pre-production Kabylakes
      drm/i915: Fixup stub definitions for intel_opregion_suspend|resume
      drm/i915/ringbuffer: Clear semaphore sync registers on ring init
      drm/i915/selftests: Terminate hangcheck sanitycheck forcibly
      drm/i915/breadcrumbs: Reduce missed-breadcrumb false positive rate
      drm/i915/vgpu: Disallow loading on old vGPU hosts
      drm/i915/dp: Fix inconsistent indenting
      drm/i915: Complete the fences as they are cancelled due to wedging
      drm/i915: Allocate a common scratch page

Gaurav K Singh (3):
      drm/i915/dsc: Define & Compute VESA DSC params
      drm/i915/dsc: Compute Rate Control parameters for DSC
      drm/i915/dp: Enable/Disable DSC in DP Sink

Imre Deak (1):
      drm/i915/icl: Sanitize DDI port clock gating for DSI ports

Jani Nikula (7):
      drm/i915/icl: push pll to port mapping/unmapping to ddi encoder hooks
      drm/i915/icl: add dummy DSI GPIO element execution function
      drm/i915/icl: add pll mapping for DSI
      sysfs: constify sysfs create/remove files harder
      drm/i915/sysfs: make attrs arrays const
      drm/i915/icl: fix transcoder state readout
      drm/i915: Update DRIVER_DATE to 20181204

Jonathan Gray (1):
      drm/i915: change i915_sw_fence license to MIT

José Roberto de Souza (9):
      drm/i915: Avoid a full port detection in the first eDP short pulse
      drm/i915: Check PSR errors instead of retrain while PSR is enabled
      drm/i915: Do not enable PSR in the next modeset after a error
      drm/i915: Disable PSR when a PSR aux error happen
      drm/i915: Keep PSR disabled after a driver reload after a PSR error
      drm/i915/hsw: Drop the stereo 3D enabled check in psr_compute_config()
      drm/i915/psr: Get pipe id following atomic guidelines
      drm/i915: Add HAS_DISPLAY() and use it
      drm/i915: Move display device info capabilities to its own struct

Lyude Paul (1):
      drm/i915: Synchronize hpd work in i915_hpd_storm_ctl_show()

Madhav Chauhan (14):
      drm/i915/icl: Calculate DPLL params for DSI
      drm/i915/icl: Allocate DSI encoder/connector
      drm/i915/icl: Fill DSI ports info
      drm/i915/icl: Allocate DSI hosts and imlement host transfer
      drm/i915/icl: Get HW state for DSI encoder
      drm/i915/icl: Add DSI encoder compute config hook
      drm/i915/icl: Configure DSI Dual link mode
      drm/i915/icl: Consider DSI for getting transcoder state
      drm/i915/icl: Get pipe timings for DSI
      drm/i915/icl: Define missing bitfield for shortplug reg
      drm/i915/icl: Define Panel power ctrl register
      drm/i915/icl: Define display GPIO pins for DSI
      drm/i915/icl: Gate clocks for DSI
      drm/i915/icl: Ungate DSI clocks

Manasi Navare (16):
      drm/dsc: Modify DRM helper to return complete DSC color depth capabilities
      drm/dsc: Define Display Stream Compression PPS infoframe
      drm/dsc: Define VESA Display Stream Compression Capabilities
      drm/dsc: Add helpers for DSC picture parameter set infoframes
      drm/dsc: Define the DSC 1.1 and 1.2 Line Buffer depth constants
      drm/i915/dp: Add DSC params and DSC config to intel_crtc_state
      drm/i915/dp: Compute DSC pipe config in atomic check
      drm/i915/dp: Do not enable PSR2 if DSC is enabled
      drm/i915/dsc: Add a power domain for VDSC on eDP/MIPI DSI
      drm/i915/dp: Configure i915 Picture parameter Set registers during DSC enabling
      drm/i915/dp: Use the existing write_infoframe() for DSC PPS SDPs
      drm/i915/dp: Populate DSC PPS SDP and send PPS infoframes
      drm/i915/dp: Configure Display stream splitter registers during DSC enable
      drm/i915/dp: Disable DSC in source by disabling DSS CTL bits
      drm/i915/dsc: Enable and disable appropriate power wells for VDSC
      drm/i915/dp: Fix link compute m_n calc for DSC

Srivatsa, Anusha (1):
      drm/dsc: Define Rate Control values that do not change over configurations

Tvrtko Ursulin (11):
      drm/i915: Remove whitelist application from ringbuffer backend
      drm/i915/icl: Remove Wa_1604302699
      drm/i915/selftests: Extract spinner code
      drm/i915/selftests: Fix live_workarounds to actually do resets
      drm/i915: Record GT workarounds in a list
      drm/i915: Introduce per-engine workarounds
      drm/i915: Verify GT workaround state after GPU init
      drm/i915/selftests: Add tests for GT and engine workaround verification
      drm/i915: Move register white-listing to the common workaround framework
      drm/i915: Fuse per-context workaround handling with the common framework
      drm/i915: Trim unused workaround list entries

Vandita Kulkarni (2):
      drm/i915/icl: Use the same pll functions for dsi
      drm/i915/icl: Add get config functionality for DSI

Ville Syrjälä (16):
      drm/i915: Eliminate the horrendous format check code
      drm/i915: Reorganize plane register writes to make them more atomic
      drm/i915: Move single buffered plane register writes to the end
      drm/i915: Introduce crtc_state->update_planes bitmask
      drm/i915: Pass the new crtc_state to ->disable_plane()
      drm/i915: Fix latency==0 handling for level 0 watermark on skl+
      drm/i915: Remove some useless zeroing on skl+ wm calculations
      drm/i915: Pass the entire skl_plane_wm to skl_compute_transition_wm()
      drm/i915: Clean up skl+ vs. icl+ watermark computation
      drm/i915: Don't pass dev_priv around so much
      drm/i915: Move ddb/wm programming into plane update/disable hooks on skl+
      drm/i915: Commit skl+ planes in an order that avoids ddb overlaps
      drm/i915: Rename the confusing 'plane_id' to 'color_plane'
      drm/i915: Pass the plane to icl_program_input_csc_coeff()
      drm/i915: Make sure fb gtt offsets stay within 32bits
      drm/i915: Decouple SKL stride units from intel_fb_stride_alignment()

 Documentation/gpu/drm-kms-helpers.rst              |   12 +
 drivers/gpu/drm/Makefile                           |    2 +-
 drivers/gpu/drm/drm_dp_helper.c                    |   14 +-
 drivers/gpu/drm/drm_dsc.c                          |  228 ++++
 drivers/gpu/drm/i915/Makefile                      |    7 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   68 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   27 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   62 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  111 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |    6 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  337 +++---
 drivers/gpu/drm/i915/i915_gpu_error.h              |   28 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  117 ++-
 drivers/gpu/drm/i915/i915_reg.h                    |   15 +
 drivers/gpu/drm/i915/i915_request.c                |    3 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |    7 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    5 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   31 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   11 +-
 drivers/gpu/drm/i915/icl_dsi.c                     |  493 ++++++++-
 drivers/gpu/drm/i915/intel_atomic.c                |    1 +
 drivers/gpu/drm/i915/intel_atomic_plane.c          |  102 +-
 drivers/gpu/drm/i915/intel_bios.c                  |    2 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |    6 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  228 ++--
 drivers/gpu/drm/i915/intel_device_info.c           |    8 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   32 +-
 drivers/gpu/drm/i915/intel_display.c               |  330 +++---
 drivers/gpu/drm/i915/intel_display.h               |   21 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  303 +++++-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |    3 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   52 +-
 drivers/gpu/drm/i915/intel_dsi.h                   |    5 +
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |   22 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   46 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |    2 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |    2 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   21 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |    2 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |   83 +-
 drivers/gpu/drm/i915/intel_opregion.h              |    6 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  589 ++++++-----
 drivers/gpu/drm/i915/intel_psr.c                   |  118 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   72 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   25 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    4 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  138 ++-
 drivers/gpu/drm/i915/intel_vdsc.c                  | 1088 ++++++++++++++++++++
 drivers/gpu/drm/i915/intel_workarounds.c           |  999 ++++++++++--------
 drivers/gpu/drm/i915/intel_workarounds.h           |   36 +-
 drivers/gpu/drm/i915/selftests/igt_reset.c         |   44 +
 drivers/gpu/drm/i915/selftests/igt_reset.h         |   15 +
 drivers/gpu/drm/i915/selftests/igt_spinner.c       |  199 ++++
 drivers/gpu/drm/i915/selftests/igt_spinner.h       |   37 +
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   63 +-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |  301 +-----
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |  247 ++++-
 fs/sysfs/file.c                                    |    4 +-
 include/drm/drm_dp_helper.h                        |    3 +-
 include/drm/drm_dsc.h                              |  485 +++++++++
 include/linux/sysfs.h                              |    8 +-
 61 files changed, 5364 insertions(+), 1972 deletions(-)
 create mode 100644 drivers/gpu/drm/drm_dsc.c
 create mode 100644 drivers/gpu/drm/i915/intel_vdsc.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_reset.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_reset.h
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_spinner.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_spinner.h
 create mode 100644 include/drm/drm_dsc.h

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-11-23  9:40 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2018-11-23  9:40 UTC (permalink / raw)
  To: Dave Airlie
  Cc: dim-tools, Maxime Ripard, Daniel Vetter, intel-gfx, dri-devel,
	Rodrigo Vivi, Sean Paul


Hi Dave -

This one superseeds and includes everything in [1] as requested, and is
thus pretty big. There are a number of commits that touch non-i915
files, but all relevant acks should be in place. There's also a drm-next
backmerge in there.

BR,
Jani.


[1] http://mid.mail-archive.com/87pnvneq5i.fsf@intel.com

drm-intel-next-2018-11-22:
Changes outside i915:
- Connector property to limit max bpc (Radhakrishna)
- Fix LPE audio runtime PM and deinit (Ville)
- DP FEC prep work (Anusha)
- Mark pinned shmemfs pages as unevictable (Kuo-Hsin)
- Backmerge drm-next (Jani)

Inside i915:
- Revert OA UAPI change that lacks userspace (Joonas)
- Register macro cleanup (Jani)
- 32-bit build fixes on pin flags (Chris)
- Fix MG DP mode and PHY gating for HDMI (Imre)
- DP MST race, hpd and irq fixes (Lyude)
- Combo PHY fixes and cleanup (Imre, Lucas)
- Move display init and cleanup under modeset init and cleanup (José)
- PSR fixes (José)
- Subslice size fixes (Daniele)
- Abstract and clean up fixed point helpers (Jani)
- Plane input CSC for YUV to RGB conversion (Uma)
- Break long iterations for get/put shmemfs pages (Chris)
- Improve DDI encoder hw state readout sanity checks (Imre)
- Fix power well leaks for MST (José)
- Scaler fixes (Ville)
- Watermark fixes (Ville)
- Fix VLV/CHV DSI panel orientation readout (Ville)
- ICL rawclock fixes (Paulo)
- Workaround DMC power well request issues (Imre)
- Plane allocation fix (Maarten)
- Transcoder enum value/ordering robustness fixes (Imre)
- UTS_RELEASE build dependency fix (Hans Holmberg)

drm-intel-next-2018-11-02:
The first big pile of changes for v4.21/v5.1:

- DP Display Stream Compression preliminary work, helpers, etc. (Manasi, Anusha)
- Fix flex IO lane count programming (Manasi)
- GEM selftest updates (Chris, Matthew)
- ICL DSI enabling (Madhav, Jani)
- CSR firmware definition cleanup (Jani)
- CSR ICL stepping info, DC5/DC6 debugfs info (Jyoti)
- intel_display.c cleanups and code movement (Jani, Ville)
- PSR fixes and cleanup, enable PSR1 by default on gen9+ (José, Dhinakaran)
- Perf updates (Lionel)
- DP MST fixes (Lyude)
- Improved DP MST support logging (Ville)
- ICL workarounds (Oscar, Radhakrishna, Lucas, Anuj)
- Workaround cleanups (Rodrigo)
- HDCP 2.2 prep work (Ramalingam)
- AVI infoframes for LSPCON (Shashank)
- CRTC output formats YCBCR 4:2:0 and 4:4:4 (Shashank)
- ICL PLL refactoring (Vandita)
- Watermark fixes (Paulo)
- Master intr fixes (Mika)
- Amberlake platform (José, Shawn)
- Ensure HDA suspend/resume ordering (Imre)
- eDP orientation quirks (Hans)
- DP detect and link retrain fixes and cleanups (Dhinakaran)
- GuC fixes, cleanups and selftests (Daniele, Michal, Chris)
- ICL combophy/TC fixes and cleanups (Mahesh, Lucas, José)
- ICL RGB565 90/270 plane rotation (Juha-Pekka)
- HDMI 2.0 audio N values (Clint)
- Aux channel refactoring, ICL aux power fixes (Imre)
- Opregion suspend/resume improvement (Chris)
- Sort platform if ladders newest-to-oldest (Rodrigo)
- IPC fixes (José)
- PCH reset handshake fixes for PCH NOP (José)
- Store available engine masks in intel info (Tvrtko)
- Fix video DIP register definitions (Dhinakaran)
- ICL planar formats, NV12 (Maarten)
- Plane alpha blending support (Maarten)
- crtc->config usage removal cleanups (Maarten)
- Plane init cleanups (Ville)
- Use BITS_PER_TYPE (Chris)
- Remove i915.enable_ppgtt override (Chris)
- Scheduling priority improvements (Chris)
- Fix GTT 64-bit computations on 32-bit systems (Chris)
- A number of display fixes all around... (Ville)
- A number of GEM fixes all around... (Chris)
- Tons of other fixes and improvements (Everyone)
- Failure to properly credit everyone in the above changelog (Jani)


The following changes since commit 9235dd441af43599b9cdcce599a3da4083fcad3c:

  Merge branch 'drm-next-4.21' of git://people.freedesktop.org/~agd5f/linux into drm-next (2018-11-19 11:07:52 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-11-22

for you to fetch changes up to b4bf44d2dcbd6c35d9651bc6286e4940b8b3df95:

  drm/i915: Update DRIVER_DATE to 20181122 (2018-11-22 16:49:47 +0200)

----------------------------------------------------------------
Changes outside i915:
- Connector property to limit max bpc (Radhakrishna)
- Fix LPE audio runtime PM and deinit (Ville)
- DP FEC prep work (Anusha)
- Mark pinned shmemfs pages as unevictable (Kuo-Hsin)
- Backmerge drm-next (Jani)

Inside i915:
- Revert OA UAPI change that lacks userspace (Joonas)
- Register macro cleanup (Jani)
- 32-bit build fixes on pin flags (Chris)
- Fix MG DP mode and PHY gating for HDMI (Imre)
- DP MST race, hpd and irq fixes (Lyude)
- Combo PHY fixes and cleanup (Imre, Lucas)
- Move display init and cleanup under modeset init and cleanup (José)
- PSR fixes (José)
- Subslice size fixes (Daniele)
- Abstract and clean up fixed point helpers (Jani)
- Plane input CSC for YUV to RGB conversion (Uma)
- Break long iterations for get/put shmemfs pages (Chris)
- Improve DDI encoder hw state readout sanity checks (Imre)
- Fix power well leaks for MST (José)
- Scaler fixes (Ville)
- Watermark fixes (Ville)
- Fix VLV/CHV DSI panel orientation readout (Ville)
- ICL rawclock fixes (Paulo)
- Workaround DMC power well request issues (Imre)
- Plane allocation fix (Maarten)
- Transcoder enum value/ordering robustness fixes (Imre)
- UTS_RELEASE build dependency fix (Hans Holmberg)

----------------------------------------------------------------
Alexandre Belloni (1):
      drm/i915: fix spelling mistake "reserverd" -> "reserved"

Andi Shyti (1):
      drm/i915: fix wrong error number report

Animesh Manna (1):
      drm/i915/icl: Enable DC9 as lowest possible state during screen-off

Anuj Phogat (1):
      drm/i915/icl:Add Wa_1606682166

Anusha Srivatsa (8):
      firmware/dmc/icl: Add missing MODULE_FIRMWARE() for Icelake.
      drm/i915/dsc: Add slice_row_per_frame in DSC PPS programming
      drm/i915/icl: Add DSS_CTL Registers
      drm/i915/icl: Fix DC9 Suspend for ICL.
      drm/i915/icl: Fix DSS_CTL register names
      drm/i915/fia: FIA registers offset implementation.
      i915/dp/fec: Cache the FEC_CAPABLE DPCD register
      drm/dp/fec: DRM helper for Forward Error Correction

Chris Wilson (51):
      drm/i915/execlists: Assert the queue is non-empty on unsubmitting
      drm/i915: Match code to comment and enforce ppgtt for execlists
      drm/i915: Convert to BITS_PER_TYPE
      drm/i915: Avoid compiler warning for maybe unused gu_misc_iir
      drm/i915/selftests: Smoketest preemption
      drm/i915: Remove i915.enable_ppgtt override
      drm/i915/execlists: Avoid kicking priority on the current context
      drm/i915/selftests: Split preemption smoke test into threads
      drm/i915/selftests: Include arbitration points in preemption smoketest
      drm/i915: Reserve some priority bits for internal use
      drm/i915: Combine multiple internal plists into the same i915_priolist bucket
      drm/i915: Priority boost for new clients
      drm/i915: Pull scheduling under standalone lock
      drm/i915: Priority boost for waiting clients
      drm/i915: Replace some open-coded i915_coherent_map_type()
      drm/i915: Show actual alongside requested frequency in debugfs/i915_rps_boost_info
      drm/i915/selftests: Hold task_struct ref for smoking kthread
      drm/i915: Handle incomplete Z_FINISH for compressed error states
      drm/i915: Clear the error PTE just once on finish
      drm/i915/execlists: Flush the CS events before unpinning
      drm/i915: Only reset seqno if actually idle
      drm/i915: Remove the global cache shrink & rcu barrier on allocation failure
      drm/i915: Fixup kernel doc for param name changes
      drm/i915: Show the DPCD read error inline
      drm/i915: Inject a failure point when registering a connector
      drm/i915/selftests: Disable shrinker across mmap-exhaustion
      drm/i915: Large page offsets for pread/pwrite
      drm/i915: Hold rpm wakeref for debugfs/i915_drop_caches_set
      drm/i915/guc: Propagate the fw xfer timeout
      drm/i915: Mark skl_update_plane and skl_disable_plane as static
      drm/i915: Mark up GTT sizes as u64
      drm/i915: Compare user's 64b GTT offset even on 32b
      drm/i915/selftests: Check for hangs mid context execution tests
      drm/i915: Park signaling thread while wrapping the seqno
      drm/i915/selftests: Test vm isolation
      drm/i915/gtt: Record the scratch pte
      drm/i915/gtt: Reuse the read-only 64KiB scratch page and directories
      drm/i915: Stop calling intel_opregion unregister/register in suspend/resume
      drm/i915: Mark pin flags as u64
      drm/i915: Break long iterations for get/put shmemfs pages
      drm/i915/ringbuffer: Delay after EMIT_INVALIDATE for gen4/gen5
      drm/i915/execlists: Force write serialisation into context image vs execution
      drm/i915: Set MI_INVALIDATE_BSD for all video-decode engines
      drm/i915: Initialise the obj->rcu head
      drm/i915: Track rcu_head for our idle worker
      drm/i915/selftests: Workaround an issue with unused lockdep subclass
      drm/i915: Downgrade unknown firmware warnings
      drm/i915: Prevent machine hang from Broxton's vtd w/a and error capture
      drm/i915: Write GPU relocs harder with gen3
      drm/i915/selftests: Hold task reference to reset worker
      drm/i915: Show waiter's status on engine dump

Clint Taylor (2):
      drm/i915/hdmi: Add HDMI 2.0 audio clock recovery N values
      drm/i915/hdmi: Reorder structure to match specification

Colin Ian King (1):
      drm/i915/csr: fix spelling mistake "firmare" -> "firmware"

Daniele Ceraolo Spurio (11):
      drm/i915/guc: init GuC descriptors after GuC load
      drm/i915/guc: Don't clear the cookie on doorbell destroy
      drm/i915/guc: fix GuC suspend/resume
      drm/i915/guc: drop negative doorbell alloc selftest
      drm/i915/guc: rename __create/destroy_doorbell
      drm/i915/guc: reserve the doorbell before selecting the cacheline
      drm/i915/guc: doorbell checking cleanup
      drm/i915/guc: fix comment about fallback to execlists
      drm/i915/guc: remove unneeded goto from selftest
      drm/i915: fix subslice mask array size
      drm/i915/query: fix subslice length

Dhinakaran Pandiyan (12):
      drm/i915/dp: Fix link retraining comment in intel_dp_long_pulse()
      drm/i915/dp: Restrict link retrain workaround to external monitors
      drm/i915/dp: Use a local variable for intel_encoder *
      drm/i915/dp: Do not grab crtc modeset lock in intel_dp_detect()
      drm/i915/dp: Kill intel_dp->detect_done flag
      drm/i915/dp: Fix duplication of DEVICE_SERVICE_IRQ handling
      drm/i915/psr: Enable PSR1 on gen-9+ HW
      drm/i915: Fix VIDEO_DIP_CTL bit shifts
      drm/i915: Move VIDEO_DIP_CTL definitions to their right place.
      drm/i915: Add function to check for linear surfaces
      drm/i915: Do not program aux plane offsets on gen11+
      drm/i915: Switch the order of function parameters

Hang Yuan (1):
      drm/i915/gtt: Revert "Disable read-only support under GVT"

Hans Holmberg (1):
      drm/i915: avoid rebuilding i915_gpu_error.o on version string updates

Hans de Goede (1):
      drm/i915: Check for panel orientation quirks on eDP panels

Imre Deak (28):
      drm/i915/gen9+: Fix initial readout for Y tiled framebuffers
      drm/i915: Ensure proper HDA suspend/resume ordering with a device link
      drm/i915: Move intel_aux_ch() to intel_bios.c
      drm/i915: Move aux_ch to intel_digital_port
      drm/i915: Init aux_ch for HDMI ports too
      drm/i915: Use a helper to get the aux power domain
      drm/i915: Enable AUX power earlier
      drm/i915: Enable AUX power for HDMI DDI/TypeC main link too
      drm/i915: Configure AUX_CH_CTL when enabling the AUX power domain
      drm/i915/icl+: Sanitize port to PLL mapping
      drm/i915/icl: Configure MG PHY gating for HDMI ports too
      drm/i915/icl: Configure MG DP mode for HDMI ports too
      drm/i915/gen9_lp: Fix DMC DC counter debugfs output
      drm/i915/icl: Fix power well 2 wrt. DC-off toggling order
      drm/i915/icl: Fix combo PHY uninit
      drm/i915/cnl+: Move the combo PHY init/uninit code to a new file
      drm/i915/cnl+: Verify combo PHY HW state during PHY uninit
      drm/i915/icl: Skip init for an already enabled combo PHY
      drm/i915/icl: Fix port B combo PHY context loss after DC transitions
      drm/i915/ddi: Add more sanity check to the encoder HW readout
      drm/i915/icl: Fix PLL mapping sanitization for DP ports
      drm/i915/gen9_bc: Work around DMC bug zeroing power well requests
      drm/i915: Use proper bool bitfield initializer in power well descs
      drm/i915: Remove special case for power well 1/MISC_IO state verification
      drm/i915: Make pipe/transcoder offsets not depend on enum values
      drm/i915: Make EDP PSR flags not depend on enum values
      drm/i915: Add code comment on assumption of pipe==transcoder
      drm/i915: Make CHICKEN_TRANS reg not depend on enum value

Jani Nikula (37):
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915/csr: keep firmware name and required version together
      drm/i915/csr: keep max firmware size together with firmare name and version
      drm/i915/csr: bypass firmware request on i915.dmc_firmware_path=""
      drm/i915/csr: restructure CSR firmware definition macros
      drm/i915/dp: optimize eDP 1.4+ link config fast and narrow
      drm/i915: add a common connector type independent destroy hook
      drm/i915: nuke the intel_lvds_connector
      drm/i915: rename intel_modes.c to intel_connector.c
      drm/i915: move intel connector specific functions to intel_connector.c
      drm/i915: rename and move intel_get_pipe_from_connector()
      drm/i915: Ensure _print_param() builds with Clang
      drm/i915: Ensure intel_engine_init_execlist() builds with Clang
      drm/i915: split out display quirks to a new file
      drm/i915/quirks: pass dev_priv instead of drm dev to quirk code
      drm/i915: make encoder enable and disable hooks optional
      drm/i915/dsi: refactor bitrate calculations in intel_dsi_vbt_init()
      drm/i915/dsi: abstract dphy parameter init
      drm/i915/dsi: abstract intel_dsi_tlpx_ns()
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: remove palette_offsets from device info in favor of _PICK()
      drm/i915: define _MMIO_PLANE() in terms of _PLANE() not _MMIO_PIPE()
      drm/i915: reorder and reindent the register choosing helper wrappers
      drm/i915: also group device info array helper macros with others
      drm/i915: Update DRIVER_DATE to 20181102
      drm/i915: remove excess line continuation backslashes
      drm/i915/bios: rename intel_aux_ch() to intel_bios_port_aux_ch()
      drm/i915: remove unused DDC PIN macros
      drm/i915/bios: make the aux channel macros private to the vbt parser
      drm/i915: extract fixed point math to i915_fixed.h
      drm/i915/fixed: prefer kernel types over stdint types
      drm/i915/fixed: simplify FP_16_16_MAX definition
      drm/i915/fixed: simplify is_fixed16_zero()
      drm/i915/fixed: cosmetic cleanup
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20181122
      drm/i915: Update DRIVER_DATE to 20181122

Jonathan Gray (1):
      drm/i915/ringbuffer: change header SPDX identifier to MIT

Joonas Lahtinen (4):
      drm/i915: Drop rpm wakeref on error in debugfs/i915_drop_caches_set
      Revert "drm/i915/perf: add a parameter to control the size of OA buffer"
      Revert "drm/i915/perf: Fix warning in documentation"
      drm/i915: Hide enable_gvt modparam when not compiled in

José Roberto de Souza (29):
      drm/i915/runtime_pm: Share code to enable/disable PCH reset handshake
      drm/i915: Unset reset pch handshake when PCH is not present in one place
      drm/i915: Do not modifiy reserved bit in gens that do not have IPC
      drm/i915: Move SKL IPC WA to HAS_IPC()
      drm/i915: Move IPC WA #1141 to init_ipc()
      drm/i915: Remove duplicated definition of intel_update_rawclk
      drm/i915/psr: Share PSR and PSR2 exit mask
      drm/i915/psr: Make MASK_DISP_REG_WRITE reserved in PSR_MASK for ICL
      drm/i915/psr: Remove PSR2 TODO error handling
      drm/i915/psr: Use WA to force HW tracking to exit PSR2
      drm/i915/psr: Remove alpm from i915_psr
      drm/i915/aml: Add new Amber Lake PCI ID
      drm/i915/debugfs: Do not print cached information of a disconnected sink
      drm/i915/icl: Set TC type to unknown in the disconnection flow
      drm/i915/icl: Set TC type to unknown when a sudden disconnection happen
      drm/i915: Initialize panel_vdd_work only for eDP ports
      drm/i915/icl: Fix crash when getting DPLL of a MST encoder in TC ports
      drm/i915: Move drm_vblank_init() to i915_load_modeset_init()
      drm/i915: Move FBC init and cleanup calls to modeset functions
      drm/i915: Move intel_init_ipc() call to i915_load_modeset_init()
      drm/i915: Keep overlay functions naming consistent
      drm/i915: Reuse the aux_domain cached
      drm/i915: Release DDI power well references in MST ports
      drm/i915/mst: Drop pre_pll_enable null check
      drm/i915/psr: Use intel_psr_exit() in intel_psr_disable_source()
      drm/i915/psr: Always wait for idle state when disabling PSR
      drm/i915/icl: Reset PSR interruptions
      drm/i915/psr: Move intel_psr_disable_source() code to intel_psr_disable_locked()
      drm/i915/ilk: Fix warning when reading emon_status with no output

Juha-Pekka Heikkila (1):
      drm/i915: Enable RGB565 90/270 plane rotation for gen11 onwards.

Jyoti Yadav (2):
      drm/i915/csr: Added ICL Stepping info
      drm/i915/csr Added DC5 and DC6 counter register for ICL in debugfs entry.

Kuo-Hsin Yang (1):
      mm, drm/i915: mark pinned shmemfs pages as unevictable

Lee, Shawn C (2):
      drm/i915: Add new AML_ULX support list
      drm/i915: Apply correct ddi translation table for AML device

Lionel Landwerlin (6):
      drm/i915/perf: update generated files headers
      drm/i915/perf: remove redundant oa buffer initialization
      drm/i915/perf: pass stream to vfuncs when possible
      drm/i915/perf: add a parameter to control the size of OA buffer
      drm/i915/perf: Fix warning in documentation
      drm/i915: fix broadwell EU computation

Lucas De Marchi (7):
      drm/i915/icl: apply Display WA #1178 to fix type C dongles
      drm/i915/icl: Introduce new macros to get combophy registers
      drm/i915/icl: replace check for combo phy
      drm/i915/icl: reverse uninit order
      drm/i195: spell out reverse on for_each macros
      drm/i915: allow to load DMC firmware on next gen
      drm/i915: Downgrade unknown CSR firmware warnings

Lyude Paul (12):
      drm/atomic_helper: Disallow new modesets on unregistered connectors
      drm/i915: Don't unset intel_connector->mst_port
      drm/i915: Skip vcpi allocation for MSTB ports that are gone
      drm/i915: Fix intel_dp_mst_best_encoder()
      drm/atomic_helper: Allow DPMS On<->Off changes for unregistered connectors
      drm/nouveau: Fix nv50_mstc->best_encoder()
      drm/atomic_helper: Stop modesets on unregistered connectors harder
      drm/i915: Fix possible race in intel_dp_add_mst_connector()
      drm/i915: Fix NULL deref when re-enabling HPD IRQs on systems with MST
      drm/i915: Fix threshold check in intel_hpd_irq_storm_detect()
      drm/i915: Clarify flow for disabling IRQs on storms
      drm/i915: Add short HPD IRQ storm detection for non-MST systems

Maarten Lankhorst (40):
      drm/i915: Clean up casts to crtc_state in intel_atomic_commit_tail()
      drm/i915: Handle cursor updating active_planes correctly, v2.
      drm/i915: Unconditionally clear plane visibility, v2.
      drm/i915: Make intel_crtc_disable_planes() use active planes mask.
      drm/i915: Replace call to commit_planes_on_crtc with internal update, v2.
      drm/i915: Clean up scaler setup, v2.
      drm/i915: Move programming plane scaler to its own function.
      drm/i915: Force planar YUV coordinates to be a multiple of 2, v2.
      drm/i915: Add plane alpha blending support, v2.
      drm/i915: Remove dereferences of crtc->config in set_pipeconf/misc functions, v2.
      drm/i915: Make panel fitter functions take state
      drm/i915: Make intel_set_pipe_timings/src_size take a pointer to crtc_state
      drm/i915: Use crtc_state in ironlake_enable_pch_transcoder
      drm/i915: Make skl_detach_scalers take crtc_state
      drm/i915: Make pll functions take crtc_state, v2.
      drm/i915: Make shared dpll functions take crtc_state, v3.
      drm/i915: Make ironlake_pch_transcoder_set_timings take crtc_state
      drm/i915: Get rid of crtc->config from icl_pll_to_ddi_pll_sel
      drm/i915: Use crtc->state in intel_fbdev_init_bios
      drm/i915: Get rid of crtc->config dereference in intel_dp_retrain_link
      drm/i915: Get rid of crtc->config in chv_data_lane_soft_reset
      drm/i915: Get rid of intel_crtc->config in crtc_enable/disable functions, v2.
      drm/i915: Make intel_dp_set_m_n take crtc_state
      drm/i915: Remove crtc->config references in vlv_prepare_pll
      drm/i915: Always read out M2_N2 in intel_cpu_transcoder_get_m_n, v2.
      drm/i915: Pass crtc_state to update_scanline_offset
      drm/i915: Remove crtc->config dereferences in intel_sanitize_crtc
      drm/i915: Remove crtc->config dereferences in intel_modeset_setup_hw_state
      drm/i915: Pass crtc_state to lpt_program_iclkip
      drm/i915: Pass crtc_state to ivybridge_update_fdi_bc_bifurcation
      drm/i915: Remove crtc->config dereference from drrs_ctl
      drm/i915: Fix unsigned overflow when calculating total data rate, v2.
      drm/i915/gen11: Enable 6 sprites on gen11
      drm/i915/gen11: Link nv12 Y and UV planes in the atomic state, v5.
      drm/i915/gen11: Handle watermarks correctly for separate Y/UV planes, v2.
      drm/i915/gen11: Program the scalers correctly for planar formats, v3.
      drm/i915/gen11: Program the chroma upsampler for HDR planes.
      drm/i915/gen11: Program the Y and UV plane for planar mode correctly, v3.
      drm/i915/gen11: Expose planar format support on gen11, v2.
      drm/i915: Fix plane allocation/free functions

Madhav Chauhan (39):
      drm/i915/icl: Configure lane sequencing of combo phy transmitter
      drm/i915/icl: DSI vswing programming sequence
      drm/i915/icl: Enable DDI Buffer
      drm/i915/icl: Program T_INIT_MASTER registers
      drm/i915/icl: Define data/clock lanes dphy timing registers
      drm/i915/icl: Define TA_TIMING_PARAM registers
      drm/i915/icl: Make common DSI functions available
      drm/i915/icl: Program DSI clock and data lane timing params
      drm/i915/icl: Program TA_TIMING_PARAM registers
      drm/i915/icl: Get DSI transcoder for a given port
      drm/i915/icl: Add macros for MMIO of DSI transcoder registers
      drm/i915/icl: Define TRANS_DSI_FUNC_CONF register
      drm/i915/icl: Configure DSI transcoders
      drm/i915/icl: Define TRANS_DDI_FUNC_CTL DSI registers
      drm/i915/icl: Program TRANS_DDI_FUNC_CTL registers
      drm/i915/icl: Define DSI transcoder timing registers
      drm/i915/icl: Configure DSI transcoder timings
      drm/i915/icl: Define TRANS_CONF register for DSI
      drm/i915/icl: Enable DSI transcoders
      drm/i915/icl: Define DSI panel programming registers
      drm/i915/icl: Move dsi host init code to common file
      drm/i915/dsi: move connector mode functions to common file
      drm/i915/icl: Set max return packet size for DSI panel
      drm/i915/icl: Power on DSI panel
      drm/i915/icl: Wait for header/payload credits release
      drm/i915/icl: Turn ON panel backlight
      drm/i915/icl: Turn OFF panel backlight
      drm/i915/icl: Disable DSI transcoders
      drm/i915/icl: Power down DSI panel
      drm/i915/icl: Put DSI link in ULPS
      drm/i915/icl: Disable DDI function
      drm/i915/icl: Disable portsync mode
      drm/i915/icl: Disable DSI ports
      drm/i915/icl: Disable DSI IO power
      drm/i915/icl: Define DSI timeout registers
      drm/i915/icl: Program HS_TX_TIMEOUT/LP_RX_TIMEOUT/TA_TIMEOUT registers
      drm/i915/icl: Add DSI packet payload/header registers
      drm/i915/icl: Find DSI presence for ICL
      drm/i915/icl: Don't wait for empty FIFO

Mahesh Kumar (6):
      drm/i915: use for_each_pipe loop to assign crtc_mask
      drm/i915/icl: enable SAGV for ICL platform
      drm/i915/icl: create function to identify combophy port
      drm/i915/icl: use combophy/TC helper functions during display detection
      drm/i915/icl: Combine all port/combophy macros at one place
      drm/i915/icl: Fix DDI/TC port clk_off bits

Manasi Navare (9):
      drm/i915/dp: Link train Fallback on eDP only if fallback link BW can fit panel's native mode
      drm/dp: Add DP DSC DPCD receiver capability size define and missing SHIFT
      drm/i915/dp: Cache the DP/eDP DSC DPCD register set on Hotplug/eDP Init
      drm/dp: DRM DP helper/macros to get DP sink DSC parameters
      drm/i915/dp: Add helpers for Compressed BPP and Slice Count for DSC
      drm/i915/dp: Validate modes using max Output BPP and slice count when DSC supported
      drm/dp: Define payload size for DP SDP PPS packet
      drm/i915/icl: Fix the macros for DFLEXDPMLE register bits
      drm/i915/ICL: Add pre_pll_enable hook for ICL and set DFLEXDPMLE in this hook

Matthew Auld (1):
      drm/i915/selftest: test aligned offsets for 64K

Michal Wajdeczko (5):
      drm/i915: Fix i915_driver_init_mmio error path
      drm/i915: Inject load failure inside intel_engines_init_mmio
      drm/i915/guc: Fix Gen9 GuC loading workarounds
      drm/i915/huc: Normalize HuC status returned by I915_PARAM_HAS_HUC
      drm/i915/guc: Limit number of scratch registers used for H2G

Mika Kuoppala (6):
      drm/i915/gen8: Disable master intr before reading
      drm/i915/icl: No need to ack intr through master control
      drm/i915/icl: Disable master intr before reading
      drm/i915/icl: Drop spurious register read from icl_dbuf_slices_update
      drm/i915: Deduplicate register definition for GAMW_ECO_DEV_RW_IA
      drm/i915: Fix icl workarounds whitespaces

Oscar Mateo (2):
      drm/i915/icl: WaAllowUMDToModifyHalfSliceChicken7
      drm/i915/icl: WaAllowUMDToModifySamplerMode

Paulo Zanoni (10):
      drm/i915: DRM_FORMAT_C8 is not possible with Yf tiling
      drm/i915: fix the transition minimums for gen9+ watermarks
      drm/i915: fix the watermark result selection on glk/gen10+
      drm/i915: transition WMs ask for Selected Result Blocks
      drm/i915: don't write PLANE_BUF_CFG twice every time
      drm/i915: promote ddb update message to DRM_DEBUG_KMS
      drm/i915: remove padding from struct skl_wm_level
      drm/i915/cnp+: update to the new RAWCLK_FREQ recommendations
      drm/i915: rename CNP_RAWCLK_FRAC to CNP_RAWCLK_DEN
      drm/i915: add ICP support to cnp_rawclk() and kill icp_rawclk()

Radhakrishna Sripada (5):
      drm/i915/icl: Add Wa_1406609255
      drm/i915/icl: Add WaEnable32PlaneMode
      drm/i915/icl: Implement Display WA_1405510057
      drm: Add connector property to limit max bpc
      drm/i915: Allow "max bpc" property to limit pipe_bpp

Ramalingam C (9):
      drm/i915: Pullout the bksv read and validation
      drm/i915: hdcp_check_link only on CP_IRQ
      drm/i915/debugfs: hdcp capability of a sink
      drm/i915: Move the DDC/AUX failure msgs to debug log
      drm/i915: wrapping all hdcp var into intel_hdcp
      drm/i915: Reassigning log level for HDCP failures
      drm: hdcp2.2 authentication msg definitions
      drm: HDMI and DP specific HDCP2.2 defines
      drm/i915: Define Intel HDCP2.2 registers

Rodrigo Vivi (16):
      drm/i915: Redefine some Whiskey Lake SKUs
      drm/i915/icl: MBUS B credit change
      drm/i915/icl: Fix signal_levels
      drm/i915: ddi_clock_get sort platforms newer-to-older.
      drm/i915: compute_min_voltage_level sort platforms newer-to-older
      drm/i915: digital_port_connected sort platforms newer-to-older
      drm/i915: power_domains_init sort platforms newer-to-older
      drm/i915: uncore_fw_domains_init sort platforms newer-to-older
      drm/i915: Prefer IS_GEN<n> check with bitmask.
      drm/i915: Kill GEN_FOREVER
      drm/i915/glk: Remove 99% limitation.
      drm/i915: Simplify has_sagv function
      drm/i915: Kill WA 0528
      drm/i915: Kill WA 0826
      drm/i915: Define WA 0870 and kill dead code.
      drm/i915: Remove CNL from WA 827

Shashank Sharma (8):
      drm/i915: Introduce CRTC output format
      drm/i915: Add CRTC output format YCBCR 4:2:0
      drm/i915: Add CRTC output format YCBCR 4:4:4
      drm/i915: Check LSPCON vendor OUI
      drm/i915: Add AVI infoframe support for LSPCON
      drm/i915: Write AVI infoframes for MCA LSPCON
      drm/i915: Write AVI infoframes for Parade LSPCON
      drm/i915: Add YCBCR 4:2:0/4:4:4 support for LSPCON

Tvrtko Ursulin (4):
      drm/i915: Trim partial view sg lists
      drm/i915: Log HWS seqno consistently
      drm/i915: GEM_WARN_ON considered harmful
      drm/i915/icl: Store available engine masks in INTEL_INFO

Uma Shankar (2):
      drm/i915/icl: Define Plane Input CSC Coefficient Registers
      drm/i915/icl: Enable Plane Input CSC for YUV to RGB Conversion

Vandita Kulkarni (3):
      drm/i915/icl: Refactor get_ddi_pll using helper func
      drm/i915/icl: Use helper functions to classify the ports
      drm/i915/icl: Refactor icl pll functions

Ville Syrjälä (52):
      drm/i915: Check fb stride against plane max stride
      drm/i915: Use memmove() for punching the hole into infoframes
      drm/i915: Pass intel_encoder to infoframe functions
      drm/i915: Provide more clues as to why MST is/is not used
      drm/i915: Restore vblank interrupts earlier
      drm/i915: Use the correct crtc when sanitizing plane mapping
      drm/i915: Clean up early plane debugs
      drm/i915: Fix ILK-IVB sprite enable delays
      drm/i915: Constify all plane_funcs structs
      drm/i915: Populate possible_crtcs for primary/cursor planes
      drm/i915: Don't populate plane->i9xx_plane for sprites
      drm/i915: Allow horizontal mirroring for cnl+ "sprite" planes
      drm/i915: Disallow plane scaling with specific pixel formats
      drm/i915: Add missing pixel formats for skl+ "sprites"
      drm/i915: Move plane_state->scaler_id initialization into intel_create_plane_state()
      drm/i915: Introduce intel_plane_alloc()
      drm/i915: Extract skl_universal_plane_init()
      drm/i915: s/intel_plane/plane/ in sprite init
      drm/i915: Rename variables in intel_primary_plane_create()
      drm/i915: Do intel_panel_destroy_backlight() later
      drm/i915: Drop the eDP check from intel_dp_connector_destroy()
      drm/i915: Use i915_gem_object_get_dma_address() to populate rotated vmas
      drm/i915: Relocate SKL+ NV12 src width w/a
      drm/i915: Move the SKL+ zero constant alpha handling
      drm/i915/sdvo: Utilize intel_panel for fixed_mode
      drm/i915: Don't apply the 16Gb DIMM wm latency w/a to BXT/GLK
      drm/i915: Use intel_panel_actually_set_backlight() to disable PWM backlight
      drm/i915: Fix error handling for the NV12 fb dimensions check
      ALSA: x86: Fix runtime PM for hdmi-lpe-audio
      ALSA: x86: Rip out the lpe audio runtime suspend/resume hooks
      drm/i915: Fix ilk+ watermarks when disabling pipes
      drm/i915: Don't oops during modeset shutdown after lpe audio deinit
      drm/i915: Nuke posting reads from plane update/disable funcs
      drm/i915: Clean up skl_program_scaler()
      drm/i915: Fix hpd handling for pins with two encoders
      drm/i915: Sanitize PCH port transcoder select on IBX
      drm/i915: Remove the PS_PWR_GATE write from skl_program_scaler()
      drm/i915: Polish the skl+ plane keyval/msk/max register setup
      drm/i915: Clean up skl+ PLANE_POS vs. scaler handling
      drm/i915: Generalize skl_ddb_allocation_overlaps()
      drm/i915: Handle -EDEADLK from ironlake_check_fdi_lanes()
      drm/i915: Remove pointless goto fail
      drm/i915: Clean up the baseline bpp computation
      drm/i915: Switch LSPCON to PCON mode if it's in LS mode
      drm/i915: Always write both TILEOFF and LINOFF plane registers
      drm/i915: Account for scale factor when calculating initial phase
      drm/i915: Move skip_intermediate_wm handling into ilk_compute_intermediate_wm()
      drm/i915: Fix the VLV/CHV DSI panel orientation hw readout
      drm/i915: Determine DSI panel orientation from VBT
      drm/i915: Disable LP3 watermarks on all SNB machines
      drm/i915: Force a LUT update in intel_initial_commit()
      drm/i915: Add rotation readout for plane initial config

Xiong Zhang (1):
      drm/i915: Add ppgtt to GVT GEM context

 Documentation/vm/unevictable-lru.rst               |    6 +-
 drivers/gpu/drm/drm_atomic.c                       |    5 +
 drivers/gpu/drm/drm_atomic_helper.c                |    4 +
 drivers/gpu/drm/drm_atomic_uapi.c                  |    4 +
 drivers/gpu/drm/drm_connector.c                    |   41 +
 drivers/gpu/drm/drm_dp_helper.c                    |   90 +
 drivers/gpu/drm/i915/Makefile                      |    6 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   28 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  241 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |   98 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  240 +--
 drivers/gpu/drm/i915/i915_fixed.h                  |  143 ++
 drivers/gpu/drm/i915/i915_gem.c                    |   67 +-
 drivers/gpu/drm/i915/i915_gem.h                    |    4 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   13 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |    1 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |    7 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  249 +--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   11 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   33 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |    8 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   83 +-
 drivers/gpu/drm/i915/i915_oa_bdw.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_bdw.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_bxt.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_bxt.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_cflgt2.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_cflgt2.h              |   27 +-
 drivers/gpu/drm/i915/i915_oa_cflgt3.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_cflgt3.h              |   27 +-
 drivers/gpu/drm/i915/i915_oa_chv.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_chv.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_cnl.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_cnl.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_glk.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_glk.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_hsw.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_hsw.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_icl.c                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_icl.h                 |   27 +-
 drivers/gpu/drm/i915/i915_oa_kblgt2.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_kblgt2.h              |   27 +-
 drivers/gpu/drm/i915/i915_oa_kblgt3.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_kblgt3.h              |   27 +-
 drivers/gpu/drm/i915/i915_oa_sklgt2.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_sklgt2.h              |   27 +-
 drivers/gpu/drm/i915/i915_oa_sklgt3.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_sklgt3.h              |   27 +-
 drivers/gpu/drm/i915/i915_oa_sklgt4.c              |   27 +-
 drivers/gpu/drm/i915/i915_oa_sklgt4.h              |   27 +-
 drivers/gpu/drm/i915/i915_params.c                 |    9 +-
 drivers/gpu/drm/i915/i915_params.h                 |    1 -
 drivers/gpu/drm/i915/i915_pci.c                    |   71 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   49 +-
 drivers/gpu/drm/i915/i915_query.c                  |    3 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  814 +++++---
 drivers/gpu/drm/i915/i915_request.c                |  118 +-
 drivers/gpu/drm/i915/i915_request.h                |   13 +-
 drivers/gpu/drm/i915/i915_scheduler.c              |  399 ++++
 drivers/gpu/drm/i915/i915_scheduler.h              |   36 +
 drivers/gpu/drm/i915/i915_syncmap.c                |    2 +-
 drivers/gpu/drm/i915/i915_timeline.h               |   19 +
 drivers/gpu/drm/i915/i915_utils.h                  |    2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |    8 +-
 drivers/gpu/drm/i915/icl_dsi.c                     |  858 ++++++++-
 drivers/gpu/drm/i915/intel_atomic.c                |  118 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |  118 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   37 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   89 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   39 +-
 drivers/gpu/drm/i915/intel_color.c                 |    3 +-
 drivers/gpu/drm/i915/intel_combo_phy.c             |  254 +++
 .../drm/i915/{intel_modes.c => intel_connector.c}  |  129 ++
 drivers/gpu/drm/i915/intel_crt.c                   |   11 +-
 drivers/gpu/drm/i915/intel_csr.c                   |  162 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  482 ++++-
 drivers/gpu/drm/i915/intel_device_info.c           |   69 +-
 drivers/gpu/drm/i915/intel_device_info.h           |   20 +-
 drivers/gpu/drm/i915/intel_display.c               | 1936 +++++++++-----------
 drivers/gpu/drm/i915/intel_display.h               |   37 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  831 ++++-----
 drivers/gpu/drm/i915/intel_dp_mst.c                |   33 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |    4 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  117 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |    8 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  231 ++-
 drivers/gpu/drm/i915/intel_dsi.c                   |  128 ++
 drivers/gpu/drm/i915/intel_dsi.h                   |   30 +
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |  284 ++-
 drivers/gpu/drm/i915/intel_dvo.c                   |   10 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   31 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |   10 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |    8 +-
 drivers/gpu/drm/i915/intel_guc.c                   |   45 +-
 drivers/gpu/drm/i915/intel_guc.h                   |    5 +
 drivers/gpu/drm/i915/intel_guc_fw.c                |  113 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   41 +-
 drivers/gpu/drm/i915/intel_guc_reg.h               |   12 +
 drivers/gpu/drm/i915/intel_guc_submission.c        |  216 +--
 drivers/gpu/drm/i915/intel_hdcp.c                  |  214 ++-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  213 ++-
 drivers/gpu/drm/i915/intel_hotplug.c               |   67 +-
 drivers/gpu/drm/i915/intel_huc.c                   |    7 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  314 +---
 drivers/gpu/drm/i915/intel_lspcon.c                |  347 +++-
 drivers/gpu/drm/i915/intel_lvds.c                  |   67 +-
 drivers/gpu/drm/i915/intel_opregion.c              |  158 +-
 drivers/gpu/drm/i915/intel_opregion.h              |   15 +
 drivers/gpu/drm/i915/intel_overlay.c               |    4 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   13 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  522 ++++--
 drivers/gpu/drm/i915/intel_psr.c                   |  248 +--
 drivers/gpu/drm/i915/intel_quirks.c                |  169 ++
 drivers/gpu/drm/i915/intel_ringbuffer.c            |    7 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   24 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  342 ++--
 drivers/gpu/drm/i915/intel_sdvo.c                  |   56 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  652 +++++--
 drivers/gpu/drm/i915/intel_tv.c                    |   10 +-
 drivers/gpu/drm/i915/intel_uc.c                    |    2 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |    7 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    2 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |    7 +
 drivers/gpu/drm/i915/intel_workarounds.c           |   46 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   34 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  428 ++++-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |    4 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         |   59 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |    7 +-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |  267 ++-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |    2 +-
 drivers/gpu/drm/i915/vlv_dsi.c                     |  190 +-
 include/drm/drm_connector.h                        |   20 +
 include/drm/drm_dp_helper.h                        |   95 +
 include/drm/drm_hdcp.h                             |  212 +++
 include/drm/i915_pciids.h                          |   21 +-
 include/linux/swap.h                               |    4 +-
 include/uapi/drm/i915_drm.h                        |    8 +
 mm/shmem.c                                         |    2 +-
 mm/vmscan.c                                        |   22 +-
 sound/x86/intel_hdmi_audio.c                       |   26 +-
 142 files changed, 9676 insertions(+), 5441 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_fixed.h
 create mode 100644 drivers/gpu/drm/i915/i915_scheduler.c
 create mode 100644 drivers/gpu/drm/i915/intel_combo_phy.c
 rename drivers/gpu/drm/i915/{intel_modes.c => intel_connector.c} (54%)
 create mode 100644 drivers/gpu/drm/i915/intel_dsi.c
 create mode 100644 drivers/gpu/drm/i915/intel_quirks.c

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-09-27  9:59 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2018-09-27  9:59 UTC (permalink / raw)
  To: Dave Airlie
  Cc: dim-tools, intel-gfx, Rodrigo Vivi, Sean Paul, dri-devel, Daniel Vetter

Hi Dave,

Here's the second and final set of changes for v4.20, tagged
last Friday before -rc5. We run it through the testing with
the CI farm machines and found no regressions.

Most user noticeable things are MythTV video stuttering
regression fix for older hardware, black screen fix on resume
when using color space corrections (CSC) and W/A for 16 GB DIMM
modules on Skylake and newer systems.

There are some further Icelake enabling patches and addition
of the DMC firmware which is required for power management,
but all still behind i915.alpha_support=1 flag.

Jani will be taking care of v4.21 as I proceed to provide
fixes for v4.20.

Regards, Joonas

PS. CI folks asked to remind you that by pushing to 'for-intel-ci'
branch in your tree, you can trigger CI runs yourself.

drm-intel-next-2018-09-21:
Driver Changes:

- Bugzilla 107600: Fix stuttering video playback on MythTV on old hardware (Chris)
- Avoid black screen when using CSC coefficient matrix (Raviraj)
- Hammer PDs on Baytrail to make sure they reload (Chris)
- Capture some objects if unable to capture all, on error (Chris)
- Add W/A for 16 GB DIMMs on SKL+ (Mahesh)
- Only enable IPC for symmetric memory configurations on KBL+ (Mahesh)
- Assume pipe A to have maximum stride limits (Ville)
- Always update update OA contexts via context image (Tvrtko)
- Icelake enabling patches (Madhav, Dhinakaran)
- Add Icelake DMC firmware (Anusha)
- Fixes for CI found corner cases (Chris)
- Limit the backpressure for request allocation (Chris)
- Park GPU on module load so usage starts from known state (Chris)
- Flush tasklet when checking for idle (Chris)
- Use coherent write into the context image on BSW+ (Chris)
- Fix possible integer overflow for framebuffers that get aligned past 4GiB (Ville)
- Downgrade fence timeout from warn to notice and add debug hint (Chris)

- Fixes to multi function encoder code (Ville)
- Fix sprite plane check logic (Dan, Ville)
- PAGE_SIZE vs. I915_GTT_PAGE_SIZE fixes (Ville)
- Decode memory bandwidth and parameters for BXT and SKL+ (Mahesh)
- Overwrite BIOS set IPC value from KMS (Mahesh)
- Multiple pipe handling code cleanups/restructurings/optimizations (Ville)
- Spare low 4G address for non-48bit objects (Chris)
- Free context_setparam of struct_mutex (Chris)
- Delay updating ring register state on resume (Chris)
- Avoid unnecessarily copying overlay IOCTL parameters (Chris)
- Update GuC power domain states even without submission (Michal)
- Restore GuC preempt-context across S3/S4 (Chris)
- Add kernel selftest for rapid context switching (Chris)
- Keep runtime power management ref for live selftests (Chris)
- GEM code cleanups (Matt)

The following changes since commit a28957b8f10be714f076fb3981a3b1a0318c48c2:

  drm/i915: Update DRIVER_DATE to 20180906 (2018-09-06 16:54:43 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-09-21

for you to fetch changes up to 448626103dad54ec5d06722e955586b5d557625d:

  drm/i915: Update DRIVER_DATE to 20180921 (2018-09-21 12:26:37 +0300)

----------------------------------------------------------------
Driver Changes:

- Bugzilla 107600: Fix stuttering video playback on MythTV on old hardware (Chris)
- Avoid black screen when using CSC coefficient matrix (Raviraj)
- Hammer PDs on Baytrail to make sure they reload (Chris)
- Capture some objects if unable to capture all, on error (Chris)
- Add W/A for 16 GB DIMMs on SKL+ (Mahesh)
- Only enable IPC for symmetric memory configurations on KBL+ (Mahesh)
- Assume pipe A to have maximum stride limits (Ville)
- Always update update OA contexts via context image (Tvrtko)
- Icelake enabling patches (Madhav, Dhinakaran)
- Add Icelake DMC firmware (Anusha)
- Fixes for CI found corner cases (Chris)
- Limit the backpressure for request allocation (Chris)
- Park GPU on module load so usage starts from known state (Chris)
- Flush tasklet when checking for idle (Chris)
- Use coherent write into the context image on BSW+ (Chris)
- Fix possible integer overflow for framebuffers that get aligned past 4GiB (Ville)
- Downgrade fence timeout from warn to notice and add debug hint (Chris)

- Fixes to multi function encoder code (Ville)
- Fix sprite plane check logic (Dan, Ville)
- PAGE_SIZE vs. I915_GTT_PAGE_SIZE fixes (Ville)
- Decode memory bandwidth and parameters for BXT and SKL+ (Mahesh)
- Overwrite BIOS set IPC value from KMS (Mahesh)
- Multiple pipe handling code cleanups/restructurings/optimizations (Ville)
- Spare low 4G address for non-48bit objects (Chris)
- Free context_setparam of struct_mutex (Chris)
- Delay updating ring register state on resume (Chris)
- Avoid unnecessarily copying overlay IOCTL parameters (Chris)
- Update GuC power domain states even without submission (Michal)
- Restore GuC preempt-context across S3/S4 (Chris)
- Add kernel selftest for rapid context switching (Chris)
- Keep runtime power management ref for live selftests (Chris)
- GEM code cleanups (Matt)

----------------------------------------------------------------
Anusha Srivatsa (1):
      firmware/dmc/icl: load v1.07 on icelake.

Chris Wilson (21):
      drm/i915: Missed interrupt simulation is no more, tell the world
      drm/i915/overlay: Allocate physical registers from stolen
      drm/i915/overlay: Use the ioctl parameters directly
      drm/i915: Nuke struct_mutex from context_setparam
      drm/i915/ringbuffer: Reload PDs harder on byt/bcs
      drm/i915: Reorder execobject[] to insert non-48b objects into the low 4G
      drm/i915: Mark up a couple of KMS debug messages as such
      drm/i915: Limit the backpressure for i915_request allocation
      drm/i915: Flush the tasklet when checking for idle
      drm/i915/execlists: Delay updating ring register state after resume
      drm/i915: Check engine->default_state mapping on module load
      drm/i915/execlists: Use coherent writes into the context image
      drm/i915: Include fence-hint for timeout warning
      drm/i915/execlists: Reset CSB pointers on canceling requests (wedging)
      drm/i915: Limit number of capture objects
      drm/i915/selftests: Free the batch along the contexts error path
      drm/i915/selftests: Basic stress test for rapid context switching
      drm/i915/guc: Restore preempt-context across S3/S4
      drm/i915/selftests: Live tests emit requests and so require rpm
      drm/i915: Park the GPU on module load
      drm/i915/execlists: Onion unwind for logical_ring_init() failure

Dhinakaran Pandiyan (1):
      drm/i915/psr: Enable AUX-A IO power well on ICL for PSR

Joonas Lahtinen (2):
      drm/i915: Update DRIVER_DATE to 20180921
      drm/i915: Update DRIVER_DATE to 20180921

Madhav Chauhan (1):
      drm/i915/icl: Define T_INIT_MASTER registers

Mahesh Kumar (5):
      drm/i915/bxt: Decode memory bandwidth and parameters
      drm/i915/skl+: Decode memory bandwidth and parameters
      drm/i915: Implement 16GB dimm wa for latency level-0
      drm/i915/skl+: don't trust IPC value set by BIOS
      drm/i915/kbl+: Enable IPC only for symmetric memory configurations

Matthew Auld (2):
      drm/i915: don't assume struct page in i915_sg_trim
      drm/i915: pass dev_priv to i915_gem_cleanup_stolen

Michal Wajdeczko (1):
      drm/i915/guc: Update GuC power domain states

P Raviraj Sitaram (1):
      drm/i915/chv: Update csc coefficient matrix during modeset

Tvrtko Ursulin (1):
      i915/oa: Simplify updating contexts

Ville Syrjälä (18):
      drm/i915: s/tile_offset/aligned_offset/ etc.
      drm/i915: Add .max_stride() plane hook
      drm/i915: Use pipe A primary plane .max_stride() as the global stride limit
      drm/i915: Rename the plane_state->main/aux to plane_state->color_plane[]
      drm/i915: Store the final plane stride in plane_state
      drm/i915: Store ggtt_view in plane_state
      drm/i915: s/int plane/int color_plane/
      drm/i915: Nuke plane->can_scale/min_downscale
      drm/i915: Extract per-platform plane->check() functions
      drm/i915: Move skl plane fb related checks into a better place
      drm/i915: Move display w/a #1175
      drm/i915: Move chv rotation checks to plane->check()
      drm/i915: Extract intel_cursor_check_surface()
      drm/i915: Replace some PAGE_SIZE with I915_GTT_PAGE_SIZE
      drm/i915: Fix a potential integer overflow with framebuffers extending past 4 GiB
      drm/i915: Replace some PAGE_SHIFTs with I915_GTT_PAGE_SIZE
      drm/i915: Fix logic fumble in rotation vs. ccs check
      drm/i915/sdvo: Fix multi function encoder stuff

 drivers/gpu/drm/i915/i915_debugfs.c                |  11 +
 drivers/gpu/drm/i915/i915_drv.c                    | 300 ++++++++++
 drivers/gpu/drm/i915/i915_drv.h                    |  37 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  28 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  20 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |  33 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   7 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  50 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  20 +-
 drivers/gpu/drm/i915/i915_perf.c                   | 125 +---
 drivers/gpu/drm/i915/i915_reg.h                    |  54 ++
 drivers/gpu/drm/i915/i915_request.c                |  14 +-
 drivers/gpu/drm/i915/i915_request.h                |   8 +
 drivers/gpu/drm/i915/i915_sw_fence.c               |  13 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |  53 --
 drivers/gpu/drm/i915/intel_csr.c                   |   7 +
 drivers/gpu/drm/i915/intel_ddi.c                   |   2 +-
 drivers/gpu/drm/i915/intel_display.c               | 632 +++++++++++----------
 drivers/gpu/drm/i915/intel_drv.h                   |  45 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   3 +
 drivers/gpu/drm/i915/intel_fbc.c                   |   4 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   6 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |  80 +--
 drivers/gpu/drm/i915/intel_lrc.c                   |  57 +-
 drivers/gpu/drm/i915/intel_overlay.c               | 375 +++++-------
 drivers/gpu/drm/i915/intel_pm.c                    |  19 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  23 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   4 +
 drivers/gpu/drm/i915/intel_sdvo.c                  | 101 ++--
 drivers/gpu/drm/i915/intel_sprite.c                | 494 +++++++++++-----
 drivers/gpu/drm/i915/intel_uc.c                    |   4 +
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   2 +
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |   2 +
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  | 202 +++++++
 drivers/gpu/drm/i915/selftests/i915_request.c      |   8 +
 drivers/gpu/drm/i915/selftests/intel_guc.c         |   4 +
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |   8 +
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |   5 +
 39 files changed, 1761 insertions(+), 1103 deletions(-)
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-09-07 10:54 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2018-09-07 10:54 UTC (permalink / raw)
  To: Dave Airlie
  Cc: dim-tools, intel-gfx, Rodrigo Vivi, Sean Paul, dri-devel, Daniel Vetter

Hi Dave,

Here's the first batch of changes for v4.20. Nothing too special.

Notable things are more Icelake enabling/fixing patches and PPGTT
enabling for some older platforms. Icelake is still behind
alpha_support flag as we have the code in upstream but extensive
testing is pending hardware availability.

Now, after summer vacations are over, next kernel versions will
probably absorb the queue of features that are in the flight.

Note the backmerge of drm-next-4.19. I also intend to backmerge
-rc2 after this for the BITS_PER_TYPE patches that Chris wants.
There are some extra tags generated, just ignore those, Tooling
should handle this pull just nicely.

Regards, Joonas

---

drm-intel-next-2018-09-06-2:
Merge tag 'gvt-next-2018-09-04'

drm-intel-next-2018-09-06-1:
UAPI Changes:
- GGTT coherency GETPARAM: GGTT has turned out to be non-coherent for some
  platforms, which we've failed to communicate to userspace so far. SNA was
  modified to do extra flushing on non-coherent GGTT access, while Mesa will
  mitigate by always requiring WC mapping (which is non-coherent anyway).
- Neuter Resource Streamer uAPI: There never really were users for the feature,
  so neuter it while keeping the interface bits for compatibility. This is a
  long due item from past.

Cross-subsystem Changes:
- Backmerge of branch drm-next-4.19 for DP_DPCD_REV_14 changes

Core Changes:
- None

Driver Changes:

- A load of Icelake (ICL) enabling patches (Paulo, Manasi)
- Enabled full PPGTT for IVB,VLV and HSW (Chris)
- Bugzilla #107113: Distribute DDB based on display resolutions (Mahesh)
- Bugzillas #100023,#107476,#94921: Support limited range DP displays (Jani)
- Bugzilla #107503: Increase LSPCON timeout (Fredrik)
- Avoid boosting GPU due to an occasional stall in interactive workloads (Chris)
- Apply GGTT coherency W/A only for affected systems instead of all (Chris)
- Fix for infinite link training loop for faulty USB-C MST hubs (Nathan)
- Keep KMS functional on Gen4 and earlier when GPU is wedged (Chris)
- Stop holding ppGTT reference from closed VMAs (Chris)
- Clear error registers after error capture (Lionel)
- Various Icelake fixes (Anusha, Jyoti, Ville, Tvrtko)
- Add missing Coffeelake (CFL) PCI IDs (Rodrigo)
- Flush execlists tasklet directly from reset-finish (Chris)
- Fix LPE audio runtime PM (Chris)
- Fix detection of out of range surface positions (GLK/CNL) (Ville)
- Remove wait-for-idle for PSR2 (Dhinakaran)
- Power down existing display hardware resources when display is disabled (Chris)
- Don't allow runtime power management if RC6 doesn't exist (Chris)
- Add debugging checks for runtime power management paths (Imre)
- Increase symmetry in display power init/fini paths (Imre)
- Isolate GVT specific macros from i915_reg.h (Lucas)
- Increase symmetry in power management enable/disable paths (Chris)
- Increase IP disable timeout to 100 ms to avoid DRM_ERROR (Imre)
- Fix memory leak from HDMI HDCP write function (Brian, Rodrigo)
- Reject Y/Yf tiling on interlaced modes (Ville)
- Use a cached mapping for the physical HWS on older gens (Chris)
- Force slow path of writing relocations to buffer if unable to write to userspace (Chris)
- Do a full device reset after being wedged (Chris)
- Keep forcewake counts over reset (in case of debugfs user) (Imre, Chris)
- Avoid false-positive errors from power wells during init (Imre)
- Reset engines forcibly in exchange of declaring whole device wedged (Mika)
- Reduce context HW ID lifetime in preparation for Icelake (Chris)
- Attempt to recover from module load failures (Chris)
- Keep select interrupts over a reset to avoid missing/losing them (Chris)
- GuC submission backend improvements (Jakub)
- Terminate context images with BB_END (Chris, Lionel)
- Make GCC evaluate GGTT view struct size assertions again (Ville)
- Add selftest to exercise suspend/hibernate code-paths for GEM (Chris)
- Use a full emulation of a user ppgtt context in selftests (Chris)
- Exercise resetting in the middle of a wait-on-fence in selftests (Chris)
- Fix coherency issues on selftests for Baytrail (Chris)
- Various other GEM fixes / self-test updates (Chris, Matt)
- GuC doorbell self-tests (Daniele)
- PSR mode control through debugfs for IGTs (Maarten)
- Degrade expected WM latency errors to DRM_DEBUG_KMS (Chris)
- Cope with errors better in MST link training (Dhinakaran)
- Fix WARN on KBL external displays (Azhar)
- Power well code cleanups (Imre)
- Fixes to PSR debugging (Dhinakaran)
- Make forcewake errors louder for easier catching in CI (WARNs) (Chris)
- Fortify tiling code against programmer errors (Chris)
- Bunch of fixes for CI exposed corner cases (multiple authors, mostly Chris)

The following changes since commit 500775074f88d9cf5416bed2ca19592812d62c41:

  Merge branch 'drm-next-4.19' of git://people.freedesktop.org/~agd5f/linux into drm-next (2018-07-20 14:54:31 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-09-06-2

for you to fetch changes up to a28957b8f10be714f076fb3981a3b1a0318c48c2:

  drm/i915: Update DRIVER_DATE to 20180906 (2018-09-06 16:54:43 +0300)

----------------------------------------------------------------
Merge tag 'gvt-next-2018-09-04'

----------------------------------------------------------------
Animesh Manna (1):
      drm/i915/icl: Update FIA supported lane count for hpd.

Anusha Srivatsa (4):
      drm/i915/dsc: Add missing _MMIO() from PPS registers
      drm/i915/icl: Add TBT checks for PLL calculations
      drm/i915/icl: Set TBT IO in Aux transaction
      drm/i915: Do not redefine the has_csr parameter.

Azhar Shaikh (2):
      drm/i915: Fix assert_plane() warning on bootup with external display
      drm/i915/psr: Add PSR mode/revision to debugfs

Chris Wilson (68):
      drm/i915/gtt: Enable full-ppgtt by default everywhere
      drm/i915/gtt: Full ppgtt everywhere, no excuses
      drm/i915: Suppress assertion for i915_ggtt_disable_guc
      drm/i915: Only force GGTT coherency w/a on required chipsets
      drm/i915: Pull unpin map into vma release
      drm/i915: Show stack (by WARN) for hitting forcewake errors
      drm/i915: Skip repeated calls to i915_gem_set_wedged()
      drm/i915: Avoid computing tile_row_size() for untiled objects
      drm/i915: Mark up object tiling-and-stride getters as const
      drm/i915: Protect guc_fini_wq() against module load abort
      drm/i915: Restore sane defaults for KMS on GEM error load
      drm/i915: Don't disable the GPU for older gen on wedging
      drm/i915/selftests: Use a full emulation of a user ppgtt context
      drm/i915/selftests: Exercise resetting in the middle of a wait-on-fence
      drm/i915: Eliminate use of PAGE_SIZE as a virtual alignment
      drm/i915: Remove superfluous GEN8_LR_CONTEXT_ALIGN
      drm/i915: Drop unneed i915 parameter from intel_ring_pin()
      drm/i915: Downgrade Gen9 Plane WM latency error
      drm/i915: Kick waiters on resetting legacy rings
      drm/i915/selftests: Replace opencoded clflush with drm_clflush_virt_range
      drm/i915: Interactive RPS mode
      drm/i95: Mark GGTT as incoherent for gen10+
      drm/i915/execlists: Terminate the context image with BB_END
      drm/i915: Drop stray clearing of rps->last_adj
      drm/i915/lpe: Mark LPE audio runtime pm as "no callbacks"
      drm/i915: Unconditionally clear the pm/guc GT IIR upon acking
      drm/i915: Clear all residual RPS events on disabling interrupts
      drm/i915: Stop dropping irq around resets
      drm/i915/selftests: Unconditionally do a chipset flush before emit_bb_start
      drm/i915: Pull seqno started checks together
      drm/i915: Warn if we hit the timeout for wait-for-idle
      drm/i915: Unmask user interrupts writes into HWSP on snb/ivb/vlv/hsw
      drm/i915: Remove extra waiter kick on legacy resets
      drm/i915: Restore user forcewake domains across suspend
      drm/i915/selftests: Hold rpm for unparking
      drm/i915: Bump priority of clean up work
      drm/i915: Cleanup gt powerstate from gem
      drm/i915: Restrict gen6_reset_rps_interrupts to gen6+
      drm/i915: Kick waiters on resetting legacy rings
      drm/i915: Disable runtime-pm using lowlevel functions if !HAS_RC6
      drm/i915: Clear stop-engine for a pardoned reset
      drm/i915: Only skip connector output for disable_display
      drm/i915: Remove useless error return from intel_init_mocs_engine()
      drm/i915: Stop holding a ref to the ppgtt from each vma
      drm/i915: Introduce intel_runtime_pm_disable to pair intel_runtime_pm_enable
      drm/i915/execlists: Include reset depth in traces
      drm/i915/audio: Hook up component bindings even if displays are disabled
      drm/i915: Correct CSB probing for engine state dumper
      drm/i915/execlists: Flush tasklet directly from reset-finish
      drm/i915/ringbuffer: Delay after invalidating gen6+ xcs
      drm/i915: Keep physical cursors pinned while in use
      drm/i915/selftests: Add a simple exerciser for suspend/hibernate
      drm/i915: Determine uses-full-ppgtt from context for execbuf
      drm/i915: Do a full device reset after being wedged
      drm/i915: Flag any possible writes for a GTT fault
      drm/i915: Force the slow path after a user-write error
      drm/i915: Early rejection of buffer allocations larger than RAM
      drm/i915: Forcibly flush unwanted requests in drop-caches
      drm/i915: Fix up FORCE_GPU_RELOC (debug) to flush CPU write domains
      drm/i915: Combine cleanup_status_page()
      drm/i915: Use a cached mapping for the physical HWS
      drm/i915: Double check we didn't miss an unclaimed register access
      drm/i915/ringbuffer: Move double invalidate to after pd flush
      drm/i915: Pull intel_uncore_arm_unclaimed_mmio_detection() under the spinlock
      drm/i915: Be defensive and don't assume PSR has any commit to sync against
      drm/i915: Reduce context HW ID lifetime
      drm/i915: Attach the pci match data to the device upon creation
      drm/i915: Move final cleanup of drm_i915_private to i915_driver_destroy

Daniele Ceraolo Spurio (1):
      drm/i915/selftests: ring all doorbells in igt_guc_doorbells

Dhinakaran Pandiyan (11):
      drm/i915/mst: Do not retrain new links
      drm/i915/mst: Continue state updates even if AUX writes fail.
      drm/i915/psr: Print PSR_STATUS when PSR idle wait times out.
      drm/i915/psr: Add missing check for I915_PSR_DEBUG_IRQ bit
      drm/i915/psr: Mask PSR irq bits when re-enabling interrupts.
      drm/i915: Add a small wrapper to check for CCS modifiers.
      drm/i915: Rename PLANE_CTL_DECOMPRESSION_ENABLE
      drm/i915/psr: Remove wait_for_idle() for PSR2
      drm/i915/psr: Rewrite comments in intel_psr_wait_for_idle()
      drm/i915: Clean up skl_plane_has_planar()
      drm/i915: Do not advertize support for NV12 on ICL yet.

Fredrik Schön (1):
      drm/i915: Increase LSPCON timeout

Gwan-gyeong Mun (1):
      drm/i915: Fix typo in i915_drm_resume()

Imre Deak (17):
      drm/i915/icl: Fix power well anonymous union initializers
      drm/i915: Rename intel_power_domains_fini() to intel_power_domains_fini_hw()
      drm/i915/vlv: Remove redundant power well ID asserts
      drm/i915: Constify power well descriptors
      drm/i915/vlv: Use power well CTL IDX instead of ID
      drm/i915/ddi: Use power well CTL IDX instead of ID
      drm/i915: Remove redundant power well IDs
      drm/i915: Make power well ID names more uniform
      drm/i915: Use existing power well IDs where possible
      drm/i915/icl: Add missing power gate enums
      drm/i915: Fix PM refcounting w/o DMC firmware
      drm/i915: Refactor intel_display_set_init_power() logic
      drm/i915: Verify power domains after enabling them
      drm/i915: Simplify condition to keep DMC active during S0ix
      drm/i915: Don't check power domains state in intel_power_domains_init_hw()
      drm/i915/dp_mst: Fix enabling pipe clock for all streams
      drm/i915/bdw: Increase IPS disable timeout to 100ms

Jakub Bartmiński (5):
      drm/i915/guc: Avoid wasting memory on incorrect GuC pin bias
      drm/i915/guc: Do not partition WOPCM if GuC is not used
      drm/i915/guc: Move the pin bias value from GuC to GGTT
      drm/i915: Remove unnecessary ggtt_offset_bias from i915_gem_context
      drm/i915: Add a fault injection point to WOPCM init

Jan-Marek Glogowski (1):
      drm/i915: Re-apply "Perform link quality check, unconditionally during long pulse"

Jani Nikula (1):
      drm/i915: set DP Main Stream Attribute for color range on DDI platforms

Joonas Lahtinen (7):
      drm/i915: Update DRIVER_DATE to 20180830
      drm/i915: Update DRIVER_DATE to 20180830
      drm/i915: Update DRIVER_DATE to 20180903
      drm/i915: Update DRIVER_DATE to 20180906
      drm/i915: Update DRIVER_DATE to 20180906
      Merge tag 'gvt-next-2018-09-04' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20180906

Jyoti Yadav (1):
      drm/i915/intel_csr.c Fix DMC FW Loading issue on ICL.

Lionel Landwerlin (3):
      drm/i915: clear error registers after error capture
      drm/i915/perf: simplify configure all context function
      drm/i915/perf: reuse intel_lrc ctx regs macro

Lucas De Marchi (5):
      drm/i915/icl: move has_resource_streamer to GEN11_FEATURES
      drm/i915: kill resource streamer support
      drm/i915: make PCH_GMBUS* definitions private to gvt
      drm/i915/gvt: use its own define for gpio
      drm/i915: remove confusing GPIO vs PCH_GPIO

Maarten Lankhorst (2):
      drm/i915: Allow control of PSR at runtime through debugfs, v6
      drm/i915/psr: Add debugfs support to force a downgrade to PSR1 mode.

Mahesh Kumar (2):
      drm/i915: ddb_size is of u16 type
      drm/i915/skl: distribute DDB based on panel resolution

Manasi Navare (5):
      drm/i915/icl: Add remaining registers and bitfields for MG PHY DDI
      drm/i915/icl: Implement voltage swing programming sequence for MG PHY DDI
      drm/i915/icl: Implement HSDIV_RATIO of MG_CLKTOP2_HSCLKCTL_PORT reg as separate divider value defines
      drm/i915/icl: Get DDI clock for ICL for MG PLL and TBT PLL
      drm/i915/dsc: Fix PPS register definition macros for 2nd VDSC engine

Matthew Auld (1):
      drm/i915/gtt: remove px_page

Michał Winiarski (1):
      drm/i915/kvmgt: Fix compilation error

Mika Kuoppala (3):
      Revert "drm/i915/icl: WaEnableFloatBlendOptimization"
      drm/i915: Expose retry count to per gen reset logic
      drm/i915: Force reset on unready engine

Nathan Ciobanu (3):
      drm/i915/dp: Limit link training clock recovery loop
      drm/i915/dp: Refactor max_vswing_tries variable
      drm/i915/dp: Improve clock recovery loop limit comment

Paulo Zanoni (13):
      drm/i915/icl: compute the TBT PLL registers
      drm/i915/icl: implement icl_digital_port_connected()
      drm/i915/icl: store the port type for TC ports
      drm/i915/icl: program MG_DP_MODE
      drm/i915/icl: toggle PHY clock gating around link training
      drm/i915/icl: don't set CNL_DDI_CLOCK_REG_ACCESS_ON anymore
      drm/i915: inline skl_copy_ddb_for_pipe() to its only caller
      drm/i915/icl: avoid unclaimed PLANE_NV12_BUF_CFG register
      drm/i915/icl: account for context save/restore removed bits
      drm/i915/icl: implement the tc/legacy HPD {dis,}connect flows
      drm/i915: WARN() if we can't lookup_power_well()
      drm/i915: use for_each_power_well in lookup_power_well()
      drm/i915: move lookup_power_well() up

Rodrigo Vivi (7):
      drm/i915: Remove unused "ret" variable.
      drm/i915: Fix psr sink status report.
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915/cfl: Add a new CFL PCI ID.
      drm/i915: Free write_buf that we allocated with kzalloc.
      drm/i915: introduce dp_to_i915() helper
      drm/i915: Use dp_to_i915 on intel_psr.c

Tvrtko Ursulin (2):
      drm/i915: Explicitly mark Global GTT address spaces
      drm/i915/icl: Fix context RPCS programming

Ville Syrjälä (5):
      drm/i915: Fix glk/cnl display w/a #1175
      drm/i915: Fix gtt_view asserts
      drm/i915: Don't pass plane to .check_plane()
      drm/i915: Reject compressed Y/Yf with interlaced modes
      drm/i915: Fix ICL+ HDMI clock readout

Zhao Yan (2):
      drm/i915/gvt: add a fastpath for cmd parsing on MI_NOOP
      drm/i915/gvt: only copy the first page for restore inhibit context

Zhenyu Wang (3):
      drm/i915/gvt: make dma map/unmap kvmgt functions as static
      drm/i915/gvt: Fix function comment doc errors
      drm/i915/gvt: Move some MMIO definitions to reg.h

 drivers/gpu/drm/i915/Kconfig.debug                 |   12 +
 drivers/gpu/drm/i915/gvt/cfg_space.c               |   12 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   11 +-
 drivers/gpu/drm/i915/gvt/display.c                 |    1 +
 drivers/gpu/drm/i915/gvt/edid.c                    |    9 +
 drivers/gpu/drm/i915/gvt/gtt.c                     |    9 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |    3 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   34 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   10 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |    3 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   13 -
 drivers/gpu/drm/i915/gvt/mmio_context.h            |    3 +
 drivers/gpu/drm/i915/gvt/opregion.c                |    1 -
 drivers/gpu/drm/i915/gvt/page_track.c              |    2 +
 drivers/gpu/drm/i915/gvt/reg.h                     |   18 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |   64 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  114 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |  194 ++--
 drivers/gpu/drm/i915/i915_drv.h                    |   79 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   65 +-
 drivers/gpu/drm/i915/i915_gem.h                    |    6 -
 drivers/gpu/drm/i915/i915_gem_context.c            |  232 +++--
 drivers/gpu/drm/i915/i915_gem_context.h            |   26 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   43 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   52 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   23 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   10 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   40 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   16 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   55 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  731 +++++++-------
 drivers/gpu/drm/i915/i915_request.c                |    9 +-
 drivers/gpu/drm/i915/i915_request.h                |   39 +-
 drivers/gpu/drm/i915/i915_vma.c                    |    9 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   10 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |    6 +-
 drivers/gpu/drm/i915/intel_audio.c                 |    3 -
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |    6 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   33 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  244 ++++-
 drivers/gpu/drm/i915/intel_device_info.h           |    2 +-
 drivers/gpu/drm/i915/intel_display.c               |  199 ++--
 drivers/gpu/drm/i915/intel_display.h               |   28 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  534 +++++++++--
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   25 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   14 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   62 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |    1 +
 drivers/gpu/drm/i915/intel_drv.h                   |   45 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   93 +-
 drivers/gpu/drm/i915/intel_guc.c                   |  102 +-
 drivers/gpu/drm/i915/intel_guc.h                   |   12 +-
 drivers/gpu/drm/i915/intel_guc_ads.c               |    2 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                |    7 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |    1 +
 drivers/gpu/drm/i915/intel_guc_log.c               |    2 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |   35 +-
 drivers/gpu/drm/i915/intel_guc_submission.h        |    4 +
 drivers/gpu/drm/i915/intel_hangcheck.c             |    2 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  |    6 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   19 +-
 drivers/gpu/drm/i915/intel_huc.c                   |    2 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   16 +-
 drivers/gpu/drm/i915/intel_lpe_audio.c             |    4 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  159 +++-
 drivers/gpu/drm/i915/intel_lrc.h                   |    2 -
 drivers/gpu/drm/i915/intel_lrc_reg.h               |    2 +-
 drivers/gpu/drm/i915/intel_lspcon.c                |    2 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   11 +-
 drivers/gpu/drm/i915/intel_mocs.h                  |    2 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  202 ++--
 drivers/gpu/drm/i915/intel_psr.c                   |  277 ++++--
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  124 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   39 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            | 1003 +++++++++++++-------
 drivers/gpu/drm/i915/intel_sprite.c                |   13 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |    2 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  176 ++--
 drivers/gpu/drm/i915/intel_uncore.h                |    1 +
 drivers/gpu/drm/i915/intel_wopcm.c                 |    6 +
 drivers/gpu/drm/i915/intel_workarounds.c           |    3 -
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   11 +-
 drivers/gpu/drm/i915/selftests/i915_gem.c          |  221 +++++
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |   38 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   22 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/intel_guc.c         |   38 +
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  101 +-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |    2 +-
 drivers/gpu/drm/i915/selftests/mock_context.c      |   11 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |    2 +
 include/drm/i915_pciids.h                          |    1 +
 include/uapi/drm/i915_drm.h                        |   22 +
 93 files changed, 4051 insertions(+), 1910 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem.c
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-07-19 17:12 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2018-07-19 17:12 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

This is our final pull request for 4.19.

I was waiting some gvt pull that I had nacked for lack of review,
but that didn't came on time and it will have to wait for next-fixes
or later.

Here goes drm-intel-next-2018-07-19:
On GEM side:

- GuC related fixes (Chris, Michal)
- GTT read-only pages support (Jon, Chris)
- More selftests fixes (Chris)
- More GPU reset improvements (Chris)
- Flush caches after GGTT writes (Chris)
- Handle recursive shrinker for vma->last_active allocation (Chris)
- Other execlists fixes (Chris)

On Display side:

- GLK HDMI fix (Clint)
- Rework and cleanup around HPD pin (Ville)
- Preparation work for Display Stream Compression support coming on ICL (Anusha)
- Nuke LVDS lid notification (Ville)
- Assume eDP is always connected (Ville)
- Kill intel panel detection (Ville)

drm-intel-next-2018-07-12:
On GVT there's the addition of vGPU huge page support for guest,
with one BXT fix and gvt dependency handling.

On Display side there's:
- More PSR clean up and fixes (Rodrigo, DK and Tarun)
- GMBUS improvements for HDCP2.2 compliance (Ram)
- Fix strncpy truncation on intel_tv (Dominique)
- Cleanup modesetting on load-error path (Chris)

On GEM side:
- Gem init hw fix (Michal)
- More selftests fixes (Michal, Chris)
- Execlists optimizations (Chris)
- Introduce i915_address_space.mutex (Chris)
- Stolen memory support for Ice Lake (Paulo)
- Unwind HW init after GVT setup failure (Chris)
- Other fixes for gpu parking, gem_suspend, and handcheck reset (Chris)

Thanks,
Rodrigo.

The following changes since commit 82edc7e8b8c06151bdc653935bc13b83e2f0fcfa:

  drm/i915: Update DRIVER_DATE to 20180709 (2018-07-09 15:39:27 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-07-19

for you to fetch changes up to ef821e3f14e868779505bf08f96afb4eade53652:

  drm/i915: Update DRIVER_DATE to 20180719 (2018-07-19 08:47:59 -0700)

----------------------------------------------------------------
On GEM side:

- GuC related fixes (Chris, Michal)
- GTT read-only pages support (Jon, Chris)
- More selftests fixes (Chris)
- More GPU reset improvements (Chris)
- Flush caches after GGTT writes (Chris)
- Handle recursive shrinker for vma->last_active allocation (Chris)
- Other execlists fixes (Chris)

On Display side:

- GLK HDMI fix (Clint)
- Rework and cleanup around HPD pin (Ville)
- Preparation work for Display Stream Compression support coming on ICL (Anusha)
- Nuke LVDS lid notification (Ville)
- Assume eDP is always connected (Ville)
- Kill intel panel detection (Ville)

----------------------------------------------------------------
Anusha Srivatsa (4):
      drm/i915/icl: Add VIDEO_DIP registers
      i915/dp/dsc: Add DSC PPS register definitions
      i915/dp/dsc: Add Rate Control Buffer Threshold Registers
      i915/dp/dsc: Add Rate Control Range Parameter Registers

Changbin Du (14):
      drm/i915/gvt: Add new 64K entry type
      drm/i915/gvt: Add PTE IPS bit operations
      drm/i915/gvt: Handle MMIO GEN8_GAMW_ECO_DEV_RW_IA for 64K GTT
      drm/i915/gvt: Detect 64K gtt entry by IPS bit of PDE
      drm/i915/gvt: Add software PTE flag to mark special 64K splited entry
      drm/i915/gvt: Add GTT clear_pse operation
      drm/i915/gvt: Split ppgtt_alloc_spt into two parts
      drm/i915/gvt: Make PTE iterator 64K entry aware
      drm/i915/gvt: Add 64K huge gtt support
      drm/i915/kvmgt: Support setting dma map for huge pages
      drm/i915/gvt: Add 2M huge gtt support
      drm/i915/gvt: Handle special sequence on PDE IPS bit
      drm/i915/gvt: Fix error handling in ppgtt_populate_spt_by_guest_entry
      drm/i915: Enable platform support for vGPU huge gtt pages

Chris Wilson (34):
      drm/i915: Remove function details from device error messages
      drm/i915/selftests: Constrain mock_gtt tests to fit within RAM
      drm/i915/selftests: Filter out both physical address swizzles
      drm/i915: Only reset hangcheck at the start of an activity cycle
      drm/i915: Tidy i915_gem_suspend()
      drm/i915: Flush the residual parking on emergency shutdown
      drm/i915: Cleanup modesetting on load-error path
      drm/i915: Unwind HW init after GVT setup failure
      drm/i915: Introduce i915_address_space.mutex
      drm/i915/selftests: Add a safety net to live_workarounds
      drm/i915/execlists: Switch to rb_root_cached
      drm/i915: Silence warning for no vlv powercontext
      drm/i915/guc: Skip cleaning up the doorbells on error-before-allocate
      drm/i915/guc: Protect against NULL client dereference in error path
      drm/i915/gtt: Disable read-only support under GVT
      drm/i915: Prevent writing into a read-only object via a GGTT mmap
      drm/i915: Reject attempted pwrites into a read-only object
      drm/i915/userptr: Enable read-only support on gen8+
      drm/i915/guc: Protect against no desc-pool on premature shutdown
      drm/i915/selftests: Include the start of each subtest in the GEM trace
      drm/i915: Do not short-circuit tasklets during reset
      drm/i915/execlists: Drop clear_gtiir() on GPU reset
      drm/i915/selftests: Downgrade igt_timeout message
      drm/i915: Remove pci private pointer after destroying the device private
      drm/i915/execlists: Disable submission tasklet upon wedging
      drm/i915/execlists: Always clear preempt status on cancelling all
      drm/i915/selftests: Force a preemption hang
      drm/i915/selftests: Exercise reset to break stuck GTT eviction
      drm/i915/selftests: Free the backing store between iterations
      drm/i915: Flush chipset caches after GGTT writes
      drm/i915: Always retire residual requests before suspend
      drm/i915/guc: Keep guc submission permanently engaged
      drm/i915: Handle recursive shrinker for vma->last_active allocation
      drm/i915/execlists: Move the assertion we have the rpm wakeref down

Clint Taylor (1):
      drm/i915/glk: Add Quirk for GLK NUC HDMI port issues.

Colin Xu (1):
      drm/i915/gvt: Handle EDP_PSR_IMR and EDP_PSR_IIR for BXT.

Dhinakaran Pandiyan (1):
      drm/i915/psr: Split sink status into a separate debugfs node

Dominique Martinet (1):
      drm/i915/tv: fix strncpy truncation warning

Hang Yuan (1):
      drm/i915/gvt: declare gvt as i915's soft dependency

Jon Bloomfield (2):
      drm/i915/gtt: Add read only pages to gen8_pte_encode
      drm/i915/gtt: Read-only pages for insert_entries on bdw+

Michał Winiarski (3):
      drm/i915: Tidy error handling in i915_gem_init_hw
      drm/i915/selftests: Fixup GuC FW negative test
      drm/i915/guc: Disable rpm wakeref asserts in GuC irq handler

Paulo Zanoni (2):
      x86/gpu: reserve ICL's graphics stolen memory
      drm/i915: use the ICL stolen memory

Ramalingam C (2):
      drm/i915/gmbus: Increase the Bytes per Rd/Wr Op
      drm/i915/gmbus: Enable burst read

Rodrigo Vivi (6):
      Merge tag 'gvt-next-2018-07-11' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915/psr: Remove useless function calls.
      drm/i915/psr: Remove few mod parameters option.
      drm/i915: Update DRIVER_DATE to 20180712
      drm/i915: Kill sink_crc for good
      drm/i915: Update DRIVER_DATE to 20180719

Tarun Vyas (1):
      drm/i915: Use crtc_state->has_psr instead of CAN_PSR for pipe update

Ville Syrjälä (10):
      drm/i915: Introduce for_each_intel_dp()
      drm/i915: Introduce intel_encoder_is_dig_port()
      drm/i915: Rewrite mst suspend/resume in terms of encoders
      drm/i915: Nuke dev_priv->irq_port[]
      drm/i915: s/int i/enum hpd_pin pin/
      drm/i915: Pass hpd_pin to long_pulse_detect()
      drm/i915: Print the long_mask alongside the pin_mask
      drm/i915: Nuke the LVDS lid notifier
      drm/i915: Assume eDP is always connected
      drm/i915: Remove intel_panel_detect()

 arch/x86/kernel/early-quirks.c                     |  18 +
 drivers/gpu/drm/drm_gem.c                          |   9 +
 drivers/gpu/drm/i915/gvt/gtt.c                     | 423 +++++++++++++++++---
 drivers/gpu/drm/i915/gvt/gtt.h                     |   9 +
 drivers/gpu/drm/i915/gvt/gvt.c                     |   4 +
 drivers/gpu/drm/i915/gvt/handlers.c                |  39 +-
 drivers/gpu/drm/i915/gvt/hypercall.h               |   2 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 126 ++++--
 drivers/gpu/drm/i915/gvt/mpt.h                     |   7 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   1 +
 drivers/gpu/drm/i915/i915_debugfs.c                | 150 ++-----
 drivers/gpu/drm/i915/i915_drv.c                    |  40 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  76 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  88 +++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   9 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |  14 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |  12 +
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  38 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |  18 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 115 +++---
 drivers/gpu/drm/i915/i915_params.c                 |   6 +-
 drivers/gpu/drm/i915/i915_params.h                 |   1 -
 drivers/gpu/drm/i915/i915_pci.c                    |  13 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 436 +++++++++++++++++++++
 drivers/gpu/drm/i915/i915_selftest.h               |   2 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   8 +
 drivers/gpu/drm/i915/intel_ddi.c                   |  14 +-
 drivers/gpu/drm/i915/intel_display.c               |  23 +-
 drivers/gpu/drm/i915/intel_display.h               |   4 +
 drivers/gpu/drm/i915/intel_dp.c                    | 221 ++---------
 drivers/gpu/drm/i915/intel_drv.h                   |  55 ++-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  19 +-
 drivers/gpu/drm/i915/intel_guc.c                   |   2 +
 drivers/gpu/drm/i915/intel_guc_submission.c        |  72 ++--
 drivers/gpu/drm/i915/intel_gvt.c                   |   3 +
 drivers/gpu/drm/i915/intel_hotplug.c               | 118 +++---
 drivers/gpu/drm/i915/intel_i2c.c                   |  70 +++-
 drivers/gpu/drm/i915/intel_lrc.c                   | 147 ++-----
 drivers/gpu/drm/i915/intel_lrc.h                   |   2 +
 drivers/gpu/drm/i915/intel_lvds.c                  | 136 +------
 drivers/gpu/drm/i915/intel_panel.c                 |  20 -
 drivers/gpu/drm/i915/intel_pm.c                    |   8 +-
 drivers/gpu/drm/i915/intel_psr.c                   |  17 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  13 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  40 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   2 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   3 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   6 +
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  | 113 +++++-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   3 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   3 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |   2 +
 drivers/gpu/drm/i915/selftests/igt_wedge_me.h      |  58 +++
 drivers/gpu/drm/i915/selftests/intel_guc.c         |  10 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 171 +++++++-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 115 ++++++
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |   8 +-
 include/drm/drm_vma_manager.h                      |   1 +
 include/drm/i915_drm.h                             |   4 +-
 60 files changed, 2158 insertions(+), 1010 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_wedge_me.h
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-07-13 22:22 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2018-07-13 22:22 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

This is probably the last pull request for 4.19 from our side.

Please remind about the gvt-fixes vs gvt-next conflict that I mentioned
yesterday on drm-intel-fixes pull request.

Here goes drm-intel-next-2018-07-12:
On GVT there's the addition of vGPU huge page support for guest,
with one BXT fix and gvt dependency handling.

On Display side there's:
- More PSR clean up and fixes (Rodrigo, DK and Tarun)
- GMBUS improvements for HDCP2.2 compliance (Ram)
- Fix strncpy truncation on intel_tv (Dominique)
- Cleanup modesetting on load-error path (Chris)

On GEM side:
- Gem init hw fix (Michal)
- More selftests fixes (Michal, Chris)
- Execlists optimizations (Chris)
- Introduce i915_address_space.mutex (Chris)
- Stolen memory support for Ice Lake (Paulo)
- Unwind HW init after GVT setup failure (Chris)
- Other fixes for gpu parking, gem_suspend, and handcheck reset (Chris)

drm-intel-next-2018-07-09:
Higlights here goes to many PSR fixes and improvements; to the Ice lake work with
power well support and begin of DSI support addition. Also there were many improvements
on execlists and interrupts for minimal latency on command submission; and many fixes
on selftests, mostly caught by our CI.

General driver:
- Clean-up on aux irq (Lucas)
- Mark expected switch fall-through for dealing with static analysis tools (Gustavo)

Gem:
- Different fixes for GuC (Chris, Anusha, Michal)
- Avoid self-relocation BIAS if no relocation (Chris)
- Improve debugging cases in on EINVAL return and vma allocation (Chris)
- Fixes and improvements on context destroying and freeing (Chris)
- Wait for engines to idle before retiring (Chris)
- Many improvements on execlists and interrupts for minimal latency on command submission (Chris)
- Many fixes in selftests, specially on cases highlighted on CI (Chris)
- Other fixes and improvements around GGTT (Chris)
- Prevent background reaping of active objects (Chris)

Display:
- Parallel modeset cleanup to fix driver reset (Chris)
- Get AUX power domain for DP main link (Imre)
- Clean-up on PSR unused func pointers (Rodrigo)
- Many PSR/PSR2 fixes and improvements (DK, Jose, Tarun)
- Add a PSR1 live status (Vathsala)
- Replace old drm_*_{un/reference} with put,get functions (Thomas)
- FBC fixes (Maarten)
- Abstract and document the usage of picking macros (Jani)
- Remove unnecessary check for unsupported modifiers for NV12. (DK)
- Interrupt fixes for display (Ville)
- Clean up on sdvo code (Ville)
- Clean up on current DSI code (Jani)
- Remove support for legacy debugfs crc interface (Maarten)
- Simplify get_encoder_power_domains (Imre)

Icelake:
- MG PLL fixes (Imre)
- Add hw workaround for alpha blending (Vandita)
- Add power well support (Imre)
- Add Interrupt Support (Anusha)
- Start to add support for DSI on Ice Lake (Madhav)

Thanks,
Rodrigo.

The following changes since commit e1cacec9d50d7299893eeab2d895189f3db625da:

  drm/i915: Update DRIVER_DATE to 20180620 (2018-06-20 14:10:48 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-07-12

for you to fetch changes up to f7cf1a1829f9ff776fb5504c9c5ffa0e9d2baf79:

  drm/i915: Update DRIVER_DATE to 20180712 (2018-07-12 23:54:26 -0700)

----------------------------------------------------------------
On GVT there's the addition of vGPU huge page support for guest,
with one BXT fix and gvt dependency handling.

On Display side there's:
- More PSR clean up and fixes (Rodrigo, DK and Tarun)
- GMBUS improvements for HDCP2.2 compliance (Ram)
- Fix strncpy truncation on intel_tv (Dominique)
- Cleanup modesetting on load-error path (Chris)

On GEM side:
- Gem init hw fix (Michal)
- More selftests fixes (Michal, Chris)
- Execlists optimizations (Chris)
- Introduce i915_address_space.mutex (Chris)
- Stolen memory support for Ice Lake (Paulo)
- Unwind HW init after GVT setup failure (Chris)
- Other fixes for gpu parking, gem_suspend, and handcheck reset (Chris)

----------------------------------------------------------------
Anusha Srivatsa (2):
      drm/i915/guc: Remove USES_GUC_SUBMISSION for ads programming
      drm/i915/icp: Add Interrupt Support

Changbin Du (14):
      drm/i915/gvt: Add new 64K entry type
      drm/i915/gvt: Add PTE IPS bit operations
      drm/i915/gvt: Handle MMIO GEN8_GAMW_ECO_DEV_RW_IA for 64K GTT
      drm/i915/gvt: Detect 64K gtt entry by IPS bit of PDE
      drm/i915/gvt: Add software PTE flag to mark special 64K splited entry
      drm/i915/gvt: Add GTT clear_pse operation
      drm/i915/gvt: Split ppgtt_alloc_spt into two parts
      drm/i915/gvt: Make PTE iterator 64K entry aware
      drm/i915/gvt: Add 64K huge gtt support
      drm/i915/kvmgt: Support setting dma map for huge pages
      drm/i915/gvt: Add 2M huge gtt support
      drm/i915/gvt: Handle special sequence on PDE IPS bit
      drm/i915/gvt: Fix error handling in ppgtt_populate_spt_by_guest_entry
      drm/i915: Enable platform support for vGPU huge gtt pages

Chris Wilson (78):
      drm/i915: Disable bh around call to tasklet
      drm/i915: Ignore applying the self-relocation BIAS if no relocations
      drm/i915: Redefine EINVAL for debugging
      drm/i915: Defer modeset cleanup to a secondary task
      drm/i915/execlists: Check for ce->state before destroy
      drm/i915: Context objects can never be active when freed
      drm/i915: Wait for engines to idle before retiring
      drm/i915: Show vma allocator stack when in doubt
      drm/i915: Reduce spinlock hold time during notify_ring() interrupt
      drm/i915: Only trigger missed-seqno checking next to boundary
      drm/i915: Move the irq_counter inside the spinlock
      drm/i915: Only signal from interrupt when requested
      drm/i915: Drop posting reads to flush master interrupts
      drm/i915/execlists: Pull submit after dequeue under timeline lock
      drm/i915/execlists: Pull CSB reset under the timeline.lock
      drm/i915/execlists: Process one CSB update at a time
      drm/i915/execlists: Unify CSB access pointers
      drm/i915/execlists: Reset CSB write pointer after reset
      drm/i915/execlists: Stop storing the CSB read pointer in the mmio register
      drm/i915/execlists: Trust the CSB
      drm/i915/execlists: Direct submission of new requests (avoid tasklet/ksoftirqd)
      drm/i915/selftests: Mark up write into scratch vma
      drm/i915/selftests: Attach the fence to the object when making busy
      drm/i915: Try GGTT mmapping whole object as partial
      drm/i915/selftests: Release the struct_mutex to free the objects
      drm/i915/selftests: Drop struct_mutex around lowlevel pggtt allocation
      drm/i915/gtt: Pull global wc page stash under its own locking
      drm/i915: Remove defunct i915->vm_list
      drm/i915/selftests: Use full release for local ppgtt allocation
      drm/i915/selftests: Replace open-coded i915_address_space_init()
      drm/i915/selftests: Fixup recursive MI_BB_START for gen3
      drm/i915/selftests: Detect unknown swizzling correctly
      drm/i915/selftests: Fail hangcheck testing if the GPU is wedged
      drm/i915: Squelch very verbose error logging
      drm/i915/selftests: Destroy partial tiling vma after use
      drm/i915/selftests: Skip using the GPU if wedged
      drm/i915/selftests: Skip making an object busy if the GPU is wedged
      drm/i915/selftests: Skip all request selftests when wedged
      drm/i915/selftests: Skip workaround tests when wedged
      drm/i915/selftests: Skip live eviction tests when wedged
      drm/i915/selftests: Skip huge pages live tests if wedged
      drm/i915/selftests: Skip over live context testing when wedged
      drm/i915: Record logical context support in driver caps
      drm/i915/selftests: Skip live context execution test without logical contexts
      drm/i915/selftests: Skip live_execlists if the GPU is terminally wedged
      drm/i915: Flush the WCB following a WC write
      drm/i915/gtt: Suppress warnings for dma_map_page
      drm/i915/gtt: Control cache domain of dma_map_page() directly
      drm/i915/selftests: Limit live_gtt allocation test to fit within RAM
      drm/i915/selftests: Replace magic 1<<22 with MI_USE_GGTT/MI_MEM_VIRTUAL
      drm/i915/selftests: Fixup missing MI_MEM_VIRTUAL for live_hangcheck
      drm/i915: Refactor export_fence() after i915_vma_move_to_active()
      drm/i915: Export i915_request_skip()
      drm/i915: Start returning an error from i915_vma_move_to_active()
      drm/i915: Move i915_vma_move_to_active() to i915_vma.c
      drm/i915: Track vma activity per fence.context, not per engine
      drm/i915: Track the last-active inside the i915_vma
      drm/i915/selftests: Provide full mb() around clflush
      drm/i915/selftests: Avoid warning if runtime pm is disabled
      drm/i915: Replace nested subclassing with explicit subclasses
      drm/i915/selftests: Magic numbers for old Y-tiling
      drm/i915: Provide a timeout to i915_gem_wait_for_idle()
      drm/i915: Provide a timeout to i915_gem_wait_for_idle() on setup
      drm/i915/selftests: Replace wait-on-timeout with explicit timeout
      drm/i915/selftests: Prevent background reaping of active objects
      drm/i915: Remove function details from device error messages
      drm/i915/selftests: Constrain mock_gtt tests to fit within RAM
      drm/i915/selftests: Filter out both physical address swizzles
      drm/i915: Only reset hangcheck at the start of an activity cycle
      drm/i915: Tidy i915_gem_suspend()
      drm/i915: Flush the residual parking on emergency shutdown
      drm/i915: Cleanup modesetting on load-error path
      drm/i915: Unwind HW init after GVT setup failure
      drm/i915: Introduce i915_address_space.mutex
      drm/i915/selftests: Add a safety net to live_workarounds
      drm/i915/execlists: Switch to rb_root_cached
      drm/i915: Silence warning for no vlv powercontext
      drm/i915/guc: Skip cleaning up the doorbells on error-before-allocate

Colin Xu (1):
      drm/i915/gvt: Handle EDP_PSR_IMR and EDP_PSR_IIR for BXT.

Dhinakaran Pandiyan (4):
      drm/i915/psr: Fix race in intel_psr_work()
      drm/i915/psr: Warn for erroneous enabling of both PSR1 and PSR2.
      drm/i915: Remove unnecessary check for unsupported modifiers for NV12
      drm/i915/psr: Split sink status into a separate debugfs node

Dominique Martinet (1):
      drm/i915/tv: fix strncpy truncation warning

Gustavo A. R. Silva (1):
      drm/i915: Mark expected switch fall-throughs

Hang Yuan (1):
      drm/i915/gvt: declare gvt as i915's soft dependency

Imre Deak (5):
      drm/i915/icl: Fix MG PLL setup when refclk is 38.4MHz
      drm/i915/icl: Do read-modify-write as needed during MG PLL programming
      drm/i915/ddi: Get AUX power domain for DP main link too
      drm/i915/icl: Add power well support
      drm/i915/ddi: Simplify get_encoder_power_domains()

Jani Nikula (4):
      drm/i915: abstract and document register picking macros
      drm/i915/dsi: rename the current DSI files based on first platform
      drm/i915/dsi: use vlv and bxt prefixes for the global DSI functions
      drm/i915/dsi: update some of the platform based checks

José Roberto de Souza (5):
      drm/i915/psr: Remove intel_crtc_state parameter from disable_source()
      drm/i915/psr: Begin to handle PSR/PSR2 errors set by sink
      drm/i915/psr: Handle PSR errors
      drm/i915/psr: Avoid PSR exit max time timeout
      drm/i915/psr: Enable CRC check in the static frame on the sink side

Lucas De Marchi (1):
      drm/i915: remove check for aux irq

Maarten Lankhorst (3):
      drm/i915: Block enabling FBC until flips have been completed
      drm/i915: Remove delayed FBC activation.
      drm/i915: Remove support for legacy debugfs crc interface

Madhav Chauhan (7):
      drm/i915/icl: Define register for DSI PLL
      drm/i915/icl: Program DSI Escape clock Divider
      drm/i915/icl: Define DSI mode ctl register
      drm/i915/icl: Enable DSI IO power
      drm/i915/icl: Define PORT_CL_DW_10 register
      drm/i915/icl: Power down unused DSI lanes
      drm/i915/icl: Define AUX lane registers for Port A/B

Michal Wajdeczko (3):
      drm/i915/guc: Use intel_guc_init_misc to hide GuC internals
      drm/i915/uc: Fetch GuC/HuC firmwares from guc/huc specific init
      drm/i915/guc: Print CTL params passed to Guc

Michał Winiarski (2):
      drm/i915: Tidy error handling in i915_gem_init_hw
      drm/i915/selftests: Fixup GuC FW negative test

Paulo Zanoni (2):
      x86/gpu: reserve ICL's graphics stolen memory
      drm/i915: use the ICL stolen memory

Ramalingam C (2):
      drm/i915/gmbus: Increase the Bytes per Rd/Wr Op
      drm/i915/gmbus: Enable burst read

Rodrigo Vivi (6):
      drm/i915/psr: Kill useless function pointers.
      drm/i915: Update DRIVER_DATE to 20180709
      Merge tag 'gvt-next-2018-07-11' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915/psr: Remove useless function calls.
      drm/i915/psr: Remove few mod parameters option.
      drm/i915: Update DRIVER_DATE to 20180712

Tarun Vyas (3):
      drm/i915/psr: Lockless version of psr_wait_for_idle
      drm/i915: Wait for PSR exit before checking for vblank evasion
      drm/i915: Use crtc_state->has_psr instead of CAN_PSR for pipe update

Thomas Zimmermann (5):
      drm/i915: Replace drm_connector_{un/reference} with put,get functions
      drm/i915: Replace drm_gem_object_{un/reference} with {put,get} functions
      drm/i915: Replace __drm_gem_object_unreference with __drm_gem_object_put
      drm/i915: Replace drm_gem_object_unreference_unlocked with put function
      drm/i915: Replace drm_dev_unref with drm_dev_put

Vandita Kulkarni (1):
      drm/i915: Enable hw workaround to bypass alpha

Vathsala Nagaraju (1):
      drm/i915/psr: Add psr1 live status

Ville Syrjälä (4):
      drm/i915: Fix hotplug irq ack on i965/g4x
      drm/i915: Fix pre-ILK error interrupt ack
      drm/i915: Unmask and enable master error interrupt on gen2/3
      drm/i915: Remove pointless if-else from sdvo code

 arch/x86/kernel/early-quirks.c                     |  18 +
 drivers/gpu/drm/i915/Kconfig.debug                 |  12 +
 drivers/gpu/drm/i915/Makefile                      |   7 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     | 423 +++++++++++++---
 drivers/gpu/drm/i915/gvt/gtt.h                     |   9 +
 drivers/gpu/drm/i915/gvt/gvt.c                     |   4 +
 drivers/gpu/drm/i915/gvt/handlers.c                |  39 +-
 drivers/gpu/drm/i915/gvt/hypercall.h               |   2 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 126 +++--
 drivers/gpu/drm/i915/gvt/mpt.h                     |   7 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   6 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   1 +
 drivers/gpu/drm/i915/i915_debugfs.c                | 139 +++---
 drivers/gpu/drm/i915/i915_drv.c                    |  31 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  54 +-
 drivers/gpu/drm/i915/i915_gem.c                    | 190 +++----
 drivers/gpu/drm/i915/i915_gem.h                    |   5 +
 drivers/gpu/drm/i915/i915_gem_context.c            |   8 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   3 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  95 +---
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 238 +++++----
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  13 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |  13 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |   2 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |  23 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  39 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  14 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   2 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 418 ++++++++++++----
 drivers/gpu/drm/i915/i915_params.c                 |   2 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   4 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 241 ++++++++-
 drivers/gpu/drm/i915/i915_request.c                |  35 +-
 drivers/gpu/drm/i915/i915_request.h                |   3 +
 drivers/gpu/drm/i915/i915_timeline.h               |   2 +
 drivers/gpu/drm/i915/i915_vma.c                    | 258 ++++++++--
 drivers/gpu/drm/i915/i915_vma.h                    |  43 +-
 drivers/gpu/drm/i915/icl_dsi.c                     | 127 +++++
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  11 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   5 +
 drivers/gpu/drm/i915/intel_ddi.c                   |  54 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   2 +
 drivers/gpu/drm/i915/intel_device_info.h           |   1 +
 drivers/gpu/drm/i915/intel_display.c               |  72 ++-
 drivers/gpu/drm/i915/intel_display.h               |   4 +
 drivers/gpu/drm/i915/intel_dp.c                    |  31 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   2 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              | 109 +++-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +
 drivers/gpu/drm/i915/intel_drv.h                   |  13 +-
 drivers/gpu/drm/i915/intel_dsi.h                   |  34 +-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |   2 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  41 +-
 drivers/gpu/drm/i915/intel_fbc.c                   | 129 +----
 drivers/gpu/drm/i915/intel_guc.c                   |  53 +-
 drivers/gpu/drm/i915/intel_guc.h                   |   5 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |  20 +-
 drivers/gpu/drm/i915/intel_gvt.c                   |   3 +
 drivers/gpu/drm/i915/intel_huc.c                   |   8 +
 drivers/gpu/drm/i915/intel_huc.h                   |   6 +
 drivers/gpu/drm/i915/intel_i2c.c                   |  70 ++-
 drivers/gpu/drm/i915/intel_lrc.c                   | 548 ++++++++++-----------
 drivers/gpu/drm/i915/intel_pipe_crc.c              | 445 -----------------
 drivers/gpu/drm/i915/intel_pm.c                    |   8 +-
 drivers/gpu/drm/i915/intel_psr.c                   | 238 +++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   9 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  45 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            | 331 ++++++++++++-
 drivers/gpu/drm/i915/intel_sdvo.c                  |  11 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  20 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   3 +-
 drivers/gpu/drm/i915/intel_uc.c                    |  34 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |  24 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |  43 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  38 +-
 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c   |   2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   5 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |  66 ++-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |  56 ++-
 drivers/gpu/drm/i915/selftests/i915_request.c      |  23 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  55 +--
 drivers/gpu/drm/i915/selftests/igt_wedge_me.h      |  58 +++
 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   2 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         |  10 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  20 +-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |  14 +-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |  20 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |   2 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   3 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |  11 +-
 drivers/gpu/drm/i915/{intel_dsi.c => vlv_dsi.c}    | 109 ++--
 .../drm/i915/{intel_dsi_pll.c => vlv_dsi_pll.c}    |  98 +---
 include/drm/i915_drm.h                             |   4 +-
 94 files changed, 3588 insertions(+), 2102 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/icl_dsi.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_wedge_me.h
 rename drivers/gpu/drm/i915/{intel_dsi.c => vlv_dsi.c} (97%)
 rename drivers/gpu/drm/i915/{intel_dsi_pll.c => vlv_dsi_pll.c} (84%)
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-06-25 16:56 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2018-06-25 16:56 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

Here goes another pull request for 4.19.

Highlights here to Ice Lake Display enabling, and to preparation for
full-ppgtt enabling for older gens, and to hangcheck and gpu reset
improvements in general.

drm-intel-next-2018-06-20:

Chris is doing many reworks that allow us to get full-ppgtt supported
on all platforms back to HSW. As well many other fix and improvements,
Including:
- Use GEM suspend when aborting initialization (Chris)
- Change i915_gem_fault to return vm_fault_t (Chris)
- Expand VMA to Non gem object entities (Chris)
- Improve logs for load failure, but quite logging on fault injection to avoid noise on CI (Chris)
- Other page directory handling fixes and improvements for gen6 (Chris)
- Other gtt clean-up removing redundancies and unused checks (Chris)
- Reorder aliasing ppgtt fini (Chris)
- Refactor of unsetting obg->mm.pages (Chris)
- Apply batch location restrictions before pinning (Chris)
- Ringbuffer fixes for context restore (Chris)
- Execlist fixes on freeing error pointer on allocation error (Chris)
- Make closing request flush mandatory (Chris)
- Move GEM sanitize from resume_early to resume (Chris)
- Improve debug dumps (Chris)
- Silent compiler for selftest (Chris)
- Other execlists changes to improve hangcheck and reset.
- Many gtt page directory fixes and improvements (Chris)
- Reorg context workarounds (Chris)
- Avoid ERR_PTR dereference on selftest (Chris)

Other GEM related work:
- Stop trying to reset GPU if reset failed (Mika)
- Add HW workaround for KBL to fix GPU reset (Mika)
- Fix context ban and hang accounting for client (Mika)
- Fixes on OA perf (Michel, Jani)
- Refactor on GuC log mechanisms (Piotr)
- Enable provoking vertex fix on Gen9 system (Kenneth)

More ICL patches for Display enabling:
- ICL - 10-bit support for HDMI (RK)
- ICL - Start adding TBT PLL (Paulo)
- ICL - DDI HDMK level selection (Manasi)
- ICL - GMBUS GPIO pin mapping fix (Mahesh)
- ICL - Adding DP_AUX_E support (James)
- ICL - Display interrupts handling (DK)

Other display fixes and improvements:
- Fix sprite destination color keying on SKL+ (Ville)
- Fixes and improvements on PCH detection, specially for non PCH systems (Jani)
- Document PCH_NOP (Lucas)
- Allow DBLSCAN user modes with eDP/LVDS/DSI (Ville)
- Opregion and ACPI cleanup and organization (Jani)
- Kill delays when activation psr (Rodrigo)
- ...and a consequent fix of the psr activation flow (DK)
- Fix HDMI infoframe setting (Imre)
- Fix Display interrupts and modes on old gens (Ville)
- Start switching to kernel unsigned int types (Jani)
- Introduction to Amber Lake and Whiskey Lake platforms (Jose)
- Audio clock fixes for HBR3 (RK)
- Standardize i915_reg.h definitions according to our doc and checkpatch (Paulo)
- Remove unused timespec_to_jiffies_timeout function (Arnd)
- Increase the scope of PSR wake fix for other VBTs out there (Vathsala)
- Improve debug msgs with prop name/id (Ville)
- Other clean up on unecessary cursor size defines (Ville)
- Enforce max hdisplay/hblank_start limits on HSW/BDW (Ville)
- Make ELD pointers constant (Jani)
- Fix for PSR VBT parse (Colin)
- Add warn about unsupported CDCLK rates (Imre)

Thanks,
Rodrigo.

The following changes since commit 14c3f8425080a1ff97df7b81f7c339bf42c427a3:

  drm/i915: Update DRIVER_DATE to 20180606 (2018-06-06 15:10:47 -0700)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-06-20

for you to fetch changes up to e1cacec9d50d7299893eeab2d895189f3db625da:

  drm/i915: Update DRIVER_DATE to 20180620 (2018-06-20 14:10:48 -0700)

----------------------------------------------------------------
Chris is doing many reworks that allow us to get full-ppgtt supported
on all platforms back to HSW. As well many other fix and improvements,
Including:
- Use GEM suspend when aborting initialization (Chris)
- Change i915_gem_fault to return vm_fault_t (Chris)
- Expand VMA to Non gem object entities (Chris)
- Improve logs for load failure, but quite logging on fault injection to avoid noise on CI (Chris)
- Other page directory handling fixes and improvements for gen6 (Chris)
- Other gtt clean-up removing redundancies and unused checks (Chris)
- Reorder aliasing ppgtt fini (Chris)
- Refactor of unsetting obg->mm.pages (Chris)
- Apply batch location restrictions before pinning (Chris)
- Ringbuffer fixes for context restore (Chris)
- Execlist fixes on freeing error pointer on allocation error (Chris)
- Make closing request flush mandatory (Chris)
- Move GEM sanitize from resume_early to resume (Chris)
- Improve debug dumps (Chris)
- Silent compiler for selftest (Chris)
- Other execlists changes to improve hangcheck and reset.
- Many gtt page directory fixes and improvements (Chris)
- Reorg context workarounds (Chris)
- Avoid ERR_PTR dereference on selftest (Chris)

Other GEM related work:
- Stop trying to reset GPU if reset failed (Mika)
- Add HW workaround for KBL to fix GPU reset (Mika)
- Fix context ban and hang accounting for client (Mika)
- Fixes on OA perf (Michel, Jani)
- Refactor on GuC log mechanisms (Piotr)
- Enable provoking vertex fix on Gen9 system (Kenneth)

More ICL patches for Display enabling:
- ICL - 10-bit support for HDMI (RK)
- ICL - Start adding TBT PLL (Paulo)
- ICL - DDI HDMK level selection (Manasi)
- ICL - GMBUS GPIO pin mapping fix (Mahesh)
- ICL - Adding DP_AUX_E support (James)
- ICL - Display interrupts handling (DK)

Other display fixes and improvements:
- Fix sprite destination color keying on SKL+ (Ville)
- Fixes and improvements on PCH detection, specially for non PCH systems (Jani)
- Document PCH_NOP (Lucas)
- Allow DBLSCAN user modes with eDP/LVDS/DSI (Ville)
- Opregion and ACPI cleanup and organization (Jani)
- Kill delays when activation psr (Rodrigo)
- ...and a consequent fix of the psr activation flow (DK)
- Fix HDMI infoframe setting (Imre)
- Fix Display interrupts and modes on old gens (Ville)
- Start switching to kernel unsigned int types (Jani)
- Introduction to Amber Lake and Whiskey Lake platforms (Jose)
- Audio clock fixes for HBR3 (RK)
- Standardize i915_reg.h definitions according to our doc and checkpatch (Paulo)
- Remove unused timespec_to_jiffies_timeout function (Arnd)
- Increase the scope of PSR wake fix for other VBTs out there (Vathsala)
- Improve debug msgs with prop name/id (Ville)
- Other clean up on unecessary cursor size defines (Ville)
- Enforce max hdisplay/hblank_start limits on HSW/BDW (Ville)
- Make ELD pointers constant (Jani)
- Fix for PSR VBT parse (Colin)
- Add warn about unsupported CDCLK rates (Imre)

----------------------------------------------------------------
Arnd Bergmann (1):
      i915: remove timespec_to_jiffies_timeout

Chris Wilson (43):
      drm/i915/gvt: Use offsetofend() rather than offsetof + sizeof
      drm/i915: Use GEM suspend when aborting initialisation
      drm/i915: Change i915_gem_fault() to return vm_fault_t
      drm/i915: Prepare for non-object vma
      drm/i915: Decouple vma vfuncs from vm
      drm/i915/gtt: Push allocation to hw ppgtt constructor
      drm/i915: Mark the GPU as wedged without error on fault injection
      drm/i915/gtt: Fix unwind length passed to gen6_ppgtt_clear_range
      drm/i915/gtt: Remove redundant hsw_mm_switch()
      drm/i915/gtt: Remove vgpu check for gen6
      drm/i915/gtt: Reorder aliasing_ppgtt fini
      drm/i915: Squash GEM load failure message (again)
      drm/i915: Refactor unsettting obj->mm.pages
      drm/i915: Apply batch location restrictions before pinning
      drm/i915/ringbuffer: Brute force context restore
      drm/i915/ringbuffer: Fix context restore upon reset
      drm/i915: Wrap around the tail offset before setting ring->tail
      drm/i915/execlists: Avoid putting the error pointer
      drm/i915/gtt: Invalidate GGTT caches after writing the gen6 page directories
      drm/i915/ringbuffer: Serialize load of PD_DIR
      drm/i915/gtt: Subclass gen6_hw_ppgtt
      drm/i915/gtt: Onionify error handling for gen6_ppgtt_create
      drm/i915/gtt: Make gen6 page directories evictable
      drm/i915: Make closing request flush mandatory
      drm/i915: Move GEM sanitize from resume_early to resume
      drm/i915: Dump the ringbuffer of the active request for debugging
      drm/i915: Make the hexdump row offset visually distinct
      drm/i915: Show CCID in engine dumps
      drm/i915/gtt: Only keep gen6 page directories pinned while active
      drm/i915/selftests: Initialise request to silence a compiler
      drm/i915/execlists: Push the tasklet kick after reset to reset_finish
      drm/i915: Declare the driver wedged if hangcheck makes no progress
      drm/i915/gtt: Lazily allocate page directories for gen7
      drm/i915/gtt: Free unused page tables on unbind the context
      drm/i915/gtt: Cache the PTE encoding of the scratch page
      drm/i915/gtt: Reduce a pair of runtime asserts
      drm/i915: Keep the ctx workarounds tightly packed
      drm/i915: Be irqsafe inside reset
      drm/i915/execlists: Reset the CSB head tracking on reset/sanitization
      drm/i915: Fix fallout of fake reset along resume
      drm/i915: Only show debug for state changes when banning
      drm/i915/execlists: Pull the w/a LRI emission into a helper
      drm/i915/selftests: Avoid ERR_PTR dereference

Colin Ian King (1):
      drm/i915/psr: fix copy-paste error with setting of tp2_wakeup_time_us

Colin Xu (14):
      drm/i915/gvt: Use vgpu_lock to protect per vgpu access
      drm/i915/gvt: Use sched_lock to protect gvt scheduler logic.
      drm/i915/gvt: Add D_BXT device type define for BXT.
      drm/i915/gvt: Add MEDIA_POOL_STATE for BXT.
      drm/i915/gvt: Enable device info initialization for BXT.
      drm/i915/gvt: Enable gtt initialization for BXT.
      drm/i915/gvt: Enable irq initialization for BXT.
      drm/i915/gvt: Enable mmio context init and switch for BXT.
      drm/i915/gvt: Enable cmd_parser support for BXT.
      drm/i915/gvt: Enable force wake support for BXT.
      drm/i915/gvt: Enable virtual display support for BXT.
      drm/i915/gvt: Enable dma_buf support for BXT.
      drm/i915/gvt: Add mmio handler for for BXT.
      drm/i915: Enable KVMGT for BXT.

Dhinakaran Pandiyan (4):
      drm/i915/icl: GSE interrupt moves from DE_MISC to GU_MISC
      drm/i915/icl: Support for TC North Display interrupts
      drm/i915/icl: Handle hotplug interrupts for DP over TBT
      drm/i915/psr: Fix warning in intel_psr_activate()

Imre Deak (6):
      drm/i915/skl: Add warn about unsupported CDCLK rates
      drm/i915/ddi: s/crtc->config/old_crtc_state in haswell_crtc_disable()
      drm/i915/ddi: Push pipe clock enabling to encoders
      drm/i915/ddi: Check transcoder instead of port when setting HDMI infoframe
      drm/i915/ddi: Set HDMI infoframes with pipe clocks enabled
      drm/i915/ddi: Removed unused var from hsw_write_infoframe()

James Ausmus (1):
      drm/i915/icl: DP_AUX_E is valid on ICL+

Jani Nikula (15):
      drm/i915: fix guest virtual PCH detection on non-PCH systems
      drm/i915: clean up virtual PCH special case handling
      drm/i915: be more strict about HAS_PCH_NOP() usage
      drm/i915: fix PCH_NOP setting for non-PCH platforms
      drm/i915/perf: make oa format tables const
      drm/i915/opregion: move acpi notifier to dev_priv
      drm/i915/dsm: remove unnecessary dsm priv structure
      drm/i915/vbt: switch to kernel unsigned int types
      drm/i915/hdmi: switch to kernel unsigned int types
      drm/i915/uncore: switch to kernel unsigned int types
      drm/i915/dvo: switch to kernel unsigned int types
      drm/i915/backlight: switch to kernel unsigned int types
      drm/i915/audio: switch to kernel unsigned int types
      drm/i915/lspcon: switch to kernel unsigned int types
      drm/i915/audio: constify ELD pointers

José Roberto de Souza (2):
      drm/i915/whl: Introducing Whiskey Lake platform
      drm/i915/aml: Introducing Amber Lake platform

Kenneth Graunke (1):
      drm/i915: Enable provoking vertex fix on Gen9 systems.

Lucas De Marchi (1):
      drm/i915: document PCH_NOP

Mahesh Kumar (1):
      drm/i915/icl: fix gmbus gpio pin mapping

Manasi Navare (3):
      drm/i915/icl: Add DDI HDMI level selection for ICL
      drm/i915/icl: Add allowed DP rates for Icelake
      drm/i915/dp: Add support for HBR3 and TPS4 during link training

Michel Thierry (2):
      drm/i915/perf: use the lrc_desc to get the ctx hw id in gen8-10
      drm/i915/perf: fix gen11 engine class shift

Mika Kuoppala (3):
      drm/i915: Cancel reset preparations on failed resets
      drm/i915: Add WaKBLVECSSemaphoreWaitPoll
      drm/i915: Fix context ban and hang accounting for client

Paulo Zanoni (8):
      drm/i915/icl: add icelake_get_ddi_pll()
      drm/i915/icl: unconditionally init DDI for every port
      drm/i915/icl: start adding the TBT pll
      drm/i915/icl: implement DVFS for ICL
      drm/i915/icl: update VBT's child_device_config flags2 field
      drm/i915/i915_reg.h: fix the checkpatch SPACING issues
      drm/i915/i915_reg.h: fix the checkpatch SPACE_BEFORE_TAB issues
      drm/i915/i915_reg.h: fix the checkpatch MACRO_ARG_PRECEDENCE issues

Piotr Piorkowski (6):
      drm/i915/guc: Refactoring preparation of the GUC_CTL_DEBUG parameter
      drm/i915/guc: Refactoring preparation of the GUC_CTL_FEATURE parameter
      drm/i915/guc: Refactoring preparation of the GUC_CTL_LOG_PARAMS parameter
      drm/i915/guc: Refactoring preparation of the GUC_CTL_CTXINFO parameter
      drm/i915/guc: Move defines with size of GuC logs to intel_guc_log.h
      drm/i915/guc: Add support for define guc_log_size in megabytes.

Piotr Piórkowski (1):
      drm/i915/guc: Don't store runtime GuC log level in modparam

Radhakrishna Sripada (2):
      drm/i915/audio: Add 810 MHz clock entries to dp_aud_n_m table
      drm/i915/icl: Add 10-bit support for hdmi

Rodrigo Vivi (3):
      drm/i915/psr: Kill delays when activating psr back.
      Merge tag 'gvt-next-2018-06-19' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20180620

Tina Zhang (1):
      drm/i915/gvt: Deliver guest cursor hotspot info

Vathsala Nagaraju (1):
      drm/i915/psr: Adds psrwake options for all platforms

Ville Syrjälä (10):
      drm/i915: Fix sprite destination colorkeying on SKL+
      drm/i915: Allow DBLSCAN user modes with eDP/LVDS/DSI
      drm/i915: Fix PIPESTAT irq ack on i965/g4x
      drm/i915: Disallow interlaced modes on g4x DP outputs
      drm/i915: Turn off g4x DP port in .post_disable()
      drm/i915: s/IS_G4X && !IS_GM45/IS_G45/
      drm/i915: Print prop name/id when rejecting it
      drm/i915: Nuke the cursor size defines
      drm/i915: Check timings against hardware maximums
      drm/i915: Enforce max hdisplay/hblank_start limits on HSW/BDW FDI

Xinyun Liu (3):
      drm/i915/gvt: Avoid dereference a potential null pointer
      drm/i915/gvt: removed unnecessary boundary check
      drm/i915/gvt: use array to avoid potential buffer overflow

Zhenyu Wang (1):
      Merge tag 'drm-intel-next-2018-06-06' into gvt-next

 drivers/gpu/drm/i915/dvo_ch7017.c                  |   20 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c                  |   22 +-
 drivers/gpu/drm/i915/dvo_ivch.c                    |   26 +-
 drivers/gpu/drm/i915/dvo_ns2501.c                  |   44 +-
 drivers/gpu/drm/i915/dvo_sil164.c                  |   10 +-
 drivers/gpu/drm/i915/dvo_tfp410.c                  |   16 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   43 +-
 drivers/gpu/drm/i915/gvt/display.c                 |   58 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |   26 +-
 drivers/gpu/drm/i915/gvt/edid.c                    |   20 +-
 drivers/gpu/drm/i915/gvt/execlist.h                |   13 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |   15 +-
 drivers/gpu/drm/i915/gvt/firmware.c                |    2 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   11 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |   27 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   16 +
 drivers/gpu/drm/i915/gvt/handlers.c                |  399 ++-
 drivers/gpu/drm/i915/gvt/interrupt.c               |   17 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |   12 +-
 drivers/gpu/drm/i915/gvt/mmio.h                    |   11 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   16 +-
 drivers/gpu/drm/i915/gvt/page_track.c              |    5 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c            |   36 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   25 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |   56 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   36 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   56 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   56 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  181 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   11 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   53 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  704 ++---
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   57 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |    3 +
 drivers/gpu/drm/i915/i915_irq.c                    |  182 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    5 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   18 +-
 drivers/gpu/drm/i915/i915_pvinfo.h                 |    5 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 3300 ++++++++++----------
 drivers/gpu/drm/i915/i915_request.c                |   20 +-
 drivers/gpu/drm/i915/i915_request.h                |    7 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   33 -
 drivers/gpu/drm/i915/i915_vma.c                    |  107 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   10 +-
 drivers/gpu/drm/i915/intel_acpi.c                  |   27 +-
 drivers/gpu/drm/i915/intel_atomic.c                |    6 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |    6 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   48 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   12 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   56 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   35 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |   39 +-
 drivers/gpu/drm/i915/intel_display.c               |  122 +-
 drivers/gpu/drm/i915/intel_display.h               |    3 +-
 drivers/gpu/drm/i915/intel_dp.c                    |   78 +-
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |   12 +-
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   39 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |    6 +
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   20 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |   14 +-
 drivers/gpu/drm/i915/intel_drv.h                   |    7 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |    6 +
 drivers/gpu/drm/i915/intel_dvo.c                   |    8 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   75 +-
 drivers/gpu/drm/i915/intel_guc.c                   |  115 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   20 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |   70 +-
 drivers/gpu/drm/i915/intel_guc_log.h               |   26 +-
 drivers/gpu/drm/i915/intel_gvt.c                   |    2 +
 drivers/gpu/drm/i915/intel_hangcheck.c             |   17 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  104 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   14 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |   89 +-
 drivers/gpu/drm/i915/intel_lspcon.c                |    2 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    5 +
 drivers/gpu/drm/i915/intel_opregion.c              |   31 +-
 drivers/gpu/drm/i915/intel_opregion.h              |    1 +
 drivers/gpu/drm/i915/intel_panel.c                 |    8 +-
 drivers/gpu/drm/i915/intel_psr.c                   |   30 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  239 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   39 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    2 +
 drivers/gpu/drm/i915/intel_sdvo.c                  |    6 +
 drivers/gpu/drm/i915/intel_sprite.c                |   64 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   12 +-
 drivers/gpu/drm/i915/intel_uc.c                    |    2 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   16 +-
 drivers/gpu/drm/i915/intel_uncore.h                |   22 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |    6 +-
 drivers/gpu/drm/i915/intel_workarounds.c           |   76 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |    2 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    4 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   18 +-
 drivers/gpu/drm/i915/selftests/i915_request.c      |    6 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   16 +-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |    2 +-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |    2 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   18 +-
 include/drm/i915_pciids.h                          |   37 +-
 100 files changed, 4453 insertions(+), 3087 deletions(-)
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-06-18  7:12     ` Daniel Vetter
@ 2018-06-18 19:57       ` Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2018-06-18 19:57 UTC (permalink / raw)
  To: Daniel Vetter
  Cc: DRM maintainer tools announcements, discussion, and development,
	intel-gfx, dri-devel

On Mon, Jun 18, 2018 at 09:12:55AM +0200, Daniel Vetter wrote:
> On Tue, Jun 12, 2018 at 9:59 AM, Jani Nikula
> <jani.nikula@linux.intel.com> wrote:
> > On Tue, 12 Jun 2018, Dave Airlie <airlied@gmail.com> wrote:
> >> On 12 June 2018 at 02:27, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
> >>> Hi Dave,
> >>>
> >>> This is the first round targeting 4.19.
> >>>
> >> Does this tree feed into linux-next already?
> >>
> >> Since we shouldn't have new stuff for linux-next feeding into it until
> >> after rc1.

The timing was wrong but the pull is valid now that rc1 is out there.

Sorry for the early noise.

> >
> > I think we'll feed it to linux-next only after merge window closes
> > i.e. rc1.
> >
> >> I won't be pulling this until after rc1 anyways.
> >
> > Seems fair; this doesn't conflict with tagging manageable sized batches
> > in dinq like Rodrigo has done here. So we're good.
> 
> The scripts don't require to send out a pull request when only
> tagging, I guess this pull here was just a fumble?

not actually. I had tagged that on the week before.

It was my mistake on setting my own todo list...

instead accounting for the merge window and wait for -rc1
I mistakenly account release date + 1 week when adding the task
to my todo list here and end up sending that too early.

> -Daniel
> -- 
> Daniel Vetter
> Software Engineer, Intel Corporation
> +41 (0) 79 365 57 48 - http://blog.ffwll.ch
> _______________________________________________
> dim-tools mailing list
> dim-tools@lists.freedesktop.org
> https://lists.freedesktop.org/mailman/listinfo/dim-tools
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-06-12  7:59   ` Jani Nikula
  2018-06-18  7:12     ` Daniel Vetter
@ 2018-06-18  7:14     ` Daniel Vetter
  1 sibling, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2018-06-18  7:14 UTC (permalink / raw)
  To: Jani Nikula
  Cc: DRM maintainer tools announcements, discussion, and development,
	intel-gfx, dri-devel, Rodrigo Vivi

On Tue, Jun 12, 2018 at 9:59 AM, Jani Nikula
<jani.nikula@linux.intel.com> wrote:
> On Tue, 12 Jun 2018, Dave Airlie <airlied@gmail.com> wrote:
>> On 12 June 2018 at 02:27, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
>>> Hi Dave,
>>>
>>> This is the first round targeting 4.19.
>>>
>> Does this tree feed into linux-next already?
>>
>> Since we shouldn't have new stuff for linux-next feeding into it until
>> after rc1.
>
> I think we'll feed it to linux-next only after merge window closes
> i.e. rc1.

dim indeed takes care of that, but only if the
drm-intel-fixes/next-fixes branches are handled correctly. Which is
why fast-forwarding them according to the documentation is paramount,
for otherwise we upset everyone using linux-next :-)
-Daniel

>
>> I won't be pulling this until after rc1 anyways.
>
> Seems fair; this doesn't conflict with tagging manageable sized batches
> in dinq like Rodrigo has done here. So we're good.
>
> BR,
> Jani.
>
> --
> Jani Nikula, Intel Open Source Graphics Center



-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-06-12  7:59   ` Jani Nikula
@ 2018-06-18  7:12     ` Daniel Vetter
  2018-06-18 19:57       ` Rodrigo Vivi
  2018-06-18  7:14     ` Daniel Vetter
  1 sibling, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2018-06-18  7:12 UTC (permalink / raw)
  To: Jani Nikula
  Cc: DRM maintainer tools announcements, discussion, and development,
	intel-gfx, dri-devel, Rodrigo Vivi

On Tue, Jun 12, 2018 at 9:59 AM, Jani Nikula
<jani.nikula@linux.intel.com> wrote:
> On Tue, 12 Jun 2018, Dave Airlie <airlied@gmail.com> wrote:
>> On 12 June 2018 at 02:27, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
>>> Hi Dave,
>>>
>>> This is the first round targeting 4.19.
>>>
>> Does this tree feed into linux-next already?
>>
>> Since we shouldn't have new stuff for linux-next feeding into it until
>> after rc1.
>
> I think we'll feed it to linux-next only after merge window closes
> i.e. rc1.
>
>> I won't be pulling this until after rc1 anyways.
>
> Seems fair; this doesn't conflict with tagging manageable sized batches
> in dinq like Rodrigo has done here. So we're good.

The scripts don't require to send out a pull request when only
tagging, I guess this pull here was just a fumble?
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-06-12  4:04 ` Dave Airlie
@ 2018-06-12  7:59   ` Jani Nikula
  2018-06-18  7:12     ` Daniel Vetter
  2018-06-18  7:14     ` Daniel Vetter
  0 siblings, 2 replies; 265+ messages in thread
From: Jani Nikula @ 2018-06-12  7:59 UTC (permalink / raw)
  To: Dave Airlie, Rodrigo Vivi
  Cc: DRM maintainer tools announcements, discussion, and development,
	Daniel Vetter, intel-gfx, dri-devel

On Tue, 12 Jun 2018, Dave Airlie <airlied@gmail.com> wrote:
> On 12 June 2018 at 02:27, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
>> Hi Dave,
>>
>> This is the first round targeting 4.19.
>>
> Does this tree feed into linux-next already?
>
> Since we shouldn't have new stuff for linux-next feeding into it until
> after rc1.

I think we'll feed it to linux-next only after merge window closes
i.e. rc1.

> I won't be pulling this until after rc1 anyways.

Seems fair; this doesn't conflict with tagging manageable sized batches
in dinq like Rodrigo has done here. So we're good.

BR,
Jani.

-- 
Jani Nikula, Intel Open Source Graphics Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-06-11 16:27 Rodrigo Vivi
@ 2018-06-12  4:04 ` Dave Airlie
  2018-06-12  7:59   ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2018-06-12  4:04 UTC (permalink / raw)
  To: Rodrigo Vivi
  Cc: DRM maintainer tools announcements, discussion, and development,
	Daniel Vetter, intel-gfx, dri-devel

On 12 June 2018 at 02:27, Rodrigo Vivi <rodrigo.vivi@intel.com> wrote:
> Hi Dave,
>
> This is the first round targeting 4.19.
>
Does this tree feed into linux-next already?

Since we shouldn't have new stuff for linux-next feeding into it until
after rc1.

I won't be pulling this until after rc1 anyways.

Dave.
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-06-11 16:27 Rodrigo Vivi
  2018-06-12  4:04 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Rodrigo Vivi @ 2018-06-11 16:27 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

This is the first round targeting 4.19.

Here goes drm-intel-next-2018-06-06:
- Ice Lake's display enabling patches (Jose, Mahesh, Dhinakaran, Paulo, Manasi, Anusha, Arkadiusz)
- Ice Lake's workarounds (Oscar and Yunwei)
- Ice Lake interrupt registers fixes (Oscar)
- Context switch timeline fixes and improvements (Chris)
- Spelling fixes (Colin)
- GPU reset fixes and improvements (Chris)
  - Including fixes on execlist and preemption for a proper GPU reset (Chris)
- Clean-up the port pipe select bits (Ville)
- Other execlist improvements (Chris)
- Remove unused enable_cmd_parser parameter (Chris)
- Fix order of enabling pipe/transcoder/planes on HSW+ to avoid hang on ICL (Paulo)
- Simplification and changes on intel_context (Chris)
- Disable LVDS on Radiant P845 (Ondrej)
- Improve HSW/BDW voltage swing handling (Ville)
- Cleanup and renames on few parts of intel_dp code to make code clear and less confusing (Ville)
- Move acpi lid notification code for fixing LVDS (Chris)
- Speed up GPU idle detection (Chris)
- Make intel_engine_dump irqsafe (Chris)
- Fix GVT crash (Zhenyu)
- Move GEM BO inside drm_framebuffer and use intel_fb_obj everywhere (Chris)
- Revert edp's alternate fixed mode (Jani)
- Protect tainted function pointer lookup (Chris)
  - And subsequent unsigned long size fix (Chris)
- Allow page directory allocation to fail (Chris)
- VBT's edp and lvds fix and clean-up (Ville)
- Many other reorganizations and cleanups on DDI and DP code, as well on scaler and planes (Ville)
- Selftest pin the mock kernel context (Chris)
- Many PSR Fixes, clean-up and improvements (Dhinakaran)
- PSR VBT fix (Vathsala)
- Fix i915_scheduler and intel_context declaration (Tvrtko)
- Improve PCH underruns detection on ILK-IVB (Ville)
- Few s/drm_priv/i915 (Chris, Michal)
- Notify opregion of the sanitized encoder state (Maarten)
- Guc's event handling improvements and fixes on initialization failures (Michal)
- Many gtt fixes and improvements (Chris)
- Fixes and improvements for Suspend and Freeze safely (Chris)
- i915_gem init and fini cleanup and fixes (Michal)
- Remove obsolete switch_mm for gen8+ (Chris)
- hw and context id fixes for GuC (Lionel)
- Add new vGPU cap info bit VGT_CAPS_HUGE_GTT (Changbin)
- Make context pin/unpin symmetric (Chris)
- vma: Move the bind_count vs pin_count assertion to a helper (Chris)
- Use available SZ_1M instead of 1 << 20 (Chris)
- Trace and PMU fixes and improvements (Tvrtko)

Thanks,
Rodrigo.

The following changes since commit 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:

  drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-06-06

for you to fetch changes up to 14c3f8425080a1ff97df7b81f7c339bf42c427a3:

  drm/i915: Update DRIVER_DATE to 20180606 (2018-06-06 15:10:47 -0700)

----------------------------------------------------------------
- Ice Lake's display enabling patches (Jose, Mahesh, Dhinakaran, Paulo, Manasi, Anusha, Arkadiusz)
- Ice Lake's workarounds (Oscar and Yunwei)
- Ice Lake interrupt registers fixes (Oscar)
- Context switch timeline fixes and improvements (Chris)
- Spelling fixes (Colin)
- GPU reset fixes and improvements (Chris)
  - Including fixes on execlist and preemption for a proper GPU reset (Chris)
- Clean-up the port pipe select bits (Ville)
- Other execlist improvements (Chris)
- Remove unused enable_cmd_parser parameter (Chris)
- Fix order of enabling pipe/transcoder/planes on HSW+ to avoid hang on ICL (Paulo)
- Simplification and changes on intel_context (Chris)
- Disable LVDS on Radiant P845 (Ondrej)
- Improve HSW/BDW voltage swing handling (Ville)
- Cleanup and renames on few parts of intel_dp code to make code clear and less confusing (Ville)
- Move acpi lid notification code for fixing LVDS (Chris)
- Speed up GPU idle detection (Chris)
- Make intel_engine_dump irqsafe (Chris)
- Fix GVT crash (Zhenyu)
- Move GEM BO inside drm_framebuffer and use intel_fb_obj everywhere (Chris)
- Revert edp's alternate fixed mode (Jani)
- Protect tainted function pointer lookup (Chris)
  - And subsequent unsigned long size fix (Chris)
- Allow page directory allocation to fail (Chris)
- VBT's edp and lvds fix and clean-up (Ville)
- Many other reorganizations and cleanups on DDI and DP code, as well on scaler and planes (Ville)
- Selftest pin the mock kernel context (Chris)
- Many PSR Fixes, clean-up and improvements (Dhinakaran)
- PSR VBT fix (Vathsala)
- Fix i915_scheduler and intel_context declaration (Tvrtko)
- Improve PCH underruns detection on ILK-IVB (Ville)
- Few s/drm_priv/i915 (Chris, Michal)
- Notify opregion of the sanitized encoder state (Maarten)
- Guc's event handling improvements and fixes on initialization failures (Michal)
- Many gtt fixes and improvements (Chris)
- Fixes and improvements for Suspend and Freeze safely (Chris)
- i915_gem init and fini cleanup and fixes (Michal)
- Remove obsolete switch_mm for gen8+ (Chris)
- hw and context id fixes for GuC (Lionel)
- Add new vGPU cap info bit VGT_CAPS_HUGE_GTT (Changbin)
- Make context pin/unpin symmetric (Chris)
- vma: Move the bind_count vs pin_count assertion to a helper (Chris)
- Use available SZ_1M instead of 1 << 20 (Chris)
- Trace and PMU fixes and improvements (Tvrtko)

----------------------------------------------------------------
Anusha Srivatsa (1):
      drm/i915/icl: Add Icelake PCH detection

Arkadiusz Hiler (1):
      drm/i915/icl: Calculate link clock using the new registers

Changbin Du (1):
      drm/i915: Add new vGPU cap info bit VGT_CAPS_HUGE_GTT

Chris Wilson (50):
      drm/i915: Shrink search list for active timelines
      drm/i915: Remove tasklet flush before disable
      drm/i915: Only sync tasklets once for recursive reset preparation
      drm/i915/execlists: Refactor out complete_preempt_context()
      drm/i915: Move engine reset prepare/finish to backends
      drm/i915: Split execlists/guc reset preparations
      drm/i915/execlists: Split out CSB processing
      drm/i915/execlists: Flush pending preemption events during reset
      drm/i915: Stop parking the signaler around reset
      drm/i915/execlists: HWACK checking superseded checking port[0].count
      drm/i915: Nul-terminate legacy debug string
      drm/i915: Remove unused enable_cmd_parser modparam
      drm/i915: Move request->ctx aside
      drm/i915: Move fiddling with engine->last_retired_context
      drm/i915: Store a pointer to intel_context in i915_request
      drm/i915: Pull the context->pin_count dec into the common intel_context_unpin
      drm/i915/lvds: Move acpi lid notification registration to registration phase
      drm/i915: Speed up idle detection by kicking the tasklets
      drm/i915: Make intel_engine_dump irqsafe
      drm/i915/execlists: Handle copying default context state for atomic reset
      drm/i915: Allow init_breadcrumbs to be used from irq context
      drm/i915/selftests: Wait longer for the old active request
      drm/i915/query: Protect tainted function pointer lookup
      drm/i915/gtt: Allow pagedirectory allocations to fail
      drm/i915/query: nospec expects no more than an unsigned long
      drm/i915/selftests: Pin the mock kernel context
      drm/i915: Look for an active kernel context before switching
      drm/i915: Flush the ring stop bit after clearing RING_HEAD in reset
      drm/i915/execlists: Wait for ELSP submission on restart
      drm/i915: Prepare GEM for suspend earlier
      drm/i915: Remove stale asserts from i915_gem_find_active_request()
      drm/i915: Switch to kernel context before idling at runtime
      drm/i915: "Race-to-idle" after switching to the kernel context
      drm/i915: After reset on sanitization, reset the engine backends
      drm/i915: Only sanitize GEM from late suspend
      drm/i915: Assert we idle in the kernel context
      drm/i915: Check intel_contexts to avoid one extra pointer chase
      drm/i915/gtt: Avoid calling non-existent allocate_va_range
      drm/i915/gtt: Don't restore the non-existent PDE for GGTT
      drm/i915: Flush all writes before suspend
      drm/i915: Apply the full CPU domain markup before freezing
      drm/i915/gtt: Remove obsolete switch_mm hooks for gen8+
      drm/i915/ringbuffer: Make context pin/unpin symmetric
      drm/i915/gtt: Teach restore-gtt to walk the ggtt vma list not the object list
      drm/i915/vma: Move the bind_count vs pin_count assertion to a helper
      drm/i915: Swap magics and use SZ_1M
      drm/i915/error: Fixup inactive/active counting
      drm/i915/gtt: Rename i915_hw_ppgtt base member
      drm/i915: Mark i915.inject_load_failure as being hit
      drm/i915/gtt: Fix typo in fill_px() macro

Colin Ian King (1):
      drm/i915/dp: fix spelling mistakes: "seqeuncer" and "seqeuencer"

Daniel Stone (2):
      drm/i915: Use intel_fb_obj() everywhere
      drm/i915: Move GEM BO inside drm_framebuffer

Dhinakaran Pandiyan (8):
      drm/i915/psr: Nuke PSR support for VLV and CHV
      drm/i915/psr: Avoid DPCD reads when panel does not support PSR
      drm/i915/psr: Check for SET_POWER_CAPABLE bit at PSR init time.
      drm/i915/psr: Avoid unnecessary DPCD read of DP_PSR_CAPS
      drm/i915/psr: Fall back to max. synchronization latency if DPCD read fails
      drm/i915/psr: Fix ALPM cap check for PSR2
      drm/i915/psr: Set idle frame count based on sink synchronization latency
      drm/i915/icl: Extend AUX F interrupts to ICL

Jani Nikula (1):
      Revert "drm/i915/edp: Allow alternate fixed mode for eDP if available."

José Roberto de Souza (1):
      drm/i915/icl: Disable pipe CSC and gamma in cursor plane

Lionel Landwerlin (2):
      drm/i915: drop one bit on the hw_id when using guc
      drm/i915/perf: fix ctx_id read with GuC & ICL

Maarten Lankhorst (1):
      drm/i915: Call intel_opregion_notify_encoder in intel_sanitize_encoder, v2.

Mahesh Kumar (2):
      drm/i915/icl: fix icl_unmap/map_plls_to_ports
      drm/i915/icl: Don't update enabled dbuf slices struct until updated in hw

Manasi Navare (2):
      drm/i915/icl: Add register definition for DFLEXDPMLE
      drm/i915/icl: Get DDI clock for ICL based on PLLs.

Michal Wajdeczko (5):
      drm/i915/uc: Trivial s/dev_priv/i915 in intel_uc.c
      drm/i915/guc: Don't read SOFT_SCRATCH(15) on MMIO error
      drm/i915: Move i915_gem_fini to i915_gem.c
      drm/i915: Correctly handle error path in i915_gem_init_hw
      drm/i915/guc: Don't leak stage descriptor pool on init failure

Ondrej Zary (1):
      drm/i915: Disable LVDS on Radiant P845

Oscar Mateo (6):
      drm/i915/icl: Read the correct Gen11 interrupt registers
      drm/i915/icl: WaDisableImprovedTdlClkGating
      drm/i915/icl: WaEnableStateCacheRedirectToCS
      drm/i915/icl: Wa_2006665173
      drm/i915/icl: WaEnableFloatBlendOptimization
      drm/i915/icl: Wa_1406463099

Paulo Zanoni (2):
      drm/i915: enable the pipe/transcoder/planes later on HSW+
      drm/i915/icl: introduce tc_port

Radhakrishna Sripada (1):
      drm/i915/icl: Map VBT DDC Pin to BSpec DDC Pin

Rodrigo Vivi (2):
      drm/i915: Update DRIVER_DATE to 20180530
      drm/i915: Update DRIVER_DATE to 20180606

Tvrtko Ursulin (6):
      drm/i915: Include i915_scheduler.h from i915_gem_context.h
      drm/i915: Forward declare struct intel_context
      drm/i915/trace: Describe engines as class:instance pairs
      drm/i915/trace: Remove engine out of the context sandwich
      drm/i915/trace: Context field needs to be 64-bit wide
      drm/i915/pmu: Do not assume fixed hrtimer period

Vathsala Nagaraju (1):
      drm/i915/psr: vbt change for psr

Ville Syrjälä (30):
      drm/i915: Clean up ADPA pipe select bits
      drm/i915: Clean up LVDS pipe select bits
      drm/i915: Clean up SDVO pipe select bits
      drm/i915: Clean up TV pipe select bits
      drm/i915: Clean up DVO pipe select bits
      drm/i915: Use intel_ddi_dp_voltage_max() for HSW/BDW too
      drm/i915: Use the same vswing->max_preemph mapping on HSW/BDW as on SKL+
      drm/i915: Check for IVB instead of gen7 when we think about IVB CPU eDP
      drm/i915: Rename SNB/IVB CPU eDP signal level funcs
      drm/i915: Rename the remaining gen4 references to g4x in the DP code
      drm/i915: Replace vbt edp.support with int_lvds_support
      drm/i915: Eliminate the unused dev_priv->vbt.lvds_vbt
      drm/i915: Move intel_ddi_get_crtc_new_encoder() out from ddi code
      drm/i915: Parametrize TRANS_DP_PORT_SEL
      drm/i915: Nuke intel_trans_dp_port_sel()
      drm/i915: Clean up DP pipe select bits
      drm/i915: Allow eDP on port C in theory
      drm/i915: Implement the missing bits of assert_panel_unlocked()
      drm/i915: WARN if power sequencer is not connected to the LVDS port on pre-ilk
      drm/i915: Initialize panel_pipe to INVALID_PIPE
      drm/i915: Try to suppress more spurious PCH underruns on ILK-IVB
      drm/i915: Consult VBT "LVDS config" bits to determine whether internal LVDS is present
      drm/i915: Simplify ilk-ivb underrun suppression
      drm/i915: Have plane->get_hw_state() return the current pipe
      drm/i915: Clean up cursor defines
      drm/i915: Disable trickle feed for SNB/IVB cursors
      drm/i915: s/plane/i9xx_plane/
      drm/i915: Fix tabs vs. spaces in sprite code
      drm/i915: Remove bogus NV12 PLANE_COLOR_CTL setup
      drm/i915: Configure SKL+ scaler initial phase correctly

Yunwei Zhang (3):
      drm/i915/cnl: Implement WaProgramMgsrForCorrectSliceSpecificMmioReads
      drm/i915/icl: Enable WaProgramMgsrForCorrectSliceSpecificMmioReads
      drm/i915: Implement WaProgramMgsrForL3BankSpecificMmioReads

Zhenyu Wang (1):
      drm/i915/gvt: Fix crash after request->hw_context change

 drivers/gpu/drm/i915/gvt/aperture_gm.c             |   2 +-
 drivers/gpu/drm/i915/gvt/display.c                 |   4 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              |  12 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   4 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   6 +-
 drivers/gpu/drm/i915/gvt/mmio_context.h            |   2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               | 179 +++-----
 drivers/gpu/drm/i915/gvt/scheduler.h               |   1 -
 drivers/gpu/drm/i915/i915_debugfs.c                |  96 ++---
 drivers/gpu/drm/i915/i915_drv.c                    |  83 ++--
 drivers/gpu/drm/i915/i915_drv.h                    |  21 +-
 drivers/gpu/drm/i915/i915_gem.c                    | 338 +++++++++------
 drivers/gpu/drm/i915/i915_gem.h                    |  16 +
 drivers/gpu/drm/i915/i915_gem_context.c            | 157 ++++---
 drivers/gpu/drm/i915/i915_gem_context.h            |  28 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  16 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 453 ++++++++++----------
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   8 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |   2 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   2 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   6 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  41 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              |   2 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   5 +-
 drivers/gpu/drm/i915/i915_params.c                 |   3 -
 drivers/gpu/drm/i915/i915_params.h                 |   1 -
 drivers/gpu/drm/i915/i915_pci.c                    |   2 -
 drivers/gpu/drm/i915/i915_perf.c                   | 136 ++++--
 drivers/gpu/drm/i915/i915_pmu.c                    |  67 ++-
 drivers/gpu/drm/i915/i915_pmu.h                    |   8 +
 drivers/gpu/drm/i915/i915_pvinfo.h                 |   1 +
 drivers/gpu/drm/i915/i915_query.c                  |  15 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 150 ++++---
 drivers/gpu/drm/i915/i915_request.c                |  45 +-
 drivers/gpu/drm/i915/i915_request.h                |   4 +-
 drivers/gpu/drm/i915/i915_trace.h                  | 131 +++---
 drivers/gpu/drm/i915/i915_vgpu.c                   |   8 +-
 drivers/gpu/drm/i915/i915_vgpu.h                   |   6 +
 drivers/gpu/drm/i915/i915_vma.c                    |  18 +-
 drivers/gpu/drm/i915/intel_bios.c                  | 121 +++++-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   5 +-
 drivers/gpu/drm/i915/intel_crt.c                   |  40 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  90 ++--
 drivers/gpu/drm/i915/intel_display.c               | 455 ++++++++++++---------
 drivers/gpu/drm/i915/intel_display.h               |  11 +
 drivers/gpu/drm/i915/intel_dp.c                    | 257 ++++++------
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  70 ++++
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |   2 +
 drivers/gpu/drm/i915/intel_drv.h                   |  28 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  10 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |  15 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 178 ++++++--
 drivers/gpu/drm/i915/intel_fbdev.c                 |   9 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 -
 drivers/gpu/drm/i915/intel_guc.c                   |   8 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |  76 +++-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  25 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 389 ++++++++++++------
 drivers/gpu/drm/i915/intel_lrc.h                   |   7 -
 drivers/gpu/drm/i915/intel_lvds.c                  | 120 ++++--
 drivers/gpu/drm/i915/intel_panel.c                 |   6 -
 drivers/gpu/drm/i915/intel_pm.c                    |   1 -
 drivers/gpu/drm/i915/intel_psr.c                   | 381 ++++-------------
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 170 +++++---
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  22 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |  38 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  86 ++--
 drivers/gpu/drm/i915/intel_tv.c                    |  20 +-
 drivers/gpu/drm/i915/intel_uc.c                    | 129 +++---
 drivers/gpu/drm/i915/intel_uncore.c                |  12 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |   8 +-
 drivers/gpu/drm/i915/intel_workarounds.c           |  94 +++++
 drivers/gpu/drm/i915/selftests/huge_pages.c        |  50 +--
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  | 150 ++++++-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  34 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      | 110 ++---
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   6 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
 drivers/gpu/drm/i915/selftests/i915_request.c      |   5 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |  31 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  53 ++-
 drivers/gpu/drm/i915/selftests/intel_lrc.c         |   2 +-
 drivers/gpu/drm/i915/selftests/intel_workarounds.c |   2 +-
 drivers/gpu/drm/i915/selftests/mock_context.c      |   7 +
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  47 ++-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  17 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |  66 +--
 87 files changed, 3238 insertions(+), 2307 deletions(-)
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-15 14:58   ` Daniel Vetter
  2018-05-15 15:53     ` Jani Nikula
@ 2018-05-15 16:03     ` Daniel Vetter
  1 sibling, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2018-05-15 16:03 UTC (permalink / raw)
  To: Wang, Zhi A
  Cc: dim-tools, Srinivas, Vidya, Nikula, Jani, intel-gfx, dri-devel,
	Vivi, Rodrigo

"... should _not_ take this as a personal failure ..." is what I meant
of course. Worst possible oversight :-/
-Daniel

On Tue, May 15, 2018 at 4:58 PM, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> Imo we should take this as a personal failure of anyone, things
> happen. Instead this is a good opportunity to improve our scripts, to
> make sure we catch this in the future.
>
> Cheers, Daniel
>
> On Tue, May 15, 2018 at 3:16 PM, Wang, Zhi A <zhi.a.wang@intel.com> wrote:
>> Hi:
>>
>> I am truly sorry for the mess. It's actual my fault of solving a patch dependency by rebasing. Jani was trying to help me to catch the deadline, I am very appreciated for Jani's help and I am quite sorry for letting Jani experience this failure. He tried to help but I fail him. As a new guy of managing the pull request and maintenance, I need to learn more and improve our working flow to prevent this happen in future. Sorry for the inconvenience.
>>
>> Thanks,
>> Zhi.
>>
>> -----Original Message-----
>> From: Nikula, Jani
>> Sent: Tuesday, May 15, 2018 12:56 PM
>> To: Dave Airlie <airlied@gmail.com>
>> Cc: Daniel Vetter <daniel.vetter@ffwll.ch>; Jani Nikula <jani.nikula@linux.intel.com>; Joonas Lahtinen <joonas.lahtinen@linux.intel.com>; Vivi, Rodrigo <rodrigo.vivi@intel.com>; Sean Paul <seanpaul@chromium.org>; Gustavo Padovan <gustavo@padovan.org>; Maarten Lankhorst <maarten.lankhorst@linux.intel.com>; dri-devel@lists.freedesktop.org; intel-gfx@lists.freedesktop.org; dim-tools@lists.freedesktop.org; Wang, Zhi A <zhi.a.wang@intel.com>; Zhenyu Wang <zhenyuw@linux.intel.com>; Srinivas, Vidya <vidya.srinivas@intel.com>
>> Subject: [PULL] drm-intel-next
>>
>>
>> Hi Dave -
>>
>> So this one contains the problematic pull from gvt. It's got both a backmerge and a rebase. I spotted the rebase, but intentionally let it slide due to the deadline closing, and then completely missed the backmerge. I don't know what I was thinking. My bad, sorry.
>>
>> We'll need to improve our workflows with the gvt team.
>>
>> Anyway, here's the pull, wrinkles and all, as discussed on IRC. Let us know if you can tolerate it, or if we need to rewrite history.
>>
>> BR,
>> Jani.
>>
>>
>> PS. Regarding the changelog, Vidya Srinivas <vidya.srinivas@intel.com> had a huge role in the NV12 work, but alas I failed to give proper credit because the patches were based on earlier work by Chandra.
>>
>>
>> drm-intel-next-2018-05-14:
>> Last drm/i915 changes for v4.18:
>>
>> - NV12 enabling (Chandra, Maarten)
>> - ICL workarounds (Oscar)
>> - ICL basic DPLL enabling (Paulo)
>> - GVT updates
>> - DP link config refactoring (Jani)
>> - Module parameter to override DMC firmware (Jani)
>> - PSR updates (José, DK, Daniel, Ville)
>> - ICL DP vswing programming (Manasi)
>> - ICL DBuf slice updates (Mahesh)
>> - Selftest fixes and updates (Chris, Matthew, Oscar)
>> - Execlist fixes and updates (Chris)
>> - Stolen memory first 4k fix (Hans de Goede)
>> - wait_for fixes (Mika)
>> - Tons of GEM improvements (Chris)
>> - Plenty of other fixes and improvements (Everyone)
>> - Crappy changelog (Me)
>>
>> BR,
>> Jani.
>>
>> The following changes since commit 0ab390262c4920f26f8202063a268d5fc829728e:
>>
>>   Merge tag 'drm-misc-next-2018-04-26' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-04-30 09:32:43 +1000)
>>
>> are available in the git repository at:
>>
>>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-05-14
>>
>> for you to fetch changes up to 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:
>>
>>   drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)
>>
>> ----------------------------------------------------------------
>> Last drm/i915 changes for v4.18:
>>
>> - NV12 enabling (Chandra, Maarten)
>> - ICL workarounds (Oscar)
>> - ICL basic DPLL enabling (Paulo)
>> - GVT updates
>> - DP link config refactoring (Jani)
>> - Module parameter to override DMC firmware (Jani)
>> - PSR updates (José, DK, Daniel, Ville)
>> - ICL DP vswing programming (Manasi)
>> - ICL DBuf slice updates (Mahesh)
>> - Selftest fixes and updates (Chris, Matthew, Oscar)
>> - Execlist fixes and updates (Chris)
>> - Stolen memory first 4k fix (Hans de Goede)
>> - wait_for fixes (Mika)
>> - Tons of GEM improvements (Chris)
>> - Plenty of other fixes and improvements (Everyone)
>> - Crappy changelog (Me)
>>
>> ----------------------------------------------------------------
>> Abhay Kumar (1):
>>       drm/i915/audio: set minimum CD clock to twice the BCLK
>>
>> Andy Shevchenko (1):
>>       i915: Convert to use match_string() helper
>>
>> Anusha Srivatsa (1):
>>       drm/i915/firmware: Correct URL for firmware
>>
>> Chandra Konduru (3):
>>       drm/i915: Add NV12 support to intel_framebuffer_init
>>       drm/i915: Add NV12 as supported format for primary plane
>>       drm/i915: Add NV12 as supported format for sprite plane
>>
>> Changbin Du (1):
>>       drm/i915/gvt: Remove disable_warn_untrack and print untracked mmio with debug level
>>
>> Chris Wilson (53):
>>       drm/i915: Check whitelist registers across resets
>>       drm/i915: Call i915_perf_fini() on init_hw error unwind
>>       drm/i915: Move the priotree struct to its own headers
>>       drm/i915: Rename priotree to sched
>>       drm/i915: Pack params to engine->schedule() into a struct
>>       drm/i915: Build request info on stack before printk
>>       drm/i915: Don't dump umpteen thousand requests
>>       drm/i915: Skip printing global offsets for per-engine scratch pages
>>       drm/i915/breadcrumbs: Keep the fake irq armed across reset
>>       drm/i915: Use memset64() to align the ring with MI_NOOP
>>       drm/i915: Remove obsolete min/max freq setters from debugfs
>>       drm/i915: Compile out engine debug for release
>>       drm/i915/selftests: Wait for idle between idle resets as well
>>       drm/i915/lrc: Scrub the GPU state of the guilty hanging request
>>       drm/i915: Stop tracking timeline->inflight_seqnos
>>       drm/i915: Wrap engine->context_pin() and engine->context_unpin()
>>       drm/i915: Retire requests along rings
>>       drm/i915: Only track live rings for retiring
>>       drm/i915/execlists: Don't trigger preemption if complete
>>       drm/i915/selftests: Fix error checking for wait_var_timeout
>>       drm/i915: Show ring->start for the ELSP context/request queue
>>       drm/i915/guc: Assert we have the doorbell before setting it up
>>       drm/i915: Move timeline from GTT to ring
>>       drm/i915: Split i915_gem_timeline into individual timelines
>>       drm/i915/execlists: Emit i915_trace_request_out for preemption
>>       drm/i915: Silence debugging DRM_ERROR for failing to suspend vlv powerwells
>>       drm/i915: Reset the hangcheck timestamp before repeating a seqno
>>       drm/i915: Mark the hangcheck as idle when unparking the engines
>>       drm/i915: Lazily unbind vma on close
>>       drm/i915: Keep one request in our ring_list
>>       drm/i915/execlists: Drop preemption arbitrations points along the ring
>>       drm/i915/gtt: Tidy up duplicate branches in gen8_gmch_probe()
>>       drm/i915: Remove assertion of active_rings must be non-empty if active_requests
>>       drm/i915/selftests: Skip the execlists tests on !execlists machines
>>       drm/i915: Don't request a bug report for unsafe module parameters
>>       drm/i915/execlists: Drop unused parameter to lookup_priolist()
>>       drm/i915/execlists: Cache the priolist when rescheduling
>>       drm/i915/selftests: Refactor common flush_test()
>>       drm/i915/selftests: Flush GPU activity before completing live_contexts
>>       drm/i915/selftests: Return to kernel context after each test
>>       drm/i915: Flush submission tasklet after bumping priority
>>       drm/i915: Disable tasklet scheduling across initial scheduling
>>       drm/i915: Remove unused i915_flip tracepoints
>>       drm/i915: Annotate timeline lock nesting
>>       drm/i915/selftests: Create mock_engine() under struct_mutex
>>       drm/i915/selftests: Only switch to kernel context when locked
>>       drm/i915/execlists: Make submission tasklet hardirq safe
>>       drm/i915/guc: Make submission tasklet hardirq safe
>>       drm/i915/execlists: Use rmb() to order CSB reads
>>       Revert "drm/i915/cnl: Use mmio access to context status buffer"
>>       drm/i915/oa: Check that OA is disabled before unpinning
>>       drm/i915/execlists: Relax CSB force-mmio for VT-d
>>       drm/i915: Mark up nested spinlocks
>>
>> Colin Ian King (1):
>>       drm/i915/selftests: fix spelling mistake: "parmaters" -> "parameters"
>>
>> Daniel Vetter (2):
>>       drm/i915: Remove skl dc6 enable/disable functions
>>       drm/i915: Enable edp psr error interrupts on hsw
>>
>> Dhinakaran Pandiyan (3):
>>       drm/i915/psr: Control PSR interrupts via debugfs
>>       drm/i915/psr: Timestamps for PSR entry and exit interrupts.
>>       drm/i915/psr: Check if VBT says PSR can be enabled.
>>
>> Florent Flament (1):
>>       drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log
>>
>> Gaurav K Singh (1):
>>       drm/i915/audio: Fix audio detection issue on GLK
>>
>> Gustavo A. R. Silva (3):
>>       drm/i915/gvt/scheduler: Remove unnecessary NULL checks in sr_oa_regs
>>       drm/i915/gvt: Mark expected switch fall-through in handle_g2v_notification
>>       drm/i915/selftests: Fix uninitialized variable
>>
>> Hans de Goede (1):
>>       drm/i915: Do NOT skip the first 4k of stolen memory for pre-allocated buffers v2
>>
>> Ian W MORRISON (1):
>>       drm/i915/glk: Add MODULE_FIRMWARE for Geminilake
>>
>> Imre Deak (2):
>>       drm/i915: Enable display WA#1183 from its correct spot
>>       drm/i915: Add documentation to gen9_set_dc_state()
>>
>> James Ausmus (1):
>>       drm/i915/icl: Don't set pipe CSC/Gamma in PLANE_COLOR_CTL
>>
>> Jani Nikula (14):
>>       drm/i915/dsi: improve dphy param limits logging
>>       Merge tag 'gvt-next-2018-04-23' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>>       drm/i915: prefer INTEL_GEN() over INTEL_INFO()->gen
>>       drm/i915/dp: remove stale comment about bw constants
>>       drm/i915/dp: move link_bw and rate_select debugging where used
>>       drm/i915/dp: abstract dp link config computation from the rest
>>       drm/i915/dp: move eDP VBT bpp clamping code to intel_dp_compute_bpp()
>>       drm/i915/dp: group link config limits in a struct
>>       drm/i915/dp: abstract link config selection
>>       drm/i915/dp: fix compliance test adjustments
>>       Merge drm/drm-next into drm-intel-next-queued
>>       drm/i915: add support for specifying DMC firmware override by module param
>>       Merge tag 'gvt-next-2018-05-14' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>>       drm/i915: Update DRIVER_DATE to 20180514
>>
>> José Roberto de Souza (4):
>>       drm/i915/fbdev: Enable late fbdev initial configuration
>>       drm/i915/psr/skl+: Print information about what caused a PSR exit
>>       drm/i915/debugfs: Print sink PSR status
>>       drm/i915/psr/cnl: Set y-coordinate as valid in SDP
>>
>> Lyude Paul (1):
>>       drm/atomic: Print debug message on atomic check failure
>>
>> Maarten Lankhorst (2):
>>       drm/i915: Enable display workaround 827 for all planes, v2.
>>       drm/i915: Add skl_check_nv12_surface for NV12
>>
>> Mahesh Kumar (3):
>>       drm/i915/icl: track dbuf slice-2 status
>>       drm/i915/icl: Enable 2nd DBuf slice only when needed
>>       drm/i915/icl: update ddb entry start/end mask during hw ddb readout
>>
>> Manasi Navare (2):
>>       drm/i915/icl: Implement voltage swing programming sequence for Combo PHY DDI
>>       drm/i915/icl: Fix the DP Max Voltage for ICL
>>
>> Matt Atwood (1):
>>       drm/i915/kbl: Add KBL GT2 sku
>>
>> Matthew Auld (3):
>>       drm/i915/userptr: reject zero user_size
>>       drm/i915: don't leak the pin_map on error
>>       drm/i915/selftests: scrub 64K
>>
>> Matthias Kaehlcke (1):
>>       drm/i915: Disable some extra clang warnings
>>
>> Michel Thierry (1):
>>       drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk
>>
>> Mika Kuoppala (4):
>>       drm/i915: Use ktime on wait_for
>>       drm/i915: Add compiler barrier to wait_for
>>       drm/i915: Print error state times relative to capture
>>       drm/i915/gtt: Trust the uncached store to flush wcb
>>
>> Oscar Mateo (15):
>>       drm/i915/selftests: Handle a potential failure of intel_ring_begin
>>       drm/i915/icl: Correctly clear lost ctx-switch interrupts across reset for Gen11
>>       drm/i915/icl: Introduce initial Icelake Workarounds
>>       drm/i915/icl: Enable Sampler DFR
>>       drm/i915/icl: WaGAPZPriorityScheme
>>       drm/i915/icl: WaL3BankAddressHashing
>>       drm/i915/icl: WaModifyGamTlbPartitioning
>>       drm/i915/icl: WaDisableCleanEvicts
>>       drm/i915/icl: WaCL2SFHalfMaxAlloc
>>       drm/i915/icl: WaDisCtxReload
>>       drm/i915/icl: Wa_1405779004
>>       drm/i915/icl: Wa_1406680159
>>       drm/i915/icl: Wa_1604302699
>>       drm/i915/icl: Wa_1406838659
>>       drm/i915/icl: WaForwardProgressSoftReset
>>
>> Paulo Zanoni (5):
>>       drm/i915/icl: add definitions for the ICL PLL registers
>>       drm/i915/icl: add basic support for the ICL clocks
>>       drm/i915/icl: compute the combo PHY (DPLL) HDMI registers
>>       drm/i915/icl: compute the combo PHY (DPLL) DP registers
>>       drm/i915/icl: compute the MG PLL registers
>>
>> Piorkowski, Piotr (1):
>>       drm/i915/guc: Remove GUC_CTL_DEVICE_INFO parameter
>>
>> Rodrigo Vivi (1):
>>       drm/i915: Adjust eDP's logical vco in a reliable place.
>>
>> Tarun (1):
>>       drm/i915: Remove redundant check for negative timeout while doing an atomic pipe update
>>
>> Tomasz Lis (1):
>>       drm/i915/icl: Add configuring MOCS in new Icelake engines
>>
>> Tvrtko Ursulin (2):
>>       drm/i915: Use seqlock in engine stats
>>       drm/i915: Include priority and completed status in request in/out tracepoints
>>
>> Vidya Srinivas (1):
>>       drm/i915: Enable Display WA 0528
>>
>> Ville Syrjälä (3):
>>       drm/i915: Protect PIPE_CONF_CHECK macros with do {} while(0)
>>       drm/i915: Enable edp psr error interrupts on bdw+
>>       drm/i915: Correctly populate user mode h/vdisplay with pipe src size during readout
>>
>> Weinan Li (1):
>>       Revert "drm/i915/gvt: set max priority for gvt context"
>>
>> Zhao Yan (4):
>>       drm/i915/gvt: scan non-privileged batch buffer for debug purpose
>>       drm/i915/gvt: let NOPID be the default value of force_to_nonpriv registers
>>       drm/i915/gvt: do not return error on handling force_to_nonpriv registers
>>       drm/i915/gvt: let force_to_nonpriv cmd handler only valid for LRI cmd
>>
>> Zhi Wang (1):
>>       Merge branch 'drm-intel-next-queued' into gvt-next
>>
>> Zhipeng Gong (2):
>>       drm/i915/gvt: Use real time to do timer check
>>       drm/i915/gvt: Update time slice more frequently
>>
>> osé Roberto de Souza (1):
>>       drm/i915/psr: Prevent PSR exit when a non-pipe related register is written
>>
>>  Documentation/gpu/i915.rst                         | 141 ++-
>>  drivers/gpu/drm/drm_atomic.c                       |  10 +-
>>  drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
>>  drivers/gpu/drm/i915/Makefile                      |  20 +-
>>  drivers/gpu/drm/i915/gvt/cmd_parser.c              |  81 +-
>>  drivers/gpu/drm/i915/gvt/debugfs.c                 |  72 +-
>>  drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
>>  drivers/gpu/drm/i915/gvt/handlers.c                |  35 +-
>>  drivers/gpu/drm/i915/gvt/mmio.c                    |   2 -
>>  drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
>>  drivers/gpu/drm/i915/gvt/sched_policy.c            |  31 +-
>>  drivers/gpu/drm/i915/gvt/scheduler.c               |  89 +-
>>  drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
>>  drivers/gpu/drm/i915/gvt/trace.h                   |  24 +-
>>  drivers/gpu/drm/i915/i915_debugfs.c                | 566 ++++++------
>>  drivers/gpu/drm/i915/i915_drv.c                    |  81 +-
>>  drivers/gpu/drm/i915/i915_drv.h                    | 424 ++-------
>>  drivers/gpu/drm/i915/i915_gem.c                    | 394 +++++----
>>  drivers/gpu/drm/i915/i915_gem.h                    |  13 +
>>  drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
>>  drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
>>  drivers/gpu/drm/i915/i915_gem_context.c            |  62 +-
>>  drivers/gpu/drm/i915/i915_gem_context.h            |  43 +-
>>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  32 +-
>>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  70 +-
>>  drivers/gpu/drm/i915/i915_gem_gtt.h                |   5 +-
>>  drivers/gpu/drm/i915/i915_gem_stolen.c             | 178 ++--
>>  drivers/gpu/drm/i915/i915_gem_timeline.c           | 154 ----
>>  drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +
>>  drivers/gpu/drm/i915/i915_gpu_error.c              |  66 +-
>>  drivers/gpu/drm/i915/i915_gpu_error.h              | 366 ++++++++
>>  drivers/gpu/drm/i915/i915_irq.c                    | 410 +++++----
>>  drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
>>  drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
>>  drivers/gpu/drm/i915/i915_params.c                 |   3 +
>>  drivers/gpu/drm/i915/i915_params.h                 |   3 +-
>>  drivers/gpu/drm/i915/i915_pci.c                    |   1 +
>>  drivers/gpu/drm/i915/i915_perf.c                   |  96 ++-
>>  drivers/gpu/drm/i915/i915_pmu.c                    |  27 +-
>>  drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
>>  drivers/gpu/drm/i915/i915_reg.h                    | 882 ++++++++++---------
>>  drivers/gpu/drm/i915/i915_request.c                | 438 +++++-----
>>  drivers/gpu/drm/i915/i915_request.h                |  49 +-
>>  drivers/gpu/drm/i915/i915_scheduler.h              |  72 ++
>>  drivers/gpu/drm/i915/i915_timeline.c               | 105 +++
>>  .../i915/{i915_gem_timeline.h => i915_timeline.h}  |  71 +-
>>  drivers/gpu/drm/i915/i915_trace.h                  | 129 ++-
>>  drivers/gpu/drm/i915/i915_utils.h                  |  10 +-
>>  drivers/gpu/drm/i915/i915_vma.c                    |  73 +-
>>  drivers/gpu/drm/i915/i915_vma.h                    |   6 +
>>  drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
>>  drivers/gpu/drm/i915/intel_atomic_plane.c          |   7 +-
>>  drivers/gpu/drm/i915/intel_bios.c                  |  18 +-
>>  drivers/gpu/drm/i915/intel_breadcrumbs.c           |  52 +-
>>  drivers/gpu/drm/i915/intel_cdclk.c                 |  41 +-
>>  drivers/gpu/drm/i915/intel_csr.c                   |  10 +-
>>  drivers/gpu/drm/i915/intel_ddi.c                   | 451 +++++++++-
>>  drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
>>  drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
>>  drivers/gpu/drm/i915/intel_display.c               | 470 +++++++---
>>  drivers/gpu/drm/i915/intel_display.h               |   4 +
>>  drivers/gpu/drm/i915/intel_dp.c                    | 318 +++----
>>  drivers/gpu/drm/i915/intel_dp_link_training.c      |   5 +
>>  drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
>>  drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
>>  drivers/gpu/drm/i915/intel_dpll_mgr.c              | 909 +++++++++++++++++---
>>  drivers/gpu/drm/i915/intel_dpll_mgr.h              |  97 ++-
>>  drivers/gpu/drm/i915/intel_drv.h                   |  84 +-
>>  drivers/gpu/drm/i915/intel_dsi_vbt.c               |  34 +-
>>  drivers/gpu/drm/i915/intel_engine_cs.c             | 933 +++++---------------
>>  drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
>>  drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
>>  drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
>>  drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 ++++++
>>  drivers/gpu/drm/i915/intel_guc.c                   | 231 +++--
>>  drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
>>  drivers/gpu/drm/i915/intel_guc_ads.c               |   9 +-
>>  drivers/gpu/drm/i915/intel_guc_ct.c                | 545 ++++++++++--
>>  drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
>>  drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
>>  drivers/gpu/drm/i915/intel_guc_fwif.h              | 162 +++-
>>  drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++-------
>>  drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
>>  drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
>>  drivers/gpu/drm/i915/intel_guc_submission.c        | 114 ++-
>>  drivers/gpu/drm/i915/intel_hangcheck.c             |  16 +-
>>  drivers/gpu/drm/i915/intel_hdcp.c                  | 185 ++--
>>  drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
>>  drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
>>  drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
>>  drivers/gpu/drm/i915/intel_huc.h                   |   7 +
>>  drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
>>  drivers/gpu/drm/i915/intel_lrc.c                   | 538 ++++++++----
>>  drivers/gpu/drm/i915/intel_lrc.h                   |   2 +-
>>  drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
>>  drivers/gpu/drm/i915/intel_mocs.c                  |   5 +-
>>  drivers/gpu/drm/i915/intel_overlay.c               |   1 +
>>  drivers/gpu/drm/i915/intel_pipe_crc.c              |  75 +-
>>  drivers/gpu/drm/i915/intel_pm.c                    | 578 ++++++++-----
>>  drivers/gpu/drm/i915/intel_psr.c                   | 444 ++++++----
>>  drivers/gpu/drm/i915/intel_ringbuffer.c            |  78 +-
>>  drivers/gpu/drm/i915/intel_ringbuffer.h            |  71 +-
>>  drivers/gpu/drm/i915/intel_runtime_pm.c            | 101 ++-
>>  drivers/gpu/drm/i915/intel_sprite.c                |  36 +-
>>  drivers/gpu/drm/i915/intel_uc.c                    | 132 +--
>>  drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
>>  drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
>>  drivers/gpu/drm/i915/intel_uc_fw.h                 |  24 +-
>>  drivers/gpu/drm/i915/intel_uncore.c                | 175 +++-
>>  drivers/gpu/drm/i915/intel_uncore.h                |   1 +
>>  drivers/gpu/drm/i915/intel_wopcm.c                 | 275 ++++++
>>  drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
>>  drivers/gpu/drm/i915/intel_workarounds.c           | 949 +++++++++++++++++++++
>>  drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
>>  drivers/gpu/drm/i915/selftests/huge_pages.c        |   5 +-
>>  drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   3 +
>>  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   2 +
>>  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
>>  .../{i915_gem_timeline.c => i915_timeline.c}       |  94 +-
>>  drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
>>  drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  70 ++
>>  drivers/gpu/drm/i915/selftests/igt_flush_test.h    |  14 +
>>  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   5 +-
>>  drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
>>  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 414 +++++----
>>  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 459 ++++++++++
>>  drivers/gpu/drm/i915/selftests/intel_workarounds.c | 291 +++++++
>>  drivers/gpu/drm/i915/selftests/mock_engine.c       |  67 +-
>>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  21 +-
>>  drivers/gpu/drm/i915/selftests/mock_gtt.c          |   1 -
>>  drivers/gpu/drm/i915/selftests/mock_timeline.c     |  45 +-
>>  drivers/gpu/drm/i915/selftests/mock_timeline.h     |  28 +-
>>  include/drm/drm_dp_helper.h                        |  10 +
>>  include/drm/i915_pciids.h                          |   1 +
>>  134 files changed, 11452 insertions(+), 5316 deletions(-)  delete mode 100644 drivers/gpu/drm/i915/i915_gem_timeline.c
>>  create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
>>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
>>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
>>  create mode 100644 drivers/gpu/drm/i915/i915_scheduler.h
>>  create mode 100644 drivers/gpu/drm/i915/i915_timeline.c
>>  rename drivers/gpu/drm/i915/{i915_gem_timeline.h => i915_timeline.h} (68%)  create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
>>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
>>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
>>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
>>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
>>  rename drivers/gpu/drm/i915/selftests/{i915_gem_timeline.c => i915_timeline.c} (70%)  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.c
>>  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.h
>>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
>>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c
>>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_workarounds.c
>>
>> --
>> Jani Nikula, Intel Open Source Technology Center
>
>
>
> --
> Daniel Vetter
> Software Engineer, Intel Corporation
> +41 (0) 79 365 57 48 - http://blog.ffwll.ch



-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-15 14:58   ` Daniel Vetter
@ 2018-05-15 15:53     ` Jani Nikula
  2018-05-15 16:03     ` Daniel Vetter
  1 sibling, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2018-05-15 15:53 UTC (permalink / raw)
  To: Daniel Vetter, Wang, Zhi A
  Cc: dim-tools, Srinivas, Vidya, intel-gfx, dri-devel, Vivi, Rodrigo


Agreed. Zhi, don't worry about it.

I think the main takeaways here are:

1) We need to improve the dim checks on git pulls. This is both for us
pulling gvt, and now that Dave's experimenting with dim, for him pulling
all the trees.

2) The GVT maintainers should consider using dim too. It would probably
require some tweaking for their needs, but I'm pretty sure the
safeguards would prove helpful.


BR,
Jani.


On Tue, 15 May 2018, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> Imo we should take this as a personal failure of anyone, things
> happen. Instead this is a good opportunity to improve our scripts, to
> make sure we catch this in the future.
>
> Cheers, Daniel
>
> On Tue, May 15, 2018 at 3:16 PM, Wang, Zhi A <zhi.a.wang@intel.com> wrote:
>> Hi:
>>
>> I am truly sorry for the mess. It's actual my fault of solving a patch dependency by rebasing. Jani was trying to help me to catch the deadline, I am very appreciated for Jani's help and I am quite sorry for letting Jani experience this failure. He tried to help but I fail him. As a new guy of managing the pull request and maintenance, I need to learn more and improve our working flow to prevent this happen in future. Sorry for the inconvenience.
>>
>> Thanks,
>> Zhi.
>>
>> -----Original Message-----
>> From: Nikula, Jani
>> Sent: Tuesday, May 15, 2018 12:56 PM
>> To: Dave Airlie <airlied@gmail.com>
>> Cc: Daniel Vetter <daniel.vetter@ffwll.ch>; Jani Nikula <jani.nikula@linux.intel.com>; Joonas Lahtinen <joonas.lahtinen@linux.intel.com>; Vivi, Rodrigo <rodrigo.vivi@intel.com>; Sean Paul <seanpaul@chromium.org>; Gustavo Padovan <gustavo@padovan.org>; Maarten Lankhorst <maarten.lankhorst@linux.intel.com>; dri-devel@lists.freedesktop.org; intel-gfx@lists.freedesktop.org; dim-tools@lists.freedesktop.org; Wang, Zhi A <zhi.a.wang@intel.com>; Zhenyu Wang <zhenyuw@linux.intel.com>; Srinivas, Vidya <vidya.srinivas@intel.com>
>> Subject: [PULL] drm-intel-next
>>
>>
>> Hi Dave -
>>
>> So this one contains the problematic pull from gvt. It's got both a backmerge and a rebase. I spotted the rebase, but intentionally let it slide due to the deadline closing, and then completely missed the backmerge. I don't know what I was thinking. My bad, sorry.
>>
>> We'll need to improve our workflows with the gvt team.
>>
>> Anyway, here's the pull, wrinkles and all, as discussed on IRC. Let us know if you can tolerate it, or if we need to rewrite history.
>>
>> BR,
>> Jani.
>>
>>
>> PS. Regarding the changelog, Vidya Srinivas <vidya.srinivas@intel.com> had a huge role in the NV12 work, but alas I failed to give proper credit because the patches were based on earlier work by Chandra.
>>
>>
>> drm-intel-next-2018-05-14:
>> Last drm/i915 changes for v4.18:
>>
>> - NV12 enabling (Chandra, Maarten)
>> - ICL workarounds (Oscar)
>> - ICL basic DPLL enabling (Paulo)
>> - GVT updates
>> - DP link config refactoring (Jani)
>> - Module parameter to override DMC firmware (Jani)
>> - PSR updates (José, DK, Daniel, Ville)
>> - ICL DP vswing programming (Manasi)
>> - ICL DBuf slice updates (Mahesh)
>> - Selftest fixes and updates (Chris, Matthew, Oscar)
>> - Execlist fixes and updates (Chris)
>> - Stolen memory first 4k fix (Hans de Goede)
>> - wait_for fixes (Mika)
>> - Tons of GEM improvements (Chris)
>> - Plenty of other fixes and improvements (Everyone)
>> - Crappy changelog (Me)
>>
>> BR,
>> Jani.
>>
>> The following changes since commit 0ab390262c4920f26f8202063a268d5fc829728e:
>>
>>   Merge tag 'drm-misc-next-2018-04-26' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-04-30 09:32:43 +1000)
>>
>> are available in the git repository at:
>>
>>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-05-14
>>
>> for you to fetch changes up to 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:
>>
>>   drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)
>>
>> ----------------------------------------------------------------
>> Last drm/i915 changes for v4.18:
>>
>> - NV12 enabling (Chandra, Maarten)
>> - ICL workarounds (Oscar)
>> - ICL basic DPLL enabling (Paulo)
>> - GVT updates
>> - DP link config refactoring (Jani)
>> - Module parameter to override DMC firmware (Jani)
>> - PSR updates (José, DK, Daniel, Ville)
>> - ICL DP vswing programming (Manasi)
>> - ICL DBuf slice updates (Mahesh)
>> - Selftest fixes and updates (Chris, Matthew, Oscar)
>> - Execlist fixes and updates (Chris)
>> - Stolen memory first 4k fix (Hans de Goede)
>> - wait_for fixes (Mika)
>> - Tons of GEM improvements (Chris)
>> - Plenty of other fixes and improvements (Everyone)
>> - Crappy changelog (Me)
>>
>> ----------------------------------------------------------------
>> Abhay Kumar (1):
>>       drm/i915/audio: set minimum CD clock to twice the BCLK
>>
>> Andy Shevchenko (1):
>>       i915: Convert to use match_string() helper
>>
>> Anusha Srivatsa (1):
>>       drm/i915/firmware: Correct URL for firmware
>>
>> Chandra Konduru (3):
>>       drm/i915: Add NV12 support to intel_framebuffer_init
>>       drm/i915: Add NV12 as supported format for primary plane
>>       drm/i915: Add NV12 as supported format for sprite plane
>>
>> Changbin Du (1):
>>       drm/i915/gvt: Remove disable_warn_untrack and print untracked mmio with debug level
>>
>> Chris Wilson (53):
>>       drm/i915: Check whitelist registers across resets
>>       drm/i915: Call i915_perf_fini() on init_hw error unwind
>>       drm/i915: Move the priotree struct to its own headers
>>       drm/i915: Rename priotree to sched
>>       drm/i915: Pack params to engine->schedule() into a struct
>>       drm/i915: Build request info on stack before printk
>>       drm/i915: Don't dump umpteen thousand requests
>>       drm/i915: Skip printing global offsets for per-engine scratch pages
>>       drm/i915/breadcrumbs: Keep the fake irq armed across reset
>>       drm/i915: Use memset64() to align the ring with MI_NOOP
>>       drm/i915: Remove obsolete min/max freq setters from debugfs
>>       drm/i915: Compile out engine debug for release
>>       drm/i915/selftests: Wait for idle between idle resets as well
>>       drm/i915/lrc: Scrub the GPU state of the guilty hanging request
>>       drm/i915: Stop tracking timeline->inflight_seqnos
>>       drm/i915: Wrap engine->context_pin() and engine->context_unpin()
>>       drm/i915: Retire requests along rings
>>       drm/i915: Only track live rings for retiring
>>       drm/i915/execlists: Don't trigger preemption if complete
>>       drm/i915/selftests: Fix error checking for wait_var_timeout
>>       drm/i915: Show ring->start for the ELSP context/request queue
>>       drm/i915/guc: Assert we have the doorbell before setting it up
>>       drm/i915: Move timeline from GTT to ring
>>       drm/i915: Split i915_gem_timeline into individual timelines
>>       drm/i915/execlists: Emit i915_trace_request_out for preemption
>>       drm/i915: Silence debugging DRM_ERROR for failing to suspend vlv powerwells
>>       drm/i915: Reset the hangcheck timestamp before repeating a seqno
>>       drm/i915: Mark the hangcheck as idle when unparking the engines
>>       drm/i915: Lazily unbind vma on close
>>       drm/i915: Keep one request in our ring_list
>>       drm/i915/execlists: Drop preemption arbitrations points along the ring
>>       drm/i915/gtt: Tidy up duplicate branches in gen8_gmch_probe()
>>       drm/i915: Remove assertion of active_rings must be non-empty if active_requests
>>       drm/i915/selftests: Skip the execlists tests on !execlists machines
>>       drm/i915: Don't request a bug report for unsafe module parameters
>>       drm/i915/execlists: Drop unused parameter to lookup_priolist()
>>       drm/i915/execlists: Cache the priolist when rescheduling
>>       drm/i915/selftests: Refactor common flush_test()
>>       drm/i915/selftests: Flush GPU activity before completing live_contexts
>>       drm/i915/selftests: Return to kernel context after each test
>>       drm/i915: Flush submission tasklet after bumping priority
>>       drm/i915: Disable tasklet scheduling across initial scheduling
>>       drm/i915: Remove unused i915_flip tracepoints
>>       drm/i915: Annotate timeline lock nesting
>>       drm/i915/selftests: Create mock_engine() under struct_mutex
>>       drm/i915/selftests: Only switch to kernel context when locked
>>       drm/i915/execlists: Make submission tasklet hardirq safe
>>       drm/i915/guc: Make submission tasklet hardirq safe
>>       drm/i915/execlists: Use rmb() to order CSB reads
>>       Revert "drm/i915/cnl: Use mmio access to context status buffer"
>>       drm/i915/oa: Check that OA is disabled before unpinning
>>       drm/i915/execlists: Relax CSB force-mmio for VT-d
>>       drm/i915: Mark up nested spinlocks
>>
>> Colin Ian King (1):
>>       drm/i915/selftests: fix spelling mistake: "parmaters" -> "parameters"
>>
>> Daniel Vetter (2):
>>       drm/i915: Remove skl dc6 enable/disable functions
>>       drm/i915: Enable edp psr error interrupts on hsw
>>
>> Dhinakaran Pandiyan (3):
>>       drm/i915/psr: Control PSR interrupts via debugfs
>>       drm/i915/psr: Timestamps for PSR entry and exit interrupts.
>>       drm/i915/psr: Check if VBT says PSR can be enabled.
>>
>> Florent Flament (1):
>>       drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log
>>
>> Gaurav K Singh (1):
>>       drm/i915/audio: Fix audio detection issue on GLK
>>
>> Gustavo A. R. Silva (3):
>>       drm/i915/gvt/scheduler: Remove unnecessary NULL checks in sr_oa_regs
>>       drm/i915/gvt: Mark expected switch fall-through in handle_g2v_notification
>>       drm/i915/selftests: Fix uninitialized variable
>>
>> Hans de Goede (1):
>>       drm/i915: Do NOT skip the first 4k of stolen memory for pre-allocated buffers v2
>>
>> Ian W MORRISON (1):
>>       drm/i915/glk: Add MODULE_FIRMWARE for Geminilake
>>
>> Imre Deak (2):
>>       drm/i915: Enable display WA#1183 from its correct spot
>>       drm/i915: Add documentation to gen9_set_dc_state()
>>
>> James Ausmus (1):
>>       drm/i915/icl: Don't set pipe CSC/Gamma in PLANE_COLOR_CTL
>>
>> Jani Nikula (14):
>>       drm/i915/dsi: improve dphy param limits logging
>>       Merge tag 'gvt-next-2018-04-23' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>>       drm/i915: prefer INTEL_GEN() over INTEL_INFO()->gen
>>       drm/i915/dp: remove stale comment about bw constants
>>       drm/i915/dp: move link_bw and rate_select debugging where used
>>       drm/i915/dp: abstract dp link config computation from the rest
>>       drm/i915/dp: move eDP VBT bpp clamping code to intel_dp_compute_bpp()
>>       drm/i915/dp: group link config limits in a struct
>>       drm/i915/dp: abstract link config selection
>>       drm/i915/dp: fix compliance test adjustments
>>       Merge drm/drm-next into drm-intel-next-queued
>>       drm/i915: add support for specifying DMC firmware override by module param
>>       Merge tag 'gvt-next-2018-05-14' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>>       drm/i915: Update DRIVER_DATE to 20180514
>>
>> José Roberto de Souza (4):
>>       drm/i915/fbdev: Enable late fbdev initial configuration
>>       drm/i915/psr/skl+: Print information about what caused a PSR exit
>>       drm/i915/debugfs: Print sink PSR status
>>       drm/i915/psr/cnl: Set y-coordinate as valid in SDP
>>
>> Lyude Paul (1):
>>       drm/atomic: Print debug message on atomic check failure
>>
>> Maarten Lankhorst (2):
>>       drm/i915: Enable display workaround 827 for all planes, v2.
>>       drm/i915: Add skl_check_nv12_surface for NV12
>>
>> Mahesh Kumar (3):
>>       drm/i915/icl: track dbuf slice-2 status
>>       drm/i915/icl: Enable 2nd DBuf slice only when needed
>>       drm/i915/icl: update ddb entry start/end mask during hw ddb readout
>>
>> Manasi Navare (2):
>>       drm/i915/icl: Implement voltage swing programming sequence for Combo PHY DDI
>>       drm/i915/icl: Fix the DP Max Voltage for ICL
>>
>> Matt Atwood (1):
>>       drm/i915/kbl: Add KBL GT2 sku
>>
>> Matthew Auld (3):
>>       drm/i915/userptr: reject zero user_size
>>       drm/i915: don't leak the pin_map on error
>>       drm/i915/selftests: scrub 64K
>>
>> Matthias Kaehlcke (1):
>>       drm/i915: Disable some extra clang warnings
>>
>> Michel Thierry (1):
>>       drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk
>>
>> Mika Kuoppala (4):
>>       drm/i915: Use ktime on wait_for
>>       drm/i915: Add compiler barrier to wait_for
>>       drm/i915: Print error state times relative to capture
>>       drm/i915/gtt: Trust the uncached store to flush wcb
>>
>> Oscar Mateo (15):
>>       drm/i915/selftests: Handle a potential failure of intel_ring_begin
>>       drm/i915/icl: Correctly clear lost ctx-switch interrupts across reset for Gen11
>>       drm/i915/icl: Introduce initial Icelake Workarounds
>>       drm/i915/icl: Enable Sampler DFR
>>       drm/i915/icl: WaGAPZPriorityScheme
>>       drm/i915/icl: WaL3BankAddressHashing
>>       drm/i915/icl: WaModifyGamTlbPartitioning
>>       drm/i915/icl: WaDisableCleanEvicts
>>       drm/i915/icl: WaCL2SFHalfMaxAlloc
>>       drm/i915/icl: WaDisCtxReload
>>       drm/i915/icl: Wa_1405779004
>>       drm/i915/icl: Wa_1406680159
>>       drm/i915/icl: Wa_1604302699
>>       drm/i915/icl: Wa_1406838659
>>       drm/i915/icl: WaForwardProgressSoftReset
>>
>> Paulo Zanoni (5):
>>       drm/i915/icl: add definitions for the ICL PLL registers
>>       drm/i915/icl: add basic support for the ICL clocks
>>       drm/i915/icl: compute the combo PHY (DPLL) HDMI registers
>>       drm/i915/icl: compute the combo PHY (DPLL) DP registers
>>       drm/i915/icl: compute the MG PLL registers
>>
>> Piorkowski, Piotr (1):
>>       drm/i915/guc: Remove GUC_CTL_DEVICE_INFO parameter
>>
>> Rodrigo Vivi (1):
>>       drm/i915: Adjust eDP's logical vco in a reliable place.
>>
>> Tarun (1):
>>       drm/i915: Remove redundant check for negative timeout while doing an atomic pipe update
>>
>> Tomasz Lis (1):
>>       drm/i915/icl: Add configuring MOCS in new Icelake engines
>>
>> Tvrtko Ursulin (2):
>>       drm/i915: Use seqlock in engine stats
>>       drm/i915: Include priority and completed status in request in/out tracepoints
>>
>> Vidya Srinivas (1):
>>       drm/i915: Enable Display WA 0528
>>
>> Ville Syrjälä (3):
>>       drm/i915: Protect PIPE_CONF_CHECK macros with do {} while(0)
>>       drm/i915: Enable edp psr error interrupts on bdw+
>>       drm/i915: Correctly populate user mode h/vdisplay with pipe src size during readout
>>
>> Weinan Li (1):
>>       Revert "drm/i915/gvt: set max priority for gvt context"
>>
>> Zhao Yan (4):
>>       drm/i915/gvt: scan non-privileged batch buffer for debug purpose
>>       drm/i915/gvt: let NOPID be the default value of force_to_nonpriv registers
>>       drm/i915/gvt: do not return error on handling force_to_nonpriv registers
>>       drm/i915/gvt: let force_to_nonpriv cmd handler only valid for LRI cmd
>>
>> Zhi Wang (1):
>>       Merge branch 'drm-intel-next-queued' into gvt-next
>>
>> Zhipeng Gong (2):
>>       drm/i915/gvt: Use real time to do timer check
>>       drm/i915/gvt: Update time slice more frequently
>>
>> osé Roberto de Souza (1):
>>       drm/i915/psr: Prevent PSR exit when a non-pipe related register is written
>>
>>  Documentation/gpu/i915.rst                         | 141 ++-
>>  drivers/gpu/drm/drm_atomic.c                       |  10 +-
>>  drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
>>  drivers/gpu/drm/i915/Makefile                      |  20 +-
>>  drivers/gpu/drm/i915/gvt/cmd_parser.c              |  81 +-
>>  drivers/gpu/drm/i915/gvt/debugfs.c                 |  72 +-
>>  drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
>>  drivers/gpu/drm/i915/gvt/handlers.c                |  35 +-
>>  drivers/gpu/drm/i915/gvt/mmio.c                    |   2 -
>>  drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
>>  drivers/gpu/drm/i915/gvt/sched_policy.c            |  31 +-
>>  drivers/gpu/drm/i915/gvt/scheduler.c               |  89 +-
>>  drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
>>  drivers/gpu/drm/i915/gvt/trace.h                   |  24 +-
>>  drivers/gpu/drm/i915/i915_debugfs.c                | 566 ++++++------
>>  drivers/gpu/drm/i915/i915_drv.c                    |  81 +-
>>  drivers/gpu/drm/i915/i915_drv.h                    | 424 ++-------
>>  drivers/gpu/drm/i915/i915_gem.c                    | 394 +++++----
>>  drivers/gpu/drm/i915/i915_gem.h                    |  13 +
>>  drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
>>  drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
>>  drivers/gpu/drm/i915/i915_gem_context.c            |  62 +-
>>  drivers/gpu/drm/i915/i915_gem_context.h            |  43 +-
>>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  32 +-
>>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  70 +-
>>  drivers/gpu/drm/i915/i915_gem_gtt.h                |   5 +-
>>  drivers/gpu/drm/i915/i915_gem_stolen.c             | 178 ++--
>>  drivers/gpu/drm/i915/i915_gem_timeline.c           | 154 ----
>>  drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +
>>  drivers/gpu/drm/i915/i915_gpu_error.c              |  66 +-
>>  drivers/gpu/drm/i915/i915_gpu_error.h              | 366 ++++++++
>>  drivers/gpu/drm/i915/i915_irq.c                    | 410 +++++----
>>  drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
>>  drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
>>  drivers/gpu/drm/i915/i915_params.c                 |   3 +
>>  drivers/gpu/drm/i915/i915_params.h                 |   3 +-
>>  drivers/gpu/drm/i915/i915_pci.c                    |   1 +
>>  drivers/gpu/drm/i915/i915_perf.c                   |  96 ++-
>>  drivers/gpu/drm/i915/i915_pmu.c                    |  27 +-
>>  drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
>>  drivers/gpu/drm/i915/i915_reg.h                    | 882 ++++++++++---------
>>  drivers/gpu/drm/i915/i915_request.c                | 438 +++++-----
>>  drivers/gpu/drm/i915/i915_request.h                |  49 +-
>>  drivers/gpu/drm/i915/i915_scheduler.h              |  72 ++
>>  drivers/gpu/drm/i915/i915_timeline.c               | 105 +++
>>  .../i915/{i915_gem_timeline.h => i915_timeline.h}  |  71 +-
>>  drivers/gpu/drm/i915/i915_trace.h                  | 129 ++-
>>  drivers/gpu/drm/i915/i915_utils.h                  |  10 +-
>>  drivers/gpu/drm/i915/i915_vma.c                    |  73 +-
>>  drivers/gpu/drm/i915/i915_vma.h                    |   6 +
>>  drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
>>  drivers/gpu/drm/i915/intel_atomic_plane.c          |   7 +-
>>  drivers/gpu/drm/i915/intel_bios.c                  |  18 +-
>>  drivers/gpu/drm/i915/intel_breadcrumbs.c           |  52 +-
>>  drivers/gpu/drm/i915/intel_cdclk.c                 |  41 +-
>>  drivers/gpu/drm/i915/intel_csr.c                   |  10 +-
>>  drivers/gpu/drm/i915/intel_ddi.c                   | 451 +++++++++-
>>  drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
>>  drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
>>  drivers/gpu/drm/i915/intel_display.c               | 470 +++++++---
>>  drivers/gpu/drm/i915/intel_display.h               |   4 +
>>  drivers/gpu/drm/i915/intel_dp.c                    | 318 +++----
>>  drivers/gpu/drm/i915/intel_dp_link_training.c      |   5 +
>>  drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
>>  drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
>>  drivers/gpu/drm/i915/intel_dpll_mgr.c              | 909 +++++++++++++++++---
>>  drivers/gpu/drm/i915/intel_dpll_mgr.h              |  97 ++-
>>  drivers/gpu/drm/i915/intel_drv.h                   |  84 +-
>>  drivers/gpu/drm/i915/intel_dsi_vbt.c               |  34 +-
>>  drivers/gpu/drm/i915/intel_engine_cs.c             | 933 +++++---------------
>>  drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
>>  drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
>>  drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
>>  drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 ++++++
>>  drivers/gpu/drm/i915/intel_guc.c                   | 231 +++--
>>  drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
>>  drivers/gpu/drm/i915/intel_guc_ads.c               |   9 +-
>>  drivers/gpu/drm/i915/intel_guc_ct.c                | 545 ++++++++++--
>>  drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
>>  drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
>>  drivers/gpu/drm/i915/intel_guc_fwif.h              | 162 +++-
>>  drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++-------
>>  drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
>>  drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
>>  drivers/gpu/drm/i915/intel_guc_submission.c        | 114 ++-
>>  drivers/gpu/drm/i915/intel_hangcheck.c             |  16 +-
>>  drivers/gpu/drm/i915/intel_hdcp.c                  | 185 ++--
>>  drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
>>  drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
>>  drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
>>  drivers/gpu/drm/i915/intel_huc.h                   |   7 +
>>  drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
>>  drivers/gpu/drm/i915/intel_lrc.c                   | 538 ++++++++----
>>  drivers/gpu/drm/i915/intel_lrc.h                   |   2 +-
>>  drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
>>  drivers/gpu/drm/i915/intel_mocs.c                  |   5 +-
>>  drivers/gpu/drm/i915/intel_overlay.c               |   1 +
>>  drivers/gpu/drm/i915/intel_pipe_crc.c              |  75 +-
>>  drivers/gpu/drm/i915/intel_pm.c                    | 578 ++++++++-----
>>  drivers/gpu/drm/i915/intel_psr.c                   | 444 ++++++----
>>  drivers/gpu/drm/i915/intel_ringbuffer.c            |  78 +-
>>  drivers/gpu/drm/i915/intel_ringbuffer.h            |  71 +-
>>  drivers/gpu/drm/i915/intel_runtime_pm.c            | 101 ++-
>>  drivers/gpu/drm/i915/intel_sprite.c                |  36 +-
>>  drivers/gpu/drm/i915/intel_uc.c                    | 132 +--
>>  drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
>>  drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
>>  drivers/gpu/drm/i915/intel_uc_fw.h                 |  24 +-
>>  drivers/gpu/drm/i915/intel_uncore.c                | 175 +++-
>>  drivers/gpu/drm/i915/intel_uncore.h                |   1 +
>>  drivers/gpu/drm/i915/intel_wopcm.c                 | 275 ++++++
>>  drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
>>  drivers/gpu/drm/i915/intel_workarounds.c           | 949 +++++++++++++++++++++
>>  drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
>>  drivers/gpu/drm/i915/selftests/huge_pages.c        |   5 +-
>>  drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   3 +
>>  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   2 +
>>  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
>>  .../{i915_gem_timeline.c => i915_timeline.c}       |  94 +-
>>  drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
>>  drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  70 ++
>>  drivers/gpu/drm/i915/selftests/igt_flush_test.h    |  14 +
>>  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   5 +-
>>  drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
>>  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 414 +++++----
>>  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 459 ++++++++++
>>  drivers/gpu/drm/i915/selftests/intel_workarounds.c | 291 +++++++
>>  drivers/gpu/drm/i915/selftests/mock_engine.c       |  67 +-
>>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  21 +-
>>  drivers/gpu/drm/i915/selftests/mock_gtt.c          |   1 -
>>  drivers/gpu/drm/i915/selftests/mock_timeline.c     |  45 +-
>>  drivers/gpu/drm/i915/selftests/mock_timeline.h     |  28 +-
>>  include/drm/drm_dp_helper.h                        |  10 +
>>  include/drm/i915_pciids.h                          |   1 +
>>  134 files changed, 11452 insertions(+), 5316 deletions(-)  delete mode 100644 drivers/gpu/drm/i915/i915_gem_timeline.c
>>  create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
>>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
>>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
>>  create mode 100644 drivers/gpu/drm/i915/i915_scheduler.h
>>  create mode 100644 drivers/gpu/drm/i915/i915_timeline.c
>>  rename drivers/gpu/drm/i915/{i915_gem_timeline.h => i915_timeline.h} (68%)  create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
>>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
>>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
>>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
>>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
>>  rename drivers/gpu/drm/i915/selftests/{i915_gem_timeline.c => i915_timeline.c} (70%)  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.c
>>  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.h
>>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
>>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c
>>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_workarounds.c
>>
>> --
>> Jani Nikula, Intel Open Source Technology Center

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-15 13:16 ` Wang, Zhi A
@ 2018-05-15 14:58   ` Daniel Vetter
  2018-05-15 15:53     ` Jani Nikula
  2018-05-15 16:03     ` Daniel Vetter
  0 siblings, 2 replies; 265+ messages in thread
From: Daniel Vetter @ 2018-05-15 14:58 UTC (permalink / raw)
  To: Wang, Zhi A
  Cc: dim-tools, Srinivas, Vidya, Nikula, Jani, intel-gfx, dri-devel,
	Vivi, Rodrigo

Imo we should take this as a personal failure of anyone, things
happen. Instead this is a good opportunity to improve our scripts, to
make sure we catch this in the future.

Cheers, Daniel

On Tue, May 15, 2018 at 3:16 PM, Wang, Zhi A <zhi.a.wang@intel.com> wrote:
> Hi:
>
> I am truly sorry for the mess. It's actual my fault of solving a patch dependency by rebasing. Jani was trying to help me to catch the deadline, I am very appreciated for Jani's help and I am quite sorry for letting Jani experience this failure. He tried to help but I fail him. As a new guy of managing the pull request and maintenance, I need to learn more and improve our working flow to prevent this happen in future. Sorry for the inconvenience.
>
> Thanks,
> Zhi.
>
> -----Original Message-----
> From: Nikula, Jani
> Sent: Tuesday, May 15, 2018 12:56 PM
> To: Dave Airlie <airlied@gmail.com>
> Cc: Daniel Vetter <daniel.vetter@ffwll.ch>; Jani Nikula <jani.nikula@linux.intel.com>; Joonas Lahtinen <joonas.lahtinen@linux.intel.com>; Vivi, Rodrigo <rodrigo.vivi@intel.com>; Sean Paul <seanpaul@chromium.org>; Gustavo Padovan <gustavo@padovan.org>; Maarten Lankhorst <maarten.lankhorst@linux.intel.com>; dri-devel@lists.freedesktop.org; intel-gfx@lists.freedesktop.org; dim-tools@lists.freedesktop.org; Wang, Zhi A <zhi.a.wang@intel.com>; Zhenyu Wang <zhenyuw@linux.intel.com>; Srinivas, Vidya <vidya.srinivas@intel.com>
> Subject: [PULL] drm-intel-next
>
>
> Hi Dave -
>
> So this one contains the problematic pull from gvt. It's got both a backmerge and a rebase. I spotted the rebase, but intentionally let it slide due to the deadline closing, and then completely missed the backmerge. I don't know what I was thinking. My bad, sorry.
>
> We'll need to improve our workflows with the gvt team.
>
> Anyway, here's the pull, wrinkles and all, as discussed on IRC. Let us know if you can tolerate it, or if we need to rewrite history.
>
> BR,
> Jani.
>
>
> PS. Regarding the changelog, Vidya Srinivas <vidya.srinivas@intel.com> had a huge role in the NV12 work, but alas I failed to give proper credit because the patches were based on earlier work by Chandra.
>
>
> drm-intel-next-2018-05-14:
> Last drm/i915 changes for v4.18:
>
> - NV12 enabling (Chandra, Maarten)
> - ICL workarounds (Oscar)
> - ICL basic DPLL enabling (Paulo)
> - GVT updates
> - DP link config refactoring (Jani)
> - Module parameter to override DMC firmware (Jani)
> - PSR updates (José, DK, Daniel, Ville)
> - ICL DP vswing programming (Manasi)
> - ICL DBuf slice updates (Mahesh)
> - Selftest fixes and updates (Chris, Matthew, Oscar)
> - Execlist fixes and updates (Chris)
> - Stolen memory first 4k fix (Hans de Goede)
> - wait_for fixes (Mika)
> - Tons of GEM improvements (Chris)
> - Plenty of other fixes and improvements (Everyone)
> - Crappy changelog (Me)
>
> BR,
> Jani.
>
> The following changes since commit 0ab390262c4920f26f8202063a268d5fc829728e:
>
>   Merge tag 'drm-misc-next-2018-04-26' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-04-30 09:32:43 +1000)
>
> are available in the git repository at:
>
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-05-14
>
> for you to fetch changes up to 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:
>
>   drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)
>
> ----------------------------------------------------------------
> Last drm/i915 changes for v4.18:
>
> - NV12 enabling (Chandra, Maarten)
> - ICL workarounds (Oscar)
> - ICL basic DPLL enabling (Paulo)
> - GVT updates
> - DP link config refactoring (Jani)
> - Module parameter to override DMC firmware (Jani)
> - PSR updates (José, DK, Daniel, Ville)
> - ICL DP vswing programming (Manasi)
> - ICL DBuf slice updates (Mahesh)
> - Selftest fixes and updates (Chris, Matthew, Oscar)
> - Execlist fixes and updates (Chris)
> - Stolen memory first 4k fix (Hans de Goede)
> - wait_for fixes (Mika)
> - Tons of GEM improvements (Chris)
> - Plenty of other fixes and improvements (Everyone)
> - Crappy changelog (Me)
>
> ----------------------------------------------------------------
> Abhay Kumar (1):
>       drm/i915/audio: set minimum CD clock to twice the BCLK
>
> Andy Shevchenko (1):
>       i915: Convert to use match_string() helper
>
> Anusha Srivatsa (1):
>       drm/i915/firmware: Correct URL for firmware
>
> Chandra Konduru (3):
>       drm/i915: Add NV12 support to intel_framebuffer_init
>       drm/i915: Add NV12 as supported format for primary plane
>       drm/i915: Add NV12 as supported format for sprite plane
>
> Changbin Du (1):
>       drm/i915/gvt: Remove disable_warn_untrack and print untracked mmio with debug level
>
> Chris Wilson (53):
>       drm/i915: Check whitelist registers across resets
>       drm/i915: Call i915_perf_fini() on init_hw error unwind
>       drm/i915: Move the priotree struct to its own headers
>       drm/i915: Rename priotree to sched
>       drm/i915: Pack params to engine->schedule() into a struct
>       drm/i915: Build request info on stack before printk
>       drm/i915: Don't dump umpteen thousand requests
>       drm/i915: Skip printing global offsets for per-engine scratch pages
>       drm/i915/breadcrumbs: Keep the fake irq armed across reset
>       drm/i915: Use memset64() to align the ring with MI_NOOP
>       drm/i915: Remove obsolete min/max freq setters from debugfs
>       drm/i915: Compile out engine debug for release
>       drm/i915/selftests: Wait for idle between idle resets as well
>       drm/i915/lrc: Scrub the GPU state of the guilty hanging request
>       drm/i915: Stop tracking timeline->inflight_seqnos
>       drm/i915: Wrap engine->context_pin() and engine->context_unpin()
>       drm/i915: Retire requests along rings
>       drm/i915: Only track live rings for retiring
>       drm/i915/execlists: Don't trigger preemption if complete
>       drm/i915/selftests: Fix error checking for wait_var_timeout
>       drm/i915: Show ring->start for the ELSP context/request queue
>       drm/i915/guc: Assert we have the doorbell before setting it up
>       drm/i915: Move timeline from GTT to ring
>       drm/i915: Split i915_gem_timeline into individual timelines
>       drm/i915/execlists: Emit i915_trace_request_out for preemption
>       drm/i915: Silence debugging DRM_ERROR for failing to suspend vlv powerwells
>       drm/i915: Reset the hangcheck timestamp before repeating a seqno
>       drm/i915: Mark the hangcheck as idle when unparking the engines
>       drm/i915: Lazily unbind vma on close
>       drm/i915: Keep one request in our ring_list
>       drm/i915/execlists: Drop preemption arbitrations points along the ring
>       drm/i915/gtt: Tidy up duplicate branches in gen8_gmch_probe()
>       drm/i915: Remove assertion of active_rings must be non-empty if active_requests
>       drm/i915/selftests: Skip the execlists tests on !execlists machines
>       drm/i915: Don't request a bug report for unsafe module parameters
>       drm/i915/execlists: Drop unused parameter to lookup_priolist()
>       drm/i915/execlists: Cache the priolist when rescheduling
>       drm/i915/selftests: Refactor common flush_test()
>       drm/i915/selftests: Flush GPU activity before completing live_contexts
>       drm/i915/selftests: Return to kernel context after each test
>       drm/i915: Flush submission tasklet after bumping priority
>       drm/i915: Disable tasklet scheduling across initial scheduling
>       drm/i915: Remove unused i915_flip tracepoints
>       drm/i915: Annotate timeline lock nesting
>       drm/i915/selftests: Create mock_engine() under struct_mutex
>       drm/i915/selftests: Only switch to kernel context when locked
>       drm/i915/execlists: Make submission tasklet hardirq safe
>       drm/i915/guc: Make submission tasklet hardirq safe
>       drm/i915/execlists: Use rmb() to order CSB reads
>       Revert "drm/i915/cnl: Use mmio access to context status buffer"
>       drm/i915/oa: Check that OA is disabled before unpinning
>       drm/i915/execlists: Relax CSB force-mmio for VT-d
>       drm/i915: Mark up nested spinlocks
>
> Colin Ian King (1):
>       drm/i915/selftests: fix spelling mistake: "parmaters" -> "parameters"
>
> Daniel Vetter (2):
>       drm/i915: Remove skl dc6 enable/disable functions
>       drm/i915: Enable edp psr error interrupts on hsw
>
> Dhinakaran Pandiyan (3):
>       drm/i915/psr: Control PSR interrupts via debugfs
>       drm/i915/psr: Timestamps for PSR entry and exit interrupts.
>       drm/i915/psr: Check if VBT says PSR can be enabled.
>
> Florent Flament (1):
>       drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log
>
> Gaurav K Singh (1):
>       drm/i915/audio: Fix audio detection issue on GLK
>
> Gustavo A. R. Silva (3):
>       drm/i915/gvt/scheduler: Remove unnecessary NULL checks in sr_oa_regs
>       drm/i915/gvt: Mark expected switch fall-through in handle_g2v_notification
>       drm/i915/selftests: Fix uninitialized variable
>
> Hans de Goede (1):
>       drm/i915: Do NOT skip the first 4k of stolen memory for pre-allocated buffers v2
>
> Ian W MORRISON (1):
>       drm/i915/glk: Add MODULE_FIRMWARE for Geminilake
>
> Imre Deak (2):
>       drm/i915: Enable display WA#1183 from its correct spot
>       drm/i915: Add documentation to gen9_set_dc_state()
>
> James Ausmus (1):
>       drm/i915/icl: Don't set pipe CSC/Gamma in PLANE_COLOR_CTL
>
> Jani Nikula (14):
>       drm/i915/dsi: improve dphy param limits logging
>       Merge tag 'gvt-next-2018-04-23' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>       drm/i915: prefer INTEL_GEN() over INTEL_INFO()->gen
>       drm/i915/dp: remove stale comment about bw constants
>       drm/i915/dp: move link_bw and rate_select debugging where used
>       drm/i915/dp: abstract dp link config computation from the rest
>       drm/i915/dp: move eDP VBT bpp clamping code to intel_dp_compute_bpp()
>       drm/i915/dp: group link config limits in a struct
>       drm/i915/dp: abstract link config selection
>       drm/i915/dp: fix compliance test adjustments
>       Merge drm/drm-next into drm-intel-next-queued
>       drm/i915: add support for specifying DMC firmware override by module param
>       Merge tag 'gvt-next-2018-05-14' of https://github.com/intel/gvt-linux into drm-intel-next-queued
>       drm/i915: Update DRIVER_DATE to 20180514
>
> José Roberto de Souza (4):
>       drm/i915/fbdev: Enable late fbdev initial configuration
>       drm/i915/psr/skl+: Print information about what caused a PSR exit
>       drm/i915/debugfs: Print sink PSR status
>       drm/i915/psr/cnl: Set y-coordinate as valid in SDP
>
> Lyude Paul (1):
>       drm/atomic: Print debug message on atomic check failure
>
> Maarten Lankhorst (2):
>       drm/i915: Enable display workaround 827 for all planes, v2.
>       drm/i915: Add skl_check_nv12_surface for NV12
>
> Mahesh Kumar (3):
>       drm/i915/icl: track dbuf slice-2 status
>       drm/i915/icl: Enable 2nd DBuf slice only when needed
>       drm/i915/icl: update ddb entry start/end mask during hw ddb readout
>
> Manasi Navare (2):
>       drm/i915/icl: Implement voltage swing programming sequence for Combo PHY DDI
>       drm/i915/icl: Fix the DP Max Voltage for ICL
>
> Matt Atwood (1):
>       drm/i915/kbl: Add KBL GT2 sku
>
> Matthew Auld (3):
>       drm/i915/userptr: reject zero user_size
>       drm/i915: don't leak the pin_map on error
>       drm/i915/selftests: scrub 64K
>
> Matthias Kaehlcke (1):
>       drm/i915: Disable some extra clang warnings
>
> Michel Thierry (1):
>       drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk
>
> Mika Kuoppala (4):
>       drm/i915: Use ktime on wait_for
>       drm/i915: Add compiler barrier to wait_for
>       drm/i915: Print error state times relative to capture
>       drm/i915/gtt: Trust the uncached store to flush wcb
>
> Oscar Mateo (15):
>       drm/i915/selftests: Handle a potential failure of intel_ring_begin
>       drm/i915/icl: Correctly clear lost ctx-switch interrupts across reset for Gen11
>       drm/i915/icl: Introduce initial Icelake Workarounds
>       drm/i915/icl: Enable Sampler DFR
>       drm/i915/icl: WaGAPZPriorityScheme
>       drm/i915/icl: WaL3BankAddressHashing
>       drm/i915/icl: WaModifyGamTlbPartitioning
>       drm/i915/icl: WaDisableCleanEvicts
>       drm/i915/icl: WaCL2SFHalfMaxAlloc
>       drm/i915/icl: WaDisCtxReload
>       drm/i915/icl: Wa_1405779004
>       drm/i915/icl: Wa_1406680159
>       drm/i915/icl: Wa_1604302699
>       drm/i915/icl: Wa_1406838659
>       drm/i915/icl: WaForwardProgressSoftReset
>
> Paulo Zanoni (5):
>       drm/i915/icl: add definitions for the ICL PLL registers
>       drm/i915/icl: add basic support for the ICL clocks
>       drm/i915/icl: compute the combo PHY (DPLL) HDMI registers
>       drm/i915/icl: compute the combo PHY (DPLL) DP registers
>       drm/i915/icl: compute the MG PLL registers
>
> Piorkowski, Piotr (1):
>       drm/i915/guc: Remove GUC_CTL_DEVICE_INFO parameter
>
> Rodrigo Vivi (1):
>       drm/i915: Adjust eDP's logical vco in a reliable place.
>
> Tarun (1):
>       drm/i915: Remove redundant check for negative timeout while doing an atomic pipe update
>
> Tomasz Lis (1):
>       drm/i915/icl: Add configuring MOCS in new Icelake engines
>
> Tvrtko Ursulin (2):
>       drm/i915: Use seqlock in engine stats
>       drm/i915: Include priority and completed status in request in/out tracepoints
>
> Vidya Srinivas (1):
>       drm/i915: Enable Display WA 0528
>
> Ville Syrjälä (3):
>       drm/i915: Protect PIPE_CONF_CHECK macros with do {} while(0)
>       drm/i915: Enable edp psr error interrupts on bdw+
>       drm/i915: Correctly populate user mode h/vdisplay with pipe src size during readout
>
> Weinan Li (1):
>       Revert "drm/i915/gvt: set max priority for gvt context"
>
> Zhao Yan (4):
>       drm/i915/gvt: scan non-privileged batch buffer for debug purpose
>       drm/i915/gvt: let NOPID be the default value of force_to_nonpriv registers
>       drm/i915/gvt: do not return error on handling force_to_nonpriv registers
>       drm/i915/gvt: let force_to_nonpriv cmd handler only valid for LRI cmd
>
> Zhi Wang (1):
>       Merge branch 'drm-intel-next-queued' into gvt-next
>
> Zhipeng Gong (2):
>       drm/i915/gvt: Use real time to do timer check
>       drm/i915/gvt: Update time slice more frequently
>
> osé Roberto de Souza (1):
>       drm/i915/psr: Prevent PSR exit when a non-pipe related register is written
>
>  Documentation/gpu/i915.rst                         | 141 ++-
>  drivers/gpu/drm/drm_atomic.c                       |  10 +-
>  drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
>  drivers/gpu/drm/i915/Makefile                      |  20 +-
>  drivers/gpu/drm/i915/gvt/cmd_parser.c              |  81 +-
>  drivers/gpu/drm/i915/gvt/debugfs.c                 |  72 +-
>  drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
>  drivers/gpu/drm/i915/gvt/handlers.c                |  35 +-
>  drivers/gpu/drm/i915/gvt/mmio.c                    |   2 -
>  drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
>  drivers/gpu/drm/i915/gvt/sched_policy.c            |  31 +-
>  drivers/gpu/drm/i915/gvt/scheduler.c               |  89 +-
>  drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
>  drivers/gpu/drm/i915/gvt/trace.h                   |  24 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                | 566 ++++++------
>  drivers/gpu/drm/i915/i915_drv.c                    |  81 +-
>  drivers/gpu/drm/i915/i915_drv.h                    | 424 ++-------
>  drivers/gpu/drm/i915/i915_gem.c                    | 394 +++++----
>  drivers/gpu/drm/i915/i915_gem.h                    |  13 +
>  drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
>  drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
>  drivers/gpu/drm/i915/i915_gem_context.c            |  62 +-
>  drivers/gpu/drm/i915/i915_gem_context.h            |  43 +-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  32 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  70 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.h                |   5 +-
>  drivers/gpu/drm/i915/i915_gem_stolen.c             | 178 ++--
>  drivers/gpu/drm/i915/i915_gem_timeline.c           | 154 ----
>  drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +
>  drivers/gpu/drm/i915/i915_gpu_error.c              |  66 +-
>  drivers/gpu/drm/i915/i915_gpu_error.h              | 366 ++++++++
>  drivers/gpu/drm/i915/i915_irq.c                    | 410 +++++----
>  drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
>  drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
>  drivers/gpu/drm/i915/i915_params.c                 |   3 +
>  drivers/gpu/drm/i915/i915_params.h                 |   3 +-
>  drivers/gpu/drm/i915/i915_pci.c                    |   1 +
>  drivers/gpu/drm/i915/i915_perf.c                   |  96 ++-
>  drivers/gpu/drm/i915/i915_pmu.c                    |  27 +-
>  drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
>  drivers/gpu/drm/i915/i915_reg.h                    | 882 ++++++++++---------
>  drivers/gpu/drm/i915/i915_request.c                | 438 +++++-----
>  drivers/gpu/drm/i915/i915_request.h                |  49 +-
>  drivers/gpu/drm/i915/i915_scheduler.h              |  72 ++
>  drivers/gpu/drm/i915/i915_timeline.c               | 105 +++
>  .../i915/{i915_gem_timeline.h => i915_timeline.h}  |  71 +-
>  drivers/gpu/drm/i915/i915_trace.h                  | 129 ++-
>  drivers/gpu/drm/i915/i915_utils.h                  |  10 +-
>  drivers/gpu/drm/i915/i915_vma.c                    |  73 +-
>  drivers/gpu/drm/i915/i915_vma.h                    |   6 +
>  drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
>  drivers/gpu/drm/i915/intel_atomic_plane.c          |   7 +-
>  drivers/gpu/drm/i915/intel_bios.c                  |  18 +-
>  drivers/gpu/drm/i915/intel_breadcrumbs.c           |  52 +-
>  drivers/gpu/drm/i915/intel_cdclk.c                 |  41 +-
>  drivers/gpu/drm/i915/intel_csr.c                   |  10 +-
>  drivers/gpu/drm/i915/intel_ddi.c                   | 451 +++++++++-
>  drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
>  drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
>  drivers/gpu/drm/i915/intel_display.c               | 470 +++++++---
>  drivers/gpu/drm/i915/intel_display.h               |   4 +
>  drivers/gpu/drm/i915/intel_dp.c                    | 318 +++----
>  drivers/gpu/drm/i915/intel_dp_link_training.c      |   5 +
>  drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
>  drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
>  drivers/gpu/drm/i915/intel_dpll_mgr.c              | 909 +++++++++++++++++---
>  drivers/gpu/drm/i915/intel_dpll_mgr.h              |  97 ++-
>  drivers/gpu/drm/i915/intel_drv.h                   |  84 +-
>  drivers/gpu/drm/i915/intel_dsi_vbt.c               |  34 +-
>  drivers/gpu/drm/i915/intel_engine_cs.c             | 933 +++++---------------
>  drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
>  drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
>  drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
>  drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 ++++++
>  drivers/gpu/drm/i915/intel_guc.c                   | 231 +++--
>  drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
>  drivers/gpu/drm/i915/intel_guc_ads.c               |   9 +-
>  drivers/gpu/drm/i915/intel_guc_ct.c                | 545 ++++++++++--
>  drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
>  drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
>  drivers/gpu/drm/i915/intel_guc_fwif.h              | 162 +++-
>  drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++-------
>  drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
>  drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
>  drivers/gpu/drm/i915/intel_guc_submission.c        | 114 ++-
>  drivers/gpu/drm/i915/intel_hangcheck.c             |  16 +-
>  drivers/gpu/drm/i915/intel_hdcp.c                  | 185 ++--
>  drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
>  drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
>  drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
>  drivers/gpu/drm/i915/intel_huc.h                   |   7 +
>  drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
>  drivers/gpu/drm/i915/intel_lrc.c                   | 538 ++++++++----
>  drivers/gpu/drm/i915/intel_lrc.h                   |   2 +-
>  drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
>  drivers/gpu/drm/i915/intel_mocs.c                  |   5 +-
>  drivers/gpu/drm/i915/intel_overlay.c               |   1 +
>  drivers/gpu/drm/i915/intel_pipe_crc.c              |  75 +-
>  drivers/gpu/drm/i915/intel_pm.c                    | 578 ++++++++-----
>  drivers/gpu/drm/i915/intel_psr.c                   | 444 ++++++----
>  drivers/gpu/drm/i915/intel_ringbuffer.c            |  78 +-
>  drivers/gpu/drm/i915/intel_ringbuffer.h            |  71 +-
>  drivers/gpu/drm/i915/intel_runtime_pm.c            | 101 ++-
>  drivers/gpu/drm/i915/intel_sprite.c                |  36 +-
>  drivers/gpu/drm/i915/intel_uc.c                    | 132 +--
>  drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
>  drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
>  drivers/gpu/drm/i915/intel_uc_fw.h                 |  24 +-
>  drivers/gpu/drm/i915/intel_uncore.c                | 175 +++-
>  drivers/gpu/drm/i915/intel_uncore.h                |   1 +
>  drivers/gpu/drm/i915/intel_wopcm.c                 | 275 ++++++
>  drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
>  drivers/gpu/drm/i915/intel_workarounds.c           | 949 +++++++++++++++++++++
>  drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
>  drivers/gpu/drm/i915/selftests/huge_pages.c        |   5 +-
>  drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   3 +
>  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   2 +
>  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
>  .../{i915_gem_timeline.c => i915_timeline.c}       |  94 +-
>  drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
>  drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  70 ++
>  drivers/gpu/drm/i915/selftests/igt_flush_test.h    |  14 +
>  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   5 +-
>  drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
>  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 414 +++++----
>  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 459 ++++++++++
>  drivers/gpu/drm/i915/selftests/intel_workarounds.c | 291 +++++++
>  drivers/gpu/drm/i915/selftests/mock_engine.c       |  67 +-
>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  21 +-
>  drivers/gpu/drm/i915/selftests/mock_gtt.c          |   1 -
>  drivers/gpu/drm/i915/selftests/mock_timeline.c     |  45 +-
>  drivers/gpu/drm/i915/selftests/mock_timeline.h     |  28 +-
>  include/drm/drm_dp_helper.h                        |  10 +
>  include/drm/i915_pciids.h                          |   1 +
>  134 files changed, 11452 insertions(+), 5316 deletions(-)  delete mode 100644 drivers/gpu/drm/i915/i915_gem_timeline.c
>  create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
>  create mode 100644 drivers/gpu/drm/i915/i915_scheduler.h
>  create mode 100644 drivers/gpu/drm/i915/i915_timeline.c
>  rename drivers/gpu/drm/i915/{i915_gem_timeline.h => i915_timeline.h} (68%)  create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
>  rename drivers/gpu/drm/i915/selftests/{i915_gem_timeline.c => i915_timeline.c} (70%)  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.h
>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_workarounds.c
>
> --
> Jani Nikula, Intel Open Source Technology Center



-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* RE: [PULL] drm-intel-next
  2018-05-15  9:55 Jani Nikula
  2018-05-15 10:01 ` Srinivas, Vidya
@ 2018-05-15 13:16 ` Wang, Zhi A
  2018-05-15 14:58   ` Daniel Vetter
  1 sibling, 1 reply; 265+ messages in thread
From: Wang, Zhi A @ 2018-05-15 13:16 UTC (permalink / raw)
  To: Nikula, Jani, Dave Airlie
  Cc: dim-tools, Srinivas, Vidya, intel-gfx, Vivi, Rodrigo, dri-devel,
	Daniel Vetter

Hi:

I am truly sorry for the mess. It's actual my fault of solving a patch dependency by rebasing. Jani was trying to help me to catch the deadline, I am very appreciated for Jani's help and I am quite sorry for letting Jani experience this failure. He tried to help but I fail him. As a new guy of managing the pull request and maintenance, I need to learn more and improve our working flow to prevent this happen in future. Sorry for the inconvenience.

Thanks,
Zhi.

-----Original Message-----
From: Nikula, Jani 
Sent: Tuesday, May 15, 2018 12:56 PM
To: Dave Airlie <airlied@gmail.com>
Cc: Daniel Vetter <daniel.vetter@ffwll.ch>; Jani Nikula <jani.nikula@linux.intel.com>; Joonas Lahtinen <joonas.lahtinen@linux.intel.com>; Vivi, Rodrigo <rodrigo.vivi@intel.com>; Sean Paul <seanpaul@chromium.org>; Gustavo Padovan <gustavo@padovan.org>; Maarten Lankhorst <maarten.lankhorst@linux.intel.com>; dri-devel@lists.freedesktop.org; intel-gfx@lists.freedesktop.org; dim-tools@lists.freedesktop.org; Wang, Zhi A <zhi.a.wang@intel.com>; Zhenyu Wang <zhenyuw@linux.intel.com>; Srinivas, Vidya <vidya.srinivas@intel.com>
Subject: [PULL] drm-intel-next


Hi Dave -

So this one contains the problematic pull from gvt. It's got both a backmerge and a rebase. I spotted the rebase, but intentionally let it slide due to the deadline closing, and then completely missed the backmerge. I don't know what I was thinking. My bad, sorry.

We'll need to improve our workflows with the gvt team.

Anyway, here's the pull, wrinkles and all, as discussed on IRC. Let us know if you can tolerate it, or if we need to rewrite history.

BR,
Jani.


PS. Regarding the changelog, Vidya Srinivas <vidya.srinivas@intel.com> had a huge role in the NV12 work, but alas I failed to give proper credit because the patches were based on earlier work by Chandra.


drm-intel-next-2018-05-14:
Last drm/i915 changes for v4.18:

- NV12 enabling (Chandra, Maarten)
- ICL workarounds (Oscar)
- ICL basic DPLL enabling (Paulo)
- GVT updates
- DP link config refactoring (Jani)
- Module parameter to override DMC firmware (Jani)
- PSR updates (José, DK, Daniel, Ville)
- ICL DP vswing programming (Manasi)
- ICL DBuf slice updates (Mahesh)
- Selftest fixes and updates (Chris, Matthew, Oscar)
- Execlist fixes and updates (Chris)
- Stolen memory first 4k fix (Hans de Goede)
- wait_for fixes (Mika)
- Tons of GEM improvements (Chris)
- Plenty of other fixes and improvements (Everyone)
- Crappy changelog (Me)

BR,
Jani.

The following changes since commit 0ab390262c4920f26f8202063a268d5fc829728e:

  Merge tag 'drm-misc-next-2018-04-26' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-04-30 09:32:43 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-05-14

for you to fetch changes up to 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:

  drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)

----------------------------------------------------------------
Last drm/i915 changes for v4.18:

- NV12 enabling (Chandra, Maarten)
- ICL workarounds (Oscar)
- ICL basic DPLL enabling (Paulo)
- GVT updates
- DP link config refactoring (Jani)
- Module parameter to override DMC firmware (Jani)
- PSR updates (José, DK, Daniel, Ville)
- ICL DP vswing programming (Manasi)
- ICL DBuf slice updates (Mahesh)
- Selftest fixes and updates (Chris, Matthew, Oscar)
- Execlist fixes and updates (Chris)
- Stolen memory first 4k fix (Hans de Goede)
- wait_for fixes (Mika)
- Tons of GEM improvements (Chris)
- Plenty of other fixes and improvements (Everyone)
- Crappy changelog (Me)

----------------------------------------------------------------
Abhay Kumar (1):
      drm/i915/audio: set minimum CD clock to twice the BCLK

Andy Shevchenko (1):
      i915: Convert to use match_string() helper

Anusha Srivatsa (1):
      drm/i915/firmware: Correct URL for firmware

Chandra Konduru (3):
      drm/i915: Add NV12 support to intel_framebuffer_init
      drm/i915: Add NV12 as supported format for primary plane
      drm/i915: Add NV12 as supported format for sprite plane

Changbin Du (1):
      drm/i915/gvt: Remove disable_warn_untrack and print untracked mmio with debug level

Chris Wilson (53):
      drm/i915: Check whitelist registers across resets
      drm/i915: Call i915_perf_fini() on init_hw error unwind
      drm/i915: Move the priotree struct to its own headers
      drm/i915: Rename priotree to sched
      drm/i915: Pack params to engine->schedule() into a struct
      drm/i915: Build request info on stack before printk
      drm/i915: Don't dump umpteen thousand requests
      drm/i915: Skip printing global offsets for per-engine scratch pages
      drm/i915/breadcrumbs: Keep the fake irq armed across reset
      drm/i915: Use memset64() to align the ring with MI_NOOP
      drm/i915: Remove obsolete min/max freq setters from debugfs
      drm/i915: Compile out engine debug for release
      drm/i915/selftests: Wait for idle between idle resets as well
      drm/i915/lrc: Scrub the GPU state of the guilty hanging request
      drm/i915: Stop tracking timeline->inflight_seqnos
      drm/i915: Wrap engine->context_pin() and engine->context_unpin()
      drm/i915: Retire requests along rings
      drm/i915: Only track live rings for retiring
      drm/i915/execlists: Don't trigger preemption if complete
      drm/i915/selftests: Fix error checking for wait_var_timeout
      drm/i915: Show ring->start for the ELSP context/request queue
      drm/i915/guc: Assert we have the doorbell before setting it up
      drm/i915: Move timeline from GTT to ring
      drm/i915: Split i915_gem_timeline into individual timelines
      drm/i915/execlists: Emit i915_trace_request_out for preemption
      drm/i915: Silence debugging DRM_ERROR for failing to suspend vlv powerwells
      drm/i915: Reset the hangcheck timestamp before repeating a seqno
      drm/i915: Mark the hangcheck as idle when unparking the engines
      drm/i915: Lazily unbind vma on close
      drm/i915: Keep one request in our ring_list
      drm/i915/execlists: Drop preemption arbitrations points along the ring
      drm/i915/gtt: Tidy up duplicate branches in gen8_gmch_probe()
      drm/i915: Remove assertion of active_rings must be non-empty if active_requests
      drm/i915/selftests: Skip the execlists tests on !execlists machines
      drm/i915: Don't request a bug report for unsafe module parameters
      drm/i915/execlists: Drop unused parameter to lookup_priolist()
      drm/i915/execlists: Cache the priolist when rescheduling
      drm/i915/selftests: Refactor common flush_test()
      drm/i915/selftests: Flush GPU activity before completing live_contexts
      drm/i915/selftests: Return to kernel context after each test
      drm/i915: Flush submission tasklet after bumping priority
      drm/i915: Disable tasklet scheduling across initial scheduling
      drm/i915: Remove unused i915_flip tracepoints
      drm/i915: Annotate timeline lock nesting
      drm/i915/selftests: Create mock_engine() under struct_mutex
      drm/i915/selftests: Only switch to kernel context when locked
      drm/i915/execlists: Make submission tasklet hardirq safe
      drm/i915/guc: Make submission tasklet hardirq safe
      drm/i915/execlists: Use rmb() to order CSB reads
      Revert "drm/i915/cnl: Use mmio access to context status buffer"
      drm/i915/oa: Check that OA is disabled before unpinning
      drm/i915/execlists: Relax CSB force-mmio for VT-d
      drm/i915: Mark up nested spinlocks

Colin Ian King (1):
      drm/i915/selftests: fix spelling mistake: "parmaters" -> "parameters"

Daniel Vetter (2):
      drm/i915: Remove skl dc6 enable/disable functions
      drm/i915: Enable edp psr error interrupts on hsw

Dhinakaran Pandiyan (3):
      drm/i915/psr: Control PSR interrupts via debugfs
      drm/i915/psr: Timestamps for PSR entry and exit interrupts.
      drm/i915/psr: Check if VBT says PSR can be enabled.

Florent Flament (1):
      drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log

Gaurav K Singh (1):
      drm/i915/audio: Fix audio detection issue on GLK

Gustavo A. R. Silva (3):
      drm/i915/gvt/scheduler: Remove unnecessary NULL checks in sr_oa_regs
      drm/i915/gvt: Mark expected switch fall-through in handle_g2v_notification
      drm/i915/selftests: Fix uninitialized variable

Hans de Goede (1):
      drm/i915: Do NOT skip the first 4k of stolen memory for pre-allocated buffers v2

Ian W MORRISON (1):
      drm/i915/glk: Add MODULE_FIRMWARE for Geminilake

Imre Deak (2):
      drm/i915: Enable display WA#1183 from its correct spot
      drm/i915: Add documentation to gen9_set_dc_state()

James Ausmus (1):
      drm/i915/icl: Don't set pipe CSC/Gamma in PLANE_COLOR_CTL

Jani Nikula (14):
      drm/i915/dsi: improve dphy param limits logging
      Merge tag 'gvt-next-2018-04-23' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: prefer INTEL_GEN() over INTEL_INFO()->gen
      drm/i915/dp: remove stale comment about bw constants
      drm/i915/dp: move link_bw and rate_select debugging where used
      drm/i915/dp: abstract dp link config computation from the rest
      drm/i915/dp: move eDP VBT bpp clamping code to intel_dp_compute_bpp()
      drm/i915/dp: group link config limits in a struct
      drm/i915/dp: abstract link config selection
      drm/i915/dp: fix compliance test adjustments
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: add support for specifying DMC firmware override by module param
      Merge tag 'gvt-next-2018-05-14' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20180514

José Roberto de Souza (4):
      drm/i915/fbdev: Enable late fbdev initial configuration
      drm/i915/psr/skl+: Print information about what caused a PSR exit
      drm/i915/debugfs: Print sink PSR status
      drm/i915/psr/cnl: Set y-coordinate as valid in SDP

Lyude Paul (1):
      drm/atomic: Print debug message on atomic check failure

Maarten Lankhorst (2):
      drm/i915: Enable display workaround 827 for all planes, v2.
      drm/i915: Add skl_check_nv12_surface for NV12

Mahesh Kumar (3):
      drm/i915/icl: track dbuf slice-2 status
      drm/i915/icl: Enable 2nd DBuf slice only when needed
      drm/i915/icl: update ddb entry start/end mask during hw ddb readout

Manasi Navare (2):
      drm/i915/icl: Implement voltage swing programming sequence for Combo PHY DDI
      drm/i915/icl: Fix the DP Max Voltage for ICL

Matt Atwood (1):
      drm/i915/kbl: Add KBL GT2 sku

Matthew Auld (3):
      drm/i915/userptr: reject zero user_size
      drm/i915: don't leak the pin_map on error
      drm/i915/selftests: scrub 64K

Matthias Kaehlcke (1):
      drm/i915: Disable some extra clang warnings

Michel Thierry (1):
      drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk

Mika Kuoppala (4):
      drm/i915: Use ktime on wait_for
      drm/i915: Add compiler barrier to wait_for
      drm/i915: Print error state times relative to capture
      drm/i915/gtt: Trust the uncached store to flush wcb

Oscar Mateo (15):
      drm/i915/selftests: Handle a potential failure of intel_ring_begin
      drm/i915/icl: Correctly clear lost ctx-switch interrupts across reset for Gen11
      drm/i915/icl: Introduce initial Icelake Workarounds
      drm/i915/icl: Enable Sampler DFR
      drm/i915/icl: WaGAPZPriorityScheme
      drm/i915/icl: WaL3BankAddressHashing
      drm/i915/icl: WaModifyGamTlbPartitioning
      drm/i915/icl: WaDisableCleanEvicts
      drm/i915/icl: WaCL2SFHalfMaxAlloc
      drm/i915/icl: WaDisCtxReload
      drm/i915/icl: Wa_1405779004
      drm/i915/icl: Wa_1406680159
      drm/i915/icl: Wa_1604302699
      drm/i915/icl: Wa_1406838659
      drm/i915/icl: WaForwardProgressSoftReset

Paulo Zanoni (5):
      drm/i915/icl: add definitions for the ICL PLL registers
      drm/i915/icl: add basic support for the ICL clocks
      drm/i915/icl: compute the combo PHY (DPLL) HDMI registers
      drm/i915/icl: compute the combo PHY (DPLL) DP registers
      drm/i915/icl: compute the MG PLL registers

Piorkowski, Piotr (1):
      drm/i915/guc: Remove GUC_CTL_DEVICE_INFO parameter

Rodrigo Vivi (1):
      drm/i915: Adjust eDP's logical vco in a reliable place.

Tarun (1):
      drm/i915: Remove redundant check for negative timeout while doing an atomic pipe update

Tomasz Lis (1):
      drm/i915/icl: Add configuring MOCS in new Icelake engines

Tvrtko Ursulin (2):
      drm/i915: Use seqlock in engine stats
      drm/i915: Include priority and completed status in request in/out tracepoints

Vidya Srinivas (1):
      drm/i915: Enable Display WA 0528

Ville Syrjälä (3):
      drm/i915: Protect PIPE_CONF_CHECK macros with do {} while(0)
      drm/i915: Enable edp psr error interrupts on bdw+
      drm/i915: Correctly populate user mode h/vdisplay with pipe src size during readout

Weinan Li (1):
      Revert "drm/i915/gvt: set max priority for gvt context"

Zhao Yan (4):
      drm/i915/gvt: scan non-privileged batch buffer for debug purpose
      drm/i915/gvt: let NOPID be the default value of force_to_nonpriv registers
      drm/i915/gvt: do not return error on handling force_to_nonpriv registers
      drm/i915/gvt: let force_to_nonpriv cmd handler only valid for LRI cmd

Zhi Wang (1):
      Merge branch 'drm-intel-next-queued' into gvt-next

Zhipeng Gong (2):
      drm/i915/gvt: Use real time to do timer check
      drm/i915/gvt: Update time slice more frequently

osé Roberto de Souza (1):
      drm/i915/psr: Prevent PSR exit when a non-pipe related register is written

 Documentation/gpu/i915.rst                         | 141 ++-
 drivers/gpu/drm/drm_atomic.c                       |  10 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
 drivers/gpu/drm/i915/Makefile                      |  20 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  81 +-
 drivers/gpu/drm/i915/gvt/debugfs.c                 |  72 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |  35 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |   2 -
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c            |  31 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |  89 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
 drivers/gpu/drm/i915/gvt/trace.h                   |  24 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 566 ++++++------
 drivers/gpu/drm/i915/i915_drv.c                    |  81 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 424 ++-------
 drivers/gpu/drm/i915/i915_gem.c                    | 394 +++++----
 drivers/gpu/drm/i915/i915_gem.h                    |  13 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  62 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |  43 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  32 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  70 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   5 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             | 178 ++--
 drivers/gpu/drm/i915/i915_gem_timeline.c           | 154 ----
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  66 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              | 366 ++++++++
 drivers/gpu/drm/i915/i915_irq.c                    | 410 +++++----
 drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
 drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
 drivers/gpu/drm/i915/i915_params.c                 |   3 +
 drivers/gpu/drm/i915/i915_params.h                 |   3 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   1 +
 drivers/gpu/drm/i915/i915_perf.c                   |  96 ++-
 drivers/gpu/drm/i915/i915_pmu.c                    |  27 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 882 ++++++++++---------
 drivers/gpu/drm/i915/i915_request.c                | 438 +++++-----
 drivers/gpu/drm/i915/i915_request.h                |  49 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |  72 ++
 drivers/gpu/drm/i915/i915_timeline.c               | 105 +++
 .../i915/{i915_gem_timeline.h => i915_timeline.h}  |  71 +-
 drivers/gpu/drm/i915/i915_trace.h                  | 129 ++-
 drivers/gpu/drm/i915/i915_utils.h                  |  10 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  73 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   6 +
 drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   7 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  18 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  52 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |  41 +-
 drivers/gpu/drm/i915/intel_csr.c                   |  10 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 451 +++++++++-
 drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
 drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
 drivers/gpu/drm/i915/intel_display.c               | 470 +++++++---
 drivers/gpu/drm/i915/intel_display.h               |   4 +
 drivers/gpu/drm/i915/intel_dp.c                    | 318 +++----
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   5 +
 drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              | 909 +++++++++++++++++---
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |  97 ++-
 drivers/gpu/drm/i915/intel_drv.h                   |  84 +-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |  34 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 933 +++++---------------
 drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
 drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
 drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 ++++++
 drivers/gpu/drm/i915/intel_guc.c                   | 231 +++--
 drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
 drivers/gpu/drm/i915/intel_guc_ads.c               |   9 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                | 545 ++++++++++--
 drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              | 162 +++-
 drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++-------
 drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
 drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        | 114 ++-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  16 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  | 185 ++--
 drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
 drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
 drivers/gpu/drm/i915/intel_huc.h                   |   7 +
 drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 538 ++++++++----
 drivers/gpu/drm/i915/intel_lrc.h                   |   2 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   5 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   1 +
 drivers/gpu/drm/i915/intel_pipe_crc.c              |  75 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 578 ++++++++-----
 drivers/gpu/drm/i915/intel_psr.c                   | 444 ++++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  78 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  71 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            | 101 ++-
 drivers/gpu/drm/i915/intel_sprite.c                |  36 +-
 drivers/gpu/drm/i915/intel_uc.c                    | 132 +--
 drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |  24 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 175 +++-
 drivers/gpu/drm/i915/intel_uncore.h                |   1 +
 drivers/gpu/drm/i915/intel_wopcm.c                 | 275 ++++++
 drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
 drivers/gpu/drm/i915/intel_workarounds.c           | 949 +++++++++++++++++++++
 drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   5 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   3 +
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   2 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
 .../{i915_gem_timeline.c => i915_timeline.c}       |  94 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  70 ++
 drivers/gpu/drm/i915/selftests/igt_flush_test.h    |  14 +
 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   5 +-
 drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 414 +++++----
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 459 ++++++++++
 drivers/gpu/drm/i915/selftests/intel_workarounds.c | 291 +++++++
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  67 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  21 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   1 -
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |  45 +-
 drivers/gpu/drm/i915/selftests/mock_timeline.h     |  28 +-
 include/drm/drm_dp_helper.h                        |  10 +
 include/drm/i915_pciids.h                          |   1 +
 134 files changed, 11452 insertions(+), 5316 deletions(-)  delete mode 100644 drivers/gpu/drm/i915/i915_gem_timeline.c
 create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
 create mode 100644 drivers/gpu/drm/i915/i915_scheduler.h
 create mode 100644 drivers/gpu/drm/i915/i915_timeline.c
 rename drivers/gpu/drm/i915/{i915_gem_timeline.h => i915_timeline.h} (68%)  create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
 create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
 create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
 rename drivers/gpu/drm/i915/selftests/{i915_gem_timeline.c => i915_timeline.c} (70%)  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.h
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_workarounds.c

--
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-15  9:55 Jani Nikula
@ 2018-05-15 10:01 ` Srinivas, Vidya
  2018-05-15 13:16 ` Wang, Zhi A
  1 sibling, 0 replies; 265+ messages in thread
From: Srinivas, Vidya @ 2018-05-15 10:01 UTC (permalink / raw)
  To: Nikula, Jani, Dave Airlie
  Cc: dim-tools, intel-gfx, Vivi, Rodrigo, dri-devel, Daniel Vetter



> -----Original Message-----
> From: Nikula, Jani
> Sent: Tuesday, May 15, 2018 3:26 PM
> To: Dave Airlie <airlied@gmail.com>
> Cc: Daniel Vetter <daniel.vetter@ffwll.ch>; Jani Nikula
> <jani.nikula@linux.intel.com>; Joonas Lahtinen
> <joonas.lahtinen@linux.intel.com>; Vivi, Rodrigo <rodrigo.vivi@intel.com>;
> Sean Paul <seanpaul@chromium.org>; Gustavo Padovan
> <gustavo@padovan.org>; Maarten Lankhorst
> <maarten.lankhorst@linux.intel.com>; dri-devel@lists.freedesktop.org;
> intel-gfx@lists.freedesktop.org; dim-tools@lists.freedesktop.org; Wang, Zhi
> A <zhi.a.wang@intel.com>; Zhenyu Wang <zhenyuw@linux.intel.com>;
> Srinivas, Vidya <vidya.srinivas@intel.com>
> Subject: [PULL] drm-intel-next
> 
> 
> Hi Dave -
> 
> So this one contains the problematic pull from gvt. It's got both a backmerge
> and a rebase. I spotted the rebase, but intentionally let it slide due to the
> deadline closing, and then completely missed the backmerge. I don't know
> what I was thinking. My bad, sorry.
> 
> We'll need to improve our workflows with the gvt team.
> 
> Anyway, here's the pull, wrinkles and all, as discussed on IRC. Let us know if
> you can tolerate it, or if we need to rewrite history.
> 
> BR,
> Jani.
> 
> 
> PS. Regarding the changelog, Vidya Srinivas <vidya.srinivas@intel.com> had a
> huge role in the NV12 work, but alas I failed to give proper credit because the
> patches were based on earlier work by Chandra.

No problem at all :) Sincerely, Thank you so much. It was based on Chandra's original work and without Maarten
It would never reach the merge stage :)

> 
> 
> drm-intel-next-2018-05-14:
> Last drm/i915 changes for v4.18:
> 
> - NV12 enabling (Chandra, Maarten)
> - ICL workarounds (Oscar)
> - ICL basic DPLL enabling (Paulo)
> - GVT updates
> - DP link config refactoring (Jani)
> - Module parameter to override DMC firmware (Jani)
> - PSR updates (José, DK, Daniel, Ville)
> - ICL DP vswing programming (Manasi)
> - ICL DBuf slice updates (Mahesh)
> - Selftest fixes and updates (Chris, Matthew, Oscar)
> - Execlist fixes and updates (Chris)
> - Stolen memory first 4k fix (Hans de Goede)
> - wait_for fixes (Mika)
> - Tons of GEM improvements (Chris)
> - Plenty of other fixes and improvements (Everyone)
> - Crappy changelog (Me)
> 
> BR,
> Jani.
> 
> The following changes since commit
> 0ab390262c4920f26f8202063a268d5fc829728e:
> 
>   Merge tag 'drm-misc-next-2018-04-26' of
> git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-04-30
> 09:32:43 +1000)
> 
> are available in the git repository at:
> 
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-05-
> 14
> 
> for you to fetch changes up to 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:
> 
>   drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)
> 
> ----------------------------------------------------------------
> Last drm/i915 changes for v4.18:
> 
> - NV12 enabling (Chandra, Maarten)
> - ICL workarounds (Oscar)
> - ICL basic DPLL enabling (Paulo)
> - GVT updates
> - DP link config refactoring (Jani)
> - Module parameter to override DMC firmware (Jani)
> - PSR updates (José, DK, Daniel, Ville)
> - ICL DP vswing programming (Manasi)
> - ICL DBuf slice updates (Mahesh)
> - Selftest fixes and updates (Chris, Matthew, Oscar)
> - Execlist fixes and updates (Chris)
> - Stolen memory first 4k fix (Hans de Goede)
> - wait_for fixes (Mika)
> - Tons of GEM improvements (Chris)
> - Plenty of other fixes and improvements (Everyone)
> - Crappy changelog (Me)
> 
> ----------------------------------------------------------------
> Abhay Kumar (1):
>       drm/i915/audio: set minimum CD clock to twice the BCLK
> 
> Andy Shevchenko (1):
>       i915: Convert to use match_string() helper
> 
> Anusha Srivatsa (1):
>       drm/i915/firmware: Correct URL for firmware
> 
> Chandra Konduru (3):
>       drm/i915: Add NV12 support to intel_framebuffer_init
>       drm/i915: Add NV12 as supported format for primary plane
>       drm/i915: Add NV12 as supported format for sprite plane
> 
> Changbin Du (1):
>       drm/i915/gvt: Remove disable_warn_untrack and print untracked mmio
> with debug level
> 
> Chris Wilson (53):
>       drm/i915: Check whitelist registers across resets
>       drm/i915: Call i915_perf_fini() on init_hw error unwind
>       drm/i915: Move the priotree struct to its own headers
>       drm/i915: Rename priotree to sched
>       drm/i915: Pack params to engine->schedule() into a struct
>       drm/i915: Build request info on stack before printk
>       drm/i915: Don't dump umpteen thousand requests
>       drm/i915: Skip printing global offsets for per-engine scratch pages
>       drm/i915/breadcrumbs: Keep the fake irq armed across reset
>       drm/i915: Use memset64() to align the ring with MI_NOOP
>       drm/i915: Remove obsolete min/max freq setters from debugfs
>       drm/i915: Compile out engine debug for release
>       drm/i915/selftests: Wait for idle between idle resets as well
>       drm/i915/lrc: Scrub the GPU state of the guilty hanging request
>       drm/i915: Stop tracking timeline->inflight_seqnos
>       drm/i915: Wrap engine->context_pin() and engine->context_unpin()
>       drm/i915: Retire requests along rings
>       drm/i915: Only track live rings for retiring
>       drm/i915/execlists: Don't trigger preemption if complete
>       drm/i915/selftests: Fix error checking for wait_var_timeout
>       drm/i915: Show ring->start for the ELSP context/request queue
>       drm/i915/guc: Assert we have the doorbell before setting it up
>       drm/i915: Move timeline from GTT to ring
>       drm/i915: Split i915_gem_timeline into individual timelines
>       drm/i915/execlists: Emit i915_trace_request_out for preemption
>       drm/i915: Silence debugging DRM_ERROR for failing to suspend vlv
> powerwells
>       drm/i915: Reset the hangcheck timestamp before repeating a seqno
>       drm/i915: Mark the hangcheck as idle when unparking the engines
>       drm/i915: Lazily unbind vma on close
>       drm/i915: Keep one request in our ring_list
>       drm/i915/execlists: Drop preemption arbitrations points along the ring
>       drm/i915/gtt: Tidy up duplicate branches in gen8_gmch_probe()
>       drm/i915: Remove assertion of active_rings must be non-empty if
> active_requests
>       drm/i915/selftests: Skip the execlists tests on !execlists machines
>       drm/i915: Don't request a bug report for unsafe module parameters
>       drm/i915/execlists: Drop unused parameter to lookup_priolist()
>       drm/i915/execlists: Cache the priolist when rescheduling
>       drm/i915/selftests: Refactor common flush_test()
>       drm/i915/selftests: Flush GPU activity before completing live_contexts
>       drm/i915/selftests: Return to kernel context after each test
>       drm/i915: Flush submission tasklet after bumping priority
>       drm/i915: Disable tasklet scheduling across initial scheduling
>       drm/i915: Remove unused i915_flip tracepoints
>       drm/i915: Annotate timeline lock nesting
>       drm/i915/selftests: Create mock_engine() under struct_mutex
>       drm/i915/selftests: Only switch to kernel context when locked
>       drm/i915/execlists: Make submission tasklet hardirq safe
>       drm/i915/guc: Make submission tasklet hardirq safe
>       drm/i915/execlists: Use rmb() to order CSB reads
>       Revert "drm/i915/cnl: Use mmio access to context status buffer"
>       drm/i915/oa: Check that OA is disabled before unpinning
>       drm/i915/execlists: Relax CSB force-mmio for VT-d
>       drm/i915: Mark up nested spinlocks
> 
> Colin Ian King (1):
>       drm/i915/selftests: fix spelling mistake: "parmaters" -> "parameters"
> 
> Daniel Vetter (2):
>       drm/i915: Remove skl dc6 enable/disable functions
>       drm/i915: Enable edp psr error interrupts on hsw
> 
> Dhinakaran Pandiyan (3):
>       drm/i915/psr: Control PSR interrupts via debugfs
>       drm/i915/psr: Timestamps for PSR entry and exit interrupts.
>       drm/i915/psr: Check if VBT says PSR can be enabled.
> 
> Florent Flament (1):
>       drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log
> 
> Gaurav K Singh (1):
>       drm/i915/audio: Fix audio detection issue on GLK
> 
> Gustavo A. R. Silva (3):
>       drm/i915/gvt/scheduler: Remove unnecessary NULL checks in sr_oa_regs
>       drm/i915/gvt: Mark expected switch fall-through in
> handle_g2v_notification
>       drm/i915/selftests: Fix uninitialized variable
> 
> Hans de Goede (1):
>       drm/i915: Do NOT skip the first 4k of stolen memory for pre-allocated
> buffers v2
> 
> Ian W MORRISON (1):
>       drm/i915/glk: Add MODULE_FIRMWARE for Geminilake
> 
> Imre Deak (2):
>       drm/i915: Enable display WA#1183 from its correct spot
>       drm/i915: Add documentation to gen9_set_dc_state()
> 
> James Ausmus (1):
>       drm/i915/icl: Don't set pipe CSC/Gamma in PLANE_COLOR_CTL
> 
> Jani Nikula (14):
>       drm/i915/dsi: improve dphy param limits logging
>       Merge tag 'gvt-next-2018-04-23' of https://github.com/intel/gvt-linux into
> drm-intel-next-queued
>       drm/i915: prefer INTEL_GEN() over INTEL_INFO()->gen
>       drm/i915/dp: remove stale comment about bw constants
>       drm/i915/dp: move link_bw and rate_select debugging where used
>       drm/i915/dp: abstract dp link config computation from the rest
>       drm/i915/dp: move eDP VBT bpp clamping code to
> intel_dp_compute_bpp()
>       drm/i915/dp: group link config limits in a struct
>       drm/i915/dp: abstract link config selection
>       drm/i915/dp: fix compliance test adjustments
>       Merge drm/drm-next into drm-intel-next-queued
>       drm/i915: add support for specifying DMC firmware override by module
> param
>       Merge tag 'gvt-next-2018-05-14' of https://github.com/intel/gvt-linux into
> drm-intel-next-queued
>       drm/i915: Update DRIVER_DATE to 20180514
> 
> José Roberto de Souza (4):
>       drm/i915/fbdev: Enable late fbdev initial configuration
>       drm/i915/psr/skl+: Print information about what caused a PSR exit
>       drm/i915/debugfs: Print sink PSR status
>       drm/i915/psr/cnl: Set y-coordinate as valid in SDP
> 
> Lyude Paul (1):
>       drm/atomic: Print debug message on atomic check failure
> 
> Maarten Lankhorst (2):
>       drm/i915: Enable display workaround 827 for all planes, v2.
>       drm/i915: Add skl_check_nv12_surface for NV12
> 
> Mahesh Kumar (3):
>       drm/i915/icl: track dbuf slice-2 status
>       drm/i915/icl: Enable 2nd DBuf slice only when needed
>       drm/i915/icl: update ddb entry start/end mask during hw ddb readout
> 
> Manasi Navare (2):
>       drm/i915/icl: Implement voltage swing programming sequence for Combo
> PHY DDI
>       drm/i915/icl: Fix the DP Max Voltage for ICL
> 
> Matt Atwood (1):
>       drm/i915/kbl: Add KBL GT2 sku
> 
> Matthew Auld (3):
>       drm/i915/userptr: reject zero user_size
>       drm/i915: don't leak the pin_map on error
>       drm/i915/selftests: scrub 64K
> 
> Matthias Kaehlcke (1):
>       drm/i915: Disable some extra clang warnings
> 
> Michel Thierry (1):
>       drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk
> 
> Mika Kuoppala (4):
>       drm/i915: Use ktime on wait_for
>       drm/i915: Add compiler barrier to wait_for
>       drm/i915: Print error state times relative to capture
>       drm/i915/gtt: Trust the uncached store to flush wcb
> 
> Oscar Mateo (15):
>       drm/i915/selftests: Handle a potential failure of intel_ring_begin
>       drm/i915/icl: Correctly clear lost ctx-switch interrupts across reset for
> Gen11
>       drm/i915/icl: Introduce initial Icelake Workarounds
>       drm/i915/icl: Enable Sampler DFR
>       drm/i915/icl: WaGAPZPriorityScheme
>       drm/i915/icl: WaL3BankAddressHashing
>       drm/i915/icl: WaModifyGamTlbPartitioning
>       drm/i915/icl: WaDisableCleanEvicts
>       drm/i915/icl: WaCL2SFHalfMaxAlloc
>       drm/i915/icl: WaDisCtxReload
>       drm/i915/icl: Wa_1405779004
>       drm/i915/icl: Wa_1406680159
>       drm/i915/icl: Wa_1604302699
>       drm/i915/icl: Wa_1406838659
>       drm/i915/icl: WaForwardProgressSoftReset
> 
> Paulo Zanoni (5):
>       drm/i915/icl: add definitions for the ICL PLL registers
>       drm/i915/icl: add basic support for the ICL clocks
>       drm/i915/icl: compute the combo PHY (DPLL) HDMI registers
>       drm/i915/icl: compute the combo PHY (DPLL) DP registers
>       drm/i915/icl: compute the MG PLL registers
> 
> Piorkowski, Piotr (1):
>       drm/i915/guc: Remove GUC_CTL_DEVICE_INFO parameter
> 
> Rodrigo Vivi (1):
>       drm/i915: Adjust eDP's logical vco in a reliable place.
> 
> Tarun (1):
>       drm/i915: Remove redundant check for negative timeout while doing an
> atomic pipe update
> 
> Tomasz Lis (1):
>       drm/i915/icl: Add configuring MOCS in new Icelake engines
> 
> Tvrtko Ursulin (2):
>       drm/i915: Use seqlock in engine stats
>       drm/i915: Include priority and completed status in request in/out
> tracepoints
> 
> Vidya Srinivas (1):
>       drm/i915: Enable Display WA 0528
> 
> Ville Syrjälä (3):
>       drm/i915: Protect PIPE_CONF_CHECK macros with do {} while(0)
>       drm/i915: Enable edp psr error interrupts on bdw+
>       drm/i915: Correctly populate user mode h/vdisplay with pipe src size
> during readout
> 
> Weinan Li (1):
>       Revert "drm/i915/gvt: set max priority for gvt context"
> 
> Zhao Yan (4):
>       drm/i915/gvt: scan non-privileged batch buffer for debug purpose
>       drm/i915/gvt: let NOPID be the default value of force_to_nonpriv
> registers
>       drm/i915/gvt: do not return error on handling force_to_nonpriv registers
>       drm/i915/gvt: let force_to_nonpriv cmd handler only valid for LRI cmd
> 
> Zhi Wang (1):
>       Merge branch 'drm-intel-next-queued' into gvt-next
> 
> Zhipeng Gong (2):
>       drm/i915/gvt: Use real time to do timer check
>       drm/i915/gvt: Update time slice more frequently
> 
> osé Roberto de Souza (1):
>       drm/i915/psr: Prevent PSR exit when a non-pipe related register is written
> 
>  Documentation/gpu/i915.rst                         | 141 ++-
>  drivers/gpu/drm/drm_atomic.c                       |  10 +-
>  drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
>  drivers/gpu/drm/i915/Makefile                      |  20 +-
>  drivers/gpu/drm/i915/gvt/cmd_parser.c              |  81 +-
>  drivers/gpu/drm/i915/gvt/debugfs.c                 |  72 +-
>  drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
>  drivers/gpu/drm/i915/gvt/handlers.c                |  35 +-
>  drivers/gpu/drm/i915/gvt/mmio.c                    |   2 -
>  drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
>  drivers/gpu/drm/i915/gvt/sched_policy.c            |  31 +-
>  drivers/gpu/drm/i915/gvt/scheduler.c               |  89 +-
>  drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
>  drivers/gpu/drm/i915/gvt/trace.h                   |  24 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                | 566 ++++++------
>  drivers/gpu/drm/i915/i915_drv.c                    |  81 +-
>  drivers/gpu/drm/i915/i915_drv.h                    | 424 ++-------
>  drivers/gpu/drm/i915/i915_gem.c                    | 394 +++++----
>  drivers/gpu/drm/i915/i915_gem.h                    |  13 +
>  drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
>  drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
>  drivers/gpu/drm/i915/i915_gem_context.c            |  62 +-
>  drivers/gpu/drm/i915/i915_gem_context.h            |  43 +-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  32 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  70 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.h                |   5 +-
>  drivers/gpu/drm/i915/i915_gem_stolen.c             | 178 ++--
>  drivers/gpu/drm/i915/i915_gem_timeline.c           | 154 ----
>  drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +
>  drivers/gpu/drm/i915/i915_gpu_error.c              |  66 +-
>  drivers/gpu/drm/i915/i915_gpu_error.h              | 366 ++++++++
>  drivers/gpu/drm/i915/i915_irq.c                    | 410 +++++----
>  drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
>  drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
>  drivers/gpu/drm/i915/i915_params.c                 |   3 +
>  drivers/gpu/drm/i915/i915_params.h                 |   3 +-
>  drivers/gpu/drm/i915/i915_pci.c                    |   1 +
>  drivers/gpu/drm/i915/i915_perf.c                   |  96 ++-
>  drivers/gpu/drm/i915/i915_pmu.c                    |  27 +-
>  drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
>  drivers/gpu/drm/i915/i915_reg.h                    | 882 ++++++++++---------
>  drivers/gpu/drm/i915/i915_request.c                | 438 +++++-----
>  drivers/gpu/drm/i915/i915_request.h                |  49 +-
>  drivers/gpu/drm/i915/i915_scheduler.h              |  72 ++
>  drivers/gpu/drm/i915/i915_timeline.c               | 105 +++
>  .../i915/{i915_gem_timeline.h => i915_timeline.h}  |  71 +-
>  drivers/gpu/drm/i915/i915_trace.h                  | 129 ++-
>  drivers/gpu/drm/i915/i915_utils.h                  |  10 +-
>  drivers/gpu/drm/i915/i915_vma.c                    |  73 +-
>  drivers/gpu/drm/i915/i915_vma.h                    |   6 +
>  drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
>  drivers/gpu/drm/i915/intel_atomic_plane.c          |   7 +-
>  drivers/gpu/drm/i915/intel_bios.c                  |  18 +-
>  drivers/gpu/drm/i915/intel_breadcrumbs.c           |  52 +-
>  drivers/gpu/drm/i915/intel_cdclk.c                 |  41 +-
>  drivers/gpu/drm/i915/intel_csr.c                   |  10 +-
>  drivers/gpu/drm/i915/intel_ddi.c                   | 451 +++++++++-
>  drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
>  drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
>  drivers/gpu/drm/i915/intel_display.c               | 470 +++++++---
>  drivers/gpu/drm/i915/intel_display.h               |   4 +
>  drivers/gpu/drm/i915/intel_dp.c                    | 318 +++----
>  drivers/gpu/drm/i915/intel_dp_link_training.c      |   5 +
>  drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
>  drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
>  drivers/gpu/drm/i915/intel_dpll_mgr.c              | 909 +++++++++++++++++---
>  drivers/gpu/drm/i915/intel_dpll_mgr.h              |  97 ++-
>  drivers/gpu/drm/i915/intel_drv.h                   |  84 +-
>  drivers/gpu/drm/i915/intel_dsi_vbt.c               |  34 +-
>  drivers/gpu/drm/i915/intel_engine_cs.c             | 933 +++++---------------
>  drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
>  drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
>  drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
>  drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 ++++++
>  drivers/gpu/drm/i915/intel_guc.c                   | 231 +++--
>  drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
>  drivers/gpu/drm/i915/intel_guc_ads.c               |   9 +-
>  drivers/gpu/drm/i915/intel_guc_ct.c                | 545 ++++++++++--
>  drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
>  drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
>  drivers/gpu/drm/i915/intel_guc_fwif.h              | 162 +++-
>  drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++-------
>  drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
>  drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
>  drivers/gpu/drm/i915/intel_guc_submission.c        | 114 ++-
>  drivers/gpu/drm/i915/intel_hangcheck.c             |  16 +-
>  drivers/gpu/drm/i915/intel_hdcp.c                  | 185 ++--
>  drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
>  drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
>  drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
>  drivers/gpu/drm/i915/intel_huc.h                   |   7 +
>  drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
>  drivers/gpu/drm/i915/intel_lrc.c                   | 538 ++++++++----
>  drivers/gpu/drm/i915/intel_lrc.h                   |   2 +-
>  drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
>  drivers/gpu/drm/i915/intel_mocs.c                  |   5 +-
>  drivers/gpu/drm/i915/intel_overlay.c               |   1 +
>  drivers/gpu/drm/i915/intel_pipe_crc.c              |  75 +-
>  drivers/gpu/drm/i915/intel_pm.c                    | 578 ++++++++-----
>  drivers/gpu/drm/i915/intel_psr.c                   | 444 ++++++----
>  drivers/gpu/drm/i915/intel_ringbuffer.c            |  78 +-
>  drivers/gpu/drm/i915/intel_ringbuffer.h            |  71 +-
>  drivers/gpu/drm/i915/intel_runtime_pm.c            | 101 ++-
>  drivers/gpu/drm/i915/intel_sprite.c                |  36 +-
>  drivers/gpu/drm/i915/intel_uc.c                    | 132 +--
>  drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
>  drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
>  drivers/gpu/drm/i915/intel_uc_fw.h                 |  24 +-
>  drivers/gpu/drm/i915/intel_uncore.c                | 175 +++-
>  drivers/gpu/drm/i915/intel_uncore.h                |   1 +
>  drivers/gpu/drm/i915/intel_wopcm.c                 | 275 ++++++
>  drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
>  drivers/gpu/drm/i915/intel_workarounds.c           | 949
> +++++++++++++++++++++
>  drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
>  drivers/gpu/drm/i915/selftests/huge_pages.c        |   5 +-
>  drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   3 +
>  .../gpu/drm/i915/selftests/i915_live_selftests.h   |   2 +
>  .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
>  .../{i915_gem_timeline.c => i915_timeline.c}       |  94 +-
>  drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
>  drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  70 ++
>  drivers/gpu/drm/i915/selftests/igt_flush_test.h    |  14 +
>  drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   5 +-
>  drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
>  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 414 +++++----
>  drivers/gpu/drm/i915/selftests/intel_lrc.c         | 459 ++++++++++
>  drivers/gpu/drm/i915/selftests/intel_workarounds.c | 291 +++++++
>  drivers/gpu/drm/i915/selftests/mock_engine.c       |  67 +-
>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  21 +-
>  drivers/gpu/drm/i915/selftests/mock_gtt.c          |   1 -
>  drivers/gpu/drm/i915/selftests/mock_timeline.c     |  45 +-
>  drivers/gpu/drm/i915/selftests/mock_timeline.h     |  28 +-
>  include/drm/drm_dp_helper.h                        |  10 +
>  include/drm/i915_pciids.h                          |   1 +
>  134 files changed, 11452 insertions(+), 5316 deletions(-)  delete mode 100644
> drivers/gpu/drm/i915/i915_gem_timeline.c
>  create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
>  create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
>  create mode 100644 drivers/gpu/drm/i915/i915_scheduler.h
>  create mode 100644 drivers/gpu/drm/i915/i915_timeline.c
>  rename drivers/gpu/drm/i915/{i915_gem_timeline.h => i915_timeline.h}
> (68%)  create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
>  create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
>  create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
>  rename drivers/gpu/drm/i915/selftests/{i915_gem_timeline.c =>
> i915_timeline.c} (70%)  create mode 100644
> drivers/gpu/drm/i915/selftests/igt_flush_test.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.h
>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c
>  create mode 100644 drivers/gpu/drm/i915/selftests/intel_workarounds.c
> 
> --
> Jani Nikula, Intel Open Source Technology Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-05-15  9:55 Jani Nikula
  2018-05-15 10:01 ` Srinivas, Vidya
  2018-05-15 13:16 ` Wang, Zhi A
  0 siblings, 2 replies; 265+ messages in thread
From: Jani Nikula @ 2018-05-15  9:55 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter


Hi Dave -

So this one contains the problematic pull from gvt. It's got both a
backmerge and a rebase. I spotted the rebase, but intentionally let it
slide due to the deadline closing, and then completely missed the
backmerge. I don't know what I was thinking. My bad, sorry.

We'll need to improve our workflows with the gvt team.

Anyway, here's the pull, wrinkles and all, as discussed on IRC. Let us
know if you can tolerate it, or if we need to rewrite history.

BR,
Jani.


PS. Regarding the changelog, Vidya Srinivas <vidya.srinivas@intel.com>
had a huge role in the NV12 work, but alas I failed to give proper
credit because the patches were based on earlier work by Chandra.


drm-intel-next-2018-05-14:
Last drm/i915 changes for v4.18:

- NV12 enabling (Chandra, Maarten)
- ICL workarounds (Oscar)
- ICL basic DPLL enabling (Paulo)
- GVT updates
- DP link config refactoring (Jani)
- Module parameter to override DMC firmware (Jani)
- PSR updates (José, DK, Daniel, Ville)
- ICL DP vswing programming (Manasi)
- ICL DBuf slice updates (Mahesh)
- Selftest fixes and updates (Chris, Matthew, Oscar)
- Execlist fixes and updates (Chris)
- Stolen memory first 4k fix (Hans de Goede)
- wait_for fixes (Mika)
- Tons of GEM improvements (Chris)
- Plenty of other fixes and improvements (Everyone)
- Crappy changelog (Me)

BR,
Jani.

The following changes since commit 0ab390262c4920f26f8202063a268d5fc829728e:

  Merge tag 'drm-misc-next-2018-04-26' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-04-30 09:32:43 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-05-14

for you to fetch changes up to 01f83786f9ab9c8883ce634cb9a0de51086ad7ea:

  drm/i915: Update DRIVER_DATE to 20180514 (2018-05-14 15:28:05 +0300)

----------------------------------------------------------------
Last drm/i915 changes for v4.18:

- NV12 enabling (Chandra, Maarten)
- ICL workarounds (Oscar)
- ICL basic DPLL enabling (Paulo)
- GVT updates
- DP link config refactoring (Jani)
- Module parameter to override DMC firmware (Jani)
- PSR updates (José, DK, Daniel, Ville)
- ICL DP vswing programming (Manasi)
- ICL DBuf slice updates (Mahesh)
- Selftest fixes and updates (Chris, Matthew, Oscar)
- Execlist fixes and updates (Chris)
- Stolen memory first 4k fix (Hans de Goede)
- wait_for fixes (Mika)
- Tons of GEM improvements (Chris)
- Plenty of other fixes and improvements (Everyone)
- Crappy changelog (Me)

----------------------------------------------------------------
Abhay Kumar (1):
      drm/i915/audio: set minimum CD clock to twice the BCLK

Andy Shevchenko (1):
      i915: Convert to use match_string() helper

Anusha Srivatsa (1):
      drm/i915/firmware: Correct URL for firmware

Chandra Konduru (3):
      drm/i915: Add NV12 support to intel_framebuffer_init
      drm/i915: Add NV12 as supported format for primary plane
      drm/i915: Add NV12 as supported format for sprite plane

Changbin Du (1):
      drm/i915/gvt: Remove disable_warn_untrack and print untracked mmio with debug level

Chris Wilson (53):
      drm/i915: Check whitelist registers across resets
      drm/i915: Call i915_perf_fini() on init_hw error unwind
      drm/i915: Move the priotree struct to its own headers
      drm/i915: Rename priotree to sched
      drm/i915: Pack params to engine->schedule() into a struct
      drm/i915: Build request info on stack before printk
      drm/i915: Don't dump umpteen thousand requests
      drm/i915: Skip printing global offsets for per-engine scratch pages
      drm/i915/breadcrumbs: Keep the fake irq armed across reset
      drm/i915: Use memset64() to align the ring with MI_NOOP
      drm/i915: Remove obsolete min/max freq setters from debugfs
      drm/i915: Compile out engine debug for release
      drm/i915/selftests: Wait for idle between idle resets as well
      drm/i915/lrc: Scrub the GPU state of the guilty hanging request
      drm/i915: Stop tracking timeline->inflight_seqnos
      drm/i915: Wrap engine->context_pin() and engine->context_unpin()
      drm/i915: Retire requests along rings
      drm/i915: Only track live rings for retiring
      drm/i915/execlists: Don't trigger preemption if complete
      drm/i915/selftests: Fix error checking for wait_var_timeout
      drm/i915: Show ring->start for the ELSP context/request queue
      drm/i915/guc: Assert we have the doorbell before setting it up
      drm/i915: Move timeline from GTT to ring
      drm/i915: Split i915_gem_timeline into individual timelines
      drm/i915/execlists: Emit i915_trace_request_out for preemption
      drm/i915: Silence debugging DRM_ERROR for failing to suspend vlv powerwells
      drm/i915: Reset the hangcheck timestamp before repeating a seqno
      drm/i915: Mark the hangcheck as idle when unparking the engines
      drm/i915: Lazily unbind vma on close
      drm/i915: Keep one request in our ring_list
      drm/i915/execlists: Drop preemption arbitrations points along the ring
      drm/i915/gtt: Tidy up duplicate branches in gen8_gmch_probe()
      drm/i915: Remove assertion of active_rings must be non-empty if active_requests
      drm/i915/selftests: Skip the execlists tests on !execlists machines
      drm/i915: Don't request a bug report for unsafe module parameters
      drm/i915/execlists: Drop unused parameter to lookup_priolist()
      drm/i915/execlists: Cache the priolist when rescheduling
      drm/i915/selftests: Refactor common flush_test()
      drm/i915/selftests: Flush GPU activity before completing live_contexts
      drm/i915/selftests: Return to kernel context after each test
      drm/i915: Flush submission tasklet after bumping priority
      drm/i915: Disable tasklet scheduling across initial scheduling
      drm/i915: Remove unused i915_flip tracepoints
      drm/i915: Annotate timeline lock nesting
      drm/i915/selftests: Create mock_engine() under struct_mutex
      drm/i915/selftests: Only switch to kernel context when locked
      drm/i915/execlists: Make submission tasklet hardirq safe
      drm/i915/guc: Make submission tasklet hardirq safe
      drm/i915/execlists: Use rmb() to order CSB reads
      Revert "drm/i915/cnl: Use mmio access to context status buffer"
      drm/i915/oa: Check that OA is disabled before unpinning
      drm/i915/execlists: Relax CSB force-mmio for VT-d
      drm/i915: Mark up nested spinlocks

Colin Ian King (1):
      drm/i915/selftests: fix spelling mistake: "parmaters" -> "parameters"

Daniel Vetter (2):
      drm/i915: Remove skl dc6 enable/disable functions
      drm/i915: Enable edp psr error interrupts on hsw

Dhinakaran Pandiyan (3):
      drm/i915/psr: Control PSR interrupts via debugfs
      drm/i915/psr: Timestamps for PSR entry and exit interrupts.
      drm/i915/psr: Check if VBT says PSR can be enabled.

Florent Flament (1):
      drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log

Gaurav K Singh (1):
      drm/i915/audio: Fix audio detection issue on GLK

Gustavo A. R. Silva (3):
      drm/i915/gvt/scheduler: Remove unnecessary NULL checks in sr_oa_regs
      drm/i915/gvt: Mark expected switch fall-through in handle_g2v_notification
      drm/i915/selftests: Fix uninitialized variable

Hans de Goede (1):
      drm/i915: Do NOT skip the first 4k of stolen memory for pre-allocated buffers v2

Ian W MORRISON (1):
      drm/i915/glk: Add MODULE_FIRMWARE for Geminilake

Imre Deak (2):
      drm/i915: Enable display WA#1183 from its correct spot
      drm/i915: Add documentation to gen9_set_dc_state()

James Ausmus (1):
      drm/i915/icl: Don't set pipe CSC/Gamma in PLANE_COLOR_CTL

Jani Nikula (14):
      drm/i915/dsi: improve dphy param limits logging
      Merge tag 'gvt-next-2018-04-23' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: prefer INTEL_GEN() over INTEL_INFO()->gen
      drm/i915/dp: remove stale comment about bw constants
      drm/i915/dp: move link_bw and rate_select debugging where used
      drm/i915/dp: abstract dp link config computation from the rest
      drm/i915/dp: move eDP VBT bpp clamping code to intel_dp_compute_bpp()
      drm/i915/dp: group link config limits in a struct
      drm/i915/dp: abstract link config selection
      drm/i915/dp: fix compliance test adjustments
      Merge drm/drm-next into drm-intel-next-queued
      drm/i915: add support for specifying DMC firmware override by module param
      Merge tag 'gvt-next-2018-05-14' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20180514

José Roberto de Souza (4):
      drm/i915/fbdev: Enable late fbdev initial configuration
      drm/i915/psr/skl+: Print information about what caused a PSR exit
      drm/i915/debugfs: Print sink PSR status
      drm/i915/psr/cnl: Set y-coordinate as valid in SDP

Lyude Paul (1):
      drm/atomic: Print debug message on atomic check failure

Maarten Lankhorst (2):
      drm/i915: Enable display workaround 827 for all planes, v2.
      drm/i915: Add skl_check_nv12_surface for NV12

Mahesh Kumar (3):
      drm/i915/icl: track dbuf slice-2 status
      drm/i915/icl: Enable 2nd DBuf slice only when needed
      drm/i915/icl: update ddb entry start/end mask during hw ddb readout

Manasi Navare (2):
      drm/i915/icl: Implement voltage swing programming sequence for Combo PHY DDI
      drm/i915/icl: Fix the DP Max Voltage for ICL

Matt Atwood (1):
      drm/i915/kbl: Add KBL GT2 sku

Matthew Auld (3):
      drm/i915/userptr: reject zero user_size
      drm/i915: don't leak the pin_map on error
      drm/i915/selftests: scrub 64K

Matthias Kaehlcke (1):
      drm/i915: Disable some extra clang warnings

Michel Thierry (1):
      drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk

Mika Kuoppala (4):
      drm/i915: Use ktime on wait_for
      drm/i915: Add compiler barrier to wait_for
      drm/i915: Print error state times relative to capture
      drm/i915/gtt: Trust the uncached store to flush wcb

Oscar Mateo (15):
      drm/i915/selftests: Handle a potential failure of intel_ring_begin
      drm/i915/icl: Correctly clear lost ctx-switch interrupts across reset for Gen11
      drm/i915/icl: Introduce initial Icelake Workarounds
      drm/i915/icl: Enable Sampler DFR
      drm/i915/icl: WaGAPZPriorityScheme
      drm/i915/icl: WaL3BankAddressHashing
      drm/i915/icl: WaModifyGamTlbPartitioning
      drm/i915/icl: WaDisableCleanEvicts
      drm/i915/icl: WaCL2SFHalfMaxAlloc
      drm/i915/icl: WaDisCtxReload
      drm/i915/icl: Wa_1405779004
      drm/i915/icl: Wa_1406680159
      drm/i915/icl: Wa_1604302699
      drm/i915/icl: Wa_1406838659
      drm/i915/icl: WaForwardProgressSoftReset

Paulo Zanoni (5):
      drm/i915/icl: add definitions for the ICL PLL registers
      drm/i915/icl: add basic support for the ICL clocks
      drm/i915/icl: compute the combo PHY (DPLL) HDMI registers
      drm/i915/icl: compute the combo PHY (DPLL) DP registers
      drm/i915/icl: compute the MG PLL registers

Piorkowski, Piotr (1):
      drm/i915/guc: Remove GUC_CTL_DEVICE_INFO parameter

Rodrigo Vivi (1):
      drm/i915: Adjust eDP's logical vco in a reliable place.

Tarun (1):
      drm/i915: Remove redundant check for negative timeout while doing an atomic pipe update

Tomasz Lis (1):
      drm/i915/icl: Add configuring MOCS in new Icelake engines

Tvrtko Ursulin (2):
      drm/i915: Use seqlock in engine stats
      drm/i915: Include priority and completed status in request in/out tracepoints

Vidya Srinivas (1):
      drm/i915: Enable Display WA 0528

Ville Syrjälä (3):
      drm/i915: Protect PIPE_CONF_CHECK macros with do {} while(0)
      drm/i915: Enable edp psr error interrupts on bdw+
      drm/i915: Correctly populate user mode h/vdisplay with pipe src size during readout

Weinan Li (1):
      Revert "drm/i915/gvt: set max priority for gvt context"

Zhao Yan (4):
      drm/i915/gvt: scan non-privileged batch buffer for debug purpose
      drm/i915/gvt: let NOPID be the default value of force_to_nonpriv registers
      drm/i915/gvt: do not return error on handling force_to_nonpriv registers
      drm/i915/gvt: let force_to_nonpriv cmd handler only valid for LRI cmd

Zhi Wang (1):
      Merge branch 'drm-intel-next-queued' into gvt-next

Zhipeng Gong (2):
      drm/i915/gvt: Use real time to do timer check
      drm/i915/gvt: Update time slice more frequently

osé Roberto de Souza (1):
      drm/i915/psr: Prevent PSR exit when a non-pipe related register is written

 Documentation/gpu/i915.rst                         | 141 ++-
 drivers/gpu/drm/drm_atomic.c                       |  10 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
 drivers/gpu/drm/i915/Makefile                      |  20 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  81 +-
 drivers/gpu/drm/i915/gvt/debugfs.c                 |  72 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |  35 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |   2 -
 drivers/gpu/drm/i915/gvt/mmio_context.c            |   2 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c            |  31 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |  89 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
 drivers/gpu/drm/i915/gvt/trace.h                   |  24 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 566 ++++++------
 drivers/gpu/drm/i915/i915_drv.c                    |  81 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 424 ++-------
 drivers/gpu/drm/i915/i915_gem.c                    | 394 +++++----
 drivers/gpu/drm/i915/i915_gem.h                    |  13 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  62 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |  43 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  32 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  70 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   5 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             | 178 ++--
 drivers/gpu/drm/i915/i915_gem_timeline.c           | 154 ----
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |  66 +-
 drivers/gpu/drm/i915/i915_gpu_error.h              | 366 ++++++++
 drivers/gpu/drm/i915/i915_irq.c                    | 410 +++++----
 drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
 drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
 drivers/gpu/drm/i915/i915_params.c                 |   3 +
 drivers/gpu/drm/i915/i915_params.h                 |   3 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   1 +
 drivers/gpu/drm/i915/i915_perf.c                   |  96 ++-
 drivers/gpu/drm/i915/i915_pmu.c                    |  27 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 882 ++++++++++---------
 drivers/gpu/drm/i915/i915_request.c                | 438 +++++-----
 drivers/gpu/drm/i915/i915_request.h                |  49 +-
 drivers/gpu/drm/i915/i915_scheduler.h              |  72 ++
 drivers/gpu/drm/i915/i915_timeline.c               | 105 +++
 .../i915/{i915_gem_timeline.h => i915_timeline.h}  |  71 +-
 drivers/gpu/drm/i915/i915_trace.h                  | 129 ++-
 drivers/gpu/drm/i915/i915_utils.h                  |  10 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  73 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   6 +
 drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   7 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  18 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  52 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |  41 +-
 drivers/gpu/drm/i915/intel_csr.c                   |  10 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 451 +++++++++-
 drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
 drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
 drivers/gpu/drm/i915/intel_display.c               | 470 +++++++---
 drivers/gpu/drm/i915/intel_display.h               |   4 +
 drivers/gpu/drm/i915/intel_dp.c                    | 318 +++----
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   5 +
 drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              | 909 +++++++++++++++++---
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |  97 ++-
 drivers/gpu/drm/i915/intel_drv.h                   |  84 +-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |  34 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 933 +++++---------------
 drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
 drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
 drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 ++++++
 drivers/gpu/drm/i915/intel_guc.c                   | 231 +++--
 drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
 drivers/gpu/drm/i915/intel_guc_ads.c               |   9 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                | 545 ++++++++++--
 drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              | 162 +++-
 drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++-------
 drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
 drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        | 114 ++-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  16 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  | 185 ++--
 drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
 drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
 drivers/gpu/drm/i915/intel_huc.h                   |   7 +
 drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 538 ++++++++----
 drivers/gpu/drm/i915/intel_lrc.h                   |   2 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   5 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   1 +
 drivers/gpu/drm/i915/intel_pipe_crc.c              |  75 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 578 ++++++++-----
 drivers/gpu/drm/i915/intel_psr.c                   | 444 ++++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  78 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  71 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            | 101 ++-
 drivers/gpu/drm/i915/intel_sprite.c                |  36 +-
 drivers/gpu/drm/i915/intel_uc.c                    | 132 +--
 drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |  24 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 175 +++-
 drivers/gpu/drm/i915/intel_uncore.h                |   1 +
 drivers/gpu/drm/i915/intel_wopcm.c                 | 275 ++++++
 drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
 drivers/gpu/drm/i915/intel_workarounds.c           | 949 +++++++++++++++++++++
 drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   5 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   3 +
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   2 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
 .../{i915_gem_timeline.c => i915_timeline.c}       |  94 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
 drivers/gpu/drm/i915/selftests/igt_flush_test.c    |  70 ++
 drivers/gpu/drm/i915/selftests/igt_flush_test.h    |  14 +
 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |   5 +-
 drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 414 +++++----
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 459 ++++++++++
 drivers/gpu/drm/i915/selftests/intel_workarounds.c | 291 +++++++
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  67 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  21 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   1 -
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |  45 +-
 drivers/gpu/drm/i915/selftests/mock_timeline.h     |  28 +-
 include/drm/drm_dp_helper.h                        |  10 +
 include/drm/i915_pciids.h                          |   1 +
 134 files changed, 11452 insertions(+), 5316 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_timeline.c
 create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
 create mode 100644 drivers/gpu/drm/i915/i915_scheduler.h
 create mode 100644 drivers/gpu/drm/i915/i915_timeline.c
 rename drivers/gpu/drm/i915/{i915_gem_timeline.h => i915_timeline.h} (68%)
 create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
 create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
 create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
 rename drivers/gpu/drm/i915/selftests/{i915_gem_timeline.c => i915_timeline.c} (70%)
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.c
 create mode 100644 drivers/gpu/drm/i915/selftests/igt_flush_test.h
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_workarounds.c

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-04  0:29   ` Dave Airlie
@ 2018-05-04  0:34     ` Dave Airlie
  0 siblings, 0 replies; 265+ messages in thread
From: Dave Airlie @ 2018-05-04  0:34 UTC (permalink / raw)
  To: Jani Nikula; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

On 4 May 2018 at 10:29, Dave Airlie <airlied@gmail.com> wrote:
> On 4 May 2018 at 10:19, Dave Airlie <airlied@gmail.com> wrote:
>> On 2 May 2018 at 17:03, Jani Nikula <jani.nikula@intel.com> wrote:
>>>
>>> Hi Dave -
>>>
>>> drm-intel-next-2018-04-13:
>>> First drm/i915 feature batch heading for v4.18:
>>>
>>> - drm-next backmerge to fix build (Rodrigo)
>>> - GPU documentation improvements (Kevin)
>>> - GuC and HuC refactoring, host/GuC communication, logging, fixes, and more
>>>   (mostly Michal and Michał, also Jackie, Michel and Piotr)
>>> - PSR and PSR2 enabling and fixes (DK, José, Rodrigo and Chris)
>>> - Selftest updates (Chris, Daniele)
>>> - DPLL management refactoring (Lucas)
>>> - DP MST fixes (Lyude and DK)
>>> - Watermark refactoring and changes to support NV12 (Mahesh)
>>> - NV12 prep work (Chandra)
>>> - Icelake Combo PHY enablers (Manasi)
>>> - Perf OA refactoring and ICL enabling (Lionel)
>>> - ICL enabling (Oscar, Paulo, Nabendu, Mika, Kelvin, Michel)
>>> - Workarounds refactoring (Oscar)
>>> - HDCP fixes and improvements (Ramalingam, Radhakrishna)
>>> - Power management fixes (Imre)
>>> - Various display fixes (Maarten, Ville, Vidya, Jani, Gaurav)
>>> - debugfs for FIFO underrun clearing (Maarten)
>>> - Execlist improvements (Chris)
>>> - Reset improvements (Chris)
>>> - Plenty of things here and there I overlooked and/or didn't understand... (Everyone)
>>>
>>
>> Just FYI I've started using dim for managing the drm-next tree (step
>> one to a possible group),
>>
>> This pull gets rejected by dim apply-pull for two reasons,
>>
>> One the driver date bump isn't reviewed, and one patch in here the SOB
>> line is different
>> name than the committer, even though they appear to be same person.
>>
>> I've pulled it anyways, but just logging the dim issues for prosperity.
>
> Actually I haven't pulled it, but I think dim just doesn't handle my
> use case very well.
> I do
> dim -f apply-pull < pullreq
>
> It gets merge conflicts and ask me to solve them, but there doesn't seem
> to be a dim apply-pull --resolved type of interface to say, keep going
> and finish the
> dim stuff you were doing before we hit conflicts.
>

I manually did
git commit --amend -s --no-edit
dim add-link drm-next < pullreq

but it would be nice to have a continue or resolved.

Dave.
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-04  0:19 ` Dave Airlie
@ 2018-05-04  0:29   ` Dave Airlie
  2018-05-04  0:34     ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2018-05-04  0:29 UTC (permalink / raw)
  To: Jani Nikula; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

On 4 May 2018 at 10:19, Dave Airlie <airlied@gmail.com> wrote:
> On 2 May 2018 at 17:03, Jani Nikula <jani.nikula@intel.com> wrote:
>>
>> Hi Dave -
>>
>> drm-intel-next-2018-04-13:
>> First drm/i915 feature batch heading for v4.18:
>>
>> - drm-next backmerge to fix build (Rodrigo)
>> - GPU documentation improvements (Kevin)
>> - GuC and HuC refactoring, host/GuC communication, logging, fixes, and more
>>   (mostly Michal and Michał, also Jackie, Michel and Piotr)
>> - PSR and PSR2 enabling and fixes (DK, José, Rodrigo and Chris)
>> - Selftest updates (Chris, Daniele)
>> - DPLL management refactoring (Lucas)
>> - DP MST fixes (Lyude and DK)
>> - Watermark refactoring and changes to support NV12 (Mahesh)
>> - NV12 prep work (Chandra)
>> - Icelake Combo PHY enablers (Manasi)
>> - Perf OA refactoring and ICL enabling (Lionel)
>> - ICL enabling (Oscar, Paulo, Nabendu, Mika, Kelvin, Michel)
>> - Workarounds refactoring (Oscar)
>> - HDCP fixes and improvements (Ramalingam, Radhakrishna)
>> - Power management fixes (Imre)
>> - Various display fixes (Maarten, Ville, Vidya, Jani, Gaurav)
>> - debugfs for FIFO underrun clearing (Maarten)
>> - Execlist improvements (Chris)
>> - Reset improvements (Chris)
>> - Plenty of things here and there I overlooked and/or didn't understand... (Everyone)
>>
>
> Just FYI I've started using dim for managing the drm-next tree (step
> one to a possible group),
>
> This pull gets rejected by dim apply-pull for two reasons,
>
> One the driver date bump isn't reviewed, and one patch in here the SOB
> line is different
> name than the committer, even though they appear to be same person.
>
> I've pulled it anyways, but just logging the dim issues for prosperity.

Actually I haven't pulled it, but I think dim just doesn't handle my
use case very well.
I do
dim -f apply-pull < pullreq

It gets merge conflicts and ask me to solve them, but there doesn't seem
to be a dim apply-pull --resolved type of interface to say, keep going
and finish the
dim stuff you were doing before we hit conflicts.

Dave.
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2018-05-02  7:03 Jani Nikula
@ 2018-05-04  0:19 ` Dave Airlie
  2018-05-04  0:29   ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2018-05-04  0:19 UTC (permalink / raw)
  To: Jani Nikula; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

On 2 May 2018 at 17:03, Jani Nikula <jani.nikula@intel.com> wrote:
>
> Hi Dave -
>
> drm-intel-next-2018-04-13:
> First drm/i915 feature batch heading for v4.18:
>
> - drm-next backmerge to fix build (Rodrigo)
> - GPU documentation improvements (Kevin)
> - GuC and HuC refactoring, host/GuC communication, logging, fixes, and more
>   (mostly Michal and Michał, also Jackie, Michel and Piotr)
> - PSR and PSR2 enabling and fixes (DK, José, Rodrigo and Chris)
> - Selftest updates (Chris, Daniele)
> - DPLL management refactoring (Lucas)
> - DP MST fixes (Lyude and DK)
> - Watermark refactoring and changes to support NV12 (Mahesh)
> - NV12 prep work (Chandra)
> - Icelake Combo PHY enablers (Manasi)
> - Perf OA refactoring and ICL enabling (Lionel)
> - ICL enabling (Oscar, Paulo, Nabendu, Mika, Kelvin, Michel)
> - Workarounds refactoring (Oscar)
> - HDCP fixes and improvements (Ramalingam, Radhakrishna)
> - Power management fixes (Imre)
> - Various display fixes (Maarten, Ville, Vidya, Jani, Gaurav)
> - debugfs for FIFO underrun clearing (Maarten)
> - Execlist improvements (Chris)
> - Reset improvements (Chris)
> - Plenty of things here and there I overlooked and/or didn't understand... (Everyone)
>

Just FYI I've started using dim for managing the drm-next tree (step
one to a possible group),

This pull gets rejected by dim apply-pull for two reasons,

One the driver date bump isn't reviewed, and one patch in here the SOB
line is different
name than the committer, even though they appear to be same person.

I've pulled it anyways, but just logging the dim issues for prosperity.

Dave.
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-05-02  7:03 Jani Nikula
  2018-05-04  0:19 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2018-05-02  7:03 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter


Hi Dave -

drm-intel-next-2018-04-13:
First drm/i915 feature batch heading for v4.18:

- drm-next backmerge to fix build (Rodrigo)
- GPU documentation improvements (Kevin)
- GuC and HuC refactoring, host/GuC communication, logging, fixes, and more
  (mostly Michal and Michał, also Jackie, Michel and Piotr)
- PSR and PSR2 enabling and fixes (DK, José, Rodrigo and Chris)
- Selftest updates (Chris, Daniele)
- DPLL management refactoring (Lucas)
- DP MST fixes (Lyude and DK)
- Watermark refactoring and changes to support NV12 (Mahesh)
- NV12 prep work (Chandra)
- Icelake Combo PHY enablers (Manasi)
- Perf OA refactoring and ICL enabling (Lionel)
- ICL enabling (Oscar, Paulo, Nabendu, Mika, Kelvin, Michel)
- Workarounds refactoring (Oscar)
- HDCP fixes and improvements (Ramalingam, Radhakrishna)
- Power management fixes (Imre)
- Various display fixes (Maarten, Ville, Vidya, Jani, Gaurav)
- debugfs for FIFO underrun clearing (Maarten)
- Execlist improvements (Chris)
- Reset improvements (Chris)
- Plenty of things here and there I overlooked and/or didn't understand... (Everyone)

BR,
Jani.

The following changes since commit 694f54f680f7fd8e9561928fbfc537d9afbc3d79:

  Merge branch 'drm-misc-next-fixes' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-03-29 09:25:13 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-04-13

for you to fetch changes up to fadec6eefe232696c5c471b40df33e6db616e854:

  drm/i915: Update DRIVER_DATE to 20180413 (2018-04-13 12:20:58 +0300)

----------------------------------------------------------------
First drm/i915 feature batch heading for v4.18:

- drm-next backmerge to fix build (Rodrigo)
- GPU documentation improvements (Kevin)
- GuC and HuC refactoring, host/GuC communication, logging, fixes, and more
  (mostly Michal and Michał, also Jackie, Michel and Piotr)
- PSR and PSR2 enabling and fixes (DK, José, Rodrigo and Chris)
- Selftest updates (Chris, Daniele)
- DPLL management refactoring (Lucas)
- DP MST fixes (Lyude and DK)
- Watermark refactoring and changes to support NV12 (Mahesh)
- NV12 prep work (Chandra)
- Icelake Combo PHY enablers (Manasi)
- Perf OA refactoring and ICL enabling (Lionel)
- ICL enabling (Oscar, Paulo, Nabendu, Mika, Kelvin, Michel)
- Workarounds refactoring (Oscar)
- HDCP fixes and improvements (Ramalingam, Radhakrishna)
- Power management fixes (Imre)
- Various display fixes (Maarten, Ville, Vidya, Jani, Gaurav)
- debugfs for FIFO underrun clearing (Maarten)
- Execlist improvements (Chris)
- Reset improvements (Chris)
- Plenty of things here and there I overlooked and/or didn't understand... (Everyone)

----------------------------------------------------------------
Andy Shevchenko (1):
      i915: Re-use DEFINE_SHOW_ATTRIBUTE() macro

Chandra Konduru (3):
      drm/i915: Set scaler mode for NV12
      drm/i915: Update format_is_yuv() to include NV12
      drm/i915: Upscale scaler max scale for NV12

Chris Wilson (52):
      drm/i915: Only prune fences after wait-for-all
      drm/i915: Kick the rps worker when changing the boost frequency
      drm/i915: Index the ring frequency table by HW frequency range
      drm/i915: Push irq_shift from gen8_cs_irq_handler() to caller
      drm/i915: Finish the wait-for-wedge by retiring all the inflight requests
      drm/i915: Update ring position from request on retiring
      drm/i915: Include ring->emit in debugging
      drm/i915: Wrap engine->schedule in RCU locks for set-wedge protection
      drm/i915: Only call tasklet_kill() on the first prepare_reset
      drm/i915: Remove the impedance mismatch around intel_engine_enable_signaling
      drm/i915: Remove variable length arrays from sseu debugfs printers
      drm/i915: Warn against variable length arrays
      drm/i915: Use sseu size for determining eu_regs[]
      drm/i915: Show GEM_TRACE when detecting a failed GPU idle
      drm/i915: Check rq->timeline before deference
      drm/i915: Trace GEM steps between submit and wedging
      drm/i915: Stop engines when declaring the machine wedged
      drm/i915/stolen: Switch from DEBUG_KMS to DEBUG_DRIVER
      drm/i915/stolen: Checkpatch cleansing
      drm/i915/stolen: Deduce base of reserved portion as top-size on vlv
      drm/i915: Prefer memset64() when filling the iomap
      drm/i915: Trim error mask to known engines
      drm/i915: Specify which engines to reset following semaphore/event lockups
      drm/i915: Add control flags to i915_handle_error()
      drm/i915/execlists: Use a locked clear_bit() for synchronisation with interrupt
      drm/i915: Fix tracing of submit seqno
      drm/i915: Remove local timeline var from submit/unsubmit
      drm/i915/selftests: Include the trace as a debug aide
      drm/i915/selftests: Stress resets-vs-request-priority
      drm/i915: Use full serialisation around engine->irq_posted
      drm/i915: Flush pending interrupt following a GPU reset
      drm/i915: Actually flush interrupts on reset not just wedging
      drm/i915/execlists: Clear user-active flag on preemption completion
      drm/i915: Include submission tasklet state in engine dump
      drm/i915/execlists: Avoid kicking the submission too early for rescheduling
      drm/i915/execlists: Reset ring registers on rebinding contexts
      drm/i915: Include the HW breadcrumb whenever we trace the global_seqno
      drm/i915: Avoid sleeping inside per-engine reset
      drm/i915: Only warn for might_sleep() before a slow wait_for_register
      drm/i915/execlists: Track begin/end of execlists submission sequences
      drm/i915: Store preemption capability in engine->flags
      drm/i915/selftests: Add basic sanitychecks for execlists
      drm/i915: Only call finish_reset after a prepare_reset
      drm/i915/selftests: Avoid repeatedly harming the same innocent context
      drm/i915/selftests: Rename wait_for_hang() to wait_until_running()
      drm/i915: Split out parking from the idle worker for reuse
      drm/i915: Treat i915_reset_engine() as guilty until proven innocent
      drm/i915: Pass the set of guilty engines to i915_reset()
      drm/i915/psr: Chase psr.enabled only under the psr.lock
      drm/i915: Don't fiddle with rps/rc6 across GPU reset
      drm/i915/guc: Replace %phn with %ph
      drm/i915/execlists: Set queue priority from secondary port

Daniel Vetter (1):
      drm/i915: Select STACKDEPOT for DRM_I915_DEBUG

Daniele Ceraolo Spurio (4):
      drm/i915: store all mmio bases in intel_engines
      drm/i915: add a selftest for the mmio_bases table
      drm/i915: use engine->irq_keep_mask when resetting irqs
      drm/i915: move gen8 irq shifts to intel_lrc.c

Dhinakaran Pandiyan (8):
      drm/i915/frontbuffer: Pull frontbuffer_flush out of gem_obj_pin_to_display
      drm/i915/frontbuffer: HW tracking for cursor moves to fix PSR lags.
      drm/i915/psr: Remove PSR active flag from debugfs
      drm/i915/psr: Comment to clarify SRD_DEBUG is called PSR_MASK SKL+
      drm/i915/dp: Write to SET_POWER dpcd to enable MST hub.
      drm/i915/psr: Move PSR aux setup to it's own function.
      drm/i915/psr: Remove open-coded PSR AUX transactions for SKL+
      drm/i915/icl: HPD pin for port F

Gaurav K Singh (1):
      drm/i915/audio: Fix audio enumeration issue on BXT

Imre Deak (2):
      drm/i915: Fix hibernation with ACPI S0 target state
      drm/i915/gen9_lp: Increase DDI PHY0 power well enabling timeout

Jackie Li (5):
      drm/i915/guc: Rename guc_ggtt_offset to intel_guc_ggtt_offset
      drm/i915: Implement dynamic GuC WOPCM offset and size calculation
      drm/i915: Add support to return CNL specific reserved WOPCM size
      drm/i915: Add HuC firmware size related restriction for Gen9 and CNL A0
      drm/i915/guc: Check the locking status of GuC WOPCM registers

Jani Nikula (5):
      drm/i915/icl: do not save DDI A/E sharing bit for ICL
      drm/i915/bios: remove duplicated code
      drm/i915/bios: filter out invalid DDC pins from VBT child devices
      drm/i915/bios: reduce the scope of some local variables in parse_ddi_port()
      drm/i915: Update DRIVER_DATE to 20180413

José Roberto de Souza (9):
      drm: Add DP PSR2 sink enable bit
      drm: Add DP last received PSR SDP VSC register and bits
      drm/i915/psr: Nuke aux frame sync
      drm/i915/psr: Tie PSR2 support to Y coordinate requirement
      drm/i915/psr/cnl: Enable Y-coordinate support in source
      drm/i915/psr: Do not override PSR2 sink support
      drm/i915/psr: Use PSR2 macro for PSR2
      drm/i915/psr: Cache sink synchronization latency
      drm/i915/psr: Set DPCD PSR2 enable bit when needed

Kelvin Gardiner (2):
      drm/i915/icl: Update subslice define for ICL 11
      drm/i915/icl: Added ICL 11 slice, subslice and EU fuse detection

Kevin Rogovin (3):
      drm/i915: Narration overview on GEM
      drm/i915: Add link to documentation in i915_gem_execbuffer.c
      drm/i915: Describe the bottom of stack in processing a batchbuffer

Lionel Landwerlin (6):
      drm/i915/perf: enable perf support on ICL
      drm/i915/perf: check the value of PROP_SAMPLE_OA uapi parameter
      drm/i915/perf: simplify OA unit enabling on gen7
      drm/i915/perf: remove empty line
      drm/i915: rename PPGTT/GGTT fields OA registers
      drm/i915/perf: add more debug message on perf open & configs

Lucas De Marchi (8):
      drm/i915: Reword warning for missing cases
      drm/i915: move dpll_info to header
      drm/i915: add dpll_info inside intel_shared_dpll
      drm/i915: use funcs from intel_shared_dpll.info
      drm/i915: use name from intel_shared_dpll.info
      drm/i915: use id from intel_shared_dpll.info
      drm/i915: use flags from dpll_info embedded in intel_shared_dpll
      drm/i915: reorder dpll_info members

Lyude Paul (2):
      drm/i915: Remove unused DP_LINK_CHECK_TIMEOUT
      drm/i915/dp: Send DPCD ON for MST before phy_up

Maarten Lankhorst (5):
      drm/i915: Handle pipe CRC around enabling/disabling pipe.
      drm/i915: Change use get_new_plane_state instead of existing plane state
      drm/i915: Remove get_existing_crtc_state
      drm/i915: Remove last references to drm_atomic_get_existing* macros
      drm/i915: Add debugfs file to clear FIFO underruns.

Mahesh Kumar (11):
      drm/i915/cnl; Add macro to get PORT_TX register
      drm/i915/cnl: Kill _MMIO_PORT6 macro
      drm/i915/skl+: rename skl_wm_values struct to skl_ddb_values
      drm/i915/skl+: refactor WM calculation for NV12
      drm/i915/skl+: add NV12 in skl_format_to_fourcc
      drm/i915/skl+: support verification of DDB HW state for NV12
      drm/i915/skl+: NV12 related changes for WM
      drm/i915/skl+: pass skl_wm_level struct to wm compute func
      drm/i915/skl+: make sure higher latency level has higher wm value
      drm/i915/skl+: nv12 workaround disable WM level 1-7
      drm/i915/skl: split skl_compute_ddb function

Manasi Navare (4):
      drm/i915/icl: Add register definitions for Combo PHY vswing sequences.
      drm/i915/icl: Add Combo PHY DDI Buffer translation tables for Icelake.
      drm/i915/icl: Add register defs for voltage swing sequences for MG PHY DDI
      drm/i915/icl: Add Voltage swing table for MG PHY DDI Buffer

Michal Wajdeczko (29):
      drm/i915/guc: Move GuC notification handling to separate function
      drm/i915: Include i915_reg.h in intel_ringbuffer.h
      drm/i915: Change parameters order in i915_gem_batch_pool_init
      drm/i915: Make header i915_pmu.h more robust
      drm/i915: Move i915_gpu_error into its own header
      drm/i915/uc: Sanitize uC options early
      drm/i915/uc: Sanitize uC together with GEM
      drm/i915: Split GPU commands definitions into separate header
      drm/i915/guc: Update syntax of GuC log functions
      drm/i915/guc: Fix build break on config without DEBUG_FS
      drm/i915/huc: Check HuC status in dedicated function
      drm/i915/guc: Unify naming of private GuC action functions
      drm/i915/guc: Drop union guc_log_control
      drm/i915/guc: Move enable/disable msg functions to GuC header
      drm/i915/guc: Handle GuC log flush event in dedicated function
      drm/i915/guc: Unify parameters of public CT functions
      drm/i915: Reorder early initialization
      drm/i915/uc: Fetch uC firmware in init_early
      drm/i915/guc: Add documentation for MMIO based communication
      drm/i915/guc: Add support for data reporting in GuC responses
      drm/i915/guc: Prepare send() function to accept bigger response
      drm/i915/guc: Implement response handling in send_mmio()
      drm/i915/guc: Make event handler a virtual function
      drm/i915/guc: Prepare to handle messages from CT RECV buffer
      drm/i915/guc: Use better name for helper wait function
      drm/i915/guc: Implement response handling in send_ct()
      drm/i915/guc: Prepare to process incoming requests from CT
      drm/i915/guc: Handle default action received over CT
      drm/i915/guc: Trace messages from CT while in debug

Michał Winiarski (15):
      drm/i915/guc: Tidy guc_log_control
      drm/i915/guc: Create common entry points for log register/unregister
      drm/i915/guc: Keep GuC interrupts enabled when using GuC
      drm/i915/guc: Log runtime should consist of both mapping and relay
      drm/i915/guc: Merge log relay file and channel creation
      drm/i915/guc: Flush directly in log unregister
      drm/i915/guc: Split relay control and GuC log level
      drm/i915/guc: Move check for fast memcpy_wc to relay creation
      drm/i915/guc: Get rid of GuC log runtime
      drm/i915/guc: Always print log stats in i915_guc_info when using GuC
      drm/i915/guc: Don't print out relay statistics when relay is disabled
      drm/i915/guc: Allow user to control default GuC logging
      drm/i915/guc: Default to non-verbose GuC logging
      drm/i915/guc: Demote GuC error messages
      drm/i915/guc: Don't try to enable GuC logging when we're not using GuC

Michel Thierry (2):
      drm/i915/guc: enable guc interrupts unconditionally in uc_resume
      drm/i915/icl: Add reset control register changes

Mika Kuoppala (3):
      drm/i915: Avoid setting ring freq on invalid rps freqs
      drm/i915/icl: Use hw engine class, instance to find irq handler
      drm/i915/cnl: Use mmio access to context status buffer

Nabendu Maiti (1):
      drm/i915/icl: Added 5k source scaling support for Gen11 platform

Oscar Mateo (7):
      drm/i915/icl: Check for fused-off VDBOX and VEBOX instances
      drm/i915/icl: Enable the extra video decode and enhancement boxes for Icelake 11
      drm/i915/icl: Handle RPS interrupts correctly for Gen11
      drm/i915/icl: Deal with GT INT DW correctly
      drm/i915/icl: Enable RC6 and RPS in Gen11
      drm/i915: Move a bunch of workaround-related code to its own file
      drm/i915: Split out functions for different kinds of workarounds

Paulo Zanoni (2):
      drm/i915: protect macro parameters in SWING_SEL_{UPP,LO}WER
      drm/i915/gen11: add support for reading the timestamp frequency

Piotr Piórkowski (1):
      drm/i915/guc: Fix null pointer dereference when GuC FW is not available

Radhakrishna Sripada (1):
      drm/i915: Fix memory leak in intel_hdcp auth

Ramalingam C (3):
      drm/i915: Read HDCP R0 thrice in case of mismatch
      drm/i915: Read Vprime thrice incase of mismatch
      drm/i915: Check hdcp key loadability

Rodrigo Vivi (4):
      drm/i915/psr: Display WA 0884 applied broadly for more HW tracking.
      drm/i915/psr: Use more PSR HW tracking.
      drm/i915: Move CUR SURFLIVE definition to a better place.
      Merge airlied/drm-next into drm-intel-next-queued

Tvrtko Ursulin (5):
      drm/i915/pmu: Work around compiler warnings on some kernel configs
      drm/i915: Skip logging impossible slices
      drm/i915: Enclose for_each_engine_masked macro arguments in parentheses
      drm/i915/execlists: Log fence context & seqno throughout GEM_TRACE
      drm/i915/pmu: Inspect runtime PM state more carefully while estimating RC6

Vidya Srinivas (2):
      drm/i915: Display WA 827
      drm/i915: Enable YUV to RGB for Gen10 in Plane Ctrl Reg

Ville Syrjälä (3):
      drm/i915: Don't initialize plane_to_crtc_mapping[] on SKL+
      drm/i915: Kill the remaining CHV HBR2 leftovers
      drm/i915: Don't spew errors when resetting HDMI scrambling/bit clock ratio fails

Xidong Wang (1):
      drm/i915: Do no use kfree() to free a kmem_cache_alloc() return value

Yaodong Li (1):
      drm/i915: Use correct reST syntax for WOPCM and GuC kernel-doc diagrams

 Documentation/gpu/i915.rst                         | 141 +++-
 drivers/gpu/drm/i915/Kconfig.debug                 |  13 +
 drivers/gpu/drm/i915/Makefile                      |  11 +-
 drivers/gpu/drm/i915/gvt/debugfs.c                 |  13 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 318 +++++---
 drivers/gpu/drm/i915/i915_drv.c                    |  66 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 389 +---------
 drivers/gpu/drm/i915/i915_gem.c                    | 237 ++++--
 drivers/gpu/drm/i915/i915_gem.h                    |   7 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  30 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.h         |  29 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  11 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  31 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             | 163 ++--
 drivers/gpu/drm/i915/i915_gpu_error.c              |   1 +
 drivers/gpu/drm/i915/i915_gpu_error.h              | 362 +++++++++
 drivers/gpu/drm/i915/i915_irq.c                    | 366 +++++----
 drivers/gpu/drm/i915/i915_oa_icl.c                 | 118 +++
 drivers/gpu/drm/i915/i915_oa_icl.h                 |  34 +
 drivers/gpu/drm/i915/i915_params.h                 |   2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   1 +
 drivers/gpu/drm/i915/i915_perf.c                   |  69 +-
 drivers/gpu/drm/i915/i915_pmu.c                    |  64 +-
 drivers/gpu/drm/i915/i915_pmu.h                    |  30 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 644 +++++++---------
 drivers/gpu/drm/i915/i915_request.c                | 125 ++-
 drivers/gpu/drm/i915/i915_utils.h                  |   4 +-
 drivers/gpu/drm/i915/intel_atomic.c                |  19 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   2 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  28 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  21 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 154 +++-
 drivers/gpu/drm/i915/intel_device_info.c           | 169 +++-
 drivers/gpu/drm/i915/intel_device_info.h           |   4 +-
 drivers/gpu/drm/i915/intel_display.c               | 251 ++++--
 drivers/gpu/drm/i915/intel_dp.c                    |  21 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   8 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |  11 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              | 253 +++---
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |  56 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  58 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 796 +++----------------
 drivers/gpu/drm/i915/intel_fbc.c                   |  28 +
 drivers/gpu/drm/i915/intel_fbdev.c                 |   5 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   2 +-
 drivers/gpu/drm/i915/intel_gpu_commands.h          | 274 +++++++
 drivers/gpu/drm/i915/intel_guc.c                   | 211 +++--
 drivers/gpu/drm/i915/intel_guc.h                   |  82 +-
 drivers/gpu/drm/i915/intel_guc_ads.c               |   8 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                | 545 +++++++++++--
 drivers/gpu/drm/i915/intel_guc_ct.h                |  18 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |   7 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              | 157 +++-
 drivers/gpu/drm/i915/intel_guc_log.c               | 544 +++++--------
 drivers/gpu/drm/i915/intel_guc_log.h               |  59 +-
 drivers/gpu/drm/i915/intel_guc_reg.h               |  14 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |  47 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  13 +-
 drivers/gpu/drm/i915/intel_hdcp.c                  | 185 +++--
 drivers/gpu/drm/i915/intel_hdmi.c                  |  40 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   3 +
 drivers/gpu/drm/i915/intel_huc.c                   |  30 +-
 drivers/gpu/drm/i915/intel_huc.h                   |   7 +
 drivers/gpu/drm/i915/intel_huc_fw.c                |   8 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 261 +++++--
 drivers/gpu/drm/i915/intel_overlay.c               |   1 +
 drivers/gpu/drm/i915/intel_pipe_crc.c              |  53 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 474 +++++++-----
 drivers/gpu/drm/i915/intel_psr.c                   | 327 ++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  22 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  43 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   7 +-
 drivers/gpu/drm/i915/intel_uc.c                    | 132 ++--
 drivers/gpu/drm/i915/intel_uc.h                    |   5 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  13 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |  22 +
 drivers/gpu/drm/i915/intel_uncore.c                | 168 +++-
 drivers/gpu/drm/i915/intel_uncore.h                |   1 +
 drivers/gpu/drm/i915/intel_wopcm.c                 | 275 +++++++
 drivers/gpu/drm/i915/intel_wopcm.h                 |  31 +
 drivers/gpu/drm/i915/intel_workarounds.c           | 856 +++++++++++++++++++++
 drivers/gpu/drm/i915/intel_workarounds.h           |  17 +
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   1 +
 drivers/gpu/drm/i915/selftests/intel_engine_cs.c   |  58 ++
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   | 314 +++++---
 drivers/gpu/drm/i915/selftests/intel_lrc.c         | 507 ++++++++++++
 include/drm/drm_dp_helper.h                        |  10 +
 88 files changed, 7291 insertions(+), 3725 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_icl.h
 create mode 100644 drivers/gpu/drm/i915/intel_gpu_commands.h
 create mode 100644 drivers/gpu/drm/i915/intel_wopcm.c
 create mode 100644 drivers/gpu/drm/i915/intel_wopcm.h
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds.c
 create mode 100644 drivers/gpu/drm/i915/intel_workarounds.h
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_engine_cs.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_lrc.c

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-03-12 15:06 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2018-03-12 15:06 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

As promised, here's the final pull request for 4.17.

For your convenicence, here is a breakdown of what all the 4.17 drm-intel-next
pulls have included so far. Below you can find the usual breakdown of what is
included in this pull request only.

i915 feature summary for upcoming kernel 4.17:

* Cannonlake support is sufficient to remove alpha_support protection
        * AUX-F port support added
* Icelake base enabling until internal milestone of forcewake support
* Query uAPI interface (used for GPU topology information currently)
        * Mesa: https://patchwork.freedesktop.org/series/38795/
* Compressed framebuffer support for sprites
* kmem cache shrinking when GPU is idle
* Avoid boosting GPU when waited item is being processed already
* Avoid retraining LSPCON link unnecessarily
* Decrease request signaling latency
* Deprecation of I915_SET_COLORKEY_NONE
* Kerneldoc and compiler warning cleanup for upcoming CI enforcements

Jani will pick-up drm-intel-next for 4.18 while I continue to supply the fixes for 4.17.

Regards, Joonas

---

drm-intel-next-2018-03-08:

UAPI Changes:

- Query uAPI interface (used for GPU topology information currently)
        * Mesa: https://patchwork.freedesktop.org/series/38795/

Driver Changes:

- Increase PSR2 size for CNL (DK)
- Avoid retraining LSPCON link unnecessarily (Ville)
- Decrease request signaling latency (Chris)
- GuC error capture fix (Daniele)

gvt-next-2018-03-08

    - big refactor for shadow ppgtt (Changbin)
    - KBL context save/restore via LRI cmd (Weinan)
    - misc smatch fixes (Zhenyu)
    - Properly unmap dma for guest page (Changbin)
    - other misc fixes (Xiong, etc.)

drm-intel-next-2018-03-05:

Driver Changes:

- Disable FBC on unsupported Gen9/10 configs to avoid flicker (Imre)
- Mark firmware as failed on authentication failure (Michal)
- Code to prepare to support more engines for Icelake
- Icelake interrupt handling code

- Avoid a race between request submission and marking GPU wedged (Chris)
- OA race condition fix (Lionel)

- Usual code restructuring (Chris, Maarten, Sagar, Ville)

The following changes since commit f073d78eeb8efd85718e611c15f9a78647751dea:

  Merge tag 'drm-intel-next-2018-02-21' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2018-03-01 14:07:22 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-03-08

for you to fetch changes up to cf07a60f03f06d6298f4e70b3865bd5faac21c3b:

  drm/i915: Update DRIVER_DATE to 20180308 (2018-03-08 14:49:39 +0200)

----------------------------------------------------------------
Andrew Morton (1):
      drm/i915/guc: work around gcc-4.4.4 union initializer issue

Changbin Du (18):
      drm/i915/gvt: Rework shadow graphic memory management code
      drm/i915/gvt: Refine the intel_vgpu_mm reference management
      drm/i915/gvt: Refine ggtt and ppgtt root entry ops
      drm/i915/gvt: Refine ggtt_set_shadow_entry
      drm/i915/gvt: Add verbose gtt shadow logs
      drm/i915/gvt: Rename ggtt related functions to be more specific
      drm/i915/gvt: Factor out intel_vgpu_{get, put}_ppgtt_mm interface
      drm/i915/gvt: Use standard pte bit definition
      drm/i915/gvt: Refine pte shadowing process
      drm/i915/gvt: Rework shadow page management code
      drm/i915/gvt: Rename shadow_page to short name spt
      drm/i915/gvt: Rename mpt api {set, unset}_wp_page to {enable, disable}_page_track
      drm/i915/gvt: Don't extend page_track to mpt layer
      drm/i915/gvt: Provide generic page_track infrastructure for write-protected page
      drm/i915/gvt: Manage shadow pages with radix tree
      drm/i915/gvt: Define PTE addr mask with GENMASK_ULL
      drm/i915/gvt: Fix guest vGPU hang caused by very high dma setup overhead
      drm/i915/kvmgt: Add kvmgt debugfs entry nr_cache_entries under vgpu

Chris Wilson (17):
      drm/i915: Rename drm_i915_gem_request to i915_request
      drm/i915/execlists: Remove the ring advancement under preemption
      drm/i915/execlists: Add a GEM_TRACE to show when the context is completed
      drm/i915/execlists: Move the GEM_BUG_ON context matches CSB later
      drm/i915/preemption: Allow preemption between submission ports
      drm/i915: Repeat the GEM_BUG_ON message in the ftrace log
      drm/i915: Don't deref request->ctx inside unlocked print_request()
      drm/i915: Replace open-coded wait-for loop
      drm/i915: Suspend submission tasklets around wedging
      drm/i915/execlists: Move irq state manipulation inside irq disabled region
      drm/i915/execlists: Split spinlock from its irq disabling side-effect
      drm/i915: Unwind vma pinning for intel_pin_and_fence_fb_obj error path
      drm/i915/breadcrumbs: Reduce signaler rbtree to a sorted list
      drm/i915/breadcrumbs: Assert all missed breadcrumbs were signaled
      drm/i915: Stop kicking the signaling thread on seqno wraparound
      drm/i915: Flush waiters on seqno wraparound
      drm/i915: Assert that the request is indeed complete when signaled from irq

Daniel Vetter (1):
      drm/todo: i915 could use device_link_add

Daniele Ceraolo Spurio (5):
      drm/i915/error: remove unused gen8_engine_sync_index
      drm/i915/error: standardize function style in error capture
      drm/i915/error: capture uc_state after gen_state
      drm/i915/icl: new context descriptor support
      drm/i915/icl: Gen11 forcewake support

Dhinakaran Pandiyan (9):
      drm/doc: Fix documentation for _vblank_restore().
      drm/i915/psr: New power domain for AUX IO.
      drm/i915/frontbuffer: Mark frontbuffer flush and invalidate with might_sleep()
      drm/i915/psr: Extract PSR DPCD initialization and move it to intel_psr.c
      drm/i915/psr: Check for the specific AUX_FRAME_SYNC cap bit.
      drm/i915/dp: Remove redundant sleep after AUX transaction length check.
      drm/i915/dp: Move comment about hw timeout to the right place.
      drm/i915/psr: Check for power state control capability.
      drm/i915/psr: Update PSR2 resolution check for Cannonlake

Imre Deak (1):
      drm/i915/gen9, gen10: Disable FBC on planes with a misaligned Y-offset

Jani Nikula (1):
      drm/i915/dp: move link rate arrays where they're used

Johnson Lin (1):
      drm/i915: Fix Limited Range Color Handling

Joonas Lahtinen (5):
      Merge drm-next into drm-intel-next-queued
      Merge drm-next into drm-intel-next-queued (this time for real)
      drm/i915: Update DRIVER_DATE to 20180305
      Merge tag 'gvt-next-2018-03-08' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20180308

Lionel Landwerlin (8):
      drm/i915/hsw: add missing disabled EUs registers reads
      drm/i915/perf: fix perf stream opening lock
      drm/i915: store all subslice masks
      drm/i915/debugfs: reuse max slice/subslices already stored in sseu
      drm/i915/debugfs: add rcs topology entry
      drm/i915: add rcs topology to error state
      drm/i915: add query uAPI
      drm/i915: expose rcs topology through query uAPI

Maarten Lankhorst (2):
      drm/i915: Check for I915_MODE_FLAG_INHERITED before drm_atomic_helper_check_modeset
      drm/i915: Handle changing enable_fbc parameter at runtime better.

Mahesh Kumar (1):
      drm/i915/icl: remove port A/E lane sharing limitation.

Manasi Navare (2):
      drm/i915/dp: Fix the order of platforms for setting DP source rates
      drm/i915/dp: Add HBR3 rate (8.1 Gbps) to dp_rates array

Michal Wajdeczko (2):
      drm/i915/uc: Introduce intel_uc_suspend|resume
      drm/i915/huc: Mark firmware as failed on auth failure

Michał Winiarski (1):
      drm/i915/guc: Fill preempt context once at init time

Michel Thierry (2):
      drm/i915: Update missing parts after the rename to i915_request
      drm/i915/icl: Add Indirect Context Offset for Gen11

Mika Kuoppala (1):
      drm/i915/icl: Interrupt handling

Mustamin B Mustaffa (1):
      drm/i915: Enable VBT based BL control for DP

Oscar Mateo (1):
      drm/i915/icl: Correctly initialize the Gen11 engines

Paulo Zanoni (1):
      drm/i915/icl: Add the ICL PCI IDs

Rodrigo Vivi (6):
      drm/i915/psr2: Fix max resolution supported.
      drm/i915/psr: Don't avoid PSR when PSR2 conditions are not met.
      drm/i915/cnl: Add WaRsDisableCoarsePowerGating
      drm/i915/cnl: document WaVFUnitClockGatingDisable
      drm/i915/cnp: Document WaSouthDisplayDisablePWMCGEGating
      drm/i915/cnl: Add Wa_2201832410

Sagar Arun Kamble (1):
      drm/i915/uc: Make GuC/HuC fw fetch and loading functions/file structure symmetric

Thomas Daniel (1):
      drm/i915/icl: Enhanced execution list support

Tvrtko Ursulin (6):
      drm/i915: Make global seqno known in i915_gem_request_execute tracepoint
      drm/i915/icl: Show interrupt registers in debugfs
      drm/i915: Move page sizes out of the 8-bit sandwich
      drm/i915/icl: Prepare for more rings
      drm/i915: Wedged engine mask makes more sense in hex
      drm/i915/icl: Ringbuffer interrupt handling

Ville Syrjälä (22):
      drm/i915: Fail if we can't get a fence for gen2/3 tiled scanout
      drm/i915: Only pin the fence for primary planes (and gen2/3)
      drm/i915: Clean up fbc vs. plane checks
      drm/i915: Require fence only for FBC capable planes
      drm/i915: Extract intel_plane_{pin,unpin}_fb()
      drm/i915: Add a FIXME about FBC vs. fence. 90/270 degree rotation
      drm/i915: Use the correct power domain for aux ch
      drm/i915: Add enum aux_ch and clean up the aux init to use it
      drm/i915: Nuke aux regs from intel_dp
      drm/i915: Collect aux ch vfunc setup into intel_dp_aux_init()
      drm/i915: Remove the pointless 1:1 matrix copy
      drm/i915: Rename pipe CSC to use ilk_ prefix
      drm/i915: Don't mangle the CTM on pre-HSW
      drm/i915: Consult aux_ch instead of port in ->get_aux_clock_divider()
      drm/i915: s/intel_dp_aux_ch/intel_dp_aux_xfer/
      drm/i915: Keep the AKSV details in intel_dp_hdcp_write_an_aksv()
      drm/i915: Deduplicate the code to fill the aux message header
      drm/i915: Convert intel_hpd_irq_event() into an encoder hotplug hook
      drm/i915: Reinitialize sink scrambling/TMDS clock ratio on HPD
      drm/i915: Move SST DP link retraining into the ->post_hotplug() hook
      drm/i915: Nuke intel_dp->channel_eq_status
      drm/i915: Track whether the DP link is trained or not

Weinan Li (4):
      drm/i915/gvt: add define GEN9_MOCS_SIZE
      drm/i915/gvt: add interface to check if context is inhibit
      drm/i915/gvt: init mmio by lri command in vgpu inhibit context
      drm/i915: add schedule out notification of preempted but completed request

Xiong Zhang (2):
      drm/i915/gvt: Release gvt->lock at the failure of finding page track
      drm/i915/gvt: Return error at the failure of finding page_track

Zhenyu Wang (7):
      drm/i915/gvt: Fix one gvt_vgpu_error() use in dmabuf.c
      drm/i915/gvt: remove gvt max port definition
      drm/i915/gvt: Fix vGPU sched timeslice calculation warning
      drm/i915/gvt: Fix check error of vgpu create failure message
      drm/i915/gvt: Fix check error on fence mmio handler
      drm/i915/gvt: Fix one indent error
      drm/i915/gvt: Fix check error on hws_pga_write() fail message

 Documentation/gpu/todo.rst                         |    7 +
 drivers/gpu/drm/drm_vblank.c                       |   22 +-
 drivers/gpu/drm/i915/Makefile                      |    6 +-
 drivers/gpu/drm/i915/gvt/Makefile                  |    2 +-
 drivers/gpu/drm/i915/gvt/dmabuf.c                  |    2 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     | 1457 +++++++++-----------
 drivers/gpu/drm/i915/gvt/gtt.h                     |  189 +--
 drivers/gpu/drm/i915/gvt/gvt.c                     |    2 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   21 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   38 +-
 drivers/gpu/drm/i915/gvt/hypercall.h               |    9 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |  313 +++--
 drivers/gpu/drm/i915/gvt/mmio.c                    |    9 +-
 drivers/gpu/drm/i915/gvt/mmio_context.c            |  210 ++-
 drivers/gpu/drm/i915/gvt/mmio_context.h            |    5 +
 drivers/gpu/drm/i915/gvt/mpt.h                     |   67 +-
 drivers/gpu/drm/i915/gvt/page_track.c              |  184 +++
 drivers/gpu/drm/i915/gvt/page_track.h              |   56 +
 drivers/gpu/drm/i915/gvt/sched_policy.c            |    5 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   60 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |    2 +-
 drivers/gpu/drm/i915/gvt/trace.h                   |   10 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  214 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |   16 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   41 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  119 +-
 drivers/gpu/drm/i915/i915_gem.h                    |    7 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |    2 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   29 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |    4 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |    4 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   60 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   38 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    5 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |    2 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |    2 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h       |    4 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |    4 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |    4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  124 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  244 +++-
 drivers/gpu/drm/i915/i915_pci.c                    |    4 +-
 drivers/gpu/drm/i915/i915_perf.c                   |   68 +-
 drivers/gpu/drm/i915/i915_query.c                  |  125 ++
 drivers/gpu/drm/i915/i915_query.h                  |   15 +
 drivers/gpu/drm/i915/i915_reg.h                    |   39 +-
 .../i915/{i915_gem_request.c => i915_request.c}    |  390 +++---
 .../i915/{i915_gem_request.h => i915_request.h}    |  222 +--
 drivers/gpu/drm/i915/i915_trace.h                  |  128 +-
 drivers/gpu/drm/i915/i915_vma.c                    |    3 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    2 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  292 ++--
 drivers/gpu/drm/i915/intel_color.c                 |   97 +-
 drivers/gpu/drm/i915/intel_crt.c                   |    4 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  233 +++-
 drivers/gpu/drm/i915/intel_device_info.c           |  284 +++-
 drivers/gpu/drm/i915/intel_device_info.h           |   73 +-
 drivers/gpu/drm/i915/intel_display.c               |  189 ++-
 drivers/gpu/drm/i915/intel_display.h               |   12 +
 drivers/gpu/drm/i915/intel_dp.c                    |  747 +++++-----
 drivers/gpu/drm/i915/intel_dp_link_training.c      |    8 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   22 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   79 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |  105 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |    2 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |    2 +
 drivers/gpu/drm/i915/intel_guc.c                   |   44 +-
 drivers/gpu/drm/i915/intel_guc.h                   |    4 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |   10 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |    6 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |  140 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |    1 +
 drivers/gpu/drm/i915/intel_hotplug.c               |   25 +-
 drivers/gpu/drm/i915/intel_huc.c                   |  182 +--
 drivers/gpu/drm/i915/intel_huc.h                   |    2 +-
 drivers/gpu/drm/i915/intel_huc_fw.c                |  166 +++
 drivers/gpu/drm/i915/intel_huc_fw.h                |   15 +
 drivers/gpu/drm/i915/intel_lrc.c                   |  455 +++---
 drivers/gpu/drm/i915/intel_lrc.h                   |    3 +
 drivers/gpu/drm/i915/intel_lrc_reg.h               |    1 +
 drivers/gpu/drm/i915/intel_mocs.c                  |   28 +-
 drivers/gpu/drm/i915/intel_mocs.h                  |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   82 +-
 drivers/gpu/drm/i915/intel_pm.c                    |   21 +-
 drivers/gpu/drm/i915/intel_psr.c                   |  181 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  196 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  114 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |    3 +
 drivers/gpu/drm/i915/intel_sdvo.c                  |   12 +-
 drivers/gpu/drm/i915/intel_uc.c                    |   47 +-
 drivers/gpu/drm/i915/intel_uc.h                    |    2 +
 drivers/gpu/drm/i915/intel_uncore.c                |  157 ++-
 drivers/gpu/drm/i915/intel_uncore.h                |   23 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |    6 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |    6 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    6 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +-
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    2 +-
 .../{i915_gem_request.c => i915_request.c}         |  125 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  119 +-
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |   31 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |   10 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    2 +-
 drivers/gpu/drm/i915/selftests/mock_request.c      |   10 +-
 drivers/gpu/drm/i915/selftests/mock_request.h      |    8 +-
 include/drm/i915_pciids.h                          |   12 +
 include/uapi/drm/i915_drm.h                        |  108 +-
 110 files changed, 5510 insertions(+), 3620 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gvt/page_track.c
 create mode 100644 drivers/gpu/drm/i915/gvt/page_track.h
 create mode 100644 drivers/gpu/drm/i915/i915_query.c
 create mode 100644 drivers/gpu/drm/i915/i915_query.h
 rename drivers/gpu/drm/i915/{i915_gem_request.c => i915_request.c} (78%)
 rename drivers/gpu/drm/i915/{i915_gem_request.h => i915_request.h} (79%)
 create mode 100644 drivers/gpu/drm/i915/intel_huc_fw.c
 create mode 100644 drivers/gpu/drm/i915/intel_huc_fw.h
 rename drivers/gpu/drm/i915/selftests/{i915_gem_request.c => i915_request.c} (87%)
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-02-28  9:52 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2018-02-28  9:52 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

Cannonlake driver support is fine for the hardware we've had at our disposal
to test on, so we've removed the alpha_support protection for it. That'll be
the biggest visible change.

Other than there's fixes for Dell Venue 8 Pro display issues, audio only HDMI
and out-fences from execbuf.

Many of the Icelake patches are still being reviewed, so expect more in the
last pull request.

Regards, Joonas

The following changes since commit 2f2f2db86d63605b1f57780ead21d4968e9d0bf3:

  drm/i915: Update DRIVER_DATE to 20180207 (2018-02-07 09:26:04 +0200)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-02-21

for you to fetch changes up to fed8165851e262575585b22055ff5dba7d91b0e5:

  drm/i915: Update DRIVER_DATE to 20180221 (2018-02-21 15:21:30 +0200)

----------------------------------------------------------------
Driver Changes:

- Lift alpha_support protection from Cannonlake (Rodrigo)
	* Meaning the driver should mostly work for the hardware we had
	  at our disposal when testing
	* Used to be preliminary_hw_support
- Add missing Cannonlake PCI device ID of 0x5A4C (Rodrigo)
- Cannonlake port register fix (Mahesh)

- Fix Dell Venue 8 Pro black screen after modeset (Hans)
- Fix for always returning zero out-fence from execbuf (Daniele)
- Fix HDMI audio when no no relevant video output is active (Jani)
- Fix memleak of VBT data on driver_unload (Hans)

- Fix for KASAN found locking issue (Maarten)
- RCU barrier consolidation to improve igt/gem_sync/idle (Chris)
- Optimizations to IRQ handlers (Chris)
- vblank tracking improvements (64-bit resolution, PM) (Dhinakaran)
- Pipe select bit corrections (Ville)
- Reduce runtime computed device_info fields (Chris)
- Tune down some WARN_ONs to GEM_BUG_ON now that CI has good coverage (Chris)
- A bunch of kerneldoc warning fixes (Chris)

----------------------------------------------------------------
Chris Wilson (63):
      drm/i915/breadcrumbs: Ignore unsubmitted signalers
      drm/i915: Skip request serialisation if the timeline is already complete
      drm/i915: Trim the retired request queue after submitting
      drm/i915: Tidy up some error messages around reset failure
      drm/i915/pmu: Fix building without CONFIG_PM
      drm/i915/guc: Allow preempt-client to be NULL
      drm/i915: Move the scheduler feature bits into the purview of the engines
      drm/i915: Only allocate preempt context when required
      drm/i915/perf: Fix compiler warning for string truncation
      drm/i915/perf: Fix compiler warning for string truncation
      drm/i915: Remove superfluous worker wakeups when RPS is already boosted
      drm/i915: Avoid truncation before clamping userspace's priority value
      drm/i915: Mark the device as wedged from the beginning of set-wedged
      drm/i915: Be paranoid and post the writes to stop the rings
      drm/i915: Wait for gen3 reset status to be asserted
      drm/i915: Fix kerneldoc warnings for i915_gpu_error
      drm/i915: Fix kerneldoc warnings for intel_ringbuffer
      drm/i915: Fix kerneldoc warnings for i915_gem_userptr
      drm/i915: Fix kerneldoc warnings for i915_gem_request
      drm/i915: Remove lost comment from i915_gem_context
      drm/i915: Add missing kerneldoc for 'ent' in i915_driver_init_early
      drm/i915: Fix kerneldoc parameter markup
      drm/i915: Fix kerneldoc warnings in i915_gem_execbuffer
      drm/i915: Fix kerneldoc warnings for i915_gem_internal
      drm/i915: Disable unused-but-set compiler warning
      drm/i915: Avoid leaking lpe audio platdev.data
      drm/i915/crt: Silence compiler warning for uninitialised status
      drm/i915: Remove redundant check on execlists interrupt
      drm/i915: Move the final intel_gpu_reset() to after declaring wedged
      drm/i915: Don't wake the device up to check if the engine is asleep
      drm/i915: Hold rpm wakeref for printing the engine's register state
      drm/i915: Replace open-coded memset_p()
      drm/i915/selftests: Report setup errors for igt_partial_tiling
      drm/i915/tv: Cleanup up obsolete comments
      drm/i915/sdvo: Tidy up commentary
      drm/i915/panel: Add missing parameters to kerneldoc
      drm/i915/panel: Split range scaling calculation for readiblity
      drm/i915/crt: Remove obsolete kerneldoc-esque comment
      drm/i915/dvo: Remove incorrect kerneldoc markups
      drm/i915/dvo: Fixup commentary
      drm/i915/lvds: Fixup commentary
      drm/i915: Add missing kerneldoc parameters for huc_ucode_xfer
      drm/i915: Fixup kerneldoc for intel_uc_fw_upload()
      drm/i915/atomic: Fixup kerneldoc
      drm/i915: Fixup kerneldoc
      drm/i915: Fixup kerneldoc for intel_pm.c
      drm/i915: Clean up ancient doc comments for i915_ioc32.c
      drm/i915/gtt: Convert WARN_ON to GEM debugging
      drm/i915: Store gen_mask inside the static device info
      drm/i915: Always define GEN as part of GENx_FEATURES
      drm/i915: Store platform_mask inside the static device info
      drm/i915: Assert that we always complete a submission to guc/execlists
      drm/i915/execlists: Remove too early assert
      drm/i915: Remove WARN_ONCE for failing to pm_runtime_if_in_use
      drm/i915: Track GT interrupt handling using the master iir
      drm/i915: Prune gen8_gt_irq_handler
      drm/i915: Clear the in-use marker on execbuf failure
      drm/i915/: Initialise trans_min for skl_compute_transition_wm()
      drm/i915: Track number of pending freed objects
      drm/i915: Also check view->type for a normal GGTT view
      drm/i915: Move the policy for placement of the GGTT vma into the caller
      drm/i915/fbdev: Use the PLANE_HAS_FENCE flags from the time of pinning
      drm/i915/fbc: Use PLANE_HAS_FENCE to determine if the plane is fenced

Christian König (1):
      drm: move read_domains and write_domain into i915

Daniele Ceraolo Spurio (2):
      drm/i915: do not stop engines on sanitize if i915.reset=0
      drm/i915: Fix rsvd2 mask when out-fence is returned

David Weinehall (1):
      drm/i915: Fix incorrect comment

Dhinakaran Pandiyan (10):
      drm/vblank: Data type fixes for 64-bit vblank sequences.
      drm/i915/vblank: Make the vblank counter u64 -> u32 typecast explicit
      drm/i915: Handle 64-bit return from drm_crtc_vblank_count()
      drm/amdgpu: Handle 64-bit return from drm_crtc_vblank_count()
      drm/radeon: Handle 64-bit return from drm_crtc_vblank_count()
      drm/tegra: Handle 64-bit return from drm_crtc_vblank_count()
      drm/atomic: Handle 64-bit return from drm_crtc_vblank_count()
      drm/vblank: Do not update vblank count if interrupts are already disabled.
      drm/vblank: Restoring vblank counts after device PM events.
      drm/i915: Estimate and update missed vblanks.

Gustavo A. R. Silva (1):
      drm/i915/selftests: fix inconsistent IS_ERR and PTR_ERR

Hans de Goede (3):
      drm/i915: Add intel_bios_cleanup() function
      drm/i915: Free memdup-ed DSI VBT data structures on driver_unload
      drm/i915: Fix DSI panels with v1 MIPI sequences without a DEASSERT sequence v3

Imre Deak (2):
      drm/i915/snb+: Remove incorrect forcewake check in debugfs/i915_drpc_info
      drm/i915: Don't query PCODE RC6VIDS on platforms not supporting it

Jani Nikula (5):
      drm/i915: abstract PCH type detection from PCH id
      drm/i915: abstract virtual PCH id detection
      drm/i915: have virtual PCH detection return a PCH id
      drm/i915: introduce INTEL_PCH_ID() and use it
      drm/i915/audio: fix check for av_enc_map overflow

Joonas Lahtinen (2):
      drm/i915: Update DRIVER_DATE to 20180214
      drm/i915: Update DRIVER_DATE to 20180221

Maarten Lankhorst (2):
      drm/i915: Ignore minimum lines for level 0 in skl_compute_plane_wm, v2.
      drm/i915: Release connector iterator on a digital port conflict.

Mahesh Kumar (4):
      drm/i915/icl: Enable both DBuf slices during init
      drm/i915/icl: initialize MBus during display init
      drm/i915/icl: program mbus during pipe enable
      drm/i915/cnl: Fix PORT_TX_DW5/7 register address

Mauro Carvalho Chehab (1):
      drm: intel_dpio_phy: fix kernel-doc comments at nested struct

Paulo Zanoni (3):
      drm/i915/icl: add ICL support to cnl_set_procmon_ref_values
      drm/i915/icl: add the main CDCLK functions
      drm/i915/icl: implement the display init/uninit sequences

Rodrigo Vivi (2):
      drm/i915/cnl: Sync PCI ID with Spec.
      drm/i915/cnl: Remove alpha_support protection

Tvrtko Ursulin (5):
      drm/i915/pmu: Fix sleep under atomic in RC6 readout
      drm/i915: Use INTEL_GEN everywhere
      drm/i915: Replace some negative Gen checks
      drm/i915: Fix i915_gem_context.h header
      drm/i915: Handle RC6 counter wrap

Ville Syrjälä (6):
      drm/i915: Reject undefined colorkey flags
      drm/i915: Give all ioctl functions an _ioctl suffix
      drm/i915: Assert that we don't overflow frontbuffer tracking bits
      drm/i915: Don't set cursor pipe select bits on g4x+
      drm/i915: Set the primary plane pipe select bits on gen4
      drm/i915: Drop WaDoubleCursorLP3Latency:ivb

 drivers/gpu/drm/amd/amdgpu/amdgpu_display.c       |   2 +-
 drivers/gpu/drm/amd/display/amdgpu_dm/amdgpu_dm.c |   4 +-
 drivers/gpu/drm/drm_plane.c                       |   2 +-
 drivers/gpu/drm/drm_vblank.c                      |  85 ++++++-
 drivers/gpu/drm/i915/Makefile                     |   1 +
 drivers/gpu/drm/i915/dvo_ivch.c                   |  28 +--
 drivers/gpu/drm/i915/gvt/dmabuf.c                 |   4 +-
 drivers/gpu/drm/i915/i915_debugfs.c               |  56 ++---
 drivers/gpu/drm/i915/i915_drv.c                   | 280 +++++++++++-----------
 drivers/gpu/drm/i915/i915_drv.h                   |  58 +++--
 drivers/gpu/drm/i915/i915_gem.c                   | 127 +++++-----
 drivers/gpu/drm/i915/i915_gem_clflush.c           |   2 +-
 drivers/gpu/drm/i915/i915_gem_context.c           |  40 ++--
 drivers/gpu/drm/i915/i915_gem_context.h           |   3 +
 drivers/gpu/drm/i915/i915_gem_dmabuf.c            |   4 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c        |  24 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c         |   2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c               |  38 ++-
 drivers/gpu/drm/i915/i915_gem_internal.c          |   8 +-
 drivers/gpu/drm/i915/i915_gem_object.h            |  15 ++
 drivers/gpu/drm/i915/i915_gem_request.c           |  42 +++-
 drivers/gpu/drm/i915/i915_gem_stolen.c            |   4 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c           |  10 +-
 drivers/gpu/drm/i915/i915_gpu_error.c             |  33 +--
 drivers/gpu/drm/i915/i915_ioc32.c                 |  27 +--
 drivers/gpu/drm/i915/i915_irq.c                   | 113 +++++----
 drivers/gpu/drm/i915/i915_oa_cflgt3.c             |   4 +-
 drivers/gpu/drm/i915/i915_oa_cnl.c                |   4 +-
 drivers/gpu/drm/i915/i915_pci.c                   | 110 +++++----
 drivers/gpu/drm/i915/i915_pmu.c                   | 106 ++++++--
 drivers/gpu/drm/i915/i915_pmu.h                   |   6 +
 drivers/gpu/drm/i915/i915_reg.h                   |  79 ++++--
 drivers/gpu/drm/i915/i915_syncmap.c               |  16 +-
 drivers/gpu/drm/i915/intel_atomic.c               |   5 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c         |   1 +
 drivers/gpu/drm/i915/intel_audio.c                |   8 +-
 drivers/gpu/drm/i915/intel_bios.c                 | 107 ++++++++-
 drivers/gpu/drm/i915/intel_breadcrumbs.c          |  20 +-
 drivers/gpu/drm/i915/intel_cdclk.c                | 239 +++++++++++++++++-
 drivers/gpu/drm/i915/intel_crt.c                  |  13 +-
 drivers/gpu/drm/i915/intel_ddi.c                  |   2 +-
 drivers/gpu/drm/i915/intel_device_info.c          |   6 +
 drivers/gpu/drm/i915/intel_device_info.h          |   7 +
 drivers/gpu/drm/i915/intel_display.c              | 102 +++++---
 drivers/gpu/drm/i915/intel_dp.c                   |   6 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c             |   2 +-
 drivers/gpu/drm/i915/intel_drv.h                  |  19 +-
 drivers/gpu/drm/i915/intel_dvo.c                  |  28 ++-
 drivers/gpu/drm/i915/intel_engine_cs.c            | 176 ++++++++------
 drivers/gpu/drm/i915/intel_fbc.c                  |  13 +-
 drivers/gpu/drm/i915/intel_fbdev.c                |  13 +-
 drivers/gpu/drm/i915/intel_guc_submission.c       |  57 +++--
 drivers/gpu/drm/i915/intel_huc.c                  |   3 +-
 drivers/gpu/drm/i915/intel_lpe_audio.c            |  14 +-
 drivers/gpu/drm/i915/intel_lrc.c                  |  28 ++-
 drivers/gpu/drm/i915/intel_lvds.c                 |  44 ++--
 drivers/gpu/drm/i915/intel_mocs.c                 |   2 +-
 drivers/gpu/drm/i915/intel_overlay.c              |   3 +-
 drivers/gpu/drm/i915/intel_panel.c                |  12 +-
 drivers/gpu/drm/i915/intel_pm.c                   |  94 ++++++--
 drivers/gpu/drm/i915/intel_psr.c                  |   4 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c           |  30 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h           |  11 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c           | 161 +++++++++++--
 drivers/gpu/drm/i915/intel_sdvo.c                 |  82 ++++---
 drivers/gpu/drm/i915/intel_sprite.c               |   7 +-
 drivers/gpu/drm/i915/intel_tv.c                   |  28 +--
 drivers/gpu/drm/i915/intel_uc_fw.c                |   5 +-
 drivers/gpu/drm/i915/intel_uncore.c               |  23 +-
 drivers/gpu/drm/i915/intel_uncore.h               |   5 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.c  |   4 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c       |   8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c |   4 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c     |   6 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c  |  18 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c        |  20 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c  |   6 -
 drivers/gpu/drm/radeon/radeon_display.c           |   2 +-
 drivers/gpu/drm/tegra/dc.c                        |   2 +-
 include/drm/drm_atomic.h                          |   2 +-
 include/drm/drm_gem.h                             |  15 --
 include/drm/drm_vblank.h                          |   4 +-
 include/drm/i915_pciids.h                         |  15 +-
 83 files changed, 1867 insertions(+), 956 deletions(-)

_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2018-02-14  9:22 Joonas Lahtinen
  0 siblings, 0 replies; 265+ messages in thread
From: Joonas Lahtinen @ 2018-02-14  9:22 UTC (permalink / raw)
  To: Dave Airlie; +Cc: dim-tools, intel-gfx, Rodrigo Vivi, dri-devel, Daniel Vetter

Hi Dave,

CI has been really effective in catching problems before users have reported
them to us. All Bugzillas closed from this tag are from our CI reports!

Due to FOSDEM prep and travel, there's quite a hunk of patches, I've tried
to highlight the ones with most impact on the top.

Regards, Joonas

The following changes since commit 559f17bec508548850654dd04525fd69d90f6d4e:

  Merge tag 'drm-misc-next-fixes-2018-01-18' of git://anongit.freedesktop.org/drm/drm-misc into drm-next (2018-01-25 11:42:25 +1000)

are available in the Git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2018-02-07

for you to fetch changes up to 2f2f2db86d63605b1f57780ead21d4968e9d0bf3:

  drm/i915: Update DRIVER_DATE to 20180207 (2018-02-07 09:26:04 +0200)

----------------------------------------------------------------
UAPI Changes:

- Userspace whitelist register GEN9_SLICE_COMMON_ECO_CHICKEN1 for GLK (Kenneth)
- Non-existent PMU counters are not placed to sysfs (Tvrtko)
- Add a note to deprecate I915_SET_COLORKEY_NONE and ignore it (Ville)
	* Intel DDX never ended using it, and implementation was wonky

Core Changes:

- Moved away from struct timeval into ktime_t in prep for 2038 (Arnd)
	* Merged the i915 portion through drm-tip, no core dependencies

Driver Changes:

- Base support for Icelake and Icelake PCH (Anusha, Rodrigo, Mahesh, Paulo, James, Kelvin)
- Add AUX-F port support for Cannonlake (Rodrigo)
- New DMC firmware for 1.07 Cannonlake (Anusha)
	* Go to linux-firmware.git to get it
- Reject non-cursor planes nearly (3 px) out of screen on GLK/CNL (Imre)
- Y/Yf modifiers restored for SKL+ sprites (Ville)
- Compressed framebuffer support for sprites (Ville)
- Tune down overly aggressive shrinking (Chris)
- Shrink kmem caches when GPU is idle (Chris)
- EDID bit-banging fallback for HDMI EDID (Stefan)
- Don't boost the GPU when the waited request is already running (Chris)
- Avoid GLK/BXT CDCLK frequency locking timeouts (Imre)
- Limit DP link rate according to VBT on CNL+ (Jani)
- Skip post-reset request emission if the engine is not idle (Chris)
- Report any link training error on a fixed eDP panel as errors (Manasi)
- DSI panel fixes for Bay Trail (Hans)
- Selftest additions and improvements (Chris, Matt)
- DMA fence test additions and accompanying fixes (Chris)
- Power domain vs. register access fix (Maarten)
- Squelch warnings for people with teensy framebuffers (stride < 512) (Maarten)
- Increase Render/Media power gating hysteresis for Gen9+ (Chris)
- HDMI vswing display workaround for Gen9+ (Ville)
- GuC code cleanup and lockdep fixes (Sagar, Michal Wa.)
- Continuously run hangcheck for simplicity (Chris)
- Execlist debugging improvements (Chris)
- GuC debugging improvements (Sujaritha, Michal Wa., Sagar)
- Command parser boundary checks (Michal Srb)
- Add a workaround for 3DSTATE_SAMPLE_PATTERN on CNL (Rafael)
- Fix PMU enabling race condition (Tvrtko)
- Usual smaller testing and debugging improvements

----------------------------------------------------------------
Abdiel Janulgue (1):
      drm/i915: Ignore TMDS clock limit for DP++ when EDID override is set

Anusha Srivatsa (8):
      drm/i915/glk: Disable Guc and HuC on GLK
      drm/i915/dmc: DMC 1.07 for Cannonlake
      drm/i915/icp: Introduce Ice Lake PCH
      drm/i915/icp: Get/set proper Raw clock frequency on ICP
      drm/i915/icp: Add Panel Power Sequencing Support
      drm/i915/icp: Add backlight Support for ICP
      drm/i915/icp: add ICP gmbus and gpio support
      drm/i915/icp: Add the ID for ICL PCH - ICP

Arnd Bergmann (1):
      drm: i915: remove timeval users

C, Ramalingam (2):
      drm/i915: Runtime disable for eDP DRRS
      i915/drrs/debugfs: psr status info addition

Chris Wilson (51):
      drm/i915/selftests: Tweak igt_ggtt_page to speed it up
      drm/i915/selftests: Allow random array allocation to fail
      drm/i915: Delete defunct i915_gem_request_assign()
      drm/i915/execlists: Clear context-switch interrupt earlier in the reset
      drm/i915/execlists: Record elsp offset during engine setup
      drm/i915/execlists: Tidy enabling execlists
      drm/i915: Hold rpm wakeref for modifying the global seqno
      drm/i915: Assert all signalers we depended on did indeed signal
      drm/i915/execlists: Assert there are no simple cycles in the dependencies
      drm/i915/execlists: Reduce list_for_each_safe+list_safe_reset_next
      drm/i915: Assert we do not try to wait on an invalid seqno
      drm/i915: Pass DMA_ATTR_NO_WARN to dma_map_sg()
      drm/i915: Don't adjust priority on an already signaled fence
      drm/i915/pmu: Initialise our dynamic sysfs attributes for use with lockdep
      drm/i915/pmu: Reconstruct active state on starting busy-stats
      drm/i915: Only defer freeing of fence callback when also using the timer
      drm/i915/fence: Separate timeout mechanism for awaiting on dma-fences
      drm/i915: Lock out execlist tasklet while peeking inside for busy-stats
      drm/i915: Use our singlethreaded wq for freeing objects
      drm/i915/selftests: Test i915_sw_fence/dma_fence interop
      drm/i915: Rewrite some comments around RCU-deferred object free
      drm/i915/selftests: Wait for the dma-fence timeout
      drm/i915: Only attempt to scan the requested number of shrinker slabs
      drm/i915: Avoid waitboosting on the active request
      drm/i915: Shrink the request kmem_cache on allocation error
      drm/i915: Protect WC stash allocation against direct reclaim
      drm/i915: Increase render/media power gating hysteresis for gen9+
      drm/i915/execlists: Skip forcewake for ELSP submission
      drm/i915/execlists: Inhibit context save/restore for the fake preempt context
      drm/i915: Track the number of times we have woken the GPU up
      drm/i915: Shrink the GEM kmem_caches upon idling
      drm/i915/lrc: Clear context restore/save inhibit flags for new contexts
      drm/i915/lrc: Remove superfluous WARN_ON
      drm/i915: Simplify guard logic for setup_scratch_page()
      drm/i915: Assert that we do not try to unsubmit a completed request
      drm/i915: Always run hangcheck while the GPU is busy
      drm/i915: Flush ggtt writes through the old fenced vma before changing fences
      drm/i915/ppgtt: Pin page directories before allocation
      drm/i915/execlists: Flush GTIIR on clearing CS interrupts during reset
      drm/i915: Remove spurious DRM_ERROR for cancelled interrupts
      drm/i915: Report if an unbannable context is involved in a GPU hang
      drm/i915: Add some newlines to intel_engine_dump() headers
      drm/i915: Always update the no_fbc_reason when disabling
      drm/i915: Show the GPU state when declaring wedged
      drm/i915/execlists: Remove the startup spam
      drm/i915: Remove unbannable context spam from reset
      drm/i915/breadcrumbs: Drop request reference for the signaler thread
      drm/i915/selftests: Flush old resets between engines
      drm/i915/selftests: Use a sacrificial context for hang testing
      drm/i915/execlists: Move the reset bits to a more natural home
      drm/i915: Skip post-reset request emission if the engine is not idle

Dhinakaran Pandiyan (4):
      drm/i915/psr: Kill psr.source_ok flag.
      drm/i915/psr: CAN_PSR() macro to check for PSR source and sink support.
      drm/i915/psr: Avoid initializing PSR if there is no sink support.
      drm/i915/psr: Don't name status or debug registers like control registers.

Fengguang Wu (1):
      drm/i915/pmu: fix noderef.cocci warnings

Hans de Goede (1):
      drm/i915/vlv: Add cdclk workaround for DSI

Imre Deak (6):
      drm/i915: Fix using BIT_ULL() vs. BIT() for power domain masks
      drm/i915: Add tracking for CDCLK bypass frequency
      drm/i915: Add display WA #1175 for planes ending close to right screen edge
      drm/i915: Add WA for planes ending close to left screen edge
      drm/i915/bxt, glk: Increase PCODE timeouts during CDCLK freq changing
      drm/i915/bxt, glk: Avoid long atomic poll during CDCLK change

James Ausmus (1):
      drm/i915/icl: Handle expanded PLANE_CTL_FORMAT field

Jani Nikula (7):
      drm/i915/bios: add DP max link rate to VBT child device struct
      drm/i915: vbt defs typo fixes
      drm/i915: remove redundant ELD connector type update
      drm/i915/dp: abstract rate array length limiting
      drm/i915/dp: clean up source rate limiting for cnl
      drm/i915/dp: limit DP link rate based on VBT on CNL+
      drm/i915: reduce indent in pch detection

Joonas Lahtinen (2):
      Merge drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20180207

Kelvin Gardiner (1):
      drm/i915/icl: Set graphics mode register for gen11

Kenneth Graunke (1):
      drm/i915: Whitelist SLICE_COMMON_ECO_CHICKEN1 on Geminilake.

Lionel Landwerlin (1):
      Revert "drm/i915: mark all device info struct with __initconst"

Lucas De Marchi (2):
      drm/i915: Apply Display WA #1183 on skl, kbl, and cfl
      drm/i915/cnl: apply Display WA #1178 to fix type C dongles

Maarten Lankhorst (2):
      drm/i915: Always call to intel_display_set_init_power() in resume_early.
      drm/i915: Do not WARN_ON with small framebuffers.

Mahesh Kumar (5):
      drm/i915/icl: Don't allocate fixed bypass path blocks for ICL
      drm/i915/icl: Do not fix dbuf block size to 512
      drm/i915/icl: Fail flip if ddb allocated are less than min display buffer needed
      drm/i915/icl: NV12 y-plane ddb is not in same plane
      drm/i915/icl: Introduce MBus related registers

Manasi Navare (1):
      drm/i915/edp: Do not do link training fallback or prune modes on EDP

Matthew Auld (1):
      drm/i915/selftests: add missing gtt shrinker test

Michal Srb (2):
      drm/i915/cmdparser: Check reg_table_count before derefencing.
      drm/i915/cmdparser: Do not check past the cmd length.

Michal Wajdeczko (6):
      drm/i915/guc: Redefine guc_log_level modparam values
      drm/i915/guc: Change values for i915_guc_log_control
      drm/i915/guc: Keep GuC log disabled by default
      drm/i915/guc: Don't forget to free GuC error log
      drm/i915/guc: Don't try to create log runtime if there is no log
      drm/i915: Enable inject_load_failure only in DEBUG config

Michel Thierry (3):
      drm/i915: Use the engine name directly in the error_state file
      drm/i915/lrc: Update reg_state macros to pass checkpatch
      drm/i915: Move LRC register offsets to a header file

Mika Kahola (1):
      drm/i915: Check for fused or unused pipes

Oscar Mateo (2):
      drm/i915: Stop getting the fault address from RING_FAULT_REG
      drm/i915: Return a default RCS context size

Paulo Zanoni (2):
      drm/i915/gen11: fix the SAGV block time for gen11
      drm/i915/icl: allow the reg_read ioctl to read the RCS TIMESTAMP register

Rafael Antognolli (1):
      drm/i915/cnl: WaPipeControlBefore3DStateSamplePattern

Rodrigo Vivi (15):
      drm/i915/cnl: Add Port F definition.
      drm/i915/icl: Add initial Icelake definitions.
      drm/i915/cnp: Ignore VBT request for know invalid DDC pin.
      drm/i915/cnl: Fix aux selection for WA 1178
      drm/i915/cnp: Properly handle VBT ddc pin out of bounds.
      drm/i915/cnl: Add Cannonlake PCI IDs for another SKU.
      drm/i915/cnl: Add AUX-F support
      drm/i915/cnl: Extend Wa 1178 to Aux F.
      drm/i915/cnl: Fix _CNL_PORT_TX_DW2_LN0_F definition.
      drm/i915: Fix DPLCLKA_CFGCR0 bits for Port F.
      drm/i915/cnl: Add right GMBUS pin number for HDMI on Port F.
      drm/i915: For HPD connected port use hpd_pin instead of port.
      drm/i915/cnl: Add HPD support for Port F.
      drm/i915/cnl: Enable DDI-F on Cannonlake.
      drm/i915/cnl: Fix DP max rate for Cannonlake with port F.

Sagar Arun Kamble (7):
      drm/i915/guc: Add uc_fini_wq in gem_init unwind path
      drm/i915/guc: Grab RPM wakelock while disabling GuC interrupts
      drm/i915/guc: Enable interrupts before resuming GuC during runtime resume
      drm/i915/guc: Fix lockdep due to log relay channel handling under struct_mutex
      drm/i915/guc: Update name and prototype of i915_guc_log_control
      drm/i915/guc: Fix comments style in intel_guc_log.c
      drm/i915/guc: Fix return from guc_log_relay_file_create

Stefan Brüns (1):
      drm/i915: Try EDID bitbanging on HDMI after failed read

Sujaritha Sundaresan (2):
      drm/i915/guc : Decoupling ADS and logs from submission
      drm/i915/guc : GEM_BUG_ON on invoking GuC reset function

Tvrtko Ursulin (9):
      drm/i915: Apply headless DMC workaround for CNL
      drm/i915/pmu: Only enumerate available counters in sysfs
      drm/i915/pmu: Use kcalloc instead of kzalloc
      drm/i915/icl: Icelake interrupt register addresses and bits
      drm/i915/icl: Gen11 render context size
      drm/i915: Downgrade incorrect engine constructor usage warnings to development
      drm/i915: Per-engine scratch VMA is mandatory
      drm/i915/pmu: Fix sysfs exported counter config
      drm/i915/pmu: Fix PMU enable vs execlists tasklet race

Ville Syrjälä (9):
      drm/i915: Nuke a pointless unreachable()
      drm/i915: Add the missing Y/Yf modifiers for SKL+ sprites
      drm/i915: Clean up the sprite modifier checks
      drm/i915: Add CCS capability for sprites
      drm/i915: Allow up to 32KB stride on SKL+ "sprites"
      drm/i915: Use enum plane_id for frontbuffer tracking
      drm/i915: Implement display w/a #1143
      drm/i915: Add a comment exlaining CCS hsub/vsub
      drm/i915: Deprecate I915_SET_COLORKEY_NONE

 drivers/gpu/drm/i915/Makefile                    |   1 +
 drivers/gpu/drm/i915/i915_cmd_parser.c           |  10 +-
 drivers/gpu/drm/i915/i915_debugfs.c              |  85 +++--
 drivers/gpu/drm/i915/i915_drv.c                  | 208 ++++++-----
 drivers/gpu/drm/i915/i915_drv.h                  |  58 +++-
 drivers/gpu/drm/i915/i915_gem.c                  | 150 ++++++--
 drivers/gpu/drm/i915/i915_gem_fence_reg.c        |   8 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c              | 137 +++++---
 drivers/gpu/drm/i915/i915_gem_request.c          |  32 +-
 drivers/gpu/drm/i915/i915_gem_request.h          |  33 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c         |   4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c            |  82 +++--
 drivers/gpu/drm/i915/i915_irq.c                  |  82 +++--
 drivers/gpu/drm/i915/i915_params.c               |   5 +-
 drivers/gpu/drm/i915/i915_params.h               |   2 +-
 drivers/gpu/drm/i915/i915_pci.c                  | 110 +++---
 drivers/gpu/drm/i915/i915_pmu.c                  | 419 +++++++++++++++--------
 drivers/gpu/drm/i915/i915_pmu.h                  |   8 +
 drivers/gpu/drm/i915/i915_reg.h                  | 157 ++++++++-
 drivers/gpu/drm/i915/i915_sw_fence.c             |  56 ++-
 drivers/gpu/drm/i915/intel_atomic_plane.c        |   1 -
 drivers/gpu/drm/i915/intel_audio.c               |   2 +-
 drivers/gpu/drm/i915/intel_bios.c                |  50 ++-
 drivers/gpu/drm/i915/intel_breadcrumbs.c         | 163 ++++-----
 drivers/gpu/drm/i915/intel_cdclk.c               |  94 +++--
 drivers/gpu/drm/i915/intel_csr.c                 |   5 +-
 drivers/gpu/drm/i915/intel_ddi.c                 |  46 +++
 drivers/gpu/drm/i915/intel_device_info.c         |   1 +
 drivers/gpu/drm/i915/intel_device_info.h         |   2 +
 drivers/gpu/drm/i915/intel_display.c             | 106 ++++--
 drivers/gpu/drm/i915/intel_display.h             |   4 +
 drivers/gpu/drm/i915/intel_dp.c                  | 263 ++++++++------
 drivers/gpu/drm/i915/intel_dp_link_training.c    |  26 +-
 drivers/gpu/drm/i915/intel_drv.h                 |   9 +-
 drivers/gpu/drm/i915/intel_engine_cs.c           |  40 ++-
 drivers/gpu/drm/i915/intel_fbc.c                 |  27 +-
 drivers/gpu/drm/i915/intel_guc.c                 |  47 ++-
 drivers/gpu/drm/i915/intel_guc_ads.c             | 151 ++++++++
 drivers/gpu/drm/i915/intel_guc_ads.h             |  33 ++
 drivers/gpu/drm/i915/intel_guc_fw.c              |   9 -
 drivers/gpu/drm/i915/intel_guc_log.c             | 297 +++++++++++-----
 drivers/gpu/drm/i915/intel_guc_log.h             |  14 +-
 drivers/gpu/drm/i915/intel_guc_submission.c      | 134 --------
 drivers/gpu/drm/i915/intel_hangcheck.c           |   9 +-
 drivers/gpu/drm/i915/intel_hdmi.c                |  57 ++-
 drivers/gpu/drm/i915/intel_hotplug.c             |  19 +-
 drivers/gpu/drm/i915/intel_huc.c                 |  11 -
 drivers/gpu/drm/i915/intel_i2c.c                 |  17 +-
 drivers/gpu/drm/i915/intel_lrc.c                 | 245 +++++++------
 drivers/gpu/drm/i915/intel_lrc.h                 |   1 +
 drivers/gpu/drm/i915/intel_lrc_reg.h             |  67 ++++
 drivers/gpu/drm/i915/intel_lspcon.c              |   3 +-
 drivers/gpu/drm/i915/intel_modes.c               |  17 -
 drivers/gpu/drm/i915/intel_panel.c               |   8 +-
 drivers/gpu/drm/i915/intel_pm.c                  | 103 +++++-
 drivers/gpu/drm/i915/intel_psr.c                 |  54 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.h          |  14 -
 drivers/gpu/drm/i915/intel_runtime_pm.c          |  46 +++
 drivers/gpu/drm/i915/intel_sprite.c              | 112 ++++--
 drivers/gpu/drm/i915/intel_uc.c                  |  92 ++++-
 drivers/gpu/drm/i915/intel_uc.h                  |   4 +-
 drivers/gpu/drm/i915/intel_uncore.c              |   5 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h            |  15 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c    | 114 +++++-
 drivers/gpu/drm/i915/selftests/i915_random.c     |   3 +-
 drivers/gpu/drm/i915/selftests/i915_sw_fence.c   | 134 ++++++++
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c | 105 ++++--
 drivers/gpu/drm/i915/selftests/mock_context.c    |  11 +
 drivers/gpu/drm/i915/selftests/mock_context.h    |   3 +
 include/drm/i915_component.h                     |   3 +-
 include/drm/i915_pciids.h                        |  18 +-
 include/uapi/drm/i915_drm.h                      |   4 +-
 72 files changed, 3084 insertions(+), 1381 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_guc_ads.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc_ads.h
 create mode 100644 drivers/gpu/drm/i915/intel_lrc_reg.h

_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-12-22 22:58 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2017-12-22 22:58 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi

Hi Dave,

This is our last round for 4.16.

Initially I was planning to send next week, but I
realized that I will be also out on Wednesday.
We also already received GVT pull today and all CI
rounds after that, and with all changes below,
are running well.

So here it goes drm-intel-next-2017-12-22:

- Allow internal page allocation to fail (Chris)
- More improvements on logs, dumps, and trace (Chris, Michal)
- Coffee Lake important fix for stolen memory (Lucas)
- Continue to make GPU reset more robust as well
   improving selftest coverage for it (Chris)
- Unifying debugfs return codes (Michal)
- Using existing helper for testing obj pages (Matthew)
- Organize and improve gem_request tracepoints (Lionel)
- Protect DDI port to DPLL map from theoretical race (Rodrigo)
- ... and consequently fixing the indentation on this DDI clk selection function (Chris)
- ... and consequently properly serializing non-blocking modesets (Ville)
- Add support for horizontal plane flipping on Cannonlake (Joonas)
- Two Cannonlake Workarounds for better stability (Rafael)
- Fix mess around PSR registers (DK)
- More Coffee Lake PCI IDs (Rodrigo)
- Remove CSS modifiers on pipe C of Geminilake (Krisman)
- Disable all planes for load detection (Ville)
- Reorg on i915 display headers (Michal)
- Avoid enabling movntdqa optimization on hypervisor guest (Changbin)

GVT:
- more mmio switch optimization (Weinan)
- cleanup i915_reg_t vs. offset usage (Zhenyu)
- move write protect handler out of mmio handler (Zhenyu)

Happy Holidays!

Thanks,
Rodrigo.

The following changes since commit ee5b5bf351ec8cd8f11c631cb76b30f602e866ee:

  drm/i915: Update DRIVER_DATE to 20171214 (2017-12-14 12:10:02 -0800)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-12-22

for you to fetch changes up to cfe4982ca488016d697cf0769ae70c9a78060c0d:

  drm/i915: Update DRIVER_DATE to 20171222 (2017-12-22 11:41:50 -0800)

----------------------------------------------------------------
- Allow internal page allocation to fail (Chris)
- More improvements on logs, dumps, and trace (Chris, Michal)
- Coffee Lake important fix for stolen memory (Lucas)
- Continue to make GPU reset more robust as well
   improving selftest coverage for it (Chris)
- Unifying debugfs return codes (Michal)
- Using existing helper for testing obj pages (Matthew)
- Organize and improve gem_request tracepoints (Lionel)
- Protect DDI port to DPLL map from theoretical race (Rodrigo)
- ... and consequently fixing the indentation on this DDI clk selection function (Chris)
- ... and consequently properly serializing non-blocking modesets (Ville)
- Add support for horizontal plane flipping on Cannonlake (Joonas)
- Two Cannonlake Workarounds for better stability (Rafael)
- Fix mess around PSR registers (DK)
- More Coffee Lake PCI IDs (Rodrigo)
- Remove CSS modifiers on pipe C of Geminilake (Krisman)
- Disable all planes for load detection (Ville)
- Reorg on i915 display headers (Michal)
- Avoid enabling movntdqa optimization on hypervisor guest (Changbin)

GVT:
- more mmio switch optimization (Weinan)
- cleanup i915_reg_t vs. offset usage (Zhenyu)
- move write protect handler out of mmio handler (Zhenyu)

----------------------------------------------------------------
Changbin Du (1):
      drm/i915: Do not enable movntdqa optimization in hypervisor guest

Chris Wilson (17):
      drm/i915: Allow internal page allocations to fail
      drm/i915/lpe: Remove double-encapsulation of info string
      drm/i915: Skip an engine reset if it recovered before our preparations
      drm/i915: Restore the kernel context after a GPU reset on an idle engine
      drm/i915: Re-enable GGTT earlier after GPU reset
      drm/i915: Show IPEIR and IPEHR in the engine dump
      drm/i915/selftests: Fix up igt_reset_engine
      drm/i915: Add a strong mb to resetting the has-CS-interrupt bit
      drm/i915: Fix indentation for intel_ddi_clk_select
      drm/i915: Show if we consider the engine is idle in the GPU error state
      drm/i915: Remove pointer indirection for hangcheck_state local
      drm/i915: Avoid context dereference inside execlists_submission_tasklet
      drm/i915: Tidy up GEM_TRACE around execlists
      drm/i915: Show FBC worker status in debugfs
      drm/i915/execlists: Show preemption progress in GEM_TRACE
      drm/i915: Assert that the request is on the execution queue before being removed
      drm/i915: Show HWSP in intel_engine_dump()

Dhinakaran Pandiyan (1):
      drm/i915/psr: Fix register name mess up.

Gabriel Krisman Bertazi (1):
      i915: Reject CCS modifiers for pipe C on Geminilake

Joonas Lahtinen (1):
      drm/i915/cnl: Add support for horizontal plane flipping

Lionel Landwerlin (2):
      drm/i915: reorder field in gem_request tracepoints
      drm/i915/trace: add hw_id to gem requests trace points

Lucas De Marchi (1):
      x86/gpu: add CFL to early quirks

Matthew Auld (1):
      drm/i915: prefer i915_gem_object_has_pages()

Michal Wajdeczko (11):
      drm/i915: Unifying debugfs return codes for unsupported features
      drm/i915: Add pretty printer for device info flags
      drm/i915: Convert intel_device_info_dump into pretty printer
      drm/i915: Add pretty printer for modparams
      drm/i915: Move some utility functions to i915_util.h
      drm/i915: Move display related definitions to dedicated header
      drm/i915: Move opregion definitions to dedicated intel_opregion.h
      drm/i915: Move intel_device_info definitions to its own header
      drm/i915: Update intel_device_info_runtime_init() parameter
      drm/i915: Add pretty printer for runtime part of intel_device_info
      drm/i915: Dump device info at once

Rafael Antognolli (2):
      drm/i915: Implement WaDisableVFclkgate.
      drm/i915: Implement WaDisableEarlyEOT.

Rodrigo Vivi (4):
      drm/i915: Protect DDI port to DPLL map from theoretical race.
      drm/i915/cfl: Adding more Coffee Lake PCI IDs.
      Merge tag 'gvt-next-2017-12-22' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20171222

Ville Syrjälä (6):
      drm/i915: Disable all planes for load detection, v2.
      drm/i915: Disable DC states around GMBUS on GLK
      drm/i915: No need to power up PG2 for GMBUS on BXT
      drm/i915: Clean up the PNV bit banging vs. GMBUS clock gating w/a
      drm/i915: Disable GMBUS clock gating around GMBUS transfers on gen9+
      drm/i915: Put all non-blocking modesets onto an ordered wq

Weinan Li (4):
      drm/i915/gvt: refine trace_render_mmio
      drm/i915/gvt: optimize for vGPU mmio switch
      drm/i915/gvt: refine mocs save restore policy
      drm/i915/gvt: load host render mocs once in mocs switch

Xiaolin Zhang (1):
      drm/i915/gvt: Fix pipe A enable as default for vgpu

Zhenyu Wang (4):
      Merge tag 'drm-intel-next-2017-12-14' into gvt-next
      drm/i915/gvt: always use i915_reg_t for MMIO handler definition
      drm/i915/gvt: cleanup usage for typed mmio reg vs. offset
      drm/i915/gvt: move write protect handler out of mmio emulation function

 arch/x86/kernel/early-quirks.c                   |   1 +
 drivers/gpu/drm/i915/Kconfig.debug               |   2 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c            |  39 +-
 drivers/gpu/drm/i915/gvt/display.c               |  81 +--
 drivers/gpu/drm/i915/gvt/edid.c                  |  22 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c            |  30 +-
 drivers/gpu/drm/i915/gvt/gtt.c                   |  37 +-
 drivers/gpu/drm/i915/gvt/gtt.h                   |   3 +
 drivers/gpu/drm/i915/gvt/gvt.c                   |   1 +
 drivers/gpu/drm/i915/gvt/gvt.h                   |  33 +-
 drivers/gpu/drm/i915/gvt/handlers.c              | 750 +++++++++++------------
 drivers/gpu/drm/i915/gvt/kvmgt.c                 |   4 +-
 drivers/gpu/drm/i915/gvt/mmio.c                  |  57 +-
 drivers/gpu/drm/i915/gvt/mmio.h                  |   7 -
 drivers/gpu/drm/i915/gvt/mmio_context.c          | 238 +++----
 drivers/gpu/drm/i915/gvt/trace.h                 |  15 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                  |  24 +-
 drivers/gpu/drm/i915/i915_debugfs.c              | 116 ++--
 drivers/gpu/drm/i915/i915_drv.c                  |  46 +-
 drivers/gpu/drm/i915/i915_drv.h                  | 503 +--------------
 drivers/gpu/drm/i915/i915_gem.c                  |  28 +-
 drivers/gpu/drm/i915/i915_gem_internal.c         |   4 +-
 drivers/gpu/drm/i915/i915_gem_request.c          |   2 +
 drivers/gpu/drm/i915/i915_gpu_error.c            |  31 +-
 drivers/gpu/drm/i915/i915_memcpy.c               |   7 +-
 drivers/gpu/drm/i915/i915_params.c               |  33 +
 drivers/gpu/drm/i915/i915_params.h               |   4 +
 drivers/gpu/drm/i915/i915_pci.c                  |   2 +
 drivers/gpu/drm/i915/i915_reg.h                  |  10 +
 drivers/gpu/drm/i915/i915_trace.h                |  40 +-
 drivers/gpu/drm/i915/i915_utils.h                |  15 +
 drivers/gpu/drm/i915/intel_ddi.c                 |   6 +-
 drivers/gpu/drm/i915/intel_device_info.c         |  85 ++-
 drivers/gpu/drm/i915/intel_device_info.h         | 183 ++++++
 drivers/gpu/drm/i915/intel_display.c             | 203 ++----
 drivers/gpu/drm/i915/intel_display.h             | 321 ++++++++++
 drivers/gpu/drm/i915/intel_engine_cs.c           |  55 +-
 drivers/gpu/drm/i915/intel_hangcheck.c           |  10 +-
 drivers/gpu/drm/i915/intel_i2c.c                 |  61 +-
 drivers/gpu/drm/i915/intel_lpe_audio.c           |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c                 |  17 +-
 drivers/gpu/drm/i915/intel_opregion.c            |   2 +
 drivers/gpu/drm/i915/intel_opregion.h            | 106 ++++
 drivers/gpu/drm/i915/intel_pm.c                  |   5 +
 drivers/gpu/drm/i915/intel_psr.c                 |  16 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c          |   3 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c      |   2 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c | 315 ++++++++--
 include/drm/i915_pciids.h                        |  32 +-
 49 files changed, 2046 insertions(+), 1563 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_device_info.h
 create mode 100644 drivers/gpu/drm/i915/intel_display.h
 create mode 100644 drivers/gpu/drm/i915/intel_opregion.h
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-12-18 19:50 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2017-12-18 19:50 UTC (permalink / raw)
  To: Dave Airlie
  Cc: Daniel Vetter, intel-gfx, Joonas Lahtinen, dri-devel, Rodrigo Vivi

Hi Dave,

I expect to have one more pile next week for rc6. But for now consider
already applying this one.

On this one here we had one backmerge for a reconciliation drm_print.h
between us and drm-misc-next. But that should be transparent to you.

On the GVT side it is worth to highlight that among other things
listed below we received a VFIO addition of a new ABI for mdev display
dma-buf operation, which have been reviewed by VFIO devs and acked by its
maintainer including "acked to push through gvt merge".

We also have x86/early-quirks changes coming from us, but acked by X86
Maintainers.

Here goes drm-intel-next-2017-12-14:

- Fix documentation build issues (Randy, Markus)
- Fix timestamp frequency calculation for perf on CNL (Lionel)
- New DMC firmware for Skylake (Anusha)
- GTT flush fixes and other GGTT write track and refactors (Chris)
- Taint kernel when GPU reset fails (Chris)
- Display workarounds organization (Lucas)
- GuC and HuC initialization clean-up and fixes (Michal)
- Other fixes around GuC submission (Michal)
- Execlist clean-ups like caching ELSP reg offset and improving log readability (Chri\
s)
- Many other improvements on our logs and dumps (Chris)
- Restore GT performance in headless mode with DMC loaded (Tvrtko)
- Stop updating legacy fb parameters since FBC is not using anymore (Daniel)
- More selftest improvements (Chris)
- Preemption fixes and improvements (Chris)
- x86/early-quirks improvements for Intel graphics stolen memory. (Joonas, Matthew)
- Other improvements on Stolen Memory code to be resource centric. (Matthew)
- Improvements and fixes on fence allocation/release (Chris).

GVT:

- fixes for two coverity scan errors (Colin)
- mmio switch code refine (Changbin)
- more virtual display dmabuf fixes (Tina/Gustavo)
- misc cleanups (Pei)
- VFIO mdev display dmabuf interface and gvt support (Tina)
- VFIO mdev opregion support/fixes (Tina/Xiong/Chris)
- workload scheduling optimization (Changbin)
- preemption fix and temporal workaround (Zhenyu)
- and misc fixes after refactor (Chris)

Thanks,
Rodrigo.

The following changes since commit 3f1f0b1c57dd617e9b0ded50efb8d6c011b85b20:

  Merge tag 'drm-intel-next-2017-12-01' of git://anongit.freedesktop.org/drm/drm-intel into drm-next (2017-12-08 08:41:22 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-12-14

for you to fetch changes up to ee5b5bf351ec8cd8f11c631cb76b30f602e866ee:

  drm/i915: Update DRIVER_DATE to 20171214 (2017-12-14 12:10:02 -0800)

----------------------------------------------------------------
- Fix documentation build issues (Randy, Markus)
- Fix timestamp frequency calculation for perf on CNL (Lionel)
- New DMC firmware for Skylake (Anusha)
- GTT flush fixes and other GGTT write track and refactors (Chris)
- Taint kernel when GPU reset fails (Chris)
- Display workarounds organization (Lucas)
- GuC and HuC initialization clean-up and fixes (Michal)
- Other fixes around GuC submission (Michal)
- Execlist clean-ups like caching ELSP reg offset and improving log readability (Chri\
s)
- Many other improvements on our logs and dumps (Chris)
- Restore GT performance in headless mode with DMC loaded (Tvrtko)
- Stop updating legacy fb parameters since FBC is not using anymore (Daniel)
- More selftest improvements (Chris)
- Preemption fixes and improvements (Chris)
- x86/early-quirks improvements for Intel graphics stolen memory. (Joonas, Matthew)
- Other improvements on Stolen Memory code to be resource centric. (Matthew)
- Improvements and fixes on fence allocation/release (Chris).

GVT:

- fixes for two coverity scan errors (Colin)
- mmio switch code refine (Changbin)
- more virtual display dmabuf fixes (Tina/Gustavo)
- misc cleanups (Pei)
- VFIO mdev display dmabuf interface and gvt support (Tina)
- VFIO mdev opregion support/fixes (Tina/Xiong/Chris)
- workload scheduling optimization (Changbin)
- preemption fix and temporal workaround (Zhenyu)
- and misc fixes after refactor (Chris)

----------------------------------------------------------------
Anusha Srivatsa (1):
      drm/i915/skl: DMC firmware for skylake v1.27

Changbin Du (6):
      drm/i915/gvt: Convert macro queue_workload to a function
      drm/i915/gvt: Kick scheduler when new workload queued
      drm/i915/gvt: Refine the ring mmio list definition
      drm/i915/gvt: Select appropriate mmio list at initialization time
      drm/i915/gvt: Remove MMIO barrier in MMIO switch
      drm/i915/gvt: Rename file render.{c, h} to mmio_context.{c, h}

Chris Wilson (31):
      drm/i915/gvt: Cleanup unwanted public symbols
      drm/i915/gvt: Fix out-of-bounds buffer write into opregion->signature[]
      drm/i915: Flush pending GTT writes before unbinding
      drm/i915: Taint (TAINT_WARN) the kernel if the GPU reset fails
      drm/i915: Remove vma from object on destroy, not close
      drm/i915: Track GGTT writes on the vma
      drm/i915: Refactor common list iteration over GGTT vma
      drm/i915/execlists: Cache ELSP register offset
      drm/i915: Drop fb reference on load_detect_pipe failure path
      drm/i915: Use snprintf to avoid line-break when pretty-printing engines
      drm/i915: Make engine state pretty-printer header configurable
      drm/i915: Include engine state on detecting a missed breadcrumb/seqno
      drm/i915: Include the global reset count for intel_engine_dump()
      drm/i915: Add is-wedged flag to intel_engine_dump()
      drm/i915: Remove debugfs/i915_seqno_info
      drm/i915: Stop showing seqno info from debugfs/i915_interrupt_info
      agp/intel: Flush all chipset writes after updating the GGTT
      drm/i915/selftests: Free mock_i915->drm.mode_config
      drm/i915: Stop listening to request resubmission from the signaler kthread
      drm/i915: Only report a wakeup if the waiter was truly asleep
      drm/i915: Print an error message for a reset failure on gen2
      drm/i915: Downgrade misleading "Memory usable" message
      drm/i915: Bump timeout for wait_for_engines()
      drm/i915: Dump the engine state before declaring wedged from wait_for_engines()
      drm/i915/fence: Use rcu to defer freeing of irq_work
      drm/i915: Don't check #active_requests from i915_gem_wait_for_idle()
      drm/i915: Mark up potential allocation paths within i915_sw_fence as might_sleep
      drm/i915: Allow fence allocations to fail
      drm/i915: Ratelimit request allocation under oom
      drm/i915: Unwind i915_gem_init() failure
      drm/i915: Show engine state when hangcheck detects a stall

Colin Ian King (2):
      drm/i915/gvt: Add missing breaks in switch statement
      drm/i915/gvt: fix off-by-one comparison of ring_id

Daniel Vetter (1):
      intel/atomic: Stop updating legacy fb parameters

Gustavo A. R. Silva (1):
      drm/i915/gvt/fb_decoder: Fix out-of-bounds read

Joonas Lahtinen (1):
      x86/early-quirks: Extend Intel graphics stolen memory placement to 64bit

Lionel Landwerlin (2):
      drm/i915/cnl: only divide up base frequency with crystal source
      drm/i915: make CS frequency read support missing more obvious

Lucas De Marchi (2):
      drm/i915: follow single notation for workaround number
      drm/i915: add platform tag to WA

Markus Heiser (1):
      docs: fix, intel_guc_loader.c has been moved to intel_guc_fw.c

Matthew Auld (8):
      x86/early-quirks: export the stolen region as a resource
      x86/early-quirks: replace the magical increment start values
      drm/i915: nuke the duplicated stolen discovery
      drm/i915: make dsm struct resource centric
      drm/i915: make reserved struct resource centric
      drm/i915: make mappable struct resource centric
      drm/i915: give stolen_usable_size a more suitable home
      drm/i915: prefer resource_size_t for everything stolen

Michal Wajdeczko (7):
      drm/i915/huc: Move firmware selection to init_early
      drm/i915/guc: Move firmware selection to init_early
      drm/i915/guc: Introduce USES_GUC_xxx helper macros
      drm/i915/uc: Don't fetch GuC firmware if no plan to use GuC
      drm/i915/uc: Don't use -EIO to report missing firmware
      drm/i915/guc: Combine enable_guc_loading|submission modparams
      drm/i915/huc: Load HuC only if requested

Michał Winiarski (7):
      drm/i915/guc: Move shared data allocation away from submission path
      drm/i915/guc: Move GuC workqueue allocations outside of the mutex
      drm/i915/guc: Extract guc_init from guc_init_hw
      drm/i915/guc: Call invalidate after changing the vfunc
      drm/i915/guc: Extract doorbell creation from client allocation
      drm/i915/guc: Extract clients allocation to submission_init
      drm/i915/guc: Extract doorbell verification into a function

Pei Zhang (2):
      drm/i915/gvt/kvmgt: fill info for ROM/VGA region
      drm/i915/gvt: refine function emulate_mmio_read/write

Randy Dunlap (1):
      documentation/gpu/i915: fix docs build error after file rename

Rodrigo Vivi (4):
      Merge tag 'gvt-next-2017-12-05' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      Merge airlied/drm-next into drm-intel-next-queued
      Merge tag 'gvt-next-2017-12-14' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20171214

Sebastian Andrzej Siewior (1):
      drm/i915: properly init lockdep class

Tina Zhang (8):
      drm/i915/gvt: Add opregion support
      drm/i915/gvt: Add framebuffer decoder support
      vfio: ABI for mdev display dma-buf operation
      drm/i915/gvt: Dmabuf support for GVT-g
      drm/i915/gvt: Handle orphan dmabuf_objs
      drm/i915/gvt: Introduce KBL to dma-buf on Gvt-g
      drm/i915/gvt: Free dmabuf_obj list in intel_vgpu_dmabuf_cleanup
      drm/i915/gvt: Refine dmabuf_obj cleanup process

Tvrtko Ursulin (1):
      drm/i915: Restore GT performance in headless mode with DMC loaded

Xiong Zhang (1):
      drm/i915/gvt: Alloc and Init guest opregion at vgpu creation

Zhenyu Wang (2):
      drm/i915/gvt: Don't mark vgpu context as inactive when preempted
      drm/i915/gvt: set max priority for gvt context

 Documentation/gpu/i915.rst                         |   5 +-
 arch/x86/kernel/early-quirks.c                     |  86 ++--
 drivers/char/agp/intel-gtt.c                       |  16 +-
 drivers/gpu/drm/i915/gvt/Makefile                  |   3 +-
 drivers/gpu/drm/i915/gvt/cfg_space.c               |   3 +-
 drivers/gpu/drm/i915/gvt/display.c                 |   2 +-
 drivers/gpu/drm/i915/gvt/display.h                 |   2 +
 drivers/gpu/drm/i915/gvt/dmabuf.c                  | 537 +++++++++++++++++++++
 drivers/gpu/drm/i915/gvt/dmabuf.h                  |  67 +++
 drivers/gpu/drm/i915/gvt/execlist.c                |   8 +-
 drivers/gpu/drm/i915/gvt/fb_decoder.c              | 514 ++++++++++++++++++++
 drivers/gpu/drm/i915/gvt/fb_decoder.h              | 169 +++++++
 drivers/gpu/drm/i915/gvt/gvt.c                     |   4 +
 drivers/gpu/drm/i915/gvt/gvt.h                     |  23 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |   6 +-
 drivers/gpu/drm/i915/gvt/hypercall.h               |   3 +
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 167 ++++++-
 drivers/gpu/drm/i915/gvt/mmio.c                    |  36 +-
 .../gpu/drm/i915/gvt/{render.c => mmio_context.c}  | 262 +++++-----
 .../gpu/drm/i915/gvt/{render.h => mmio_context.h}  |   9 +
 drivers/gpu/drm/i915/gvt/mpt.h                     |  45 ++
 drivers/gpu/drm/i915/gvt/opregion.c                | 137 ++++--
 drivers/gpu/drm/i915/gvt/sched_policy.c            |   5 +
 drivers/gpu/drm/i915/gvt/sched_policy.h            |   2 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |  21 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   7 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |  17 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  48 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  29 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  53 +-
 drivers/gpu/drm/i915/i915_gem.c                    | 200 +++++---
 drivers/gpu/drm/i915/i915_gem_clflush.c            |   2 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   6 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 106 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  19 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   2 +
 drivers/gpu/drm/i915/i915_gem_request.c            |  39 +-
 drivers/gpu/drm/i915/i915_gem_request.h            |   2 +
 drivers/gpu/drm/i915/i915_gem_stolen.c             | 275 ++++-------
 drivers/gpu/drm/i915/i915_gem_tiling.c             |  10 +-
 drivers/gpu/drm/i915/i915_gem_timeline.c           |   5 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   2 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   2 +-
 drivers/gpu/drm/i915/i915_params.c                 |  11 +-
 drivers/gpu/drm/i915/i915_params.h                 |   7 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |   6 +-
 drivers/gpu/drm/i915/i915_vma.c                    |  34 +-
 drivers/gpu/drm/i915/i915_vma.h                    |  35 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  73 ++-
 drivers/gpu/drm/i915/intel_csr.c                   |   4 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  18 +-
 drivers/gpu/drm/i915/intel_display.c               |  39 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  50 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |  13 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   3 +-
 drivers/gpu/drm/i915/intel_guc.c                   | 111 ++++-
 drivers/gpu/drm/i915/intel_guc.h                   |   4 +
 drivers/gpu/drm/i915/intel_guc_fw.c                |  63 +--
 drivers/gpu/drm/i915/intel_guc_fw.h                |   2 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |  29 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        | 300 +++---------
 drivers/gpu/drm/i915/intel_guc_submission.h        |   2 +
 drivers/gpu/drm/i915/intel_gvt.c                   |   2 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |   7 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   2 +-
 drivers/gpu/drm/i915/intel_huc.c                   |  81 ++--
 drivers/gpu/drm/i915/intel_huc.h                   |   6 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  13 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   4 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  35 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  10 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   5 +
 drivers/gpu/drm/i915/intel_uc.c                    | 249 +++++++---
 drivers/gpu/drm/i915/intel_uc.h                    |  23 +
 drivers/gpu/drm/i915/intel_uc_fw.c                 |   2 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |   5 +
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   4 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         | 112 ++---
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   7 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   7 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   4 +-
 include/drm/i915_drm.h                             |   3 +
 include/drm/intel-gtt.h                            |   3 +-
 include/uapi/linux/vfio.h                          |  62 +++
 85 files changed, 3102 insertions(+), 1306 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gvt/dmabuf.c
 create mode 100644 drivers/gpu/drm/i915/gvt/dmabuf.h
 create mode 100644 drivers/gpu/drm/i915/gvt/fb_decoder.c
 create mode 100644 drivers/gpu/drm/i915/gvt/fb_decoder.h
 rename drivers/gpu/drm/i915/gvt/{render.c => mmio_context.c} (53%)
 rename drivers/gpu/drm/i915/gvt/{render.h => mmio_context.h} (91%)
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-12-07 21:43 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2017-12-07 21:43 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi

Hi Dave,

Here goes drm-intel-next-2017-12-01.

As Gustavo already pointed out, when applying this and drm-misc-next
you will get the build failure. And the fix up is:
-	enum plane plane;
+	enum i9xx_plane_id plane;

Changes on this tag:

- Init clock gate fix (Ville)
- Execlists event handling corrections (Chris, Michel)
- Improvements on GPU Cache invalidation and context switch (Chris)
- More perf OA changes (Lionel)
- More selftests improvements and fixes (Chris, Matthew)
- Clean-up on modules parameters (Chris)
- Clean-up around old ringbuffer submission and hw semaphore on old platforms (Chris)
- More Cannonlake stabilization effort (David, James)
- Display planes clean-up and improvements (Ville)
- New PMU interface for perf queries... (Tvrtko)
- ... and other subsequent PMU changes and fixes (Tvrtko, Chris)
- Remove success dmesg noise from rotation (Chris)
- New DMC for Kabylake (Anusha)
- Fixes around atomic commits (Daniel)
- GuC updates and fixes (Sagar, Michal, Chris)
- Couple gmbus/i2c fixes (Ville)
- Use exponential backoff for all our wait_for() (Chris)
- Fixes for i915/fbdev (Chris)
- Backlight fixes (Arnd)
- Updates on shrinker (Chris)
- Make Hotplug enable more robuts (Chris)
- Disable huge pages (TPH) on lack of a needed workaround (Joonas)
- New GuC images for SKL, KBL, BXT (Sagar)
- Add HW Workaround for Geminilake performance (Valtteri)
- Fixes for PPS timings (Imre)
- More IPS fixes (Maarten)
- Many fixes for Display Port on gen2-gen4 (Ville)
- Retry GPU reset making the recover from hang more robust (Chris)

Thanks,
Rodrigo.The following changes since commit 010d118c20617021025a930bc8e90f371ab99da5:

  drm/i915: Update DRIVER_DATE to 20171117 (2017-11-17 14:47:02 -0800)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-12-01

for you to fetch changes up to d65efe7c951371fbad2c426b59bbac8bf2e60662:

  drm/i915: Update DRIVER_DATE to 20171201 (2017-12-01 17:07:19 -0800)

----------------------------------------------------------------
drm-intel-next-2017-12-01:

- Init clock gate fix (Ville)
- Execlists event handling corrections (Chris, Michel)
- Improvements on GPU Cache invalidation and context switch (Chris)
- More perf OA changes (Lionel)
- More selftests improvements and fixes (Chris, Matthew)
- Clean-up on modules parameters (Chris)
- Clean-up around old ringbuffer submission and hw semaphore on old platforms (Chris)
- More Cannonlake stabilization effort (David, James)
- Display planes clean-up and improvements (Ville)
- New PMU interface for perf queries... (Tvrtko)
- ... and other subsequent PMU changes and fixes (Tvrtko, Chris)
- Remove success dmesg noise from rotation (Chris)
- New DMC for Kabylake (Anusha)
- Fixes around atomic commits (Daniel)
- GuC updates and fixes (Sagar, Michal, Chris)
- Couple gmbus/i2c fixes (Ville)
- Use exponential backoff for all our wait_for() (Chris)
- Fixes for i915/fbdev (Chris)
- Backlight fixes (Arnd)
- Updates on shrinker (Chris)
- Make Hotplug enable more robuts (Chris)
- Disable huge pages (TPH) on lack of a needed workaround (Joonas)
- New GuC images for SKL, KBL, BXT (Sagar)
- Add HW Workaround for Geminilake performance (Valtteri)
- Fixes for PPS timings (Imre)
- More IPS fixes (Maarten)
- Many fixes for Display Port on gen2-gen4 (Ville)
- Retry GPU reset making the recover from hang more robust (Chris)

----------------------------------------------------------------
Anusha Srivatsa (1):
      drm/i915/dmc: DMC 1.04 for Kabylake

Arnd Bergmann (2):
      drm/i915: fix intel_backlight_device_register declaration
      drm/i915: hide unused intel_panel_set_backlight function

Chris Wilson (39):
      drm/i915/execlists: Listen to COMPLETE context event not ACTIVE_IDLE
      drm/i915/execlists: Reduce completed event mask to COMPLETE | PREEMPTED
      drm/i915/execlists: Assert that we don't get mixed IDLE_ACTIVE | COMPLETE events
      drm/i915: Pull the unconditional GPU cache invalidation into request construction
      drm/i915: Automatic i915_switch_context for legacy
      drm/i915/selftest: Make guc clients static
      drm/i915: Remove i915.enable_execlists module parameter
      drm/i915: Remove obsolete ringbuffer emission for gen8+
      drm/i915: Disable semaphores on Sandybridge
      drm/i915: Move debugfs/i915_semaphore_status to i915_engine_info
      drm/i915: Remove i915.semaphores modparam
      drm/i915/selftests: Declare we allocated the guc clients
      drm/i915/selftests: Avoid drm_gem_handle_create under struct_mutex
      drm/i915/selftests: Use NOWARN for large allocations
      drm/i915: Remove success dmesg noise for intel_rotate_pages()
      drm/i915: Call i915_gem_init_userptr() before taking struct_mutex
      drm/i915: Save/restore irq state for vlv_residency_raw()
      drm/i915: Unwind incomplete legacy context switches
      drm/i915: Move mi_set_context() into the legacy ringbuffer submission
      drm/i915/pmu: Hide the (unsigned long)ptr cast
      drm/i915/selftests: Hold rpm wakeref for request + ggtt usage
      drm/i915/pmu: Remove conditional HOTPLUG_CPU registration
      drm/i915: Select DEBUG_FS for our test suite
      drm/i915/guc: Advance over port[0] if set and not preempting
      drm/i915/guc: Tidy ELSP port assignment
      drm/i915: Use exponential backoff for wait_for()
      drm/i915/fbdev: Serialise early hotplug events with async fbdev config
      drm/i915: Flush everything on switching to the kernel_context
      drm/i915: Record default HW state in the GPU error state
      drm/i915: Rename shrinker init/cleanup to match driver initialisation phase
      drm/i915: Update shrinker drm_i915_private naming convention
      drm/i915: Rename i915_gem_timelines_mark_idle
      drm/i915: Enable hotplug polling after registering the outputs
      drm/i915: Skip switch-to-kernel-context on suspend when wedged
      drm/i915: Tidy up signed/unsigned comparison
      drm/i915: Set fake_vma.size as well as fake_vma.node.size for capture
      drm/i915/selftests: Wake the device before executing requests on the GPU
      drm/i915: Sleep and retry a GPU reset if at first we don't succeed
      drm/i915: Remove unsafe i915.enable_rc6

Daniel Vetter (2):
      drm/i915: sync dp link status checks against atomic commmits
      drm/i915: remove stale comment from sanitize_encoder

David Weinehall (1):
      drm/i915: Don't use GEN6_RC_VIDEO_FREQ on gen10+

Imre Deak (1):
      drm/i915: Avoid PPS HW/SW state mismatch due to rounding

James Ausmus (1):
      drm/i915/cnl: Mask previous DDI - PLL mapping

Joonas Lahtinen (1):
      drm/i915: Disable THP until we have a GPU read BW W/A

Lionel Landwerlin (2):
      drm/i915/perf: replace .reg accesses with i915_mmio_reg_offset
      drm/i915/perf: reuse timestamp frequency from device info

Maarten Lankhorst (2):
      drm/i915: Make ips_enabled a property depending on whether IPS is enabled, v3.
      drm/i915: Enable IPS with only sprite plane visible too, v4.

Matthew Auld (2):
      drm/i915/selftests: rein in igt_write_huge
      drm/i915/selftests: test descending addresses

Michal Wajdeczko (2):
      drm/i915/guc: Rename i915_guc_reg.h to intel_guc_reg.h
      drm/i915/guc: Use consistent name for scratch register count

Michel Thierry (1):
      drm/i915/execlists: Delay writing to ELSP until HW has processed the previous write

Rodrigo Vivi (1):
      drm/i915: Update DRIVER_DATE to 20171201

Sagar Arun Kamble (4):
      drm/i915/guc: Update header guard name of intel_guc_submission.h
      drm/i915/guc: Change default GuC FW for SKL to v9.33
      drm/i915/guc: Change default GuC FW for BXT to v9.29
      drm/i915/guc: Change default GuC FW for KBL to v9.39

Tvrtko Ursulin (17):
      drm/i915: Extract intel_get_cagf
      drm/i915/pmu: Expose a PMU interface for perf queries
      drm/i915/pmu: Suspend sampling when GPU is idle
      drm/i915: Wrap context schedule notification
      drm/i915: Engine busy time tracking
      drm/i915/pmu: Wire up engine busy stats to PMU
      drm/i915/pmu: Add interrupt count metric
      drm/i915: Convert intel_rc6_residency_us to ns
      drm/i915/pmu: Add RC6 residency metrics
      drm/i915/pmu: Drop I915_ENGINE_SAMPLE_MAX from uapi headers
      drm/i915/pmu: Clear the previous sample value when parking
      drm/i915/pmu: Only allow running on a single CPU
      drm/i915/pmu: Stop averaging with the previous sample
      drm/i915/pmu: Aggregate all RC6 states into one counter
      drm/i915/pmu: Return -EINVAL when selecting the inactive CPU
      drm/i915: Move engine->needs_cmd_parser to engine->flags
      drm/i915: Consolidate checks for engine stats availability

Valtteri Rantala (1):
      drm/i915/glk: Apply WaProgramL3SqcReg1DefaultForPerf for GLK too

Ville Syrjälä (21):
      drm/i915: Fix init_clock_gating for resume
      drm/i915: Add .get_hw_state() method for planes
      drm/i915: Redo plane sanitation during readout
      drm/i915: s/enum plane/enum i9xx_plane_id/
      drm/i915: Use enum i9xx_plane_id for the .get_fifo_size() hooks
      drm/i915: Cleanup enum pipe/enum plane_id/enum i9xx_plane_id in initial fb readout
      drm/i915: Nuke ironlake_get_initial_plane_config()
      drm/i915: Switch fbc over to for_each_new_intel_plane_in_state()
      drm/i915: Nuke crtc->plane
      drm/i915: Use plane->get_hw_state() for initial plane fb readout
      drm/i915: Add rudimentary plane state verification
      drm/i915: Don't try indexed reads to alternate slave addresses
      drm/i915: Prevent zero length "index" write
      drm/i915: Disable DP audio for g4x
      drm/i915: Don't add the "force audio" property to DP connectors that don't support audio
      drm/i915: Fix has_audio readout for DDI A
      drm/i915: Fix deadlock in i830_disable_pipe()
      drm/i915: Fix vblank timestamp/frame counter jumps on gen2
      drm/i915: Wait for pipe to start on i830 as well
      drm/i915: Pass crtc state to intel_pipe_{enable,disable}()
      drm/i915: Interlaced DP output doesn't work on VLV/CHV

 drivers/gpu/drm/i915/Kconfig.debug                 |   1 +
 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/gvt/render.c                  |   3 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   7 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 143 +---
 drivers/gpu/drm/i915/i915_drv.c                    |  68 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  56 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  64 +-
 drivers/gpu/drm/i915/i915_gem_context.c            | 316 +-------
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  17 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  11 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |   4 -
 drivers/gpu/drm/i915/i915_gem_request.c            |  25 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           | 138 ++--
 drivers/gpu/drm/i915/i915_gem_timeline.c           |   6 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |   2 +-
 drivers/gpu/drm/i915/i915_gemfs.c                  |   3 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  60 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   4 +-
 drivers/gpu/drm/i915/i915_params.c                 |  15 -
 drivers/gpu/drm/i915/i915_params.h                 |   3 -
 drivers/gpu/drm/i915/i915_pci.c                    |   2 +
 drivers/gpu/drm/i915/i915_perf.c                   |  86 +-
 drivers/gpu/drm/i915/i915_pmu.c                    | 865 +++++++++++++++++++++
 drivers/gpu/drm/i915/i915_pmu.h                    | 111 +++
 drivers/gpu/drm/i915/i915_reg.h                    |   3 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |  33 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   2 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   4 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  21 +-
 drivers/gpu/drm/i915/intel_display.c               | 810 ++++++++++---------
 drivers/gpu/drm/i915/intel_dp.c                    |  81 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  30 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 167 +++-
 drivers/gpu/drm/i915/intel_fbc.c                   |  35 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |  10 +-
 drivers/gpu/drm/i915/intel_guc.c                   |   3 +-
 drivers/gpu/drm/i915/intel_guc.h                   |   2 +-
 drivers/gpu/drm/i915/intel_guc_fw.c                |  14 +-
 .../drm/i915/{i915_guc_reg.h => intel_guc_reg.h}   |   7 +-
 drivers/gpu/drm/i915/intel_guc_submission.c        |  38 +-
 drivers/gpu/drm/i915/intel_guc_submission.h        |   4 +-
 drivers/gpu/drm/i915/intel_gvt.c                   |   5 -
 drivers/gpu/drm/i915/intel_hangcheck.c             |  44 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   4 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  86 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |   4 -
 drivers/gpu/drm/i915/intel_panel.c                 |  88 +--
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   2 -
 drivers/gpu/drm/i915/intel_pm.c                    | 234 +++---
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 624 ++++++---------
 drivers/gpu/drm/i915/intel_ringbuffer.h            | 174 ++++-
 drivers/gpu/drm/i915/intel_sprite.c                |  85 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   3 -
 drivers/gpu/drm/i915/selftests/huge_pages.c        | 176 +++--
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  31 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |   2 +
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |  20 -
 drivers/gpu/drm/i915/selftests/i915_random.c       |   2 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         |   4 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   9 -
 include/uapi/drm/i915_drm.h                        |  40 +
 63 files changed, 2851 insertions(+), 2063 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_pmu.c
 create mode 100644 drivers/gpu/drm/i915/i915_pmu.h
 rename drivers/gpu/drm/i915/{i915_guc_reg.h => intel_guc_reg.h} (98%)
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-12-01  0:36 Rodrigo Vivi
  0 siblings, 0 replies; 265+ messages in thread
From: Rodrigo Vivi @ 2017-12-01  0:36 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi

Hi Dave,

Here goes the first set of changes for 4.16.

There is a small conflict on gvt/cmd_parser.c that is easy
to solve and just go with "unsigned long bb_size;"

drm-intel-next-2017-11-17-1:
More change sets for 4.16:

- Many improvements for selftests and other igt tests (Chris)
- Forcewake with PUNIT->PMIC bus fixes and robustness (Hans)
- Define an engine class for uABI (Tvrtko)
- Context switch fixes and improvements (Chris)
- GT powersavings and power gating simplification and fixes (Chris)
- Other general driver clean-ups (Chris, Lucas, Ville)
- Removing old, useless and/or bad workarounds (Chris, Oscar, Radhakrishna)
- IPS, pipe config, etc in preparation for another Fast Boot attempt (Maarten)
- OA perf fixes and support to Coffee Lake and Cannonlake (Lionel)
- Fixes around GPU fault registers (Michel)
- GEM Proxy (Tina)
- Refactor of Geminilake and Cannonlake plane color handling (James)
- Generalize transcoder loop (Mika Kahola)
- New HW Workaround for Cannonlake and Geminilake (Rodrigo)
- Resume GuC before using GEM (Chris)
- Stolen Memory handling improvements (Ville)
- Initialize entry in PPAT for older compilers (Chris)
- Other fixes and robustness improvements on execbuf (Chris)
- Improve logs of GEM_BUG_ON (Mika Kuoppala)
- Rework with massive rename of GuC functions and files (Sagar)
- Don't sanitize frame start delay if pipe is off (Ville)
- Cannonlake clock fixes (Rodrigo)
- Cannonlake HDMI 2.0 support (Rodrigo)
- Add a GuC doorbells selftest (Michel)
- Add might_sleep() check to our wait_for() (Chris)

Many GVT changes for 4.16:

- CSB HWSP update support (Weinan)
- GVT debug helpers, dyndbg and debugfs (Chuanxiao, Shuo)
- full virtualized opregion (Xiaolin)
- VM health check for sane fallback (Fred)
- workload submission code refactor for future enabling (Zhi)
- Updated repo URL in MAINTAINERS (Zhenyu)
- other many misc fixes

drm-intel-next-2017-11-09:
Getting started with v4.16 features:

- Cannonlake Enabling (Anusha, Rodrigo, Ville, Michel, Mika Kahola, Rafael)
- Disable lazy PPGTT page table optimization for vGPU (Joonas)
- Preemption with GuC (Michal Winiarsk, Jeff, Michel)
- Other GuC and HuC related fixes and improvements (Michal Winiarsk)
- HuC use helper function while waiting for DMA completion (Michal Wajdeczko)
- Cancel modeset retry work during cleanup (Manasi)
- Improvements on ILK watermarks (Maarten)
- eDP fixes and clean-up (Jani)
- Nuke dig_port->port and assorted cleanups (Ville)
- Pass around crtc and connector states for audio (Ville)
- Forcewake fallback (Mika Kuoppala)
- Perf OA fixes (Lionel)
- Reject unknown syncobj flags (Tvrtko)
- Warn in debug builds of incorrect usages of ptr_pack_bits (Tvrtko)
- Move init_clock_gating back where it was (Ville)
- Finally, numerous GEM fixes, cleanups and improvements (Chris)

Thanks,
Rodrigo.

The following changes since commit f150891fd9878ef0d9197c4e8451ce67c3bdd014:

  Merge tag 'exynos-drm-next-for-v4.15' of git://git.kernel.org/pub/scm/linux/kernel/git/daeinki/drm-exynos into drm-next (2017-11-14 14:12:43 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-11-17-1

for you to fetch changes up to 010d118c20617021025a930bc8e90f371ab99da5:

  drm/i915: Update DRIVER_DATE to 20171117 (2017-11-17 14:47:02 -0800)

----------------------------------------------------------------
More change sets for 4.16:

- Many improvements for selftests and other igt tests (Chris)
- Forcewake with PUNIT->PMIC bus fixes and robustness (Hans)
- Define an engine class for uABI (Tvrtko)
- Context switch fixes and improvements (Chris)
- GT powersavings and power gating simplification and fixes (Chris)
- Other general driver clean-ups (Chris, Lucas, Ville)
- Removing old, useless and/or bad workarounds (Chris, Oscar, Radhakrishna)
- IPS, pipe config, etc in preparation for another Fast Boot attempt (Maarten)
- OA perf fixes and support to Coffee Lake and Cannonlake (Lionel)
- Fixes around GPU fault registers (Michel)
- GEM Proxy (Tina)
- Refactor of Geminilake and Cannonlake plane color handling (James)
- Generalize transcoder loop (Mika Kahola)
- New HW Workaround for Cannonlake and Geminilake (Rodrigo)
- Resume GuC before using GEM (Chris)
- Stolen Memory handling improvements (Ville)
- Initialize entry in PPAT for older compilers (Chris)
- Other fixes and robustness improvements on execbuf (Chris)
- Improve logs of GEM_BUG_ON (Mika Kuoppala)
- Rework with massive rename of GuC functions and files (Sagar)
- Don't sanitize frame start delay if pipe is off (Ville)
- Cannonlake clock fixes (Rodrigo)
- Cannonlake HDMI 2.0 support (Rodrigo)
- Add a GuC doorbells selftest (Michel)
- Add might_sleep() check to our wait_for() (Chris)

Many GVT changes for 4.16:

- CSB HWSP update support (Weinan)
- GVT debug helpers, dyndbg and debugfs (Chuanxiao, Shuo)
- full virtualized opregion (Xiaolin)
- VM health check for sane fallback (Fred)
- workload submission code refactor for future enabling (Zhi)
- Updated repo URL in MAINTAINERS (Zhenyu)
- other many misc fixes

----------------------------------------------------------------
Anusha Srivatsa (1):
      drm/i915/cnl: Update the DMC version on CNL

Changbin Du (7):
      drm/i915/gvt: Add basic debugfs infrastructure
      drm/i915/gvt: Add mmio iterator intel_gvt_for_each_tracked_mmio()
      drm/i915/gvt: Add new debugfs tool mmio_diff
      drm/i915/gvt: Reduce rcs mocs switch latency
      drm/i915/gvt: Don't dump partial state in cmd parser
      drm/i915/gvt: Make gvt_vgpu_err use pr_err
      drm/i915/gvt: Emulate PCI expansion ROM base address register

Chris Wilson (73):
      drm/i915: Bump wait-times for the final CS interrupt before parking
      drm/i915: Synchronize irq before parking each engine
      drm/i915: Filter out spurious execlists context-switch interrupts
      drm/i915/execlists: Remove the priority "optimisation"
      drm/i915: Call cond_resched() before repeating i915_gem_evict_something()
      drm/i915/selftests: Don't try to queue a request with zero delay
      drm/i915: Use same test for eviction and submitting kernel context
      Revert "drm/i915/selftests: Convert timers to use timer_setup()"
      drm/i915: Add a hook for making the engines idle (parking) and unparking
      drm/i915/guc: Always enable the breadcrumbs irq
      drm/i915: Include RING_MODE when dumping the engine state
      drm/i915: Add -Wall -Wextra to our build, set warnings to full
      drm/i915: Empty the ring before disabling
      drm/i915: Hold rcu_read_lock when iterating over the radixtree (objects)
      drm/i915: Hold rcu_read_lock when iterating over the radixtree (vma idr)
      drm/i915: Replace "cc-option -Wno-foo" with "cc-disable-warning foo"
      drm/i915: Check incoming alignment for unfenced buffers (on i915gm)
      drm/i915: Check that the breadcrumb wasn't disarmed automatically before parking
      drm/i915: Move parking-while-active warning to intel_engines_park()
      drm/i915: Give more details for the active-when-parking warning for the engines
      drm/i915: Flush the irq and tasklets before asserting engine is idle
      drm/i915: Set up mocs tables before restarting the engines
      drm/i915: Assert vma->flags are updated correctly during binding
      drm/i915/selftests: Hide dangerous tests
      drm/i915: Lock llist_del_first() vs llist_del_all()
      drm/i915/guc: Assert guc->stage_desc_pool is allocated
      drm/i915: Deconstruct struct sgt_dma initialiser
      drm/i915: Silence smatch for cmdparser
      drm/i915: Silence compiler for csr_load_work_fn()
      drm/i915: Simplify onion for bxt_ddi_phy_init()
      drm/i915: Prevent unbounded wm results in g4x_compute_wm()
      drm/i915/selftests: Skip mixed page exhaustion if only small pages available
      drm/i915/selftests: Take rpm wakeref around GGTT lowlevel tests
      drm/i915/selftests: Take rpm wakeref around partial tiling tests
      drm/i915: Read ilk FDI PLL frequency once during initialisation
      drm/i915: Include intel_engine_is_idle() status in engine pretty-printer
      drm/i915: Idle the GPU before shinking everything
      drm/i915: Prune the reservation shared fence array
      drm/i915: Reorder context-close to avoid calling i915_vma_close() under RCU
      drm/i915: Use trace_printk to provide a death rattle for GEM
      drm/i915: Mark vm_free_page() as a potential sleeper agent
      drm/i915: Mark up i915_vma_unbind() as a potential sleeper
      drm/i915/selftests: Reduce the volume of the timeout message
      drm/i915: Move irqs enabled assertion deeper for mock breadcrumbs
      drm/i915: Restore the wait for idle engine after flushing interrupts
      drm/i915/selftests: Initialise mock_i915->mm.obj_lock
      drm/i915: Force the switch to the i915->kernel_context
      drm/i915: Move GT powersaving init to i915_gem_init()
      drm/i915: Move intel_init_clock_gating() to i915_gem_init()
      drm/i915: Inline intel_modeset_gem_init()
      drm/i915: Mark the context state as dirty/written
      drm/i915: Record the default hw state after reset upon load
      drm/i915: Stop caching the "golden" renderstate
      drm/i915: Kerneldoc typo s/rps/rps_client/
      drm/i915/selftests: Yet another forgotten mock_i915->mm initialiser
      drm/i915: Remove redundant intel_autoenable_gt_powersave()
      drm/i915: Unify SLICE_UNIT_LEVEL_CLKGATE w/a for cnl
      drm/i915: Remove pre-production Broxton register workarounds
      drm/i915: Unconditionally apply the Broxton register workaround set
      drm/i915: Resume GuC before using GEM
      drm/i915/selftests: Always initialise err
      drm/i915/selftests: Markup __iomem for igt_gem_coherency
      drm/i915: Initialise entry in intel_ppat_get() for older compilers
      drm/i915/selftests: Increase size for mock ringbuffer
      drm/i915: Make request's wait-for-space explicit
      drm/i915: Remove pre-production pooled-EU w/a for Broxton
      drm/i915: Clear breadcrumb node when cancelling signaling
      drm/i915: Prevent overflow of execbuf.buffer_count and num_cliprects
      drm/i915/selftests: exercise_ggtt may have nothing to do
      drm/i915: Add might_sleep() check to wait_for()
      drm/i915: Mark the userptr invalidate workqueue as WQ_MEM_RECLAIM
      drm/i915/selftests: Report ENOMEM clearly for an allocation failure
      drm/i915: Add a policy note for removing workarounds

Colin Ian King (1):
      drm/i915/gvt: ensure -ve return value is handled correctly

Dave Gordon (1):
      drm/i915/guc: Add a second client, to be used for preemption

Hans de Goede (5):
      x86/platform/intel/iosf_mbi: Add unlocked PMIC bus access notifier unregister
      drm/i915: Acquire PUNIT->PMIC bus for intel_uncore_forcewake_reset()
      drm/i915: Fix false-positive assert_rpm_wakelock_held in i915_pmic_bus_access_notifier v2
      drm/i915: Re-register PMIC bus access notifier on runtime resume
      drm/i915: Call uncore_suspend before platform suspend handlers

James Ausmus (1):
      drm/i915/glk: Refactor handling of PLANE_COLOR_CTL for GLK+

Jani Nikula (2):
      drm/i915/edp: read edp display control registers unconditionally
      drm/i915/edp: clean up code and comments around eDP DPCD read

Jeff McGee (1):
      drm/i915/guc: Clear terminated attribute bit on GuC preemption context

Joonas Lahtinen (1):
      drm/i915: Disable lazy PPGTT page table optimization for vGPU

Kees Cook (1):
      drm/i915/selftests: Convert timers to use timer_setup()

Lionel Landwerlin (9):
      drm/i915/perf: fix perf enable/disable ioctls with 32bits userspace
      drm/i915: ensure oa config uuid is null terminated
      drm/i915/perf: complete whitelisting for OA programming on HSW
      drm/i915/perf: add support for Coffeelake GT3
      drm/i915/perf: refactor perf setup
      drm/i915: fix register naming
      drm/i915/perf: enable perf support on CNL
      drm/i915: expose command stream timestamp frequency to userspace
      drm/i915: fix 64bit divide

Lucas De Marchi (1):
      drm/i915: Fix function name in comment

Maarten Lankhorst (12):
      drm/i915: Do not rely on wm preservation for ILK watermarks
      drm/i915: Calculate ironlake intermediate watermarks correctly, v2.
      drm/i915: Update watermark state correctly in sanitize_watermarks
      drm/i915: Remove bogus ips_enabled check.
      drm/i915: Check boolean options in intel_pipe_config_compare with its own macro
      drm/i915: Handle adjust better in intel_pipe_config_compare
      drm/i915: Handle locking better in i915_sink_crc.
      drm/i915: Enable FIFO underrun reporting after initial fastset, v4.
      drm/i915: Pass idle crtc_state to intel_dp_sink_crc
      drm/i915: Pass crtc_state to ips toggle functions, v2
      drm/i915: Calculate vlv/chv intermediate watermarks correctly, v3.
      drm/i915: Calculate g4x intermediate watermarks correctly

Manasi Navare (1):
      drm/i915: Cancel the modeset retry work during modeset cleanup

Michal Wajdeczko (9):
      drm/i915/huc: Use helper function while waiting for DMA completion
      drm/i915/guc: Assert ctch->vma is allocated
      drm/i915: Add Guc/HuC firmware details to error state
      drm/i915: Make GuC log part of the uC error state
      drm/i915: Handle error-state modparams in dedicated functions
      drm/i915/guc: Split GuC firmware xfer function into clear steps
      drm/i915/guc: Wait for ucode DMA transfer completion
      drm/i915/guc: Drop legacy workarounds from guc_prepare_xfer
      drm/i915/guc: Simplify programming of GUC_SHIM_CONTROL

Michał Winiarski (11):
      drm/i915/guc: Initialize GuC before restarting engines
      drm/i915/guc: Do not use 0 for GuC doorbell cookie
      drm/i915/guc: Extract GuC stage desc pool creation into a helper
      drm/i915/guc: Allocate separate shared data object for GuC communication
      drm/i915/guc: Add preemption action to GuC firmware interface
      drm/i915/guc: Split guc_wq_item_append
      drm/i915: Extract "emit write" part of emit breadcrumb functions
      drm/i915: Add information needed to track engine preempt state
      drm/i915/guc: Keep request->priority for its lifetime
      drm/i915: Rename helpers used for unwinding, use macro for can_preempt
      drm/i915/guc: Preemption! With GuC

Michel Thierry (6):
      drm/i915/cnl: Remove unnecessary check in cnl_setup_private_ppat
      drm/i915/guc: Rename the function that resets the GuC
      drm/i915/guc: Add support for reset engine using GuC commands
      drm/i915: Clear per-engine fault register as early as possible
      drm/i915: There is only one fault register from GEN8 onwards
      drm/i915/selftests: Add a GuC doorbells selftest

Mika Kahola (2):
      drm/i915/cnl: Symmetric scalers for each pipe
      drm/i915: Generalize transcoder looping

Mika Kuoppala (2):
      drm/i915: Use fallback forcewake if primary ack missing
      drm/i915: Print the condition causing GEM_BUG_ON

Oscar Mateo (1):
      drm/i915: Remove Gen9 WAs with no effect

Radhakrishna Sripada (1):
      Revert "drm/i915: Display WA #1133 WaFbcSkipSegments:cnl, glk"

Rafael Antognolli (1):
      drm/i915: Implement ReadHitWriteOnlyDisable.

Rodrigo Vivi (17):
      drm/i915/cnl: Force DDI_A_4_LANES when needed.
      drm/i915/cnl: Get RC6 working.
      drm/i915/cnl: Allow 2 pixel per clock on Cannonlake.
      drm/i915/cnl: Fix SSEU Device Status.
      drm/i915: Update DRIVER_DATE to 20171109
      drm/i915: Update DRIVER_DATE to 20171109
      Merge airlied/drm-next into drm-intel-next-queued
      drm/i915: Display WA #1185 WaDisableDARBFClkGating:cnl, glk
      drm/i915/cnl: Remove spurious central_freq.
      drm/i915/cnl: Remove useless conversion.
      drm/i915/cnl: Fix, simplify and unify wrpll variable sizes.
      drm/i915/cnl: Fix wrpll math for higher freqs.
      drm/i915/cnl: Don't blindly replace qdiv.
      drm/i915/cnl: Simplify dco_fraction calculation.
      drm/i915/cnl: Extend HDMI 2.0 support to CNL.
      Merge tag 'gvt-next-2017-11-16' of https://github.com/intel/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20171117

Sagar Arun Kamble (5):
      drm/i915: Update execlists tasklet naming
      drm/i915/guc: Update names of submission related static functions
      drm/i915/guc: Update name and prototype of GuC submission interface functions
      drm/i915/guc: Rename i915_guc_client struct to intel_guc_client
      drm/i915/guc: Rename i915_guc_submission.c|h to intel_guc_submission.c|h

Shuo Liu (1):
      drm/i915/gvt: Use dyndbg for gvt debug info

Tina Zhang (2):
      drm/i915: Object w/o backing storage is banned by -ENXIO
      drm/i915: Introduce GEM proxy

Tvrtko Ursulin (3):
      drm/i915: Reject unknown syncobj flags
      drm/i915: Warn in debug builds of incorrect usages of ptr_pack_bits
      drm/i915: Define an engine class enum for the uABI

Ville Syrjälä (43):
      drm/i915: Clean up some cdclk switch statements
      drm/i915: Start tracking voltage level in the cdclk state
      drm/i915: Use cdclk_state->voltage on VLV/CHV
      drm/i915: Use cdclk_state->voltage on BDW
      drm/i915: Use cdclk_state->voltage on SKL/KBL/CFL
      drm/i915: Use cdclk_state->voltage on BXT/GLK
      drm/i915: Use cdclk_state->voltage on CNL
      drm/i915: Adjust system agent voltage on CNL if required by DDI ports
      drm/i915: Sanity check cdclk in vlv_set_cdclk()
      drm/i915: Perform a central cdclk state sanity check
      drm/i915: Don't use encoder->type in intel_ddi_set_pipe_settings()
      drm/i915: Pass crtc state to intel_prepare_dp_ddi_buffers()
      drm/i915: Start using output_types for DPLL selection
      drm/i915: Stop using encoder->type in intel_ddi_enable_transcoder_func()
      drm/i915: Fix BXT lane latency optimal setting with MST
      drm/i915: Improve DP downstream HPD handling
      drm/i915: Clean up the mess around hdmi_12bpc_possible()
      drm/i915/vbt: Fix HDMI level shifter and max data rate bitfield sizes
      drm/i915: Parse max HDMI TMDS clock from VBT
      drm/i915: Populate output_types from .get_config()
      drm/i915: Stop frobbing with DDI encoder->type
      drm/i915: Nuke intel_ddi_get_encoder_port()
      drm/i915: Eliminate pll->state usage from bxt_calc_pll_link()
      drm/i915: Pass a crtc state to ddi post_disable from MST code
      drm/i915: Use intel_ddi_get_config() for MST
      drm/i915: Pass around crtc and connector states for audio
      drm/i915: Remove most encoder->type uses from the audio code
      drm/i915: Move init_clock_gating() back to where it was
      drm/i915: Eliminate some encoder->crtc usage from DP code
      drm/i915: Eliminate some encoder->crtc usage from DSI code
      drm/i915: Eliminate some encoder->crtc usage from SDVO code
      drm/i915: Eliminate some encoder->crtc usage from TV code
      drm/i915: Pass crtc state to DPIO PHY functions
      drm/i915: Eliminate crtc->config usage from CRT code
      drm/i915: Replace dig_port->port with encoder port for BXT DPLL selection
      drm/i915: Nuke intel_digital_port->port
      drm/i915: Clean up PPS code calling conventions
      drm/i915: Clean up DP code local variables and calling conventions
      drm/i915: Fix kerneldocs for intel_audio.c
      drm/i915: Check if the stolen memory "reserved" area is enabled or not
      drm/i915: Make the report about a bogus stolen reserved area an error
      drm/i915: Use ELK stolen memory reserved detection for ILK
      drm/i915: Don't sanitize frame start delay if the pipe is off

Weinan Li (1):
      drm/i915/gvt: update CSB and CSB write pointer in virtual HWSP

Xiaolin Zhang (2):
      drm/i915/gvt: Add support for opregion virtualization
      drm/i915/gvt: opregion virtualization for win guest

Xiong Zhang (2):
      drm/i915/gvt: Limit read hw reg to active vgpu
      drm/i915/gvt: Let each vgpu has separate opregion memory

Zhenyu Wang (2):
      MAINTAINERS: Update gvt-linux.git new repo place
      Revert "drm/i915/gvt: Refine broken PPGTT scratch"

Zhi Wang (28):
      drm/i915/gvt: Make elsp_dwords in the right order
      drm/i915/gvt: Rename intel_vgpu_{init, clean}_gvt_context()
      drm/i915/gvt: Move workload cache init/clean into intel_vgpu_{setup, clean}_submission()
      drm/i915/gvt: Introduce intel_vgpu_submission
      drm/i915/gvt: Move tlb_handle_pending into intel_vgpu_submission
      drm/i915/gvt: Fix a memory leak in cmd_parser.c
      drm/i915/gvt: Rename reserved ring buffer
      drm/i915/gvt: Move ring scan buffers into intel_vgpu_submission
      drm/i915/gvt: Do not allocate initial ring scan buffer
      drm/i915/gvt: Factor out vGPU workload creation/destroy
      drm/i915/gvt: Factor out prepare_workload()
      drm/i915/gvt: Move common workload preparation into prepare_workload()
      drm/i915/gvt: Move common vGPU workload creation into scheduler.c
      drm/i915/gvt: Remove one extra declaration in scheduler.h
      drm/i915/gvt: Introduce vGPU submission ops
      drm/i915/gvt: Introduce intel_vgpu_reset_submission
      drm/i915/gvt: Move clean_workloads() into scheduler.c
      drm/i915/gvt: Use BIT() to make klockwork happy
      drm/i915/gvt: Refine find_bb_size()
      drm/i915/gvt: Refine shadow batch buffer
      drm/i915/gvt: Factor intel_vgpu_page_track
      drm/i915/gvt: Export intel_gvt_render_mmio_to_ring_id()
      drm/i915/gvt: Use I915_GTT_PAGE_SIZE
      drm/i915/gvt: Let the caller choose if a shadow page should be put into hash table
      drm/i915/gvt: Fix a bug of unexpectedly clear scratch page table
      drm/i915/gvt: Introduce page table type of current level in GTT type enumerations
      drm/i915/gvt: Introduce ops->set_present()
      drm/i915/gvt: Refine broken PPGTT scratch

fred gao (6):
      drm/i915/gvt: Change the return type during command scan
      drm/i915/gvt: Add VM healthy check for workload_thread
      drm/i915/gvt: Add VM healthy check for submit_context
      drm/i915/gvt: Move vGPU type related code into gvt file
      drm/i915/gvt: Refactor vGPU type code in kvmgt part
      drm/i915/gvt: Move request alloc to dispatch_workload path only

 Documentation/gpu/i915.rst                         |   4 +-
 MAINTAINERS                                        |   2 +-
 arch/x86/include/asm/iosf_mbi.h                    |  25 +
 arch/x86/platform/intel/iosf_mbi.c                 |  19 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |  28 +
 drivers/gpu/drm/i915/Makefile                      |  31 +-
 drivers/gpu/drm/i915/gvt/Makefile                  |   2 +-
 drivers/gpu/drm/i915/gvt/cfg_space.c               |  21 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              | 225 ++++---
 drivers/gpu/drm/i915/gvt/debug.h                   |  24 +-
 drivers/gpu/drm/i915/gvt/debugfs.c                 | 212 +++++++
 drivers/gpu/drm/i915/gvt/execlist.c                | 490 ++-------------
 drivers/gpu/drm/i915/gvt/execlist.h                |   8 +-
 drivers/gpu/drm/i915/gvt/firmware.c                |  26 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     | 261 ++++----
 drivers/gpu/drm/i915/gvt/gtt.h                     |  42 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     | 148 ++++-
 drivers/gpu/drm/i915/gvt/gvt.h                     |  71 ++-
 drivers/gpu/drm/i915/gvt/handlers.c                | 139 +++-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 130 +---
 drivers/gpu/drm/i915/gvt/mmio.c                    |  32 +-
 drivers/gpu/drm/i915/gvt/mmio.h                    |   6 +
 drivers/gpu/drm/i915/gvt/mpt.h                     |  34 +-
 drivers/gpu/drm/i915/gvt/opregion.c                | 275 ++++++--
 drivers/gpu/drm/i915/gvt/reg.h                     |   6 +-
 drivers/gpu/drm/i915/gvt/render.c                  |  14 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               | 687 ++++++++++++++++++--
 drivers/gpu/drm/i915/gvt/scheduler.h               |  29 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |  34 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  13 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 131 +++-
 drivers/gpu/drm/i915/i915_drv.c                    |  54 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  62 +-
 drivers/gpu/drm/i915/i915_gem.c                    | 318 ++++++++--
 drivers/gpu/drm/i915/i915_gem.h                    |  12 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  64 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |   4 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   4 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  78 ++-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 100 +--
 drivers/gpu/drm/i915/i915_gem_object.h             |  11 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       | 141 ++---
 drivers/gpu/drm/i915/i915_gem_render_state.h       |   4 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |   2 +
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  54 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   9 +
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   6 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              | 102 ++-
 drivers/gpu/drm/i915/i915_guc_reg.h                |   7 -
 drivers/gpu/drm/i915/i915_irq.c                    |   8 +-
 drivers/gpu/drm/i915/i915_oa_bdw.c                 |   4 +-
 drivers/gpu/drm/i915/i915_oa_bxt.c                 |   4 +-
 drivers/gpu/drm/i915/i915_oa_cflgt2.c              |   4 +-
 drivers/gpu/drm/i915/i915_oa_cflgt3.c              | 109 ++++
 drivers/gpu/drm/i915/i915_oa_cflgt3.h              |  34 +
 drivers/gpu/drm/i915/i915_oa_chv.c                 |   4 +-
 drivers/gpu/drm/i915/i915_oa_cnl.c                 | 121 ++++
 drivers/gpu/drm/i915/i915_oa_cnl.h                 |  34 +
 drivers/gpu/drm/i915/i915_oa_glk.c                 |   4 +-
 drivers/gpu/drm/i915/i915_oa_hsw.c                 |   4 +-
 drivers/gpu/drm/i915/i915_oa_kblgt2.c              |   4 +-
 drivers/gpu/drm/i915/i915_oa_kblgt3.c              |   4 +-
 drivers/gpu/drm/i915/i915_oa_sklgt2.c              |   4 +-
 drivers/gpu/drm/i915/i915_oa_sklgt3.c              |   4 +-
 drivers/gpu/drm/i915/i915_oa_sklgt4.c              |   4 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  95 ++-
 drivers/gpu/drm/i915/i915_reg.h                    |  83 ++-
 drivers/gpu/drm/i915/i915_selftest.h               |   2 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   7 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   6 +-
 drivers/gpu/drm/i915/intel_audio.c                 | 202 +++---
 drivers/gpu/drm/i915/intel_bios.c                  |  24 +
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  55 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 | 391 ++++++++----
 drivers/gpu/drm/i915/intel_color.c                 |   4 +-
 drivers/gpu/drm/i915/intel_crt.c                   |  46 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   5 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 323 ++++++----
 drivers/gpu/drm/i915/intel_device_info.c           | 121 +++-
 drivers/gpu/drm/i915/intel_display.c               | 307 ++++++---
 drivers/gpu/drm/i915/intel_dp.c                    | 529 ++++++++--------
 drivers/gpu/drm/i915/intel_dp_mst.c                |  79 +--
 drivers/gpu/drm/i915/intel_dpio_phy.c              | 122 ++--
 drivers/gpu/drm/i915/intel_dpll_mgr.c              | 107 ++--
 drivers/gpu/drm/i915/intel_drv.h                   |  57 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  19 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |   2 +
 drivers/gpu/drm/i915/intel_engine_cs.c             | 215 ++++---
 drivers/gpu/drm/i915/intel_guc.c                   |  38 +-
 drivers/gpu/drm/i915/intel_guc.h                   |  15 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                |   1 +
 drivers/gpu/drm/i915/intel_guc_fw.c                | 174 +++--
 drivers/gpu/drm/i915/intel_guc_fwif.h              |  40 ++
 ...915_guc_submission.c => intel_guc_submission.c} | 699 +++++++++++++++------
 ...915_guc_submission.h => intel_guc_submission.h} |  11 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  98 +--
 drivers/gpu/drm/i915/intel_huc.c                   |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 181 +++---
 drivers/gpu/drm/i915/intel_lrc.h                   |   1 -
 drivers/gpu/drm/i915/intel_lvds.c                  |   2 +
 drivers/gpu/drm/i915/intel_opregion.c              |   4 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   4 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 225 +++----
 drivers/gpu/drm/i915/intel_psr.c                   |   4 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 123 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  79 ++-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   3 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   6 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  11 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   6 +-
 drivers/gpu/drm/i915/intel_uc.c                    |  22 +-
 drivers/gpu/drm/i915/intel_uc_fw.c                 |   2 +-
 drivers/gpu/drm/i915/intel_uc_fw.h                 |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 182 +++++-
 drivers/gpu/drm/i915/intel_uncore.h                |   1 +
 drivers/gpu/drm/i915/intel_vbt_defs.h              |   8 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        |   3 +
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |  16 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |  48 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |   2 +
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |   2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_timeline.c |   2 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   1 +
 drivers/gpu/drm/i915/selftests/i915_syncmap.c      |   6 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c          |   2 +-
 drivers/gpu/drm/i915/selftests/intel_guc.c         | 367 +++++++++++
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |  11 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  41 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   7 +-
 include/uapi/drm/i915_drm.h                        |  38 ++
 131 files changed, 6642 insertions(+), 3202 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gvt/debugfs.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_cflgt3.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_cflgt3.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_cnl.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_cnl.h
 rename drivers/gpu/drm/i915/{i915_guc_submission.c => intel_guc_submission.c} (63%)
 rename drivers/gpu/drm/i915/{i915_guc_submission.h => intel_guc_submission.h} (90%)
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_guc.c
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-10-27  7:55 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2017-10-27  7:55 UTC (permalink / raw)
  To: Dave Airlie
  Cc: Daniel Vetter, intel-gfx, Joonas Lahtinen, dri-devel, Rodrigo Vivi


Hi Dave, as I said, I was aiming for the previous one to already be the
last, but here's one more i915 pull for v4.15.

There's a backmerge from drm-next as a dependency for the get/put
renames and timer setup updates.

BR,
Jani.


drm-intel-next-2017-10-23:
This time really the last i915 batch for v4.15:

- PSR state tracking in crtc state (Ville)
- Fix eviction when the GGTT is idle but full (Chris)
- BDW DP aux channel timeout fix (James)
- LSPCON detection fixes (Shashank)
- Use for_each_pipe to iterate over pipes (Mika Kahola)
- Replace *_reference/unreference() or *_ref/unref with _get/put() (Harsha)
- Refactoring and preparation for DDI encoder type cleanup (Ville)
- Broadwell DDI FDI buf translation fix (Chris)
- Read CSB and CSB write pointer from HWSP in GVT-g VM if available (Weinan)
- GuC/HuC firmware loader refactoring (Michal)
- Make shrinking more effective and not stall so much (Chris)
- Cannonlake PLL fixes (Rodrigo)
- DP MST connector error propagation fixes (James)
- Convert timers to use timer_setup (Kees Cook)
- Skylake plane enable/disable unification (Juha-Pekka)
- Fix to actually free driver internal objects when requested (Chris)
- DDI buf trans refactoring (Ville)
- Skip waking the device to service pwrite (Chris)
- Improve DSI VBT backlight parsing abstraction (Madhav)
- Cannonlake VBT DDC pin mapping fix (Rodrigo)

BR,
Jani.

The following changes since commit 40d86701a625eed9e644281b9af228d6a52d8ed9:

  drm/plane: drop num_overlay_planes (v3) (2017-10-17 11:32:29 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-10-23

for you to fetch changes up to cdc1cdca2d91c477ce33d5c02dc6be0d2b939247:

  drm/i915: Update DRIVER_DATE to 20171023 (2017-10-23 11:55:13 +0300)

----------------------------------------------------------------
This time really the last i915 batch for v4.15:

- PSR state tracking in crtc state (Ville)
- Fix eviction when the GGTT is idle but full (Chris)
- BDW DP aux channel timeout fix (James)
- LSPCON detection fixes (Shashank)
- Use for_each_pipe to iterate over pipes (Mika Kahola)
- Replace *_reference/unreference() or *_ref/unref with _get/put() (Harsha)
- Refactoring and preparation for DDI encoder type cleanup (Ville)
- Broadwell DDI FDI buf translation fix (Chris)
- Read CSB and CSB write pointer from HWSP in GVT-g VM if available (Weinan)
- GuC/HuC firmware loader refactoring (Michal)
- Make shrinking more effective and not stall so much (Chris)
- Cannonlake PLL fixes (Rodrigo)
- DP MST connector error propagation fixes (James)
- Convert timers to use timer_setup (Kees Cook)
- Skylake plane enable/disable unification (Juha-Pekka)
- Fix to actually free driver internal objects when requested (Chris)
- DDI buf trans refactoring (Ville)
- Skip waking the device to service pwrite (Chris)
- Improve DSI VBT backlight parsing abstraction (Madhav)
- Cannonlake VBT DDC pin mapping fix (Rodrigo)

----------------------------------------------------------------
Chris Wilson (22):
      drm/i915: Fix eviction when the GGTT is idle but full
      drm/i915/selftests: Wrap a timer into a i915_sw_fence
      drm/i915/selftests: Exercise adding requests to a full GGTT
      drm/i915: Stop asserting on set-wedged vs nop_submit_request ordering
      drm/i915: Keep the rings stopped until they have been re-initialized
      drm/i915: Always stop the rings before a missing GPU reset
      drm/i915: Use bdw_ddi_translations_fdi for Broadwell
      drm/i915: Refactor testing obj->mm.pages
      drm/i915: Rename obj->pin_display to obj->pin_global
      drm/i915: Drop debugfs/i915_gem_pin_display
      drm/i915: Remove walk over obj->vma_list for the shrinker
      drm/i915: Move dev_priv->mm.[un]bound_list to its own lock
      drm/i915: Wire up shrinkctl->nr_scanned
      drm/i915: Set our shrinker->batch to 4096 (~16MiB)
      drm/i915: Only free the oldest stale object before a fresh allocation
      drm/i915: Trim struct_mutex hold duration for i915_gem_free_objects
      drm/i915: Skip HW reinitialisation on resume if still wedged
      drm/i915: Add in-flight request details to intel_engine_dump()
      drm/i915: Report -EFAULT before pwrite fast path into shmemfs
      drm/i915/selftests: Silence the compiler for impossible errors
      drm/i915: Flush the idle-worker for debugfs/i915_drop_caches
      drm/i915: Skip waking the device to service pwrite

Harsha Sharma (2):
      drm/i915: Replace *_reference/unreference() or *_ref/unref with _get/put()
      drm/i915: Replace *_reference/unreference() or *_ref/unref with _get/put()

James Ausmus (3):
      drm/i915: Fix DP_AUX_CH_CTL_TIME_OUT naming
      drm/i915/bdw: Fix DP_AUX_CH_CTL_TIME_OUT setting
      drm/i915: Handle drm-layer errors in intel_dp_add_mst_connector

Jani Nikula (4):
      Merge drm-upstream/drm-next into drm-intel-next-queued
      drm/i915: remove g4x lowfreq_avail and has_pipe_cxsr
      drm/i915/crt: split compute_config hook by platforms
      drm/i915: Update DRIVER_DATE to 20171023

Juha-Pekka Heikkila (5):
      drm/i915: move adjusted_x/y from crtc to cache.
      drm/i915: dspaddr_offset doesn't need to be more than local variable
      drm/i915: Unify skylake plane update
      drm/i915: Unify skylake plane disable
      drm/i915: adjust get_crtc_fence_y_offset() to use base.y instead of crtc.y

Kees Cook (1):
      drm/i915: Convert timers to use timer_setup()

Madhav Chauhan (2):
      drm/i915: Parse DSI backlight/cabc ports.
      drm/i915: Use existing DSI backlight ports info

Michal Wajdeczko (15):
      drm/i915: Move intel_guc_wopcm_size to intel_guc.c
      drm/i915/guc: Move GuC boot param initialization out of xfer
      drm/i915/guc: Small fixups post code move
      drm/i915/guc: Move doc near related definitions
      drm/i915/guc: Rename intel_guc_loader.c to intel_guc_fw.c
      drm/i915/guc: Reorder functions in intel_guc_fw.c
      drm/i915/uc: Check all firmwares against WOPCM size
      drm/i915/guc: Pick better place for Guc final status message
      drm/i915/uc: Improve debug messages in firmware fetch
      drm/i915/uc: Add message with firmware url
      drm/i915: Update DMC firmware load error messages
      drm/i915/uc: Unify firmware loading
      drm/i915/guc: Update Guc messages on load failure
      drm/i915/huc: Move fw select function
      drm/i915/uc: Add pretty printer for uc firmware

Mika Kahola (1):
      drm/i915: Get rid of hardcoded pipes

Oscar Mateo (2):
      drm/i915: No need for RING_MAX_NONPRIV_SLOTS space
      drm/i915: Use a mask when applying WaProgramL3SqcReg1Default

Rodrigo Vivi (5):
      drm/i915/cnl: WaRsUseTimeoutMode
      drm/i915/cnl: Fix PLL mapping.
      drm/i915/cnl: Fix PLL initialization for HDMI.
      drm/i915: Let's use more enum intel_dpll_id pll_id.
      drm/i915/cnl: Map VBT DDC Pin to BSpec DDC Pin.

Shashank Sharma (3):
      drm: Add retries for lspcon mode detection
      drm/i915: Don't give up waiting on INVALID_MODE
      drm/i915: Add retries for LSPCON detection

Tvrtko Ursulin (1):
      drm/i915: Fixup userptr mmu notifier registration error handling

Ville Syrjälä (21):
      drm/i915: Start tracking PSR state in crtc state
      drm/i915: Dump 'output_types' in crtc state dump
      drm/i915: Extract intel_ddi_clk_disable()
      drm/i915: Extract intel_disable_ddi_buf()
      drm/i915: Inline the required bits of intel_ddi_post_disable() into intel_ddi_fdi_post_disable()
      drm/i915: Split intel_ddi_post_disable() into DP vs. HDMI variants
      drm/i915: Remove useless eDP check from intel_ddi_pre_enable_dp()
      drm/i915: Split intel_disable_ddi() into DP vs. HDMI variants
      drm/i915: Plumb crtc_state etc. directly to intel_ddi_pre_enable_{dp,hdmi}()
      drm/i915: Split intel_enable_ddi() into DP and HDMI variants
      drm/i915: Remove mostly duplicated video DIP handling from PSR code
      drm/i915: Relocate intel_ddi_get_buf_trans_*() functions
      drm/i915: Extract intel_ddi_get_buf_trans_hdmi()
      drm/i915: Pass the encoder type explicitly to skl_set_iboost()
      drm/i915: Pass the level to intel_prepare_hdmi_ddi_buffers()
      drm/i915: Integrate BXT into intel_ddi_dp_voltage_max()
      drm/i915: Pass encoder type to cnl_ddi_vswing_sequence() explicitly
      drm/i915: Kill off the BXT buf_trans default_index
      drm/i915: Centralize the SKL DDI A/E vs. B/C/D buf trans handling
      drm/i915: Unify error handling for missing DDI buf trans tables
      drm/i915: Drop the redundant hdmi prefix/suffix from a lot of variables

Weinan Li (1):
      drm/i915: enable to read CSB and CSB write pointer from HWSP in GVT-g VM

 drivers/gpu/drm/drm_dp_dual_mode_helper.c          |   16 +-
 drivers/gpu/drm/i915/Makefile                      |    5 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  550 +++----
 drivers/gpu/drm/i915/i915_drv.c                    |   48 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  120 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  529 ++++--
 drivers/gpu/drm/i915/i915_gem_clflush.c            |    1 +
 drivers/gpu/drm/i915/i915_gem_context.c            |   99 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   18 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   77 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   14 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   40 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  288 +++-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   20 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |   18 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   42 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |    2 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |   20 +-
 drivers/gpu/drm/i915/i915_gem_request.h            |   13 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |  119 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   21 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |    2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   61 +-
 drivers/gpu/drm/i915/i915_gemfs.c                  |   74 +
 drivers/gpu/drm/i915/i915_gemfs.h                  |   34 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |   14 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |  123 +-
 drivers/gpu/drm/i915/i915_guc_submission.h         |   80 +
 drivers/gpu/drm/i915/i915_irq.c                    |  138 +-
 drivers/gpu/drm/i915/i915_params.c                 |    3 -
 drivers/gpu/drm/i915/i915_params.h                 |    1 -
 drivers/gpu/drm/i915/i915_pci.c                    |   81 +-
 drivers/gpu/drm/i915/i915_pvinfo.h                 |    1 +
 drivers/gpu/drm/i915/i915_reg.h                    |   28 +-
 drivers/gpu/drm/i915/i915_suspend.c                |    2 -
 drivers/gpu/drm/i915/i915_sw_fence.c               |   18 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   76 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  116 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   18 +
 drivers/gpu/drm/i915/i915_vgpu.h                   |    6 +
 drivers/gpu/drm/i915/i915_vma.c                    |  137 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   49 +-
 drivers/gpu/drm/i915/intel_audio.c                 |    2 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  212 ++-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   18 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   40 +-
 drivers/gpu/drm/i915/intel_color.c                 |   16 +-
 drivers/gpu/drm/i915/intel_crt.c                   |  110 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   33 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  880 +++++-----
 drivers/gpu/drm/i915/intel_display.c               |  417 +++--
 drivers/gpu/drm/i915/intel_dp.c                    |   59 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   49 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |   20 -
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |    2 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   42 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |   44 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |   33 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  261 ++-
 drivers/gpu/drm/i915/intel_fbc.c                   |   13 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |    4 +-
 drivers/gpu/drm/i915/intel_guc.c                   |  369 +++++
 drivers/gpu/drm/i915/intel_guc.h                   |  120 ++
 .../i915/{intel_guc_loader.c => intel_guc_fw.c}    |  253 +--
 drivers/gpu/drm/i915/intel_guc_fw.h                |   33 +
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   52 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |    6 +-
 drivers/gpu/drm/i915/intel_guc_log.h               |   59 +
 drivers/gpu/drm/i915/intel_hdmi.c                  |   26 +-
 drivers/gpu/drm/i915/intel_huc.c                   |  134 +-
 drivers/gpu/drm/i915/intel_huc.h                   |   41 +
 drivers/gpu/drm/i915/intel_lrc.c                   |  285 +++-
 drivers/gpu/drm/i915/intel_lrc.h                   |    1 +
 drivers/gpu/drm/i915/intel_lspcon.c                |   22 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   23 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   15 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  715 ++++----
 drivers/gpu/drm/i915/intel_psr.c                   |  119 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   27 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |    9 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   31 +-
 drivers/gpu/drm/i915/intel_sideband.c              |    6 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   10 +-
 drivers/gpu/drm/i915/intel_uc.c                    |  333 +---
 drivers/gpu/drm/i915/intel_uc.h                    |  228 +--
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  318 ++++
 drivers/gpu/drm/i915/intel_uc_fw.h                 |  121 ++
 drivers/gpu/drm/i915/intel_uncore.c                |   31 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    8 +
 drivers/gpu/drm/i915/intel_vbt_defs.h              |    8 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.c   |   14 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        | 1734 ++++++++++++++++++++
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  162 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   15 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    8 -
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |   12 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    2 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/i915_sw_fence.c     |   42 +
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   26 +-
 drivers/gpu/drm/i915/selftests/lib_sw_fence.c      |   78 +
 drivers/gpu/drm/i915/selftests/lib_sw_fence.h      |   42 +
 drivers/gpu/drm/i915/selftests/mock_context.c      |    6 +-
 drivers/gpu/drm/i915/selftests/mock_engine.c       |    8 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   17 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   11 +-
 drivers/gpu/drm/i915/selftests/scatterlist.c       |   15 +
 include/linux/shmem_fs.h                           |    2 +
 include/uapi/drm/i915_drm.h                        |   18 +-
 mm/shmem.c                                         |   30 +-
 112 files changed, 7473 insertions(+), 3553 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gemfs.c
 create mode 100644 drivers/gpu/drm/i915/i915_gemfs.h
 create mode 100644 drivers/gpu/drm/i915/i915_guc_submission.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc.h
 rename drivers/gpu/drm/i915/{intel_guc_loader.c => intel_guc_fw.c} (58%)
 create mode 100644 drivers/gpu/drm/i915/intel_guc_fw.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_log.h
 create mode 100644 drivers/gpu/drm/i915/intel_huc.h
 create mode 100644 drivers/gpu/drm/i915/intel_uc_fw.c
 create mode 100644 drivers/gpu/drm/i915/intel_uc_fw.h
 create mode 100644 drivers/gpu/drm/i915/selftests/huge_pages.c
 create mode 100644 drivers/gpu/drm/i915/selftests/lib_sw_fence.c
 create mode 100644 drivers/gpu/drm/i915/selftests/lib_sw_fence.h

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-10-19 14:16 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2017-10-19 14:16 UTC (permalink / raw)
  To: Dave Airlie
  Cc: Daniel Vetter, intel-gfx, Joonas Lahtinen, dri-devel, Rodrigo Vivi


Hi Dave, I hoped this was going to be the last pull, but there's some
pressure for another. I'll tag more this week, and we can see next week
if you'd be willing to still take it.

BR,
Jani.

drm-intel-next-2017-10-12:
Last batch of drm/i915 features for v4.15:

- transparent huge pages support (Matthew)
- uapi: I915_PARAM_HAS_SCHEDULER into a capability bitmask (Chris)
- execlists: preemption (Chris)
- scheduler: user defined priorities (Chris)
- execlists optimization (Michał)
- plenty of display fixes (Imre)
- has_ipc fix (Rodrigo)
- platform features definition refactoring (Rodrigo)
- legacy cursor update fix (Maarten)
- fix vblank waits for cursor updates (Maarten)
- reprogram dmc firmware on resume, dmc state fix (Imre)
- remove use_mmio_flip module parameter (Maarten)
- wa fixes (Oscar)
- huc/guc firmware refacoring (Sagar, Michal)
- push encoder specific code to encoder hooks (Jani)
- DP MST fixes (Dhinakaran)
- eDP power sequencing fixes (Manasi)
- selftest updates (Chris, Matthew)
- mmu notifier cpu hotplug deadlock fix (Daniel)
- more VBT parser refactoring (Jani)
- max pipe refactoring (Mika Kahola)
- rc6/rps refactoring and separation (Sagar)
- userptr lockdep fix (Chris)
- tracepoint fixes and defunct tracepoint removal (Chris)
- use rcu instead of abusing stop_machine (Daniel)
- plenty of other fixes all around (Everyone)

The following changes since commit e18063e88bd579c479a2b45820be6c4625f841c3:

  drm/i915: Update DRIVER_DATE to 20170929 (2017-09-29 13:50:38 +0300)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-10-12

for you to fetch changes up to fa9caf0b6e69703ff8a4d4da17897008ec2f2dd3:

  drm/i915: Update DRIVER_DATE to 20171012 (2017-10-12 21:05:11 +0300)

----------------------------------------------------------------
Last batch of drm/i915 features for v4.15:

- transparent huge pages support (Matthew)
- uapi: I915_PARAM_HAS_SCHEDULER into a capability bitmask (Chris)
- execlists: preemption (Chris)
- scheduler: user defined priorities (Chris)
- execlists optimization (Michał)
- plenty of display fixes (Imre)
- has_ipc fix (Rodrigo)
- platform features definition refactoring (Rodrigo)
- legacy cursor update fix (Maarten)
- fix vblank waits for cursor updates (Maarten)
- reprogram dmc firmware on resume, dmc state fix (Imre)
- remove use_mmio_flip module parameter (Maarten)
- wa fixes (Oscar)
- huc/guc firmware refacoring (Sagar, Michal)
- push encoder specific code to encoder hooks (Jani)
- DP MST fixes (Dhinakaran)
- eDP power sequencing fixes (Manasi)
- selftest updates (Chris, Matthew)
- mmu notifier cpu hotplug deadlock fix (Daniel)
- more VBT parser refactoring (Jani)
- max pipe refactoring (Mika Kahola)
- rc6/rps refactoring and separation (Sagar)
- userptr lockdep fix (Chris)
- tracepoint fixes and defunct tracepoint removal (Chris)
- use rcu instead of abusing stop_machine (Daniel)
- plenty of other fixes all around (Everyone)

----------------------------------------------------------------
Arnd Bergmann (2):
      drm/i915/selftests: fix check for intel IOMMU
      drm/i915: avoid potential uninitialized variable use

Chris Wilson (34):
      drm/i915/selftests: Replace wmb() with i915_gem_chipset_flush()
      drm/i915/execlists: Move request unwinding to a separate function
      drm/i915: Give the invalid priority a magic name
      drm/i915: Move MMCD_MISC_CTRL from context w/a to standard
      drm/i915: Remove WA_(SET|CLR)_BIT
      drm/i915: Fix some tracepoints to capture full 64b
      drm/i915: Remove defunct trace points
      drm/i915/execlists: Distinguish the incomplete context notifies
      drm/i915: Introduce a preempt context
      drm/i915/execlists: Move bdw GPGPU w/a to emit_bb
      drm/i915/execlists: Keep request->priority for its lifetime
      drm/i915: Expand I915_PARAM_HAS_SCHEDULER into a capability bitmask
      drm/i915/execlists: Preemption!
      drm/i915/scheduler: Support user-defined priorities
      drm/i915/selftests: Remember to create the fake preempt context
      drm/i915: Try harder to finish the idle-worker
      drm/i915: Silence compiler warning for hsw_power_well_enable()
      drm/i915: Order two completing nop_submit_request
      drm/i915/execlists: Add a comment for the extra MI_ARB_ENABLE
      drm/i915: Assert we do not try to expand VMA for hugepage inside GGTT
      drm/i915: Make i915_engine_info pretty printer to standalone
      drm/i915/selftests: Pretty print engine state when requests fail to start
      drm/i915: Hold forcewake for the duration of reset+restart
      drm/i915/selftests: Hold the rpm wakeref for the reset tests
      drm/i915: Provide an assert for when we expect forcewake to be held
      drm/i915: Pin fence for iomap
      drm/i915: Consolidate get_fence with pin_fence
      drm/i915: Track user GTT faulting per-vma
      drm/i915: Check PIN_NONFAULT overlaps in evict_for_node
      drm/i915: Try a minimal attempt to insert the whole object for relocations
      drm/i915: Avoid evicting user fault mappable vma for pread/pwrite
      drm/i915: Early rejection of mappable GGTT pin attempts for large bo
      drm/i915: Silently fallback to 4k scratch
      drm/i915/userptr: Drop struct_mutex before cleanup

Daniel Vetter (3):
      drm/i915: Preallocate our mmu notifier workequeu to unbreak cpu hotplug deadlock
      drm/i915: Increase atomic update vblank evasion time with lockdep
      drm/i915: Use rcu instead of stop_machine in set_wedged

David Weinehall (1):
      drm/i915: Add has_psr-flag to gen9lp

Dhinakaran Pandiyan (1):
      drm/i915/mst: Use MST sideband message transactions for dpms control

Imre Deak (6):
      drm/i915/gen9+: Set same power state before hibernation image save/restore
      drm/i915/gen8+: Init/reset display interrupts only if i915 IRQs are enabled
      drm/i915/glk, cnl: Implement WaDisableScalarClockGating
      drm/i915: Fix DDI PHY init if it was already on
      drm/i915/cnl: Reprogram DMC firmware after S3/S4 resume
      drm/i915/glk: Fix DMC/DC state idleness calculation

Jani Nikula (16):
      drm/i915: push DDI CRT underrun reporting on enable to encoder
      drm/i915: push DDI CRT underrun reporting on disable to encoder
      drm/i915: push DDI and DSI underrun reporting on enable to encoder
      drm/i915: push DDI FDI link training on enable to CRT encoder
      drm/i915/crt: clean up encoder hook assignment
      drm/i915/bios: parse DDI ports also for CHV for HDMI DDC pin and DP AUX channel
      drm/i915/bios: refactor parse general definitions
      drm/i915/bios: don't initialize fields based on vbt version
      drm/i915/bios: remove an unnecessary temp variable
      drm/i915/bios: cleanup comments and useless return
      drm/i915/bios: merge parse_device_mapping() into parse_general_definitions()
      drm/i915/bios: parse SDVO device mapping from pre-parsed child devices
      drm/i915/bios: don't pass bdb to parsers that don't parse VBT directly
      drm/i915/dp: centralize max source rate conditions more
      drm/i915/dp: limit sink rates based on rate
      drm/i915: Update DRIVER_DATE to 20171012

Jeff McGee (1):
      drm/i915/preempt: Fix WaEnablePreemptionGranularityControlByUMD

Joonas Lahtinen (3):
      drm/i915: Don't use BIT() in UAPI section
      drm/i915: Unify uC variable types to avoid flooding checkpatch.pl
      drm/i915: Simplify intel_sanitize_enable_ppgtt

Maarten Lankhorst (4):
      drm/i915: Unset legacy_cursor_update early in intel_atomic_commit, v3.
      drm/i915: Skip vblank waits for cursor updates when watermarks dont need updating
      drm/i915: Remove use_mmio_flip modparm, v2.
      drm/i915: Use crtc_state_is_legacy_gamma in intel_color_check

Manasi Navare (2):
      drm/i915/edp: Get the Panel Power Off timestamp after panel is off
      drm/i915/edp: Increase the T12 delay quirk to 1300ms

Matthew Auld (23):
      mm/shmem: introduce shmem_file_setup_with_mnt
      drm/i915: introduce simple gemfs
      drm/i915/gemfs: enable THP
      drm/i915: introduce page_sizes field to dev_info
      drm/i915: push set_pages down to the callers
      drm/i915: introduce page_size members
      drm/i915: introduce vm set_pages/clear_pages
      drm/i915: align the vma start to the largest gtt page size
      drm/i915: align 64K objects to 2M
      drm/i915: enable IPS bit for 64K pages
      drm/i915: disable GTT cache for 2M pages
      drm/i915: support 2M pages for the 48b PPGTT
      drm/i915: add support for 64K scratch page
      drm/i915: support 64K pages for the 48b PPGTT
      drm/i915: accurate page size tracking for the ppgtt
      drm/i915/debugfs: include some gtt page size metrics
      drm/i915/selftests: huge page tests
      drm/i915/selftests: mix huge pages
      drm/i915: disable platform support for vGPU huge gtt pages
      drm/i915: enable platform support for 64K pages
      drm/i915: enable platform support for 2M pages
      drm/i915: s/sg_mask/sg_page_sizes/
      drm/i915/selftests: ditch the kernel context

Michal Wajdeczko (12):
      drm/i915: Make intel_uncore.h header self-contained
      drm/i915/uc: Drop unnecessary forward declaration
      drm/i915/uc: Move uC fw helper code into dedicated files
      drm/i915/huc: Move HuC declarations into dedicated header
      drm/i915/guc: Move Guc early init into own function
      drm/i915/guc: Move GuC log declarations into dedicated header
      drm/i915/guc: Move GuC submission declarations into dedicated header
      drm/i915/guc: Move GuC core definitions into dedicated files
      drm/i915/uc: Fix includes order
      drm/i915/uc: Unify initialization of the uC firmware helper
      drm/i915/huc: Fix includes in intel_huc.c
      drm/i915: Fix pointer-to-int conversion

Michał Winiarski (2):
      drm/i915/execlists: Cache the last priolist lookup
      drm/i915/preempt: Default to disabled mid-command preemption levels

Mika Kahola (5):
      drm/i915: Don't relay on I915_MAX_PIPES
      drm/i915: Remove I915_MAX_PIPES dependency for DDB allocation
      drm/i915: Fold IRQ pipe masks
      drm/i915: Favor for_each_pipe() macro
      drm/i915: Cleanup South Error Interrupts

Mika Kuoppala (1):
      drm/i915: Use execlists_num_ports instead of size of array

Oscar Mateo (2):
      drm/i915: Transform whitelisting WAs into a simple reg write
      drm/i915/cnl: Do not add an extra page for precaution in the Gen10 LRC size

Paulo Zanoni (2):
      drm/i915: add the BXT and CNL DPLL registers to pipe_config_compare
      drm/i915: avoid division by zero on cnl_calc_wrpll_link

Rodrigo Vivi (3):
      drm/i915/skl: Fix has_ipc on skl and document WaDisableIPC.
      drm/i915: Organize GEN features inheritance.
      drm/i915: Organize GLK_COLORS.

Sagar Arun Kamble (14):
      drm/i915: Move i915_gem_restore_fences to i915_gem_resume
      drm/i915/uc: Create intel_uc_init_mmio
      drm/i915: Separate RPS and RC6 handling for gen6+
      drm/i915: Remove superfluous IS_BDW checks and non-BDW changes from gen8_enable_rps
      drm/i915: Separate RPS and RC6 handling for BDW
      drm/i915: Separate RPS and RC6 handling for VLV
      drm/i915: Separate RPS and RC6 handling for CHV
      drm/i915: Name i915_runtime_pm structure in dev_priv as "runtime_pm"
      drm/i915: Move rps.hw_lock to dev_priv and s/hw_lock/pcu_lock
      drm/i915: Name structure in dev_priv that contains RPS/RC6 state as "gt_pm"
      drm/i915: Rename intel_enable_rc6 to intel_rc6_enabled
      drm/i915: Create generic function to setup LLC ring frequency table
      drm/i915: Create generic functions to control RC6, RPS
      drm/i915: Introduce separate status variable for RC6 and LLC ring frequency setup

Ville Syrjälä (8):
      drm/i915: Read timings from the correct transcoder in intel_crtc_mode_get()
      drm/i915: Use intel_get_pipe_timings() and intel_mode_from_pipe_config() in intel_crtc_mode_get()
      drm/i915: Use enum pipe for PCH transcoders
      drm/i915: Parametrize CBR_DPLLBMD_PIPE defines
      drm/i915: Pass crtc state to i9xx_enable_pll()
      drm/i915: Nuke the bogus kernel doc for i9xx_disable_pll()
      drm/i915: Reuse normal state readout for LVDS/DVO fixed mode
      drm/i915: Allow PCH platforms fall back to BIOS LVDS mode

 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/gvt/scheduler.c               |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c                |  379 ++---
 drivers/gpu/drm/i915/i915_drv.c                    |   48 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   83 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  375 +++--
 drivers/gpu/drm/i915/i915_gem_context.c            |   99 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   18 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |    7 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   14 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   40 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  285 +++-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   20 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |   18 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   32 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |   20 +-
 drivers/gpu/drm/i915/i915_gem_request.h            |   13 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   16 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   53 +-
 drivers/gpu/drm/i915/i915_gemfs.c                  |   74 +
 drivers/gpu/drm/i915/i915_gemfs.h                  |   34 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |   14 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |  123 +-
 drivers/gpu/drm/i915/i915_guc_submission.h         |   80 +
 drivers/gpu/drm/i915/i915_irq.c                    |  138 +-
 drivers/gpu/drm/i915/i915_params.c                 |    3 -
 drivers/gpu/drm/i915/i915_params.h                 |    1 -
 drivers/gpu/drm/i915/i915_pci.c                    |   77 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   25 +-
 drivers/gpu/drm/i915/i915_suspend.c                |    2 -
 drivers/gpu/drm/i915/i915_sysfs.c                  |   76 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  116 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   18 +
 drivers/gpu/drm/i915/i915_vma.c                    |  121 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   49 +-
 drivers/gpu/drm/i915/intel_audio.c                 |    2 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  130 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   40 +-
 drivers/gpu/drm/i915/intel_color.c                 |   16 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   86 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    2 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |   44 +-
 drivers/gpu/drm/i915/intel_display.c               |  211 +--
 drivers/gpu/drm/i915/intel_dp.c                    |   49 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   10 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |   20 -
 drivers/gpu/drm/i915/intel_drv.h                   |   22 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |    7 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |   33 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  240 ++-
 drivers/gpu/drm/i915/intel_guc.c                   |  265 +++
 drivers/gpu/drm/i915/intel_guc.h                   |  110 ++
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   48 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |    5 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |    6 +-
 drivers/gpu/drm/i915/intel_guc_log.h               |   59 +
 drivers/gpu/drm/i915/intel_huc.c                   |   11 +-
 drivers/gpu/drm/i915/intel_huc.h                   |   41 +
 drivers/gpu/drm/i915/intel_lrc.c                   |  282 +++-
 drivers/gpu/drm/i915/intel_lrc.h                   |    1 +
 drivers/gpu/drm/i915/intel_lvds.c                  |   23 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   15 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  695 ++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   11 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |    9 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   31 +-
 drivers/gpu/drm/i915/intel_sideband.c              |    6 +-
 drivers/gpu/drm/i915/intel_sprite.c                |    6 +
 drivers/gpu/drm/i915/intel_uc.c                    |  313 +---
 drivers/gpu/drm/i915/intel_uc.h                    |  228 +--
 drivers/gpu/drm/i915/intel_uc_fw.c                 |  193 +++
 drivers/gpu/drm/i915/intel_uc_fw.h                 |  107 ++
 drivers/gpu/drm/i915/intel_uncore.c                |   18 +-
 drivers/gpu/drm/i915/intel_uncore.h                |    8 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.c   |   14 +-
 drivers/gpu/drm/i915/selftests/huge_pages.c        | 1734 ++++++++++++++++++++
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |   15 +-
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    8 -
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |   12 +-
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |    1 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    1 +
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |   26 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   17 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |   11 +-
 drivers/gpu/drm/i915/selftests/scatterlist.c       |   15 +
 include/linux/shmem_fs.h                           |    2 +
 include/uapi/drm/i915_drm.h                        |   18 +-
 mm/shmem.c                                         |   30 +-
 88 files changed, 5560 insertions(+), 2222 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gemfs.c
 create mode 100644 drivers/gpu/drm/i915/i915_gemfs.h
 create mode 100644 drivers/gpu/drm/i915/i915_guc_submission.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_log.h
 create mode 100644 drivers/gpu/drm/i915/intel_huc.h
 create mode 100644 drivers/gpu/drm/i915/intel_uc_fw.c
 create mode 100644 drivers/gpu/drm/i915/intel_uc_fw.h
 create mode 100644 drivers/gpu/drm/i915/selftests/huge_pages.c

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2017-10-13  6:26   ` Dave Airlie
@ 2017-10-13  7:45     ` Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2017-10-13  7:45 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi

On Fri, 13 Oct 2017, Dave Airlie <airlied@gmail.com> wrote:
> On 13 October 2017 at 01:23, Jani Nikula <jani.nikula@intel.com> wrote:
>> On Wed, 11 Oct 2017, Jani Nikula <jani.nikula@intel.com> wrote:
>>> Hi Dave, more v4.15 features.
>>
>> Okay, so I suck and there's still one more batch to come after this. I'm
>> a bit out of rhythm here. When do you want the pull request for that at
>> the latest?
>
> Around rc6 time I think is when I generally get the last one. I sometimes let
> Daniel slip a week or so once it was all in CI and -next at that time.

Okay, I tagged the last batch yesterday, will send it your way next
week.

Thanks,
Jani.

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2017-10-12 15:23 ` Jani Nikula
@ 2017-10-13  6:26   ` Dave Airlie
  2017-10-13  7:45     ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2017-10-13  6:26 UTC (permalink / raw)
  To: Jani Nikula; +Cc: Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi

On 13 October 2017 at 01:23, Jani Nikula <jani.nikula@intel.com> wrote:
> On Wed, 11 Oct 2017, Jani Nikula <jani.nikula@intel.com> wrote:
>> Hi Dave, more v4.15 features.
>
> Okay, so I suck and there's still one more batch to come after this. I'm
> a bit out of rhythm here. When do you want the pull request for that at
> the latest?

Around rc6 time I think is when I generally get the last one. I sometimes let
Daniel slip a week or so once it was all in CI and -next at that time.

Dave.
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2017-10-11 17:59 Jani Nikula
@ 2017-10-12 15:23 ` Jani Nikula
  2017-10-13  6:26   ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2017-10-12 15:23 UTC (permalink / raw)
  To: Dave Airlie
  Cc: Daniel Vetter, intel-gfx, Joonas Lahtinen, dri-devel, Rodrigo Vivi

On Wed, 11 Oct 2017, Jani Nikula <jani.nikula@intel.com> wrote:
> Hi Dave, more v4.15 features.

Okay, so I suck and there's still one more batch to come after this. I'm
a bit out of rhythm here. When do you want the pull request for that at
the latest?

BR,
Jani.

>
> Our tooling now supports signed tags, this one is probably the
> first. Maybe we can make them mandatory in the long run.
>
> drm-intel-next-2017-09-29:
> 2nd batch of v4.15 features:
>
> - lib/scatterlist updates, use for userptr allocations (Tvrtko)
> - Fixed point wrapper cleanup (Mahesh)
> - Gen9+ transition watermarks, watermark optimization and fixes (Mahesh)
> - Display IPC (Isochronous Priority Control) support (Mahesh)
> - GEM workaround fixes (Oscar)
> - GVT: PCI config sanitize series (Changbin)
> - GVT: Workload submission error handling series (Fred)
> - PSR fixes and refactoring (Rodrigo)
> - HWSP based optimizations (Chris)
> - Private PAT management (Zhi)
> - IRQ handling fixes and refactoring (Ville)
> - Module parameter refactoring and variable name clash fix (Michal)
> - Execlist refactoring, incomplete request unwinding on reset (Chris)
> - GuC scheduling improvements (Michal)
> - OA updates (Lionel)
> - Coffeelake out of alpha support (Rodrigo)
> - seqno fixes (Chris)
> - Execlist refactoring (Mika)
> - DP and DP MST cleanups (Dhinakaran)
> - Cannonlake slice/sublice config (Ben)
> - Numerous fixes all around (Everyone)
>
> BR,
> Jani.
>
> The following changes since commit 754270c7c56292e97d0eff924a5d5d83f92add07:
>
>   Merge branch 'drm-next-4.15' of git://people.freedesktop.org/~agd5f/linux into drm-next (2017-09-28 08:37:02 +1000)
>
> are available in the git repository at:
>
>   git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-09-29
>
> for you to fetch changes up to e18063e88bd579c479a2b45820be6c4625f841c3:
>
>   drm/i915: Update DRIVER_DATE to 20170929 (2017-09-29 13:50:38 +0300)
>
> ----------------------------------------------------------------
> 2nd batch of v4.15 features:
>
> - lib/scatterlist updates, use for userptr allocations (Tvrtko)
> - Fixed point wrapper cleanup (Mahesh)
> - Gen9+ transition watermarks, watermark optimization and fixes (Mahesh)
> - Display IPC (Isochronous Priority Control) support (Mahesh)
> - GEM workaround fixes (Oscar)
> - GVT: PCI config sanitize series (Changbin)
> - GVT: Workload submission error handling series (Fred)
> - PSR fixes and refactoring (Rodrigo)
> - HWSP based optimizations (Chris)
> - Private PAT management (Zhi)
> - IRQ handling fixes and refactoring (Ville)
> - Module parameter refactoring and variable name clash fix (Michal)
> - Execlist refactoring, incomplete request unwinding on reset (Chris)
> - GuC scheduling improvements (Michal)
> - OA updates (Lionel)
> - Coffeelake out of alpha support (Rodrigo)
> - seqno fixes (Chris)
> - Execlist refactoring (Mika)
> - DP and DP MST cleanups (Dhinakaran)
> - Cannonlake slice/sublice config (Ben)
> - Numerous fixes all around (Everyone)
>
> ----------------------------------------------------------------
> Anuj Phogat (1):
>       drm/i915/kbl: Change a KBL pci id to GT2 from GT1.5
>
> Ben Widawsky (1):
>       drm/i915/cnl: Add support slice/subslice/eu configs
>
> Changbin Du (4):
>       drm/i915/kvmgt: Sanitize PCI bar emulation
>       drm/i915/gvt: Add emulation for BAR2 (aperture) with normal file RW approach
>       drm/i915/gvt: Fix incorrect PCI BARs reporting
>       drm/i915/gvt: Add support for PCIe extended configuration space
>
> Chris Wilson (33):
>       drm/i915: Disable snooping (userptr, set-cache-level) on gen4
>       drm/i915: Disable mmio debugging during user access
>       drm/i915: Apply the GTT write flush for all !llc machines
>       drm/i915: Only initialize partially filled pagetables
>       drm/i915: Cleanup error paths through eb_lookup_vma()
>       drm/i915: Move the context descriptor to an inline helper
>       drm/i915: Squelch smatch warning for statement with no effect
>       drm/i915: Use mul_u32_u32() for 32b x 32b -> 64b result
>       drm/i915/selftests: Use mul_u32_u32() for 32b x 32b -> 64b result
>       drm/i915: Allow HW status page to be bound high
>       drm/i915/execlists: Read the context-status buffer from the HWSP
>       drm/i915/execlists: Read the context-status HEAD from the HWSP
>       drm/i915: Remove unused 'in_vbl' from i915_get_crtc_scanoutpos()
>       drm/i915: Mark the userptr invalidate workqueue as WQ_MEM_RECLAIM
>       drm/i915: Cancel all ready but queued requests when wedging
>       drm/i915/execlists: Kick start request processing after a reset
>       drm/i915/execlists: Move insert_request()
>       drm/i915/execlists: Split insert_request()
>       drm/i915/execlists: Unwind incomplete requests on resets
>       drm/i915/selftests: Disable iommu for the mock device
>       drm/i915/selftests: Only touch archdata.iommu when it exists
>       drm/i915/fence: Avoid del_timer_sync() from inside a timer
>       drm/i915: Document the split in internal and public execbuf flags
>       drm/i915: Only wake the waiter from the interrupt if passed
>       drm/i915: Check waiter->seqno carefully in case of preemption
>       drm/i915: Confirm request->global_seqno after spin completion
>       drm/i915: Make i915_spin_request() static
>       drm/i915/lrc: Only enable per-context and per-bb buffers if set
>       drm/i915/lrc: Skip no-op per-bb buffer on gen9
>       drm/i915/execlists: Microoptimise execlists_cancel_port_request()
>       drm/i915/selftests: Try to recover from a wedged GPU during reset tests
>       drm/i915/execlists: Notify context-out for lost requests
>       drm/i915: Use memset64() to prefill the GTT page
>
> Christophe JAILLET (1):
>       drm/i915: Fix an error handling in 'intel_framebuffer_init()'
>
> Colin Ian King (1):
>       drm/i915: remove redundant variable hw_check
>
> Daniele Ceraolo Spurio (1):
>       drm/i915/lrc: allocate separate page for HWSP
>
> David Weinehall (1):
>       drm/i915: Speed up DMC firmware loading
>
> Dhinakaran Pandiyan (2):
>       drm/i915/spt+: Don't reset invalid AUX channel interrupt bits in SDEIMR
>       drm/i915/dp: Remove useless debug about TPS3 support
>
> Helge Deller (1):
>       i915: Use %pS printk format for direct addresses
>
> Jani Nikula (5):
>       Merge tag 'gvt-next-2017-09-08' of https://github.com/01org/gvt-linux into drm-intel-next-queued
>       drm/i915: always update ELD connector type after get modes
>       drm/i915/bios: ignore HDMI on port A
>       Merge drm-upstream/drm-next into drm-intel-next-queued
>       drm/i915: Update DRIVER_DATE to 20170929
>
> Joonas Lahtinen (2):
>       drm/i915: Introduce INTEL_GEN_MASK
>       drm/i915: Simplify i915_reg_read_ioctl
>
> Kumar, Mahesh (7):
>       drm/i915: Fixed point fixed16 wrapper cleanup
>       drm/i915/skl+: Optimize WM calculation
>       drm/i915/gen10: Calculate and enable transition WM
>       drm/i915/glk: IPC linetime watermark workaround for GLK
>       drm/i915/cnl: Extend WM workaround with IPC for CNL
>       drm/i915/bxt+: Enable IPC support
>       drm/i915/skl+: debugfs entry to control IPC
>
> Lee, Shawn C (2):
>       drm/i915/bxt: set min brightness from VBT
>       drm/i915/cnp: set min brightness from VBT
>
> Lionel Landwerlin (3):
>       uapi/drm/i915: document field usage of drm_i915_perf_oa_config
>       drm/i915/perf: disable clk ratio reports on gen9
>       drm/i915/perf: add support for Coffeelake GT2
>
> Mahesh Kumar (1):
>       drm/i915/gen9+: Add has_ipc flag in device info structure
>
> Manasi Navare (1):
>       drm/i915/cnl: Change the macro name to DPLL_CFGCR0_DCO_FRACTION_SHIFT
>
> Marta Lofstedt (1):
>       drm/i915: Increase poll time for BDW FCLK_DONE
>
> Michal Wajdeczko (8):
>       drm/i915: Make PAT macros more robust
>       drm/i915: Rename lvds_use_ssc modparam to panel_use_ssc
>       drm/i915: Introduce custom variant of module_param_named macro
>       drm/i915: Extend private i915_param_named macro with description
>       drm/i915: Rename global i915 to i915_modparams
>       drm/i915: Make I915_PARAMS_FOR_EACH macro more flexible
>       drm/i915: Extend I915_PARAMS_FOR_EACH with default member value
>       drm/i915: Fix default values of some modparams
>
> Michał Winiarski (4):
>       drm/i915/guc: Remove obsolete comments and remove unused variable
>       drm/i915/guc: Submit GuC workitems containing coalesced requests
>       drm/i915/guc: Simplify GuC doorbell logic
>       drm/i915/guc: Cleanup adding GuC work items
>
> Michel Thierry (3):
>       drm/i915: Add a default case in gen7 hwsp switch-case
>       drm/i915/lrc: Clarify the format of the context image
>       drm/i915/guc: Don't make assumptions while getting the lrca offset
>
> Mika Kahola (1):
>       drm/i915/dsi: Replace MIPI command error message with debug message
>
> Mika Kuoppala (6):
>       drm/i915: Stop engines before reset
>       drm/i915: Make own struct for execlist items
>       drm/i915: Move execlist initialization into intel_engine_cs.c
>       drm/i915: Wrap port cancellation into a function
>       drm/i915: Add execlist_port_complete
>       drm/i915: Make execlist port count variable
>
> Oscar Mateo (10):
>       drm/i915: Transform WaInPlaceDecompressionHang into a simple reg write
>       drm/i915: Transform WaDisableI2mCycleOnWRPort into a simple reg write
>       drm/i915: WaPushConstantDereferenceHoldDisable needs to modify a masked register
>       drm/i915: Transform WaDisableGafsUnitClkGating into a simple reg write
>       drm/i915: Transform WaDisableDynamicCreditSharing into a simple register write
>       drm/i915: Transform WaDisablePooledEuLoadBalancingFix into a simple register write
>       drm/i915/guc: Name the default GuC scheduling policy
>       drm/i915/guc: Remove WQ_WORKLOAD_SHIFT define
>       drm/i915/guc: Small improvements to guc_wq_item_append
>       drm/i915/cnl: Add Gen10 LRC size
>
> Pandiyan, Dhinakaran (3):
>       drm/i915/mst: Debug log connector name in destroy_connector()
>       drm/i915/mst: Print active mst links after update
>       drm/i915/dp: Fix buffer size for sink_irq_esi read
>
> Radhakrishna Sripada (1):
>       drm/i915: Do not enable DRRS when PSR is enabled
>
> Rodrigo Vivi (17):
>       drm/i915/psr: Avoid any PSR stuff on platforms without support.
>       drm/i915/psr: vfunc for disabling source.
>       drm/i915/psr: hsw_psr_activate.
>       drm/i915/psr: Add activate vfunc.
>       drm/i915/psr: Unify VSC setup functions.
>       drm/i915/psr: Re-create a hsw_psr_enable_source.
>       drm/i915/psr: Move hsw_enable_source after enabling sink.
>       drm/i915/psr: Re-org Activate after enable
>       drm/i915/psr: Add setup VSC vfunc.
>       drm/i915/psr: Add enable_sink vfunc.
>       drm/i915/psr: Add enable_source vfunc.
>       drm/i915: Refresh VLV/CHV PSR comments on HW PSR_state machine.
>       drm/i915/cnp: Don't touch other PCH clock gating bits.
>       drm/i915/cnp: Display Wa #1179: WaHardHangonHotPlug
>       drm/i915/cfl: Remove alpha support protection.
>       drm/i915: Avoid using dev_priv->info.gen directly.
>       drm/i915: Also discard second CRC on gen8+ platforms.
>
> Sagar Arun Kamble (1):
>       drm/i915/huc: Reorganize HuC authentication
>
> Tvrtko Ursulin (8):
>       lib/scatterlist: Fix offset type in sg_alloc_table_from_pages
>       lib/scatterlist: Avoid potential scatterlist entry overflow
>       lib/scatterlist: Introduce and export __sg_alloc_table_from_pages
>       drm/i915: Use __sg_alloc_table_from_pages for userptr allocations
>       tools/testing/scatterlist: Test new __sg_alloc_table_from_pages
>       drm/i915: Add IS_PLATFORM macro
>       drm/i915: Compact device info access by a small re-ordering
>       drm/i915: Allow optimized platform checks
>
> Uma Shankar (2):
>       Revert "drm/i915/bxt: Disable device ready before shutdown command"
>       drm/i915: Enable scanline read based on frame timestamps
>
> Ville Syrjälä (26):
>       i915: Fix obj size vs. alignment for drm_pci_alloc()
>       drm/i915: Nuke some bogus tabs from the pcode defines
>       drm/i915: Name the IPS_PCODE_CONTROL bit
>       drm/i915: Switch over to the LLC/eLLC hotspot avoidance hash mode for CCS
>       drm/i915: Don't enable/unmask flip interrupts
>       drm/i915: Clear pipestat consistently
>       drm/i915: s/GEN5/GEN3/
>       drm/i915: Use GEN3_IRQ_RESET/INIT on gen3/4
>       drm/i915: Introduce GEN2_IRQ_RESET/INIT
>       drm/i915: Setup EMR first on all gen2-4
>       drm/i915: Eliminate PORT_HOTPLUG_EN setup from gen3/4 irq_postinstall
>       drm/i915: Unify the appearance of gen3/4 irq_postistall hooks
>       drm/i915: Remove NULL dev_priv checks from irq_uninstall
>       drm/i915: Extract PIPESTAT irq handling into separate functions
>       drm/i915: Rewrite GMCH irq handlers to avoid loops
>       drm/i915: Gen3 HWSTAM is actually 32 bits
>       drm/i915: Mask everything in ring HWSTAM on gen6+ in ringbuffer mode
>       drm/i915: Clean up the HWSTAM mess
>       drm/i915: Remove duplicated irq_preinstall/uninstall hooks
>       drm/i915: Reinstate GMBUS and AUX interrupts on gen4/g4x
>       drm/i915: Replace some spaces with tabs
>       drm/i915: Shrink bxt_ddi_buf_trans
>       drm/i915: Shrink cnl_ddi_buf_trans
>       drm/i915: Drop useless HAS_PSR() check
>       drm/i915: Reorganize .disable hooks for pre-DDI DP
>       drm/i915: Don't rmw PIPESTAT enable bits
>
> Zhi Wang (4):
>       drm/i915: Factor out setup_private_pat()
>       drm/i915: Introduce private PAT management
>       drm/i915: Remove the "INDEX" suffix from PPAT marcos
>       drm/i915: Return the correct score in i915_ppat_get()
>
> fred gao (6):
>       drm/i915/gvt: Separate cmd scan from request allocation
>       drm/i915/gvt: Add error handling for intel_gvt_scan_and_shadow_workload
>       drm/i915/gvt: Refine error handling for prepare_execlist_workload
>       drm/i915/gvt: Refine error handling for intel_vgpu_pin_mm
>       drm/i915/gvt: Refine error handling in dispatch_workload
>       drm/i915/gvt: Refine error handling for perform_bb_shadow
>
> vathsala nagaraju (2):
>       drm/dp: Add defines for latency in sink
>       drm/i915/psr: Set frames before SU entry for psr2
>
>  drivers/gpu/drm/i915/Kconfig                       |   1 +
>  drivers/gpu/drm/i915/Makefile                      |   3 +-
>  drivers/gpu/drm/i915/gvt/cfg_space.c               |  30 +-
>  drivers/gpu/drm/i915/gvt/cmd_parser.c              |  37 +-
>  drivers/gpu/drm/i915/gvt/execlist.c                | 127 ++-
>  drivers/gpu/drm/i915/gvt/gtt.c                     |   5 +-
>  drivers/gpu/drm/i915/gvt/gvt.c                     |   2 +-
>  drivers/gpu/drm/i915/gvt/gvt.h                     |  14 +-
>  drivers/gpu/drm/i915/gvt/kvmgt.c                   |  44 +-
>  drivers/gpu/drm/i915/gvt/mmio.c                    |  47 +-
>  drivers/gpu/drm/i915/gvt/render.c                  |   2 +-
>  drivers/gpu/drm/i915/gvt/scheduler.c               | 114 ++-
>  drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
>  drivers/gpu/drm/i915/i915_debugfs.c                | 116 ++-
>  drivers/gpu/drm/i915/i915_drv.c                    |  42 +-
>  drivers/gpu/drm/i915/i915_drv.h                    | 198 +++--
>  drivers/gpu/drm/i915/i915_gem.c                    |  60 +-
>  drivers/gpu/drm/i915/i915_gem_context.c            |  12 +-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  15 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c                | 326 +++++--
>  drivers/gpu/drm/i915/i915_gem_gtt.h                |  46 +-
>  drivers/gpu/drm/i915/i915_gem_request.c            |  32 +-
>  drivers/gpu/drm/i915/i915_gem_request.h            |  35 -
>  drivers/gpu/drm/i915/i915_gem_userptr.c            |  83 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c              |  29 +-
>  drivers/gpu/drm/i915/i915_guc_submission.c         | 358 +++-----
>  drivers/gpu/drm/i915/i915_irq.c                    | 961 +++++++++------------
>  drivers/gpu/drm/i915/i915_oa_cflgt2.c              | 109 +++
>  drivers/gpu/drm/i915/i915_oa_cflgt2.h              |  34 +
>  drivers/gpu/drm/i915/i915_params.c                 | 208 ++---
>  drivers/gpu/drm/i915/i915_params.h                 |  86 +-
>  drivers/gpu/drm/i915/i915_pci.c                    |  19 +-
>  drivers/gpu/drm/i915/i915_perf.c                   |  14 +-
>  drivers/gpu/drm/i915/i915_reg.h                    |  42 +-
>  drivers/gpu/drm/i915/i915_sw_fence.c               |  27 +-
>  drivers/gpu/drm/i915/intel_audio.c                 |   5 -
>  drivers/gpu/drm/i915/intel_bios.c                  |  14 +-
>  drivers/gpu/drm/i915/intel_breadcrumbs.c           |   2 +-
>  drivers/gpu/drm/i915/intel_cdclk.c                 |   6 +-
>  drivers/gpu/drm/i915/intel_crt.c                   |   4 +-
>  drivers/gpu/drm/i915/intel_csr.c                   |   8 +-
>  drivers/gpu/drm/i915/intel_ddi.c                   |  26 +-
>  drivers/gpu/drm/i915/intel_device_info.c           |  41 +-
>  drivers/gpu/drm/i915/intel_display.c               |  26 +-
>  drivers/gpu/drm/i915/intel_dp.c                    |  78 +-
>  drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |   2 +-
>  drivers/gpu/drm/i915/intel_dp_mst.c                |  11 +-
>  drivers/gpu/drm/i915/intel_drv.h                   |   6 +-
>  drivers/gpu/drm/i915/intel_dsi.c                   |  10 +-
>  drivers/gpu/drm/i915/intel_engine_cs.c             | 241 +++++-
>  drivers/gpu/drm/i915/intel_fbc.c                   |  11 +-
>  drivers/gpu/drm/i915/intel_fifo_underrun.c         |  14 +-
>  drivers/gpu/drm/i915/intel_guc_fwif.h              |  10 +-
>  drivers/gpu/drm/i915/intel_guc_loader.c            |  13 +-
>  drivers/gpu/drm/i915/intel_guc_log.c               |  26 +-
>  drivers/gpu/drm/i915/intel_gvt.c                   |  12 +-
>  drivers/gpu/drm/i915/intel_hangcheck.c             |   2 +-
>  drivers/gpu/drm/i915/intel_huc.c                   |  42 +-
>  drivers/gpu/drm/i915/intel_lrc.c                   | 459 +++++-----
>  drivers/gpu/drm/i915/intel_lrc.h                   |  36 +-
>  drivers/gpu/drm/i915/intel_lvds.c                  |   4 +-
>  drivers/gpu/drm/i915/intel_modes.c                 |  17 +
>  drivers/gpu/drm/i915/intel_opregion.c              |   2 +-
>  drivers/gpu/drm/i915/intel_panel.c                 |   8 +-
>  drivers/gpu/drm/i915/intel_pm.c                    | 310 ++++---
>  drivers/gpu/drm/i915/intel_psr.c                   | 286 +++---
>  drivers/gpu/drm/i915/intel_ringbuffer.c            | 167 +---
>  drivers/gpu/drm/i915/intel_ringbuffer.h            | 135 ++-
>  drivers/gpu/drm/i915/intel_runtime_pm.c            |  17 +-
>  drivers/gpu/drm/i915/intel_tv.c                    |   2 +-
>  drivers/gpu/drm/i915/intel_uc.c                    |  74 +-
>  drivers/gpu/drm/i915/intel_uc.h                    |  23 +-
>  drivers/gpu/drm/i915/intel_uncore.c                | 237 +++--
>  drivers/gpu/drm/i915/intel_uncore.h                |  10 +
>  drivers/gpu/drm/i915/selftests/i915_gem_timeline.c |   2 +-
>  drivers/gpu/drm/i915/selftests/i915_random.c       |   5 -
>  drivers/gpu/drm/i915/selftests/i915_random.h       |   5 +
>  drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  24 +-
>  drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   5 +
>  drivers/media/v4l2-core/videobuf2-dma-contig.c     |   4 +-
>  drivers/rapidio/devices/rio_mport_cdev.c           |   4 +-
>  include/drm/drm_dp_helper.h                        |   6 +
>  include/drm/i915_pciids.h                          |   2 +-
>  include/linux/scatterlist.h                        |  17 +-
>  include/uapi/drm/i915_drm.h                        |  11 +-
>  lib/scatterlist.c                                  |  95 +-
>  tools/testing/scatterlist/Makefile                 |  30 +
>  tools/testing/scatterlist/linux/mm.h               | 125 +++
>  tools/testing/scatterlist/main.c                   |  79 ++
>  89 files changed, 3665 insertions(+), 2425 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/i915_oa_cflgt2.c
>  create mode 100644 drivers/gpu/drm/i915/i915_oa_cflgt2.h
>  create mode 100644 tools/testing/scatterlist/Makefile
>  create mode 100644 tools/testing/scatterlist/linux/mm.h
>  create mode 100644 tools/testing/scatterlist/main.c

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-10-11 17:59 Jani Nikula
  2017-10-12 15:23 ` Jani Nikula
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2017-10-11 17:59 UTC (permalink / raw)
  To: Dave Airlie
  Cc: Daniel Vetter, intel-gfx, Joonas Lahtinen, dri-devel, Rodrigo Vivi


Hi Dave, more v4.15 features.

Our tooling now supports signed tags, this one is probably the
first. Maybe we can make them mandatory in the long run.

drm-intel-next-2017-09-29:
2nd batch of v4.15 features:

- lib/scatterlist updates, use for userptr allocations (Tvrtko)
- Fixed point wrapper cleanup (Mahesh)
- Gen9+ transition watermarks, watermark optimization and fixes (Mahesh)
- Display IPC (Isochronous Priority Control) support (Mahesh)
- GEM workaround fixes (Oscar)
- GVT: PCI config sanitize series (Changbin)
- GVT: Workload submission error handling series (Fred)
- PSR fixes and refactoring (Rodrigo)
- HWSP based optimizations (Chris)
- Private PAT management (Zhi)
- IRQ handling fixes and refactoring (Ville)
- Module parameter refactoring and variable name clash fix (Michal)
- Execlist refactoring, incomplete request unwinding on reset (Chris)
- GuC scheduling improvements (Michal)
- OA updates (Lionel)
- Coffeelake out of alpha support (Rodrigo)
- seqno fixes (Chris)
- Execlist refactoring (Mika)
- DP and DP MST cleanups (Dhinakaran)
- Cannonlake slice/sublice config (Ben)
- Numerous fixes all around (Everyone)

BR,
Jani.

The following changes since commit 754270c7c56292e97d0eff924a5d5d83f92add07:

  Merge branch 'drm-next-4.15' of git://people.freedesktop.org/~agd5f/linux into drm-next (2017-09-28 08:37:02 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm/drm-intel tags/drm-intel-next-2017-09-29

for you to fetch changes up to e18063e88bd579c479a2b45820be6c4625f841c3:

  drm/i915: Update DRIVER_DATE to 20170929 (2017-09-29 13:50:38 +0300)

----------------------------------------------------------------
2nd batch of v4.15 features:

- lib/scatterlist updates, use for userptr allocations (Tvrtko)
- Fixed point wrapper cleanup (Mahesh)
- Gen9+ transition watermarks, watermark optimization and fixes (Mahesh)
- Display IPC (Isochronous Priority Control) support (Mahesh)
- GEM workaround fixes (Oscar)
- GVT: PCI config sanitize series (Changbin)
- GVT: Workload submission error handling series (Fred)
- PSR fixes and refactoring (Rodrigo)
- HWSP based optimizations (Chris)
- Private PAT management (Zhi)
- IRQ handling fixes and refactoring (Ville)
- Module parameter refactoring and variable name clash fix (Michal)
- Execlist refactoring, incomplete request unwinding on reset (Chris)
- GuC scheduling improvements (Michal)
- OA updates (Lionel)
- Coffeelake out of alpha support (Rodrigo)
- seqno fixes (Chris)
- Execlist refactoring (Mika)
- DP and DP MST cleanups (Dhinakaran)
- Cannonlake slice/sublice config (Ben)
- Numerous fixes all around (Everyone)

----------------------------------------------------------------
Anuj Phogat (1):
      drm/i915/kbl: Change a KBL pci id to GT2 from GT1.5

Ben Widawsky (1):
      drm/i915/cnl: Add support slice/subslice/eu configs

Changbin Du (4):
      drm/i915/kvmgt: Sanitize PCI bar emulation
      drm/i915/gvt: Add emulation for BAR2 (aperture) with normal file RW approach
      drm/i915/gvt: Fix incorrect PCI BARs reporting
      drm/i915/gvt: Add support for PCIe extended configuration space

Chris Wilson (33):
      drm/i915: Disable snooping (userptr, set-cache-level) on gen4
      drm/i915: Disable mmio debugging during user access
      drm/i915: Apply the GTT write flush for all !llc machines
      drm/i915: Only initialize partially filled pagetables
      drm/i915: Cleanup error paths through eb_lookup_vma()
      drm/i915: Move the context descriptor to an inline helper
      drm/i915: Squelch smatch warning for statement with no effect
      drm/i915: Use mul_u32_u32() for 32b x 32b -> 64b result
      drm/i915/selftests: Use mul_u32_u32() for 32b x 32b -> 64b result
      drm/i915: Allow HW status page to be bound high
      drm/i915/execlists: Read the context-status buffer from the HWSP
      drm/i915/execlists: Read the context-status HEAD from the HWSP
      drm/i915: Remove unused 'in_vbl' from i915_get_crtc_scanoutpos()
      drm/i915: Mark the userptr invalidate workqueue as WQ_MEM_RECLAIM
      drm/i915: Cancel all ready but queued requests when wedging
      drm/i915/execlists: Kick start request processing after a reset
      drm/i915/execlists: Move insert_request()
      drm/i915/execlists: Split insert_request()
      drm/i915/execlists: Unwind incomplete requests on resets
      drm/i915/selftests: Disable iommu for the mock device
      drm/i915/selftests: Only touch archdata.iommu when it exists
      drm/i915/fence: Avoid del_timer_sync() from inside a timer
      drm/i915: Document the split in internal and public execbuf flags
      drm/i915: Only wake the waiter from the interrupt if passed
      drm/i915: Check waiter->seqno carefully in case of preemption
      drm/i915: Confirm request->global_seqno after spin completion
      drm/i915: Make i915_spin_request() static
      drm/i915/lrc: Only enable per-context and per-bb buffers if set
      drm/i915/lrc: Skip no-op per-bb buffer on gen9
      drm/i915/execlists: Microoptimise execlists_cancel_port_request()
      drm/i915/selftests: Try to recover from a wedged GPU during reset tests
      drm/i915/execlists: Notify context-out for lost requests
      drm/i915: Use memset64() to prefill the GTT page

Christophe JAILLET (1):
      drm/i915: Fix an error handling in 'intel_framebuffer_init()'

Colin Ian King (1):
      drm/i915: remove redundant variable hw_check

Daniele Ceraolo Spurio (1):
      drm/i915/lrc: allocate separate page for HWSP

David Weinehall (1):
      drm/i915: Speed up DMC firmware loading

Dhinakaran Pandiyan (2):
      drm/i915/spt+: Don't reset invalid AUX channel interrupt bits in SDEIMR
      drm/i915/dp: Remove useless debug about TPS3 support

Helge Deller (1):
      i915: Use %pS printk format for direct addresses

Jani Nikula (5):
      Merge tag 'gvt-next-2017-09-08' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      drm/i915: always update ELD connector type after get modes
      drm/i915/bios: ignore HDMI on port A
      Merge drm-upstream/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170929

Joonas Lahtinen (2):
      drm/i915: Introduce INTEL_GEN_MASK
      drm/i915: Simplify i915_reg_read_ioctl

Kumar, Mahesh (7):
      drm/i915: Fixed point fixed16 wrapper cleanup
      drm/i915/skl+: Optimize WM calculation
      drm/i915/gen10: Calculate and enable transition WM
      drm/i915/glk: IPC linetime watermark workaround for GLK
      drm/i915/cnl: Extend WM workaround with IPC for CNL
      drm/i915/bxt+: Enable IPC support
      drm/i915/skl+: debugfs entry to control IPC

Lee, Shawn C (2):
      drm/i915/bxt: set min brightness from VBT
      drm/i915/cnp: set min brightness from VBT

Lionel Landwerlin (3):
      uapi/drm/i915: document field usage of drm_i915_perf_oa_config
      drm/i915/perf: disable clk ratio reports on gen9
      drm/i915/perf: add support for Coffeelake GT2

Mahesh Kumar (1):
      drm/i915/gen9+: Add has_ipc flag in device info structure

Manasi Navare (1):
      drm/i915/cnl: Change the macro name to DPLL_CFGCR0_DCO_FRACTION_SHIFT

Marta Lofstedt (1):
      drm/i915: Increase poll time for BDW FCLK_DONE

Michal Wajdeczko (8):
      drm/i915: Make PAT macros more robust
      drm/i915: Rename lvds_use_ssc modparam to panel_use_ssc
      drm/i915: Introduce custom variant of module_param_named macro
      drm/i915: Extend private i915_param_named macro with description
      drm/i915: Rename global i915 to i915_modparams
      drm/i915: Make I915_PARAMS_FOR_EACH macro more flexible
      drm/i915: Extend I915_PARAMS_FOR_EACH with default member value
      drm/i915: Fix default values of some modparams

Michał Winiarski (4):
      drm/i915/guc: Remove obsolete comments and remove unused variable
      drm/i915/guc: Submit GuC workitems containing coalesced requests
      drm/i915/guc: Simplify GuC doorbell logic
      drm/i915/guc: Cleanup adding GuC work items

Michel Thierry (3):
      drm/i915: Add a default case in gen7 hwsp switch-case
      drm/i915/lrc: Clarify the format of the context image
      drm/i915/guc: Don't make assumptions while getting the lrca offset

Mika Kahola (1):
      drm/i915/dsi: Replace MIPI command error message with debug message

Mika Kuoppala (6):
      drm/i915: Stop engines before reset
      drm/i915: Make own struct for execlist items
      drm/i915: Move execlist initialization into intel_engine_cs.c
      drm/i915: Wrap port cancellation into a function
      drm/i915: Add execlist_port_complete
      drm/i915: Make execlist port count variable

Oscar Mateo (10):
      drm/i915: Transform WaInPlaceDecompressionHang into a simple reg write
      drm/i915: Transform WaDisableI2mCycleOnWRPort into a simple reg write
      drm/i915: WaPushConstantDereferenceHoldDisable needs to modify a masked register
      drm/i915: Transform WaDisableGafsUnitClkGating into a simple reg write
      drm/i915: Transform WaDisableDynamicCreditSharing into a simple register write
      drm/i915: Transform WaDisablePooledEuLoadBalancingFix into a simple register write
      drm/i915/guc: Name the default GuC scheduling policy
      drm/i915/guc: Remove WQ_WORKLOAD_SHIFT define
      drm/i915/guc: Small improvements to guc_wq_item_append
      drm/i915/cnl: Add Gen10 LRC size

Pandiyan, Dhinakaran (3):
      drm/i915/mst: Debug log connector name in destroy_connector()
      drm/i915/mst: Print active mst links after update
      drm/i915/dp: Fix buffer size for sink_irq_esi read

Radhakrishna Sripada (1):
      drm/i915: Do not enable DRRS when PSR is enabled

Rodrigo Vivi (17):
      drm/i915/psr: Avoid any PSR stuff on platforms without support.
      drm/i915/psr: vfunc for disabling source.
      drm/i915/psr: hsw_psr_activate.
      drm/i915/psr: Add activate vfunc.
      drm/i915/psr: Unify VSC setup functions.
      drm/i915/psr: Re-create a hsw_psr_enable_source.
      drm/i915/psr: Move hsw_enable_source after enabling sink.
      drm/i915/psr: Re-org Activate after enable
      drm/i915/psr: Add setup VSC vfunc.
      drm/i915/psr: Add enable_sink vfunc.
      drm/i915/psr: Add enable_source vfunc.
      drm/i915: Refresh VLV/CHV PSR comments on HW PSR_state machine.
      drm/i915/cnp: Don't touch other PCH clock gating bits.
      drm/i915/cnp: Display Wa #1179: WaHardHangonHotPlug
      drm/i915/cfl: Remove alpha support protection.
      drm/i915: Avoid using dev_priv->info.gen directly.
      drm/i915: Also discard second CRC on gen8+ platforms.

Sagar Arun Kamble (1):
      drm/i915/huc: Reorganize HuC authentication

Tvrtko Ursulin (8):
      lib/scatterlist: Fix offset type in sg_alloc_table_from_pages
      lib/scatterlist: Avoid potential scatterlist entry overflow
      lib/scatterlist: Introduce and export __sg_alloc_table_from_pages
      drm/i915: Use __sg_alloc_table_from_pages for userptr allocations
      tools/testing/scatterlist: Test new __sg_alloc_table_from_pages
      drm/i915: Add IS_PLATFORM macro
      drm/i915: Compact device info access by a small re-ordering
      drm/i915: Allow optimized platform checks

Uma Shankar (2):
      Revert "drm/i915/bxt: Disable device ready before shutdown command"
      drm/i915: Enable scanline read based on frame timestamps

Ville Syrjälä (26):
      i915: Fix obj size vs. alignment for drm_pci_alloc()
      drm/i915: Nuke some bogus tabs from the pcode defines
      drm/i915: Name the IPS_PCODE_CONTROL bit
      drm/i915: Switch over to the LLC/eLLC hotspot avoidance hash mode for CCS
      drm/i915: Don't enable/unmask flip interrupts
      drm/i915: Clear pipestat consistently
      drm/i915: s/GEN5/GEN3/
      drm/i915: Use GEN3_IRQ_RESET/INIT on gen3/4
      drm/i915: Introduce GEN2_IRQ_RESET/INIT
      drm/i915: Setup EMR first on all gen2-4
      drm/i915: Eliminate PORT_HOTPLUG_EN setup from gen3/4 irq_postinstall
      drm/i915: Unify the appearance of gen3/4 irq_postistall hooks
      drm/i915: Remove NULL dev_priv checks from irq_uninstall
      drm/i915: Extract PIPESTAT irq handling into separate functions
      drm/i915: Rewrite GMCH irq handlers to avoid loops
      drm/i915: Gen3 HWSTAM is actually 32 bits
      drm/i915: Mask everything in ring HWSTAM on gen6+ in ringbuffer mode
      drm/i915: Clean up the HWSTAM mess
      drm/i915: Remove duplicated irq_preinstall/uninstall hooks
      drm/i915: Reinstate GMBUS and AUX interrupts on gen4/g4x
      drm/i915: Replace some spaces with tabs
      drm/i915: Shrink bxt_ddi_buf_trans
      drm/i915: Shrink cnl_ddi_buf_trans
      drm/i915: Drop useless HAS_PSR() check
      drm/i915: Reorganize .disable hooks for pre-DDI DP
      drm/i915: Don't rmw PIPESTAT enable bits

Zhi Wang (4):
      drm/i915: Factor out setup_private_pat()
      drm/i915: Introduce private PAT management
      drm/i915: Remove the "INDEX" suffix from PPAT marcos
      drm/i915: Return the correct score in i915_ppat_get()

fred gao (6):
      drm/i915/gvt: Separate cmd scan from request allocation
      drm/i915/gvt: Add error handling for intel_gvt_scan_and_shadow_workload
      drm/i915/gvt: Refine error handling for prepare_execlist_workload
      drm/i915/gvt: Refine error handling for intel_vgpu_pin_mm
      drm/i915/gvt: Refine error handling in dispatch_workload
      drm/i915/gvt: Refine error handling for perform_bb_shadow

vathsala nagaraju (2):
      drm/dp: Add defines for latency in sink
      drm/i915/psr: Set frames before SU entry for psr2

 drivers/gpu/drm/i915/Kconfig                       |   1 +
 drivers/gpu/drm/i915/Makefile                      |   3 +-
 drivers/gpu/drm/i915/gvt/cfg_space.c               |  30 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |  37 +-
 drivers/gpu/drm/i915/gvt/execlist.c                | 127 ++-
 drivers/gpu/drm/i915/gvt/gtt.c                     |   5 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |   2 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |  14 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |  44 +-
 drivers/gpu/drm/i915/gvt/mmio.c                    |  47 +-
 drivers/gpu/drm/i915/gvt/render.c                  |   2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               | 114 ++-
 drivers/gpu/drm/i915/gvt/scheduler.h               |   1 +
 drivers/gpu/drm/i915/i915_debugfs.c                | 116 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |  42 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 198 +++--
 drivers/gpu/drm/i915/i915_gem.c                    |  60 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  12 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  15 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 326 +++++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  46 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |  32 +-
 drivers/gpu/drm/i915/i915_gem_request.h            |  35 -
 drivers/gpu/drm/i915/i915_gem_userptr.c            |  83 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  29 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         | 358 +++-----
 drivers/gpu/drm/i915/i915_irq.c                    | 961 +++++++++------------
 drivers/gpu/drm/i915/i915_oa_cflgt2.c              | 109 +++
 drivers/gpu/drm/i915/i915_oa_cflgt2.h              |  34 +
 drivers/gpu/drm/i915/i915_params.c                 | 208 ++---
 drivers/gpu/drm/i915/i915_params.h                 |  86 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  19 +-
 drivers/gpu/drm/i915/i915_perf.c                   |  14 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  42 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |  27 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   5 -
 drivers/gpu/drm/i915/intel_bios.c                  |  14 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   2 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   6 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   4 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   8 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  26 +-
 drivers/gpu/drm/i915/intel_device_info.c           |  41 +-
 drivers/gpu/drm/i915/intel_display.c               |  26 +-
 drivers/gpu/drm/i915/intel_dp.c                    |  78 +-
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |   2 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |  11 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   6 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  10 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             | 241 +++++-
 drivers/gpu/drm/i915/intel_fbc.c                   |  11 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |  14 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |  10 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |  13 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |  26 +-
 drivers/gpu/drm/i915/intel_gvt.c                   |  12 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |   2 +-
 drivers/gpu/drm/i915/intel_huc.c                   |  42 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 459 +++++-----
 drivers/gpu/drm/i915/intel_lrc.h                   |  36 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   4 +-
 drivers/gpu/drm/i915/intel_modes.c                 |  17 +
 drivers/gpu/drm/i915/intel_opregion.c              |   2 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   8 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 310 ++++---
 drivers/gpu/drm/i915/intel_psr.c                   | 286 +++---
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 167 +---
 drivers/gpu/drm/i915/intel_ringbuffer.h            | 135 ++-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  17 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   2 +-
 drivers/gpu/drm/i915/intel_uc.c                    |  74 +-
 drivers/gpu/drm/i915/intel_uc.h                    |  23 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 237 +++--
 drivers/gpu/drm/i915/intel_uncore.h                |  10 +
 drivers/gpu/drm/i915/selftests/i915_gem_timeline.c |   2 +-
 drivers/gpu/drm/i915/selftests/i915_random.c       |   5 -
 drivers/gpu/drm/i915/selftests/i915_random.h       |   5 +
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  24 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   5 +
 drivers/media/v4l2-core/videobuf2-dma-contig.c     |   4 +-
 drivers/rapidio/devices/rio_mport_cdev.c           |   4 +-
 include/drm/drm_dp_helper.h                        |   6 +
 include/drm/i915_pciids.h                          |   2 +-
 include/linux/scatterlist.h                        |  17 +-
 include/uapi/drm/i915_drm.h                        |  11 +-
 lib/scatterlist.c                                  |  95 +-
 tools/testing/scatterlist/Makefile                 |  30 +
 tools/testing/scatterlist/linux/mm.h               | 125 +++
 tools/testing/scatterlist/main.c                   |  79 ++
 89 files changed, 3665 insertions(+), 2425 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_oa_cflgt2.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_cflgt2.h
 create mode 100644 tools/testing/scatterlist/Makefile
 create mode 100644 tools/testing/scatterlist/linux/mm.h
 create mode 100644 tools/testing/scatterlist/main.c

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-09-21  8:57 Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2017-09-21  8:57 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel, Rodrigo Vivi


Hi Dave, the first batch of i915 features heading for v4.15. I can't
really name any one overarching theme here other than, "changes all over
the place". Details below.

BR,
Jani.


drm-intel-next-2017-09-07:
Getting started with v4.15 features:

- Cannonlake workarounds (Rodrigo, Oscar)
- Infoframe refactoring and fixes to enable infoframes for DP (Ville)
- VBT definition updates (Jani)
- Sparse warning fixes (Ville, Chris)
- Crtc state usage fixes and cleanups (Ville)
- DP vswing, pre-emph and buffer translation refactoring and fixes (Rodrigo)
- Prevent IPS from interfering with CRC capture (Ville, Marta)
- Enable Mesa to advertise ARB_timer_query (Nanley)
- Refactor GT number into intel_device_info (Lionel)
- Avoid eDP DP AUX CH timeouts harder (Manasi)
- CDCLK check improvements (Ville)
- Restore GPU clock boost on missed pageflip vblanks (Chris)
- Fence register reservation API for vGPU (Changbin)
- First batch of CCS fixes (Ville)
- Finally, numerous GEM fixes, cleanups and improvements (Chris)

BR,
Jani.

The following changes since commit 7846b12fe0b5feab5446d892f41b5140c1419109:

  Merge branch 'drm-vmwgfx-next' of git://people.freedesktop.org/~syeh/repos_linux into drm-next (2017-08-29 10:38:14 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-09-07

for you to fetch changes up to bb9d2d050503c69695557b8b741276686ca2a396:

  drm/i915: Update DRIVER_DATE to 20170907 (2017-09-07 11:28:20 +0300)

----------------------------------------------------------------
Getting started with v4.15 features:

- Cannonlake workarounds (Rodrigo, Oscar)
- Infoframe refactoring and fixes to enable infoframes for DP (Ville)
- VBT definition updates (Jani)
- Sparse warning fixes (Ville, Chris)
- Crtc state usage fixes and cleanups (Ville)
- DP vswing, pre-emph and buffer translation refactoring and fixes (Rodrigo)
- Prevent IPS from interfering with CRC capture (Ville, Marta)
- Enable Mesa to advertise ARB_timer_query (Nanley)
- Refactor GT number into intel_device_info (Lionel)
- Avoid eDP DP AUX CH timeouts harder (Manasi)
- CDCLK check improvements (Ville)
- Restore GPU clock boost on missed pageflip vblanks (Chris)
- Fence register reservation API for vGPU (Changbin)
- First batch of CCS fixes (Ville)
- Finally, numerous GEM fixes, cleanups and improvements (Chris)

----------------------------------------------------------------
Changbin Du (1):
      drm/i915: Add interface to reserve fence registers for vGPU

Chris Wilson (20):
      drm/i915: Clear lost context-switch interrupts across reset
      drm/i915: Boost GPU clocks if we miss the pageflip's vblank
      drm/i915: Keep a small stash of preallocated WC pages
      drm/i915: Assert the context is not closed on object-close
      drm/i915: Assert that the handle->vma lut is empty on object close
      drm/i915: Ignore duplicate VMA stored within the per-object handle LUT
      drm/i915: Quietly cancel FBC activation if CRTC is turned off before worker
      drm/i915: Remove excess indent in intel_finish_reset() caught by sparse
      drm/i915: Recreate vmapping even when the object is pinned
      drm/i915: Don't use GPU relocations prior to cmdparser stalls
      drm/i915: Always sanity check engine state upon idling
      drm/i915: Clear wedged status upon resume
      drm/i915: Discard the request queue if we fail to sleep before suspend
      drm/i915: Always wake the device to flush the GTT
      drm/i915: Silence sparse by using gfp_t
      drm/i915/perf: Remove __user from u64 in drm_i915_perf_oa_config
      drm/i915: Re-enable GTT following a device reset
      drm/i915: Disable MI_STORE_DATA_IMM for i915g/i915gm
      drm/i915: Move device_info.has_snoop into the static tables
      drm/i915: Lift has-pinned-pages assert to caller of ____i915_gem_object_get_pages

Jani Nikula (18):
      drm/i915/dp: rename intel_dp_is_edp to intel_dp_is_port_edp
      drm/i915/dp: make is_edp non-static and rename to intel_dp_is_edp
      drm/i915/bios: amend child device config parameters
      drm/i915/bios: document BDB versions of child device config fields
      drm/i915/bios: remove the raw version of child device config
      drm/i915/bios: add legacy contents to common child device config
      drm/i915/bios: throw away high level child device union
      drm/i915/bios: throw away struct old_child_dev_config
      drm/i915/bios: document child device config dvo_port values a bit better
      drm/i915/bios: group device type definitions together
      drm/i915/bios: throw away unused DVO_* macros
      drm/i915/bios: drop the rest of the p_ prefixes from pointers
      drm/i915/bios: split up iboost to hdmi and dp bitfields
      drm/i915/bios: amend bdb_general_features
      drm/i915/bios: amend child device flags based on intel_vbt_decode
      drm/i915/bios: amend edp block based on intel_vbt_decode
      Merge drm-upstream/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170907

Lionel Landwerlin (3):
      drm/i915: add GT number to intel_device_info
      drm/i915: mark all device info struct with __initconst
      drm/i915: rework IS_*_GT* macros

Manasi Navare (1):
      drm/i915/edp: Increase T12 panel delay to 900 ms to fix DP AUX CH timeouts

Marta Lofstedt (1):
      drm/i915: Beef up of Beef up the IPS vs. CRC workaround

Michel Thierry (1):
      drm/i915: Re-enable per-engine reset for Broxton

Nanley Chery (1):
      drm/i915/cnl: Allow the reg_read ioctl to read the RCS TIMESTAMP register

Oscar Mateo (2):
      drm/i915/cnl: WaPushConstantDereferenceHoldDisable
      drm/i915: Make some RPS functions static

Paulo Zanoni (3):
      drm/i915/cnl: simplify cnl_procmon_values handling
      drm/i915/cnl: extract cnl_set_procmon_ref_values
      drm/i915/cnl: don't hardcode DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT

Praveen Paneri (1):
      drm/i915: Fix FBC cfb stride programming for non X-tiled FB

Rodrigo Vivi (21):
      drm/i915/cnl: Introduce initial Cannonlake Workarounds.
      drm/i915/cnl: Add WaDisableReplayBufferBankArbitrationOptimization
      drm/i915/cnl: WaDisableEnhancedSBEVertexCaching
      drm/i915/cnl: Apply large line width optimization
      drm/i915/cfl: Coffee Lake works on Kaby Lake PCH.
      drm/i915/cnl: WaForceContextSaveRestoreNonCoherent
      drm/i915: Stop using long platform names on clock gating functions.
      drm/i915/cnl: WA FtrEnableFastAnisoL1BankingFix
      drm/i915/cnl: WaDisableI2mCycleOnWRPort
      drm/i915/cnl: Avoid ioremap_wc on Cannonlake as well.
      drm/i915: Introduce intel_ddi_dp_level.
      drm/i915: decouple gen9 and gen10 dp signal levels.
      drm/i915: Align vswing sequences with old ddi buffer registers.
      drm/i915: Enable voltage swing before enabling DDI_BUF_CTL.
      drm/i915/cnl: Move voltage check into ddi buf trans functions.
      drm/i915/cnl: Move ddi buf trans related functions up.
      drm/i915/cnl: Fix DDI hdmi level selection.
      drm/i915/cnl: Fix DP max voltage
      drm/i915/cnp: Wa 1181: Fix Backlight issue
      drm/i915: Display WA #1133 WaFbcSkipSegments:cnl, glk
      drm/i915/cnl: WaThrottleEUPerfToAvoidTDBackPressure:cnl(pre-prod)

Thierry Reding (1):
      drm/i915: Use correct path to trace include

Ville Syrjälä (26):
      drm/i915: Check has_infoframes when enabling infoframes
      drm/i915: Disable infoframes when shutting down DDI HDMI
      drm/i915: Move infoframe vfuncs into intel_digital_port
      drm/i915: Init infoframe vfuncs for DP encoders as well
      drm/i915: Plumb crtc_state to PSR enable/disable
      drm/i915: Constify states passed to enable/disable/etc. encoder hooks
      drm/i915: Beef up the IPS vs. CRC workaround
      drm/i915: Treat fb->offsets[] as a raw byte offset instead of a linear offset
      drm/i915: Skip fence alignemnt check for the CCS plane
      drm/i915: Track minimum acceptable cdclk instead of "minimum dotclock"
      drm/i915: Consolidate max_cdclk_freq check in intel_crtc_compute_min_cdclk()
      drm/i915: Pass the new crtc state to color management code
      drm/i915: Pass the crtc state explicitly to intel_pipe_update_start/end()
      drm/i915: Eliminate obj->state usage in g4x/vlv/chv wm computation
      drm/i915: Pass proper old/new states to intel_plane_atomic_check_with_state()
      drm/i915: Eliminate obj->state usage from pre/post plane update
      drm/i915: Eliminate crtc->state usage from intel_update_pipe_config()
      drm/i915: Eliminate crtc->state usage from intel_atomic_commit_tail and .crtc_update()
      drm/i915: Make i9xx_load_ycbcr_conversion_matrix() static
      drm/i915: Make i2c lock ops static
      drm/i915: Fix enum pipe vs. enum transcoder for the PCH transcoder
      drm/i915: Wake up the device for the fbdev setup
      drm/i915: Add __rcu to radix tree slot pointer
      drm/i915: io unmap functions want __iomem
      drm/i915: Constify load detect mode
      drm/i915: Annotate user relocs with __user

Zhi Wang (1):
      drm/i915: Fix the missing PPAT cache attributes on CNL

 drivers/gpu/drm/i915/Makefile              |   2 -
 drivers/gpu/drm/i915/gvt/aperture_gm.c     |  26 +--
 drivers/gpu/drm/i915/i915_cmd_parser.c     |   2 +-
 drivers/gpu/drm/i915/i915_drv.c            |  15 +-
 drivers/gpu/drm/i915/i915_drv.h            |  66 ++++--
 drivers/gpu/drm/i915/i915_gem.c            |  98 +++++----
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  27 ++-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c  |  51 +++++
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 142 ++++++++++---
 drivers/gpu/drm/i915/i915_irq.c            |   8 +-
 drivers/gpu/drm/i915/i915_pci.c            | 249 ++++++++++++++++------
 drivers/gpu/drm/i915/i915_reg.h            |  20 ++
 drivers/gpu/drm/i915/i915_trace.h          |   6 +-
 drivers/gpu/drm/i915/i915_vma.h            |   6 +
 drivers/gpu/drm/i915/intel_atomic_plane.c  |  49 +++--
 drivers/gpu/drm/i915/intel_bios.c          | 200 ++++++++---------
 drivers/gpu/drm/i915/intel_cdclk.c         | 272 ++++++++++++------------
 drivers/gpu/drm/i915/intel_color.c         |   2 +-
 drivers/gpu/drm/i915/intel_crt.c           |  22 +-
 drivers/gpu/drm/i915/intel_ddi.c           | 295 +++++++++++++------------
 drivers/gpu/drm/i915/intel_device_info.c   |   2 +-
 drivers/gpu/drm/i915/intel_display.c       | 291 ++++++++++++++++---------
 drivers/gpu/drm/i915/intel_dp.c            | 164 +++++++-------
 drivers/gpu/drm/i915/intel_dp_mst.c        |  16 +-
 drivers/gpu/drm/i915/intel_drv.h           | 111 ++++++----
 drivers/gpu/drm/i915/intel_dsi.c           |  22 +-
 drivers/gpu/drm/i915/intel_dvo.c           |  12 +-
 drivers/gpu/drm/i915/intel_engine_cs.c     |  62 ++++++
 drivers/gpu/drm/i915/intel_fbc.c           |  21 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |   3 +
 drivers/gpu/drm/i915/intel_fifo_underrun.c |  20 +-
 drivers/gpu/drm/i915/intel_hdmi.c          | 157 +++++++-------
 drivers/gpu/drm/i915/intel_i2c.c           |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c           |  25 ++-
 drivers/gpu/drm/i915/intel_lvds.c          |  24 +--
 drivers/gpu/drm/i915/intel_pipe_crc.c      |  65 +++---
 drivers/gpu/drm/i915/intel_pm.c            | 177 ++++++++-------
 drivers/gpu/drm/i915/intel_psr.c           |  79 +++----
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  12 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    |  85 +++++---
 drivers/gpu/drm/i915/intel_sdvo.c          |  42 ++--
 drivers/gpu/drm/i915/intel_sprite.c        |  27 ++-
 drivers/gpu/drm/i915/intel_tv.c            |  14 +-
 drivers/gpu/drm/i915/intel_uncore.c        |   2 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h      | 331 ++++++++++++++++-------------
 include/drm/i915_pciids.h                  | 152 ++++++++-----
 include/uapi/drm/i915_drm.h                |   6 +-
 47 files changed, 2096 insertions(+), 1386 deletions(-)

-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-08-21 15:21 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-08-21 15:21 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Ofc because my final pull I screwed it up. Somehow I didn't notice for
over 2 weeks that the previous pull request never made it to you. Hence a
bit bigger than usual for the final -rc pull. Not exactly sure how that
happened :-/

drm-intel-next-2017-08-18:
Final pile of features for 4.14

- New ioctl to change NOA configurations, plus prep (Lionel)
- CCS (color compression) scanout support, based on the fancy new
  modifier additions (Ville&Ben)
- Document i915 register macro style (Jani)
- Many more gen10/cnl patches (Rodrigo, Pualo, ...)
- More gpu reset vs. modeset duct-tape to restore the old way.
- prep work for cnl: hpd_pin reorg (Rodrigo), support for more power
  wells (Imre), i2c pin reorg (Anusha)
- drm_syncobj support (Jason Ekstrand)
- forcewake vs gpu reset fix (Chris)
- execbuf speedup for the no-relocs fastpath, anv/vk low-overhead ftw (Chris)
- switch to idr/radixtree instead of the resizing ht for execbuf id->vma
  lookups (Chris)

gvt:
- MMIO save/restore optimization (Changbin)
- Split workload scan vs. dispatch for more parallel exec (Ping)
- vGPU full 48bit ppgtt support (Joonas, Tina)
- vGPU hw id expose for perf (Zhenyu)

Bunch of work all over to make the igt CI runs more complete/stable.
Watch https://intel-gfx-ci.01.org/tree/drm-tip/shards-all.html for
progress in getting this ready. Next week we're going into production
mode (i.e. will send results to intel-gfx) on hsw, more platforms to
come.

Also, a new maintainer tram, I'm stepping out. Huge thanks to Jani for
being an awesome co-maintainer the past few years, and all the best
for Jani, Joonas&Rodrigo as the new maintainers!

drm-intel-next-2017-07-31:
More 4.14 work:

- tons of corner-case fixes for gpu reset/recovery (Chris Wilson)
- refactor power-well code for future platforms (Imre)
- Ycbcr420 support for hdmi 2.0 displays (Shashank Sharma)
- document FBC structs (Paulo Zanoni)
- reduce struct_mutex usage in pinning (Chris Wilson)
- small bits all over, as usual

Cheers, Daniel


The following changes since commit 09ef2378dc42339f3871584dc26d27da220277cb:

  Merge tag 'drm-misc-next-2017-08-08' of git://anongit.freedesktop.org/git/drm-misc into drm-next (2017-08-10 10:47:33 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-08-18

for you to fetch changes up to a42894ebb50d831ec0b7ee9bee7f5a5a37bad7e1:

  drm/i915: Update DRIVER_DATE to 20170818 (2017-08-18 22:40:45 +0200)

----------------------------------------------------------------
Final pile of features for 4.14

- New ioctl to change NOA configurations, plus prep (Lionel)
- CCS (color compression) scanout support, based on the fancy new
  modifier additions (Ville&Ben)
- Document i915 register macro style (Jani)
- Many more gen10/cnl patches (Rodrigo, Pualo, ...)
- More gpu reset vs. modeset duct-tape to restore the old way.
- prep work for cnl: hpd_pin reorg (Rodrigo), support for more power
  wells (Imre), i2c pin reorg (Anusha)
- drm_syncobj support (Jason Ekstrand)
- forcewake vs gpu reset fix (Chris)
- execbuf speedup for the no-relocs fastpath, anv/vk low-overhead ftw (Chris)
- switch to idr/radixtree instead of the resizing ht for execbuf id->vma
  lookups (Chris)

gvt:
- MMIO save/restore optimization (Changbin)
- Split workload scan vs. dispatch for more parallel exec (Ping)
- vGPU full 48bit ppgtt support (Joonas, Tina)
- vGPU hw id expose for perf (Zhenyu)

Bunch of work all over to make the igt CI runs more complete/stable.
Watch https://intel-gfx-ci.01.org/tree/drm-tip/shards-all.html for
progress in getting this ready. Next week we're going into production
mode (i.e. will send results to intel-gfx) on hsw, more platforms to
come.

Also, a new maintainer tram, I'm stepping out. Huge thanks to Jani for
being an awesome co-maintainer the past few years, and all the best
for Jani, Joonas&Rodrigo as the new maintainers!

----------------------------------------------------------------
Andy Shevchenko (1):
      drm/i915/bxt: use NULL for GPIO connection ID

Anusha Srivatsa (1):
      drm/i915: Split pin mapping into per platform functions

Balasubramaniam, Hari Chand (1):
      drm/i915: Initialize 'data' in intel_dsi_dcs_backlight.c

Ben Widawsky (1):
      drm/i915: Add format modifiers for Intel

Bhumika Goyal (1):
      drm/i915: add const to bin_attribute

Changbin Du (3):
      drm/i915/gvt: Optimize ring siwtch 2x faster by removing unnecessary POSTING_READ
      drm/i915/gvt: Optimize ring siwtch 2x faster again by light weight mmio access wrapper
      drm/i915/gvt: Add carefully checking in GTT walker paths

Chris Wilson (53):
      drm/i915: Drain the device workqueue on unload
      drm/i915/selftests: Attach a stub pm_domain
      drm/i915/selftests: Mark contexts as lost during freeing of mock device
      drm/i915: Report execlists irq bit in debugfs
      drm/i915: Reset context image on engines after triggering the reset
      drm/i915: Serialize per-engine resets against new requests
      drm/i915: Flush the execlist ports if idle
      drm/i915: Check execlist/ring status during hangcheck
      drm/i915: Check the execlist queue for pending requests before declaring idle
      drm/i915: Clear execlist port[] before updating seqno on wedging
      drm/i915: Move idle checks before intel_engine_init_global_seqno()
      drm/i915: Wake up waiters after setting the WEDGED bit
      drm/i915: Assert that machine is wedged for nop_submit_request
      drm/i915: Clear engine irq posted following a reset
      drm/i915: Make i915_gem_context_mark_guilty() safe for unlocked updates
      drm/i915: Emit a user level message when resetting the GPU (or engine)
      drm/i915: Disable per-engine reset for Broxton
      drm/i915/selftests: Exercise independence of per-engine resets
      drm/i915: Enforce that CS packets are qword aligned
      drm/i915: Don't touch fence->error when resetting an innocent request
      drm/i915/selftest: Refactor reset locking
      drm/i915: Squelch reset messages during selftests
      drm/i915/selftests: Fix kbuild error
      drm/i915: Remove assertion from raw __i915_vma_unpin()
      drm/i915: Only mark the execobject as pinned on success
      drm/i915: Only skip updating execobject.offset after error
      drm/i915: Force CPU synchronisation even if userspace requests ASYNC
      drm/i915: Trim struct_mutex usage for kms
      drm/i915: Make i915_gem_object_phys_attach() use obj->mm.lock more appropriately
      drm/i915: Pin the pages before acquiring struct_mutex for display
      drm/i915: Move i915_gem_object_phys_attach()
      drm/i915: Call the unlocked version of i915_gem_object_get_pages()
      drm/i915: Include mbox details for pcode read/write failures
      drm/i915/perf: Initialise the dynamic sysfs attr
      drm/i915/shrinker: Wrap need_resched() inside preempt-disable
      drm/i915/selftests: Retarget igt_render_engine_reset_fallback()
      drm/i915: Perform an invalidate prior to executing golden renderstate
      drm/i915: Supply the engine-id for our mock_engine()
      drm/i915/perf: Initialise dynamic sysfs group before creation
      drm/i915/perf: Drop lockdep assert for i915_oa_init_reg_state()
      drm/i915/perf: Drop redundant check for perf.initialised on reset
      drm/i915: Add SW_SYNC to our recommend testing Kconfig
      drm/i915: Suppress switch_mm emission between the same aliasing_ppgtt
      drm/i915: Split obj->cache_coherent to track r/w
      drm/i915: Handle full s64 precision for wait-ioctl
      drm/i915: Stop touching forcewake following a gen6+ engine reset
      drm/i915: Don't use MI_STORE_DWORD_IMM on Sandybridge/vcs
      drm/i915: Check context status before looking up our obj/vma
      drm/i915: Convert execbuf to use struct-of-array packing for critical fields
      drm/i915: Simplify eb_lookup_vmas()
      drm/i915: Replace execbuf vma ht with an idr
      drm/i915: Trivial grammar fix s/opt of/opt out of/ in comment
      drm/i915: Mark the GT as busy before idling the previous request

Christophe JAILLET (1):
      drm/i915/selftests: Fix an error handling path in 'mock_gem_device()'

Chuanxiao Dong (2):
      drm/i915/gvt: Use gvt_err to print the resource not enough error
      drm/i915/gvt: Refine the intel_vgpu_reset_gtt reset function

Colin Ian King (1):
      drm/i915: make structure intel_sprite_plane_funcs static

Daniel Vetter (22):
      drm/i915: More stolen quirking
      drm/atomic-helper: Fix leak in disable_all
      drm/i915: Fix fbdev unload sequence
      drm/i915: unregister interfaces first in unload
      drm/i915: Nuke legacy flip queueing code
      drm/i915: Unbreak gpu reset vs. modeset locking
      drm/i915: Rip out legacy page_flip completion/irq handling
      drm/i915: adjust has_pending_fb_unpin to atomic
      drm/i915: Remove intel_flip_work infrastructure
      drm/i915: Drop unpin stall in atomic_prepare_commit
      Merge airlied/drm-next into drm-intel-next-queued
      drm/i915: Rework sdvo proxy i2c locking
      drm/i915/sdvo: Shut up state checker with hdmi cards on gen3
      drm/i915: Update DRIVER_DATE to 20170731
      Merge airlied/drm-next into drm-intel-next-queued
      drm/i915/fbc: only update no_fbc_reason when active
      drm/i915: Avoid the gpu reset vs. modeset deadlock
      drm/i915: Push i915_sw_fence_wait into the nonblocking atomic commit
      drm/i915: More surgically unbreak the modeset vs reset deadlock
      Merge tag 'gvt-next-2017-08-15' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      MAINTAINERS: drm/i915 has a new maintainer team
      drm/i915: Update DRIVER_DATE to 20170818

Gabriel Krisman Bertazi (1):
      drm/i915: Handle msr read failure gracefully

Imre Deak (24):
      drm/i915: Fix error checking/locking in perf/lookup_context()
      drm/i915: Fix user ptr check size in eb_relocate_vma()
      drm/i915: Fix scaler init during CRTC HW state readout
      drm/i915: Simplify scaler init during CRTC HW readout
      drm/i915/chv: Add unique power well ID for the pipe A power well
      drm/i915: Unify power well ID enums
      drm/i915: Assign everywhere the always-on power well ID
      drm/i915/gen2: Add an ID for the display pipes power well
      drm/i915/hsw, bdw: Add an ID for the global display power well
      drm/i915: Check for duplicated power well IDs
      drm/i915/bxt, glk: Give a proper name to the power well struct phy field
      drm/i915/gen9+: Remove redundant power well state assert during enabling
      drm/i915/gen9+: Remove redundant state check during power well toggling
      drm/i915/hsw, bdw: Remove redundant state check during power well toggling
      drm/i915/hsw, bdw: Split power well set to enable/disable helpers
      drm/i915/hsw+: Unify the hsw/bdw and gen9+ power well req/state macros
      drm/i915/hsw, bdw: Add irq_pipe_mask, has_vga power well attributes
      drm/i915/hsw, bdw: Wait for the power well disabled state
      drm/i915/hsw+: Add has_fuses power well attribute
      drm/i915/gen9+: Unify the HSW/BDW and GEN9+ power well helpers
      drm/i915: Move hsw_power_well_enable() next to the rest of HSW helpers
      drm/i915: Gather all the power well->domain mappings to one place
      drm/i915: Work around GCC anonymous union initialization bug
      drm/i915/hsw+: Add support for multiple power well regs

Jani Nikula (8):
      Revert "drm/i915: Add option to support dynamic backlight via DPCD"
      Revert "drm/i915: Add heuristic to determine better way to adjust brightness"
      drm/i915: fix backlight invert for non-zero minimum brightness
      Documentation/i915: remove sphinx conversion artefact
      drm/i915: enum i915_power_well_id is not proper kernel-doc
      drm/i915: add register macro definition style guide
      drm/i915/vbt: ignore extraneous child devices for a port
      drm/i915/opregion: let user specify override VBT via firmware load

Jason Ekstrand (1):
      drm/i915: Add support for drm syncobjs

Jian Jun Chen (1):
      drm/i915/gvt: Remove duplicated MMIO entries

Jim Bride (2):
      drm/i915/psr: Preserve SRD_CTL bit 29 on PSR init
      drm/i915/edp: Allow alternate fixed mode for eDP if available.

Joonas Lahtinen (1):
      drm/i915: Disconnect 32 and 48 bit ppGTT support

Kechen Lu (1):
      drm/i915/gvt: Add shadow context descriptor updating

Lionel Landwerlin (7):
      drm/i915/perf: fix flex eu registers programming
      drm/i915/perf: prune OA configs
      drm/i915/perf: leave GDT_CHICKEN_BITS programming in configs
      drm/i915/perf: disable NOA logic when not used
      drm/i915: reorder NOA register definition to follow addresses
      drm/i915/perf: Implement I915_PERF_ADD/REMOVE_CONFIG interface
      drm/i915: remove unused function declaration

Maarten Lankhorst (2):
      drm/i915: Fix bad comparison in skl_compute_plane_wm, v2.
      drm/i915: Fix out-of-bounds array access in bdw_load_gamma_lut

Manasi Navare (2):
      drm/i915/dp: Generalize intel_dp_link_params function to accept arguments to be validated
      drm/i915/dp: Validate the compliance test link parameters

Matthias Kaehlcke (3):
      drm/i915: Consistently use enum pipe for PCH transcoders
      drm/i915: Pass enum pipe to intel_set_pch_fifo_underrun_reporting()
      drm/i915: Return correct EDP voltage swing table for 0.85V

Michal Wajdeczko (1):
      drm/i915/guc: Rename GuC irq trigger function

Mika Kuoppala (1):
      drm/i915/gen9: Send all components in VF state

Navare, Manasi D (1):
      drm/i915/cnl: Fix loadgen select programming on ddi vswing sequence

Pandiyan, Dhinakaran (1):
      drm/i915: s/INTEL_INFO(dev_priv)->gen/INTEL_GEN(dev_priv) in i915_irq

Paul Kocialkowski (1):
      drm/i915: Explicit the connector name for DP link training result

Paulo Zanoni (6):
      drm/i915: cleanup the CHICKEN_MISC_2 (re)definitions
      drm/i915/fbc: add comments to the FBC auxiliary structs
      drm/i915/gen10+: use the SKL code for reading WM latencies
      drm/i915/gen10: fix the gen 10 SAGV block time
      drm/i915/gen10: fix WM latency printing
      drm/i915/gen10: implement gen 10 watermarks calculations

Ping Gao (4):
      drm/i915/gvt: Factor out scan and shadow from workload dispatch
      drm/i915/gvt: Audit and shadow workload during ELSP writing
      drm/i915/gvt: To check whether workload scan and shadow has mutex hold
      drm/i915/gvt: Replace duplicated code with exist function

Praveen Paneri (1):
      drm/i915: enable WaDisableDopClkGating for skl

Rodrigo Vivi (12):
      drm/i915: Fix PCH names for KBP and CNP.
      drm/i915/cnl: Removing missing DDI_E bits from CNL.
      drm/i915: Avoid null dereference if mst_port is unset.
      drm/i915/cnl: Enable SAGV for Cannonlake.
      drm/i915/cnl: Add slice and subslice information to debugfs.
      drm/i915/cnl: Add allowed DP rates for Cannonlake.
      drm/i915/cnl: Dump the right pll registers when dumping pipe config.
      drm/i915: Simplify hpd pin to port
      drm/i915: Introduce intel_hpd_pin function.
      drm/i915/cnl: Setup PAT Index.
      drm/i915/cnl: Fix LSPCON support.
      drm/i915/cnl: Reuse skl_wm_get_hw_state on Cannonlake.

Shashank Sharma (6):
      drm/i915: add config function for YCBCR420 outputs
      drm/i915: prepare scaler for YCBCR420 modeset
      drm/i915: prepare pipe for YCBCR420 output
      drm/i915: prepare csc unit for YCBCR420 output
      drm/i915: set colorspace for YCBCR420 outputs
      drm/i915/glk: set HDMI 2.0 identifier

Tina Zhang (2):
      drm/i915: Enable guest i915 full ppgtt functionality
      drm/i915/gvt: Fix guest i915 full ppgtt blocking issue

Tvrtko Ursulin (1):
      drm/i915: Remove unused i915_err_print_instdone

Ville Syrjälä (3):
      drm/i915: Fix cursor updates on some platforms
      drm/i915: Implement .get_format_info() hook for CCS
      drm/i915: Add render decompression support

Zhenyu Wang (2):
      drm/i915/gvt: take runtime pm when do early scan and shadow
      drm/i915/gvt: expose vGPU context hw id

 Documentation/gpu/i915.rst                         |   18 +-
 MAINTAINERS                                        |    3 +-
 drivers/gpu/drm/drm_atomic_helper.c                |   18 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |    1 +
 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |    4 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    8 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.h              |    2 +-
 drivers/gpu/drm/i915/gvt/display.c                 |    6 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |   15 +
 drivers/gpu/drm/i915/gvt/gtt.c                     |  128 +-
 drivers/gpu/drm/i915/gvt/gtt.h                     |   26 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    3 +
 drivers/gpu/drm/i915/gvt/handlers.c                |   50 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   |   17 +
 drivers/gpu/drm/i915/gvt/render.c                  |   54 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |  111 +-
 drivers/gpu/drm/i915/gvt/scheduler.h               |    1 +
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    7 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   99 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   90 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  186 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  283 +-
 drivers/gpu/drm/i915/i915_gem_clflush.c            |   10 +-
 drivers/gpu/drm/i915/i915_gem_clflush.h            |    2 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  108 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |   45 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |    4 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  635 ++-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   43 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    7 +-
 drivers/gpu/drm/i915/i915_gem_object.c             |   48 +
 drivers/gpu/drm/i915/i915_gem_object.h             |   32 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |    4 +
 drivers/gpu/drm/i915/i915_gem_request.c            |   97 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   11 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    8 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |    4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |    8 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  222 +-
 drivers/gpu/drm/i915/i915_oa_bdw.c                 | 5362 +-------------------
 drivers/gpu/drm/i915/i915_oa_bdw.h                 |    8 +-
 drivers/gpu/drm/i915/i915_oa_bxt.c                 | 2624 +---------
 drivers/gpu/drm/i915/i915_oa_bxt.h                 |    8 +-
 drivers/gpu/drm/i915/i915_oa_chv.c                 | 2808 +---------
 drivers/gpu/drm/i915/i915_oa_chv.h                 |    8 +-
 drivers/gpu/drm/i915/i915_oa_glk.c                 | 2536 +--------
 drivers/gpu/drm/i915/i915_oa_glk.h                 |    8 +-
 drivers/gpu/drm/i915/i915_oa_hsw.c                 |  765 +--
 drivers/gpu/drm/i915/i915_oa_hsw.h                 |    8 +-
 drivers/gpu/drm/i915/i915_oa_kblgt2.c              | 2972 +----------
 drivers/gpu/drm/i915/i915_oa_kblgt2.h              |    8 +-
 drivers/gpu/drm/i915/i915_oa_kblgt3.c              | 3021 +----------
 drivers/gpu/drm/i915/i915_oa_kblgt3.h              |    8 +-
 drivers/gpu/drm/i915/i915_oa_sklgt2.c              | 3459 +------------
 drivers/gpu/drm/i915/i915_oa_sklgt2.h              |    8 +-
 drivers/gpu/drm/i915/i915_oa_sklgt3.c              | 3020 +----------
 drivers/gpu/drm/i915/i915_oa_sklgt3.h              |    8 +-
 drivers/gpu/drm/i915/i915_oa_sklgt4.c              | 3074 +----------
 drivers/gpu/drm/i915/i915_oa_sklgt4.h              |    8 +-
 drivers/gpu/drm/i915/i915_params.c                 |   16 +-
 drivers/gpu/drm/i915/i915_params.h                 |    6 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    1 +
 drivers/gpu/drm/i915/i915_perf.c                   |  807 ++-
 drivers/gpu/drm/i915/i915_pvinfo.h                 |    8 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  515 +-
 drivers/gpu/drm/i915/i915_selftest.h               |    2 -
 drivers/gpu/drm/i915/i915_sysfs.c                  |    6 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    7 +
 drivers/gpu/drm/i915/i915_vgpu.h                   |    3 +
 drivers/gpu/drm/i915/i915_vma.c                    |   22 -
 drivers/gpu/drm/i915/i915_vma.h                    |    8 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   15 +-
 drivers/gpu/drm/i915/intel_color.c                 |   46 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |    9 +-
 drivers/gpu/drm/i915/intel_display.c               | 1795 +++----
 drivers/gpu/drm/i915/intel_dp.c                    |  108 +-
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |   87 +-
 drivers/gpu/drm/i915/intel_dp_link_training.c      |    8 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |    3 +
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   11 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   51 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |    2 +-
 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.c     |    2 +-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |    2 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    2 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |    7 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |    4 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   22 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |    6 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |    2 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  195 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   57 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   36 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |   36 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |    1 -
 drivers/gpu/drm/i915/intel_lspcon.c                |    4 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    3 +-
 drivers/gpu/drm/i915/intel_opregion.c              |   45 +
 drivers/gpu/drm/i915/intel_overlay.c               |   11 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   11 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  101 +-
 drivers/gpu/drm/i915/intel_psr.c                   |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen9.c      |    4 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |    3 +
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   12 +
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  963 ++--
 drivers/gpu/drm/i915/intel_sdvo.c                  |   74 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  163 +-
 drivers/gpu/drm/i915/intel_uc.c                    |    4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |    7 +-
 drivers/gpu/drm/i915/selftests/huge_gem_object.c   |    6 +-
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |    6 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  308 +-
 drivers/gpu/drm/i915/selftests/mock_context.c      |   23 +-
 drivers/gpu/drm/i915/selftests/mock_context.h      |    3 +
 drivers/gpu/drm/i915/selftests/mock_engine.c       |    8 +-
 drivers/gpu/drm/i915/selftests/mock_engine.h       |    3 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   29 +-
 include/uapi/drm/drm_fourcc.h                      |   20 +
 include/uapi/drm/i915_drm.h                        |   51 +-
 lib/radix-tree.c                                   |    1 +
 123 files changed, 4959 insertions(+), 32955 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_object.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-07-18  8:30 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-07-18  8:30 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2017-07-17:
2nd round of 4.14 features:

- prep for deferred fbdev setup
- refactor fixed 16.16 computations and skl+ wm code (Mahesh Kumar)
- more cnl paches (Rodrigo, Imre et al)
- tighten context cleanup and handling (Chris Wilson)
- fix interlaced handling on skl+ (Mahesh Kumar)
- small bits as usual
drm-intel-next-2017-07-03:
First pile for 4.14:

- cnl fixes (Rodrigo, Manasi)
- per-engine reset support aka TDR (Michel Thierry)
- dynamic DPCD backlight support (Puthikorn Voravootivat)
- prep for huge gpu pages (Matthew Auld)
- make contexts less BKL dependent (Chris)
- bunch of execlist/gem corner-cases fixes and locking down
  self-checks (Chris)
- fix waitboost accounting when using fence waits (Chris)
- clean up PCH detection/handling (Ville)
- usual pile of small fixes and cleanups

Usual pile of conflicts due to cherry-picking, but can be all resolved by
picking this version from what I can see. There also shouldn't be a
conflict with this against the drm-misc-next pull Sean will send you soon.

Cheers, Daniel


The following changes since commit 00fc2c26bc46a64545cdf95a1511461ea9acecb4:

  drm: Remove unused drm_file parameter to drm_syncobj_replace_fence() (2017-07-06 15:53:00 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-07-17

for you to fetch changes up to 58947144af34a08eee3388c1f039f199e80c0c6f:

  drm/i915: Update DRIVER_DATE to 20170717 (2017-07-17 09:06:19 +0200)

----------------------------------------------------------------
2nd round of 4.14 features:

- prep for deferred fbdev setup
- refactor fixed 16.16 computations and skl+ wm code (Mahesh Kumar)
- more cnl paches (Rodrigo, Imre et al)
- tighten context cleanup and handling (Chris Wilson)
- fix interlaced handling on skl+ (Mahesh Kumar)
- small bits as usual

----------------------------------------------------------------
Arvind Yadav (1):
      drm: i915: sysfs: constify attribute_group structures.

Chris Wilson (22):
      drm/i915: Group all the global context information together
      drm/i915: Allow contexts to be unreferenced locklessly
      drm/i915: Enable rcu-only context lookups
      drm/i915: Wait for concurrent global resets to complete
      drm/i915: Pass the right flags to i915_vma_move_to_active()
      drm/i915: Retire the VMA's fence tracker before unbinding
      drm/i915: Assert the vma's active tracking is clear before free
      drm/i915: Hold struct_mutex for per-file stats in debugfs/i915_gem_object
      drm/i915: Cancel pending execlist tasklet upon wedging
      drm/i915: Clear execbuf's vma backpointer upon release
      drm/i915: Break modeset deadlocks on reset
      drm/i915/selftests: Fix mutex imbalance for igt_render_engine_reset_fallback
      drm/i915: Cancel pending execlists irq handler upon idling
      drm/i915: Drop flushing of the object free list/worker from i915_gem_suspend
      drm/i915: Avoid keeping waitboost active for signaling threads
      drm/i915: Avoid undefined behaviour of "u32 >> 32"
      drm/i915: Fix use-after-free of context during free_contexts
      drm/i915/fbdev: Check for existence of ifbdev->vma before operations
      drm/i915: Check new context against kernel_context after reporting an error
      drm/i915: Move stale context reaping to common i915_gem_context_create
      drm/i915: Drop request retirement before reaping stale contexts
      drm/i915: Only free the oldest stale context before allocating

Christophe JAILLET (1):
      drm/i915: Fix an error checking test

Chuanxiao Dong (1):
      drm/i915: Fix the kernel panic when using aliasing ppgtt

Daniel Vetter (5):
      drm/i915: Update DRIVER_DATE to 20170703
      Merge tag 'drm-for-v4.13' into drm-intel-next-queued
      drm/i915/fbdev: Always forward hotplug events
      drm/i915: Protect against deferred fbdev setup
      drm/i915: Update DRIVER_DATE to 20170717

Gabriel Krisman Bertazi (1):
      drm/i915: reintroduce VLV/CHV PFI programming power domain workaround

Imre Deak (5):
      drm/i915/gen9+: Add 10 us delay after power well 1/AUX IO pw disabling
      drm/i915/skl: Don't disable misc IO power well during display uninit
      drm/i915/bxt, glk: Fix assert on conditions for DC9 enabling
      drm/i915/gen9+: Don't remove secondary power well requests
      drm/i915/cnl: Fix comment about AUX IO power well enable/disable

Kumar, Mahesh (6):
      drm/i915: take-out common clamping code of fixed16 wrappers
      drm/i915: Always perform internal fixed16 division in 64 bits
      drm/i915: cleanup fixed-point wrappers naming
      drm/i915: Addition wrapper for fixed16.16 operation
      drm/i915/skl+: WM calculation don't require height
      drm/i915/skl+: unify cpp value in WM calculation

Maarten Lankhorst (2):
      drm/i915: Prevent kernel panic when reading/writing compliance debugfs files, v2.
      drm/i915: Make DP-MST connector info work

Mahesh Kumar (2):
      drm/i915/skl+: Check for supported plane configuration in Interlace mode
      drm/i915/skl+: Scaling not supported in IF-ID Interlace mode

Manasi Navare (3):
      drm/i915/dp: Fix the t11_t12 panel power cycle delay from VBT read
      drm/i915/dp: Remove -1/+1 from t11_t12 for Gen9_LP/CNP case
      drm/i915/edp: Add a T12 panel delay quirk to fix DP AUX CH timeouts

Matthew Auld (1):
      drm/i915: pass the vma to insert_entries

Michel Thierry (8):
      drm/i915: Look for active requests earlier in the reset path
      drm/i915: Update i915.reset to handle engine resets
      drm/i915: Modify error handler for per engine hang recovery
      drm/i915: Add support for per engine reset recovery
      drm/i915: Add engine reset count to error state
      drm/i915: Export per-engine reset count info to debugfs
      drm/i915/selftests: reset engine self tests
      drm/i915: Enable Engine reset and recovery support

Navare, Manasi D (1):
      drm/i915/cnl: Fix the CURSOR_COEFF_MASK used in DDI Vswing Programming

Nicholas Piggin (1):
      drm/i915: select CRC32

Paulo Zanoni (1):
      x86/gpu: CNL uses the same GMS values as SKL

Puthikorn Voravootivat (3):
      drm/i915: Set PWM divider to match desired frequency in vbt
      drm/i915: Add heuristic to determine better way to adjust brightness
      drm/i915: Add option to support dynamic backlight via DPCD

Rodrigo Vivi (10):
      drm/i915/cnl: Fix RMW on ddi vswing sequence.
      drm/i915/cfl: Fix Workarounds.
      drm/i915/cnl: Add force wake for gen10+.
      drm/i915/cnl: Cannonlake color init.
      drm/i915/cnl: Don't trust VBT's alternate pin for port D for now.
      drm/i915/cnl: Gen10 render context size.
      drm/i915/cnl: Inherit RPS stuff from previous platforms.
      drm/i915/cnl: Get DDI clock based on PLLs.
      drm/i915/cnl: Add max allowed Cannonlake DC.
      drm/i915/cnl: Add missing type case.

Tvrtko Ursulin (2):
      drm/i915: Simplify intel_engines_init
      drm/i915: Do not re-calculate num_rings locally

Ville Syrjälä (7):
      drm/i915: Make intel_digital_port_connected() work for any port
      drm/i915: Use HAS_PCH_CPT() everywhere
      drm/i915: s/Couar/Cougar/
      drm/i915: Document that PPT==CPT and WPT==LPT
      drm/i915: Clean up some expressions
      drm/i915: Always use 9 bits of the LPC bridge device ID for PCH detection
      drm/i915: Disable MSI for all pre-gen5

Xiong Zhang (1):
      drm/i915: Setting pch_id for HSW/BDW in virtual environment

sagar.a.kamble@intel.com (1):
      drm/i915: Hold RPM wakelock while initializing OA buffer

 arch/x86/kernel/early-quirks.c                   |   1 +
 drivers/gpu/drm/i915/Kconfig                     |   1 +
 drivers/gpu/drm/i915/gvt/scheduler.c             |   2 +-
 drivers/gpu/drm/i915/i915_debugfs.c              | 111 ++++++++++----
 drivers/gpu/drm/i915/i915_drv.c                  | 139 +++++++++++++----
 drivers/gpu/drm/i915/i915_drv.h                  | 184 ++++++++++++----------
 drivers/gpu/drm/i915/i915_gem.c                  | 165 ++++++++++----------
 drivers/gpu/drm/i915/i915_gem_context.c          | 186 ++++++++++++++---------
 drivers/gpu/drm/i915/i915_gem_context.h          |  34 ++++-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c       |  59 ++++---
 drivers/gpu/drm/i915/i915_gem_gtt.c              |  66 ++++----
 drivers/gpu/drm/i915/i915_gem_gtt.h              |   3 +-
 drivers/gpu/drm/i915/i915_gem_request.c          |   7 +-
 drivers/gpu/drm/i915/i915_gem_request.h          |   2 +
 drivers/gpu/drm/i915/i915_gpu_error.c            |   3 +
 drivers/gpu/drm/i915/i915_irq.c                  | 159 +++++++++++++------
 drivers/gpu/drm/i915/i915_params.c               |  18 ++-
 drivers/gpu/drm/i915/i915_params.h               |   7 +-
 drivers/gpu/drm/i915/i915_pci.c                  |   6 +-
 drivers/gpu/drm/i915/i915_perf.c                 |  18 +--
 drivers/gpu/drm/i915/i915_reg.h                  |   8 +-
 drivers/gpu/drm/i915/i915_sysfs.c                |  10 +-
 drivers/gpu/drm/i915/i915_vma.c                  |  14 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c        |  15 ++
 drivers/gpu/drm/i915/intel_bios.c                |   9 ++
 drivers/gpu/drm/i915/intel_cdclk.c               |  20 +++
 drivers/gpu/drm/i915/intel_color.c               |   2 +-
 drivers/gpu/drm/i915/intel_ddi.c                 | 116 +++++++++++++-
 drivers/gpu/drm/i915/intel_device_info.c         |   2 +-
 drivers/gpu/drm/i915/intel_display.c             |  33 +++-
 drivers/gpu/drm/i915/intel_dp.c                  | 108 ++++++++++---
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c    | 185 ++++++++++++++++++++--
 drivers/gpu/drm/i915/intel_drv.h                 |   5 +-
 drivers/gpu/drm/i915/intel_engine_cs.c           |  52 +++----
 drivers/gpu/drm/i915/intel_fbdev.c               |  11 +-
 drivers/gpu/drm/i915/intel_lrc.c                 |   2 +-
 drivers/gpu/drm/i915/intel_pm.c                  | 148 ++++++++----------
 drivers/gpu/drm/i915/intel_ringbuffer.c          |   5 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h          |   1 +
 drivers/gpu/drm/i915/intel_runtime_pm.c          | 139 ++++++++++-------
 drivers/gpu/drm/i915/intel_sdvo.c                |   2 +-
 drivers/gpu/drm/i915/intel_sprite.c              |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c              |  15 +-
 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c |   6 +-
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c    |  10 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c        |   8 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c | 159 +++++++++++++++++++
 drivers/gpu/drm/i915/selftests/mock_context.c    |  11 +-
 drivers/gpu/drm/i915/selftests/mock_context.h    |   2 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c |   5 +-
 drivers/gpu/drm/i915/selftests/mock_gtt.c        |   3 +-
 51 files changed, 1602 insertions(+), 677 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-06-20 12:44 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-06-20 12:44 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2017-06-19:
Final pile of features for 4.13

New uabi:
- batch bo in first slot, for faster execbuf assembly in userspace
  (Chris Wilson)
- (sub)slice getparam, needed for mesa perf support (Robert Bragg)

First pile of patches for cnl/cfl support, maintained by Rodrigo but
with lots of contributions from others. Still incomplete since public
review still ongoing.

Features/refactoring:
- Make execbuf faster (Chris Wilson), a pile of series to make execbuf
  buffer handling have fewer passes, use less list walking, postpone
  more work to async workers and shuffle buffers less, all to make the
  common case much faster (in some cases at least).
- cold boot support for glk dsi (Madhav Chauhan)
- Clean up pipe A quirk and related old platform hacks (Ville)
- perf sampling support for kbl/glk (Lionel)
- perf cleanups (Robert Bragg)
- wire atomic state to backlight code, to avoid pipe lookup hacks
  (Maarten)
- reduce request waiting latency/overhead to remove the spinning and
  associated cpu cycle wasting (Chris)
- fix 90/270 rotation wm computation (Ville)
- new ddb allocation algo for skl (Kumar Mahesh)
- fix regression due to system suspend optimiazatino (Imre)
- the usual pile of small cleanups and refactors all over

GVT updates contained in this tag:
- optimization for per-VM mmio save/restore (Changbin)
- optimization for mmio hash table (Changbin)
- scheduler optimization with event (Ping)
- vGPU reset refinement (Fred)
- other misc refactor and cleanups, etc.

Note there's to small conflicts with -fixes cherry-picks, but you can
resolve those easily with -X theirs when pulling. There's no conflicts
outside of drm/i915, as it should be.

Cheers, Daniel


The following changes since commit 2a1720376adda5ecf8e636fbfb05339c7dad1c55:

  Backmerge tag 'v4.12-rc3' into drm-next (2017-05-30 15:54:15 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-06-19

for you to fetch changes up to 9ddb8e1743cdde5ce01234cb1c563f601086b5e3:

  drm/i915: Update DRIVER_DATE to 20170619 (2017-06-19 09:31:38 +0200)

----------------------------------------------------------------
Final pile of features for 4.13

New uabi:
- batch bo in first slot, for faster execbuf assembly in userspace
  (Chris Wilson)
- (sub)slice getparam, needed for mesa perf support (Robert Bragg)

First pile of patches for cnl/cfl support, maintained by Rodrigo but
with lots of contributions from others. Still incomplete since public
review still ongoing.

Features/refactoring:
- Make execbuf faster (Chris Wilson), a pile of series to make execbuf
  buffer handling have fewer passes, use less list walking, postpone
  more work to async workers and shuffle buffers less, all to make the
  common case much faster (in some cases at least).
- cold boot support for glk dsi (Madhav Chauhan)
- Clean up pipe A quirk and related old platform hacks (Ville)
- perf sampling support for kbl/glk (Lionel)
- perf cleanups (Robert Bragg)
- wire atomic state to backlight code, to avoid pipe lookup hacks
  (Maarten)
- reduce request waiting latency/overhead to remove the spinning and
  associated cpu cycle wasting (Chris)
- fix 90/270 rotation wm computation (Ville)
- new ddb allocation algo for skl (Kumar Mahesh)
- fix regression due to system suspend optimiazatino (Imre)
- the usual pile of small cleanups and refactors all over

GVT updates contained in this tag:
- optimization for per-VM mmio save/restore (Changbin)
- optimization for mmio hash table (Changbin)
- scheduler optimization with event (Ping)
- vGPU reset refinement (Fred)
- other misc refactor and cleanups, etc.

----------------------------------------------------------------
Ander Conselvan de Oliveira (1):
      drm/i915/glk: Remove the alpha_support flag

Anusha Srivatsa (6):
      drm/i915/cfl: Add Coffee Lake PCI IDs for S Skus.
      drm/i915/cfl: Add Coffee Lake PCI IDs for H Sku.
      drm/i915/cfl: Add Coffee Lake PCI IDs for U Sku.
      drm/i915/guc: Load GuC on Coffee Lake
      drm/i915/huc: Load HuC on Coffee Lake
      drm/i915/DMC/CNL: Load DMC on CNL

Changbin Du (10):
      drm/i915/gvt: rewrite the trace gvt:gvt_command using trace style approach
      drm/i915/gvt: refactor function intel_vgpu_submit_execlist
      drm/i915/gvt: implement per-vm mmio switching optimization
      drm/i915/gvt: clean up the unused last_ctx_submit_time of struct intel_vgpu
      drm/i915/gvt: Optimize MMIO register handling for some large MMIO blocks
      drm/i915/gvt: Cleanup struct intel_gvt_mmio_info
      drm/i915/gvt: Make mmio_attribute as type u8 to save 1.5MB memory
      drm/i915/gvt: Make the MMIO attribute wrappers be inline
      drm/i915/gvt: Add helper for tuning MMIO hash table
      drm/i915/gvt: Tuning the size of MMIO hash lookup table to 2048

Chris Wilson (36):
      drm/i915: Add kerneldoc to describe i915_gem_object.vma_list
      drm/i915: Remove toplevel struct_mutex locking from debugfs/i915_drop_caches
      drm/i915: Short-circuit i915_gem_wait_for_idle() if already idle
      drm/i915: Hold a wakeref for probing the ring registers
      drm/i915: Guard against i915_ggtt_disable_guc() being invoked unconditionally
      drm/i915/guc: Assert that we switch between known ggtt->invalidate functions
      drm/i915: Check the ring is empty when declaring the engines are idle
      drm/i915: Fix logical inversion for gen4 quirking
      drm/i915: Allow kswapd to pause the device whilst reaping
      drm/i915: Move the unclaimed mmio detection into the powerwell for KMS
      drm/i915: Check signaled state after enabling signaling
      drm/i915: Report back whether the irq was armed when adding the waiter
      drm/i915: Skip adding the request to the signal tree is complete
      drm/i915: Remove the spin-request during execbuf await_request
      drm/i915: Encourage our shrinker more when our shmemfs allocations fails
      drm/i915: Remove __GFP_NORETRY from our buffer allocator
      drm/i915: Only restrict noreclaim in the early shrink passes
      drm/i915: Spin for struct_mutex inside shrinker
      drm/i915: Reinstate reservation_object zapping for batch_pool objects
      drm/i915: Amalgamate execbuffer parameter structures
      drm/i915: Use vma->exec_entry as our double-entry placeholder
      drm/i915: Split vma exec_link/evict_link
      drm/i915: Make i915_vma_destroy() static
      drm/i915: Mark CPU cache as dirty on every transition for CPU writes
      drm/i915: Store i915_gem_object_is_coherent() as a bit next to cache-dirty
      drm/i915: Fix retrieval of hangcheck stats
      drm/i915: Store a direct lookup from object handle to vma
      drm/i915: Pass vma to relocate entry
      drm/i915: Disable EXEC_OBJECT_ASYNC when doing relocations
      drm/i915: Eliminate lots of iterations over the execobjects array
      drm/i915: Store a persistent reference for an object in the execbuffer cache
      drm/i915: First try the previous execbuffer location
      drm/i915: Wait upon userptr get-user-pages within execbuffer
      drm/i915: Allow execbuffer to use the first object as the batch
      drm/i915: Async GPU relocation processing
      drm/i915: Stash a pointer to the obj's resv in the vma

Chuanxiao Dong (5):
      drm/i915/gvt: Add gvt options sanitize function
      drm/i915/gvt: Return -EIO if host enable_execlists not enabled when loading GVT-g
      drm/i915/gvt: Return -EIO if host GuC submission is enabled when loading GVT-g
      drm/i915/gvt: Add runtime_pm get/put to proctect MMIO accessing
      drm/i915/gvt: add gtt_invalidate API to flush the GTT TLB

Clint Taylor (1):
      drm/i915/cnl: Enable loadgen_select bit for vswing sequence

Colin Ian King (1):
      drm/i915/cnl: make function cnl_ddi_dp_set_dpll_hw_state static

Daniel Vetter (1):
      drm/i915: Update DRIVER_DATE to 20170619

Dhinakaran Pandiyan (3):
      drm/i915/cnp: Add PCI ID for Cannonpoint LP PCH
      drm/i915: Don't enable backlight at setup time.
      drm/i915: Store 9 bits of PCI Device ID for platforms with a LP PCH

Imre Deak (2):
      drm/i915: Prevent the system suspend complete optimization
      drm/i915/ddi: Avoid long delays during system suspend / eDP disabling

James Irwin (1):
      drm/i915/cnl: Cannonlake has 4 planes (3 sprites) per pipe

Jani Nikula (2):
      drm/i915/dvo: fix debug logging on unknown DID
      Merge tag 'gvt-next-2017-06-08' of https://github.com/01org/gvt-linux into drm-intel-next-queued

Kahola, Mika (2):
      drm/i915: Configure DPLL's for Cannonlake
      drm/i915/cnl: Enable wrpll computation for CNL

Kai Chen (2):
      drm/i915: Disable decoupled MMIO
      drm/i915: Remove decoupled MMIO code

Kumar, Mahesh (1):
      drm/i915/skl: New ddb allocation algorithm

Lionel Landwerlin (4):
      drm/i915/perf: rework mux configurations queries
      drm/i915: add KBL GT2/GT3 check macros
      drm/i915/perf: add KBL support
      drm/i915/perf: add GLK support

Maarten Lankhorst (15):
      drm/i915: Use atomic scaling_mode instead of panel.fitting_mode
      drm/i915: Use per-connector scaling mode property
      drm/i915: Add plumbing for digital connector state, v3.
      drm/i915: Convert DSI connector properties to atomic.
      drm/i915: Convert LVDS connector properties to atomic.
      drm/i915: Make intel_dp->has_audio reflect hw state only
      drm/i915: Convert intel_dp properties to atomic, v2.
      drm/i915: Convert intel_hdmi connector properties to atomic
      drm/i915: Handle force_audio correctly in intel_sdvo
      drm/i915: Convert intel_sdvo connector properties to atomic.
      drm/i915: Always recompute watermarks when distrust_bios_wm is set, v2.
      drm/i915/glk: Fix dotclock calculation in skl_check_pipe_max_pixel_rate
      drm/i915: Pass crtc_state and connector state to backlight enable/disable functions
      drm/i915: Pass connector state to intel_panel_set_backlight_acpi
      drm/i915: Pass atomic state to backlight enable/disable/set callbacks.

Madhav Chauhan (2):
      drm/i915/glk: Split GLK DSI device ready functionality
      drm/i915/glk: Add cold boot sequence for GLK DSI

Mahesh Kumar (1):
      drm/i915/skl+: consider max supported plane pixel rate while scaling

Michel Thierry (3):
      drm/i915/guc: Fix doorbell id selection
      drm/i915/gen10: Set value of Indirect Context Offset for gen10
      drm/i915/guc: Clear enable_guc_loading in case of init failure

Nagaraju, Vathsala (1):
      drm/i915/psr: disable psr2 for resolution greater than 32X20

Nick Desaulniers (1):
      drm/i915/gvt: remove redundant -Wall

Paulo Zanoni (1):
      drm/i915/cnl: add IS_CNL_REVID macro

Ping Gao (2):
      drm/i915/gvt: Support event based scheduling
      drm/i915/gvt: Trigger scheduling after context complete

Robert Bragg (7):
      drm/i915: expose _SLICE_MASK GETPARM
      drm/i915: expose _SUBSLICE_MASK GETPARM
      drm/i915/perf: Add 'render basic' Gen8+ OA unit configs
      drm/i915/perf: Add OA unit support for Gen 8+
      drm/i915/perf: Add more OA configs for BDW, CHV, SKL + BXT
      drm/i915/perf: per-gen timebase for checking sample freq
      drm/i915/perf: remove perf.hook_lock

Rodrigo Vivi (32):
      drm/i915/cnp: Introduce Cannonpoint PCH.
      drm/i915/cnp: Get/set proper Raw clock frequency on CNP.
      drm/i915/cnp: Backlight support for CNP.
      drm/i915/cnp: add CNP gmbus support
      drm/i915/cnp: Panel Power sequence changes for CNP PCH.
      drm/i915/cnl: Introduce Cannonlake platform defition.
      drm/i915/cnl: Cannonlake uses CNP PCH.
      drm/i915/cnl: Add Cannonlake PCI IDs for U-skus.
      drm/i915/cnl: Add Cannonlake PCI IDs for Y-skus.
      drm/i915/cnl: Configure EU slice power gating.
      drm/i915/cnl: Cannonlake has same MOCS table than Skylake.
      drm/i915/cnl: Also need power well sanitize.
      drm/i915: Remove unnecessary PORT3 definition.
      drm/i915: Unify GT* and GT3 definitions
      drm/i915/cfl: Introduce Coffee Lake platform definition.
      drm/i915/cfl: Coffee Lake uses CNP PCH.
      drm/i915/cfl: Introduce Display workarounds for Coffee Lake.
      drm/i915/cfl: Coffee Lake reuses Kabylake DMC.
      drm/i915/cnl: Allow dynamic cdclk changes on CNL
      drm/i915/cnl: DDI - PLL mapping
      drm/i915/cnl: Initialize PLLs
      drm/i915: Add MMIO helper for 6 ports with different offsets.
      drm/i915/cnl: Add registers related to voltage swing sequences.
      drm/i915/cnl: Add DDI Buffer translation tables for Cannonlake.
      drm/i915/cnl: Implement voltage swing sequence.
      drm/i915: Use HAS_CSR instead of gen number on DMC load.
      drm/i915/cnl: Fix Cannonlake scaler mode programing.
      drm/i915/cnl: Enable fifo underrun for Cannonlake.
      drm/i915/cnl: LSPCON support is gen9+
      drm/i915/cfl: Basic DDI plumbing for Coffee Lake.
      Revert "drm/i915/skl: New ddb allocation algorithm"
      drm/i915/cfl: Introduce Coffee Lake workarounds.

Ville Syrjälä (20):
      drm/i915: Remove dead code from runtime resume handler
      drm/i915: Workaround VLV/CHV DSI scanline counter hardware fail
      drm/i915: Implement fbc_status "Compressing" info for all platforms
      drm/i915: s/fbc_fc/fbc_false_color/
      drm/i915: Restore has_fbc=1 for ILK-M
      drm/i915: Fix scaling check for 90/270 degree plane rotation
      drm/i915: Fix SKL+ watermarks for 90/270 rotation
      drm/i915: Fix 90/270 rotated coordinates for FBC
      drm/i915/cnl: Add power wells for CNL
      drm/i915/cnl: Implement .get_display_clock_speed() for CNL
      drm/i915/cnl: Implement .set_cdclk() for CNL
      drm/i915/cnl: Implement CNL display init/unit sequence
      drm/i915: Fix deadlock witha the pipe A quirk during resume
      drm/i915: Plumb the correct acquire ctx into intel_crtc_disable_noatomic()
      drm/i915: Use a loop for the "three times for luck" DPLL procedure
      drm/i915: Add i830 "pipes power well"
      drm/i915: Drop pipe A quirk for Toshiba Protege R205-S209
      drm/i915: Drop pipe A quirk for Thinkapd T60
      drm/i915: Remove pipe A quirk remnants
      drm/i915: Actually attach the tv_format property to the SDVO connector

Weinan Li (2):
      drm/i915: return the correct usable aperture size under gvt environment
      drm/i915/gvt: add RING_INSTDONE and SC_INSTDONE mmio handler in GVT-g

Xiong Zhang (2):
      drm/i915/gvt: Change flood gvt dmesg into trace
      drm/i915/gvt: Delete gvt_dbg_cmd() in cmd_parser_exec()

Zhenyu Wang (1):
      drm/i915: Fix GVT-g PVINFO version compatibility check

fred gao (3):
      drm/i915/gvt: Legacy HSW related MMIO handler clean up
      drm/i915/gvt: Fix GDRST vreg state after reset
      drm/i915/gvt: Refine virtual reset function

 drivers/gpu/drm/i915/Makefile                    |   11 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c                |   10 +-
 drivers/gpu/drm/i915/gvt/Makefile                |    2 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c            |   56 +-
 drivers/gpu/drm/i915/gvt/execlist.c              |   56 +-
 drivers/gpu/drm/i915/gvt/firmware.c              |    9 +-
 drivers/gpu/drm/i915/gvt/gtt.c                   |   15 +-
 drivers/gpu/drm/i915/gvt/gvt.c                   |    6 +-
 drivers/gpu/drm/i915/gvt/gvt.h                   |  100 +-
 drivers/gpu/drm/i915/gvt/handlers.c              |  303 +-
 drivers/gpu/drm/i915/gvt/interrupt.c             |   20 +-
 drivers/gpu/drm/i915/gvt/mmio.c                  |  121 +-
 drivers/gpu/drm/i915/gvt/mmio.h                  |   44 +-
 drivers/gpu/drm/i915/gvt/mpt.h                   |    3 +-
 drivers/gpu/drm/i915/gvt/render.c                |   48 +-
 drivers/gpu/drm/i915/gvt/render.h                |    4 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c          |   27 +-
 drivers/gpu/drm/i915/gvt/scheduler.c             |   39 +-
 drivers/gpu/drm/i915/gvt/scheduler.h             |    4 +
 drivers/gpu/drm/i915/gvt/trace.h                 |  178 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                  |    9 +-
 drivers/gpu/drm/i915/i915_debugfs.c              |   68 +-
 drivers/gpu/drm/i915/i915_drv.c                  |   55 +-
 drivers/gpu/drm/i915/i915_drv.h                  |  106 +-
 drivers/gpu/drm/i915/i915_gem.c                  |  168 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.c       |   19 +-
 drivers/gpu/drm/i915/i915_gem_clflush.c          |   17 +-
 drivers/gpu/drm/i915/i915_gem_context.c          |   86 +-
 drivers/gpu/drm/i915/i915_gem_context.h          |   26 +
 drivers/gpu/drm/i915/i915_gem_evict.c            |  119 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c       | 2754 ++++++-----
 drivers/gpu/drm/i915/i915_gem_gtt.c              |   13 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h              |    2 +
 drivers/gpu/drm/i915/i915_gem_internal.c         |    4 +-
 drivers/gpu/drm/i915/i915_gem_object.h           |   22 +-
 drivers/gpu/drm/i915/i915_gem_request.c          |   20 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c         |   28 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c           |    1 +
 drivers/gpu/drm/i915/i915_gem_tiling.c           |    2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c          |   24 +-
 drivers/gpu/drm/i915/i915_guc_submission.c       |    2 +-
 drivers/gpu/drm/i915/i915_irq.c                  |    6 +-
 drivers/gpu/drm/i915/i915_oa_bdw.c               | 5376 ++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_oa_bdw.h               |   40 +
 drivers/gpu/drm/i915/i915_oa_bxt.c               | 2690 +++++++++++
 drivers/gpu/drm/i915/i915_oa_bxt.h               |   40 +
 drivers/gpu/drm/i915/i915_oa_chv.c               | 2873 ++++++++++++
 drivers/gpu/drm/i915/i915_oa_chv.h               |   40 +
 drivers/gpu/drm/i915/i915_oa_glk.c               | 2602 +++++++++++
 drivers/gpu/drm/i915/i915_oa_glk.h               |   40 +
 drivers/gpu/drm/i915/i915_oa_hsw.c               |  263 +-
 drivers/gpu/drm/i915/i915_oa_hsw.h               |    4 +-
 drivers/gpu/drm/i915/i915_oa_kblgt2.c            | 2991 ++++++++++++
 drivers/gpu/drm/i915/i915_oa_kblgt2.h            |   40 +
 drivers/gpu/drm/i915/i915_oa_kblgt3.c            | 3040 ++++++++++++
 drivers/gpu/drm/i915/i915_oa_kblgt3.h            |   40 +
 drivers/gpu/drm/i915/i915_oa_sklgt2.c            | 3479 ++++++++++++++
 drivers/gpu/drm/i915/i915_oa_sklgt2.h            |   40 +
 drivers/gpu/drm/i915/i915_oa_sklgt3.c            | 3039 ++++++++++++
 drivers/gpu/drm/i915/i915_oa_sklgt3.h            |   40 +
 drivers/gpu/drm/i915/i915_oa_sklgt4.c            | 3093 +++++++++++++
 drivers/gpu/drm/i915/i915_oa_sklgt4.h            |   40 +
 drivers/gpu/drm/i915/i915_pci.c                  |   90 +-
 drivers/gpu/drm/i915/i915_perf.c                 | 1126 ++++-
 drivers/gpu/drm/i915/i915_pvinfo.h               |    8 +-
 drivers/gpu/drm/i915/i915_reg.h                  |  287 +-
 drivers/gpu/drm/i915/i915_utils.h                |    5 +
 drivers/gpu/drm/i915/i915_vgpu.c                 |   54 +-
 drivers/gpu/drm/i915/i915_vma.c                  |   28 +-
 drivers/gpu/drm/i915/i915_vma.h                  |   20 +-
 drivers/gpu/drm/i915/intel_atomic.c              |  137 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c         |   73 +-
 drivers/gpu/drm/i915/intel_cdclk.c               |  355 +-
 drivers/gpu/drm/i915/intel_csr.c                 |   17 +-
 drivers/gpu/drm/i915/intel_ddi.c                 |  392 +-
 drivers/gpu/drm/i915/intel_device_info.c         |   11 +-
 drivers/gpu/drm/i915/intel_display.c             |  329 +-
 drivers/gpu/drm/i915/intel_dp.c                  |  190 +-
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c    |   17 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c            |  437 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.h            |    4 +
 drivers/gpu/drm/i915/intel_drv.h                 |   59 +-
 drivers/gpu/drm/i915/intel_dsi.c                 |  167 +-
 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.c   |   22 +-
 drivers/gpu/drm/i915/intel_engine_cs.c           |  104 +-
 drivers/gpu/drm/i915/intel_fbc.c                 |   19 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c       |    2 +-
 drivers/gpu/drm/i915/intel_guc_loader.c          |    2 +-
 drivers/gpu/drm/i915/intel_gvt.c                 |   43 +-
 drivers/gpu/drm/i915/intel_gvt.h                 |    5 +
 drivers/gpu/drm/i915/intel_hdmi.c                |  157 +-
 drivers/gpu/drm/i915/intel_huc.c                 |    2 +-
 drivers/gpu/drm/i915/intel_i2c.c                 |   15 +-
 drivers/gpu/drm/i915/intel_lrc.c                 |    7 +
 drivers/gpu/drm/i915/intel_lvds.c                |   73 +-
 drivers/gpu/drm/i915/intel_mocs.c                |    2 +-
 drivers/gpu/drm/i915/intel_opregion.c            |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c             |    1 -
 drivers/gpu/drm/i915/intel_panel.c               |  265 +-
 drivers/gpu/drm/i915/intel_pm.c                  |  157 +-
 drivers/gpu/drm/i915/intel_psr.c                 |    5 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c          |  316 +-
 drivers/gpu/drm/i915/intel_sdvo.c                |  540 +--
 drivers/gpu/drm/i915/intel_sprite.c              |   21 +
 drivers/gpu/drm/i915/intel_uc.c                  |    7 +-
 drivers/gpu/drm/i915/intel_uncore.c              |  126 -
 drivers/gpu/drm/i915/selftests/huge_gem_object.c |    4 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c  |    4 +-
 drivers/gpu/drm/i915/selftests/i915_vma.c        |   16 +-
 drivers/gpu/drm/i915/selftests/mock_context.c    |   12 +-
 include/drm/i915_pciids.h                        |   40 +
 include/uapi/drm/i915_drm.h                      |   44 +-
 112 files changed, 36919 insertions(+), 3317 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_oa_bdw.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_bdw.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_bxt.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_bxt.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_chv.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_chv.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_glk.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_glk.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_kblgt2.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_kblgt2.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_kblgt3.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_kblgt3.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_sklgt2.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_sklgt2.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_sklgt3.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_sklgt3.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_sklgt4.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_sklgt4.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-05-29 21:43 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-05-29 21:43 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Entire pile of tags since the previous pull got hold up:

drm-intel-next-2017-05-29:
More stuff for 4.13:

- skl+ wm fixes from Mahesh Kumar
- some refactor and tests for i915_sw_fence (Chris)
- tune execlist/scheduler code (Chris)
- g4x,g33 gpu reset improvements (Chris, Mika)
- guc code cleanup (Michal Wajdeczko, Michał Winiarski)
- dp aux backlight improvements (Puthikorn Voravootivat)
- buffer based guc/host communication (Michal Wajdeczko)
drm-intel-next-2017-05-15:
Another pile of stuff for 4.12:

- OA improvements and fixes from Robert Bragg
- fixes for the dp aux backlight driver (Puthikorn Voravootivat)
- no RCU during shrinking (unfortunately), from Joonas
- small atomic leftovers (better unpin, statified hw verifier), from
  Maarten
- g4x wm fixes (Ville)
- piles of cursor fixes/improvements (Ville)
- g4x overlay plane support (Ville)
- prep for new guc logging/notification (Michal Wajdeczko)
- pile of static checker appeasement from Imre
- implement dma_buf->kmap, good for testing (Chris)
- fine-tune ring handling (Chris)
drm-intel-next-2017-05-02:
Somehow not much these 2 weeks ...

- (hopefully) stability fixes for byt/bsw gt wake (Chris)
- tighten up requests (especially restarts) checks and debug tracking
  (Chris)
- unify context handling more for gen5+ (Chris+Joonas)
- oddball bugfixes as usual
drm-intel-next-2017-04-18:
First slice of 4.13 features:

new uabi:
- extend error state dumping to include non-batch buffers requested by
  userspace (Chris), so that mesa gets more useful error state dumps
- reapply the link status patch, for handlig dp link failures
  (Manasi). This needs updated -modesetting to work correctly.
- Add new _WC cache domain, our assumption that wc can be subsumed by
  the existing cache domains didn't pan out (Chris)

feature work:
- first pile of conversion to atomic properties for connectors
  (Maarten)
- refactor dp link rate handling code and related areas (Jani)
- split engine info into class and runtime stuff (Oscar Mateo)
- more robust wait_for_register code (Chris, Michal Wajdeczko)
- fix rcu issues in the shrinker and simplify locking (Joonas)
- guc/huc for glk (Anusha)
- enable atomic modesetting for vlv/chv (Ville), plus final fixes for
  that

Note that this has a nasty-looking conflict with drm-misc, but just take
the code from this pull and s/DRM_ROTATE/DRM_MODE_ROTATE/ to make it
compile and you should be good.

Also, can you pls roll forward to -rc3? We need a pm patch in there for
drm-intel.

Cheers, Daniel


The following changes since commit 8b03d1ed2c43a2ba5ef3381322ee4515b97381bf:

  Merge branch 'linux-4.12' of git://github.com/skeggsb/linux into drm-next (2017-05-02 04:46:01 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-05-29

for you to fetch changes up to cd9f4688a3297c0df0eecc2adaae5812d3e5b997:

  drm/i915: Update DRIVER_DATE to 20170529 (2017-05-29 09:00:58 +0200)

----------------------------------------------------------------
More stuff for 4.13:

- skl+ wm fixes from Mahesh Kumar
- some refactor and tests for i915_sw_fence (Chris)
- tune execlist/scheduler code (Chris)
- g4x,g33 gpu reset improvements (Chris, Mika)
- guc code cleanup (Michal Wajdeczko, Michał Winiarski)
- dp aux backlight improvements (Puthikorn Voravootivat)
- buffer based guc/host communication (Michal Wajdeczko)

----------------------------------------------------------------
Ander Conselvan de Oliveira (2):
      drm/i915/glk: Don't allow 12 bpc when htotal is too big
      drm/i915/glk: Fix DSI "*ERROR* ULPS is still active" messages

Andrea Arcangeli (1):
      i915: initialize the free_list of the fencing atomic_helper

Anusha Srivatsa (3):
      drm/i915/GuC/GLK: Load GuC on GLK
      drm/i915/GLK/HuC: Load HuC on GLK
      drm/i915/huc: Update GLK HuC version

Arkadiusz Hiler (1):
      drm/i915/gen9: Reintroduce WaEnableYV12BugFixInHalfSliceChicken7

Chris Wilson (72):
      drm/i915: intel_ring.engine is unused
      drm/i915: Onion unwind for intel_init_ring_common()
      drm/i915: Park the signaler before sleeping
      drm/i915: Apply a cond_resched() to the saturated signaler
      drm/i915: Use the right mapping_gfp_mask for final shmem allocation
      drm/i915: Assert the engine is idle before overwiting the HWS
      drm/i915: Advance ring->head fully when idle
      drm/i915: The shrinker already acquires struct_mutex, so call it unlocked
      drm/i915: Drain any freed objects prior to hibernation
      drm/i915: Break up long runs of freeing objects
      drm/i915: Insert cond_resched() into i915_gem_free_objects
      drm/i915: Use drm_i915_private directly from debugfs
      drm/i915: Stop second guessing the caller for intel_uncore_wait_for_register()
      drm/i915: Stop sleeping from inside gen6_bsd_submit_request()
      drm/i915: Acquire uncore.lock over intel_uncore_wait_for_register()
      drm/i915: Use __intel_uncore_wait_for_register_fw for sandybride_pcode_read
      drm/i915: Use safer intel_uncore_wait_for_register in ring-init
      drm/i915: Rename intel_engine_cs.exec_id to uabi_id
      drm/i915: Bail if we do not setup the RCS engine
      drm/i915: Lie and treat all engines as idle if wedged
      drm/i915/execlists: Document runtime pm for intel_lrc_irq_handler()
      drm/i915: Combine write_domain flushes to a single function
      drm/i915: Treat WC a separate cache domain
      drm/i915: Add stub mmio read/write routines to mock device
      drm/i915: Pretend the engine is always idle when mocking
      drm/i915: Wake device for emitting request during selftest
      drm/i915: Fix use after free in lpe_audio_platdev_destroy()
      drm/i915: Copy user requested buffers into the error state
      drm/i915/selftests: Allocate inode/file dynamically
      drm/i915: Use discardable buffers for rings
      drm/i915: Stop touching hangcheck.seqno from intel_engine_init_global_seqno()
      drm/i915: Avoid busy-spinning on VLV_GLTC_PW_STATUS mmio
      drm/i915: Confirm the request is still active before adding it to the await
      drm/i915: Include interesting seqno in the missed breadcrumb debug
      drm/i915: Report request restarts for both execlists/guc
      drm/i915: Differentiate between sw write location into ring and last hw read
      drm/i915: Poison the request before emitting commands
      drm/i915: Skip waking the signaler when enabling before request submission
      drm/i915: Defer context state allocation for legacy ring submission
      drm/i915: Mark up clflushes as belonging to an unordered timeline
      drm/i915: Unwrap top level fence-array
      drm/i915: Lift timeline ordering to await_dma_fence
      drm/i915: Squash repeated awaits on the same fence
      drm/i915: Rename intel_timeline.sync_seqno[] to .global_sync[]
      drm/i915: Do not record a successful syncpoint for a dma-await
      drm/i915: Switch the global i915.semaphores check to a local predicate
      drm/i915: Implement dma_buf_ops->kmap
      drm/i915: Use engine->context_pin() to report the intel_ring
      drm/i915: Avoid the branch in computing intel_ring_space()
      drm/i915: Report the ring->space from intel_ring_update_space()
      drm/i915: Micro-optimise hotpath through intel_ring_begin()
      drm/i915: Fixup 64bit divides in timelines selftest
      drm/i915: Remove kref from i915_sw_fence
      drm/i915: Import the kfence selftests for i915_sw_fence
      drm/i915: Make ptr_unpack_bits() more function-like
      drm/i915: Redefine ptr_pack_bits() and friends
      drm/i915/execlists: Pack the count into the low bits of the port.request
      drm/i915: Don't mark an execlists context-switch when idle
      drm/i915: Use a define for the default priority [0]
      drm/i915: Split execlist priority queue into rbtree + linked list
      drm/i915: Create a kmem_cache to allocate struct i915_priolist from
      drm/i915/execlists: Reduce lock contention between schedule/submit_request
      drm/i915: Stop inlining the execlists IRQ handler
      drm/i915: Don't force serialisation on marking up execlists irq posted
      drm/i915/selftests: Pretend to be a gfx pci device
      drm/i915: Try harder to reset the GPU
      drm/i915: Reorder media/render reset on g4x
      drm/i915/selftests: Silence compiler warning in igt_ctx_exec
      drm/i915: Convert i915_gem_object_ops->flags values to use BIT()
      drm/i915: Only GGTT vma may be pinned and prevent shrinking
      drm/i915: Consolidate #ifdef CONFIG_INTEL_IOMMU
      drm/i915: Keep the forcewake timer alive for 1ms past the most recent use

Chuanxiao Dong (1):
      drm/i915: set initialised only when init_context callback is NULL

Colin Ian King (3):
      drm/i915/guc:fix spelling mistake: "adddress" -> "address"
      drm/i915: Check C for null pointer rather than B
      drm/i915: Check for allocation failure

Dan Carpenter (2):
      drm/i915: checking for NULL instead of IS_ERR() in mock selftests
      drm/i915: set "ret" correctly on error paths

Daniel Vetter (8):
      Merge airlied/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170418
      drm/i915: Update DRIVER_DATE to 20170502
      Merge tag 'tags/drm-for-v4.12' into drm-intel-next-queued
      drm/i915: Fix __intel_wait_for_register_fw to not sleep in atomic
      drm/i915: Update DRIVER_DATE to 20170515
      Revert "drm/i915: Restore lost "Initialized i915" welcome message"
      drm/i915: Update DRIVER_DATE to 20170529

Daniele Ceraolo Spurio (3):
      drm/i915: Classify the engines in class + instance
      drm/i915: Use the engine class to get the context size
      drm/i915/guc: capture GuC logs if FW fails to load

Dhinakaran Pandiyan (1):
      drm/i915: Typo fix - 'pipe bpc' to 'pipe bpp'

Geliang Tang (1):
      drm/i915: use memdup_user_nul

Gustavo A. R. Silva (2):
      gpu: drm: i915: remove dead code
      gpu: drm: i915: compress logic into one line

Hans de Goede (1):
      drm/i915: Fix new -Wint-in-bool-context gcc compiler warning

Imre Deak (7):
      drm/i915/vlv: Fix port B PLL opamp initialization
      drm/i915/dp: Check error return during DPCD capability queries
      drm/i915/sdvo: Check error return from intel_sdvo_get_value()
      drm/i915: Check error return when setting DMA mask
      drm/i915: Check error return when converting pipe to connector
      drm/i915: Sanitize stolen memory size calculation
      drm/i915/lvds: Remove magic from PLL programming

Jani Nikula (14):
      drm/i915/dp: use known correct array size in rate_to_index
      drm/i915/dp: return errors from rate_to_index()
      drm/i915/dp: rename rate_to_index() to intel_dp_rate_index() and reuse
      drm/i915/dp: cache source rates at init
      drm/i915/dp: generate and cache sink rate array for all DP, not just eDP 1.4
      drm/i915/dp: use the sink rates array for max sink rates
      drm/i915/dp: cache common rates with sink rates
      drm/i915/dp: do not limit rate seek when not needed
      drm/i915/dp: don't call the link parameters sink parameters
      drm/i915/dp: add functions for max common link rate and lane count
      drm/i915/mst: use max link not sink lane count
      drm/i915/dp: localize link rate index variable more
      drm/i915/dp: use readb and writeb calls for single byte DPCD access
      drm/i915/dp: read sink count to a temporary variable first

Jon Bloomfield (1):
      drm/i915: Serialize GTT/Aperture accesses on BXT

Joonas Lahtinen (8):
      drm/i915: Don't call synchronize_rcu_expedited under struct_mutex
      drm/i915: Simplify shrinker locking
      drm/i915: Sanitize engine context sizes
      drm/i915: Eliminate HAS_HW_CONTEXTS
      drm/i915: Reset ILK during GEM sanitization
      drm/i915: Capture CCID on ILK
      drm/i915: Do not leak dev_priv->l3_parity.remap_info[]
      drm/i915: Do not sync RCU during shrinking

Kees Cook (1):
      drm/i915: Avoid format string expansion from engine names

Kumar, Mahesh (10):
      drm/i915: fix naming of fixed_16_16 wrapper.
      drm/i915: Add more wrapper for fixed_point_16_16 operations
      drm/i915: Use fixed_16_16 wrapper for division operation
      drm/i915/skl+: calculate pixel_rate & relative_data_rate in fixed point
      drm/i915/skl: Fail the flip if no FB for WM calculation
      drm/i915/skl+: no need to memset again
      drm/i915/skl+: Fail the flip if ddb min requirement exceeds pipe allocation
      drm/i915/skl+: Watermark calculation cleanup
      drm/i915/skl+: Perform wm level calculations in separate function
      drm/i915/skl+: use linetime latency if ddb size is not available

Maarten Lankhorst (10):
      drm/i915: Remove unused members from intel_tv.c
      drm/i915: Convert intel_tv connector properties to atomic, v5.
      drm/i915: Remove unused dp properties for dp-mst.
      drm/i915: Convert intel_dp_mst connector properties to atomic.
      drm/i915: Convert intel_crt connector properties to atomic.
      drm/i915: Convert intel DVO connector to atomic
      Revert "drm/i915: Lock mode_config.mutex in intel_display_resume."
      drm/i915: Do not use lock all in hsw_trans_edp_pipe_A_crc_wa
      drm/i915: Fix hw state verifier access to crtc->state.
      drm/i915: Remove vma unpin in intel_plane_destroy

Madhav Chauhan (2):
      drm/i915/glk: limit pixel clock to 99% of cdclk workaround
      drm/i915/glk: Calculate high/low switch count for GLK

Manasi Navare (2):
      drm/i915/dp: Validate cached link rate and lane count before retraining
      drm/i915: Implement Link Rate fallback on Link training failure

Matthew Auld (2):
      drm/i915: don't do allocate_va_range again on PIN_UPDATE
      drm/i915: use vma->size for appgtt allocate_va_range

Michal Wajdeczko (17):
      drm/i915/huc: Simplify intel_huc_init_hw()
      drm/i915/guc: Use GUC prefix for CORE_FAMILY definitions
      drm/i915: Fix type of timeout_ms parameter in intel_wait_for_register_fw()
      drm/i915: Extend intel_wait_for_register_fw() with fast timeout
      drm/i915/guc: Use wait_for_register_fw() while waiting for MMIO response
      drm/i915: Drop const qualifiers from params in wait_for_register()
      drm/i915: Don't allow overuse of __intel_wait_for_register_fw()
      drm/i915/guc: Enable send function only after successful init
      drm/i915: Move uncore definitions into a separate header
      drm/i915/guc: Move notification code into virtual function
      drm/i915/guc: Make scratch register base and count flexible
      drm/i915/guc: Remove stale comment for q_fail
      drm/i915/guc: Remove failed doorbell stat from debugfs
      drm/i915/guc: Remove last submission result from debugfs
      drm/i915/guc: Remove action status and statistics from debugfs
      drm/i915/guc: Disable send function on fini
      drm/i915/guc: Introduce buffer based cmd transport

Michał Winiarski (2):
      drm/i915: Remove misleading comment in request_alloc
      drm/i915/guc: Skip port assign on first iteration of GuC dequeue

Michel Thierry (2):
      drm/i915: Fix stale comment about I915_RESET_IN_PROGRESS flag
      drm/i915: Rename gen8_(un)request_engine_reset to gen8_reset_engine_start/cancel

Mika Kuoppala (6):
      drm/i915: Fix system hang with EI UP masked on Haswell
      drm/i915: Move the GTFIFODBG to the common mmio dbg framework
      drm/i915: Use wait_for_atomic_us when waiting for gt fifo
      drm/i915: Show dmc debug registers on Kabylake
      drm/i915/g4x: Improve gpu reset reliability
      drm/i915/g33: Improve reset reliability

Oscar Mateo (4):
      drm/i915: Use the same vfunc for BSD2 ring init
      drm/i915: Generate the engine name based on the instance number
      drm/i915: Split the engine info table in two levels, using class + instance
      drm/i915/guc: Dump the GuC stage descriptor pool in debugfs

Puthikorn Voravootivat (6):
      drm/i915: Fix cap check for intel_dp_aux_backlight driver
      drm/i915: Correctly enable backlight brightness adjustment via DPCD
      drm/i915: Set backlight mode before enable backlight
      drm/i915: Restore brightness level in aux backlight driver
      drm/i915: Drop AUX backlight enable check for backlight control
      drm: Add definition for eDP backlight frequency

Robert Bragg (8):
      drm/i915/perf: fix gen7_append_oa_reports comment
      drm/i915/perf: avoid poll, read, EAGAIN busy loops
      drm/i915/perf: avoid read back of head register
      drm/i915/perf: no head/tail ref in gen7_oa_read
      drm/i915/perf: improve tail race workaround
      drm/i915/perf: improve invalid OA format debug message
      drm/i915/perf: better pipeline aged/aging tail updates
      drm/i915/perf: rate limit spurious oa report notice

Sagar Arun Kamble (1):
      drm/i915: Suspend GuC prior to GPU Reset during GEM suspend

Tvrtko Ursulin (3):
      drm/i915: Remove user-triggerable WARN from i915_gem_object_create
      drm/i915: Fix GCC 4.4 build issue with __intel_wait_for_register_fw
      drm/i915/guc: Fix sleep under spinlock during reset

Ville Syrjälä (47):
      drm/i915: Make legacy cursor updates more unsynced
      drm/i915: Check for id==PLANE_CURSOR instead of type==DRM_PLANE_TYPE_CURSOR
      drm/i915: Use intel_wm_plane_visible() on VLV/CHV as well
      drm/i915: Enable atomic on VLV/CHV
      drm/i915: Perform link quality check unconditionally during long pulse
      drm/i915: Fix runtime PM for LPE audio
      ALSA: x86: Clear the pdata.notify_lpe_audio pointer before teardown
      drm/i915: Stop pretending to mask/unmask LPE audio interrupts
      drm/i915: Remove the unused pending_notify from LPE platform data
      drm/i915: Replace tmds_clock_speed and link_rate with just ls_clock
      drm/i915: Remove hdmi_connected from LPE audio pdata
      drm/i915: Reorganize intel_lpe_audio_notify() arguments
      drm/i915: Clean up the LPE audio platform data
      ALSA: x86: Prepare LPE audio ctls for multiple PCMs
      ALSA: x86: Split snd_intelhad into card and PCM specific structures
      ALSA: x86: Register multiple PCM devices for the LPE audio card
      drm/i915: Fix rawclk readout for g4x
      drm/i915: s/vlv_plane_wm_compute/vlv_raw_plane_wm_compute/ etc.
      drm/i915: Drop the debug message from vlv_get_fifo_size()
      drm/i915: s/vlv_num_wm_levels/intel_wm_num_levels/
      drm/i915: Rename bunch of vlv_ watermark structures to g4x_
      drm/i915: Make vlv/chv watermark debug print less cryptic
      drm/i915: Document CxSR
      drm/i915: Fix cursor 'cpp' in watermark calculatins for old platforms
      drm/i915: Fix the g4x watermark TLB miss workaround
      drm/i915: Refactor the g4x TLB miss w/a to a helper
      drm/i915: Refactor wm calculations
      drm/i915: Apply the g4x TLB miss w/a to SR watermarks as well
      drm/i915: Two stage watermarks for g4x
      drm/i915: Enable HPLL watermarks on g4x
      drm/i915: Add g4x watermark tracepoint
      drm/i915: Add support for sprites on g4x
      drm/i915: Parametrize cursor/primary pipe select bits
      drm/i915: Pass intel_plane and intel_crtc to plane hooks
      drm/i915: Refactor CURBASE calculation
      drm/i915: Clean up cursor junk from intel_crtc
      drm/i915: Refactor CURPOS calculation
      drm/i915: Move cursor position and base handling into the platform specific functions
      drm/i915: Drop useless posting reads from cursor commit
      drm/i915: Split cursor check_plane into i845 and i9xx variants
      drm/i915: Generalize cursor size checks a bit
      drm/i915: Use fb->pitches[0] in cursor code
      drm/i915: Support variable cursor height on ivb+
      drm/i915: Fix gen3 physical cursor alignment requirements
      drm/i915: Handle fb offset and src coordinates for cursors
      drm/i915: Relax 845/865 CURBASE alignemnt requirement to 32 bytes
      drm/i915: Simplify cursor register write sequence

daniele.ceraolospurio@intel.com (1):
      drm/i915/guc: write wopcm related register once during uc init

 drivers/gpu/drm/i915/Kconfig.debug                 |   12 +
 drivers/gpu/drm/i915/Makefile                      |    2 +
 drivers/gpu/drm/i915/dvo_ch7017.c                  |    4 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   12 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   10 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  255 ++--
 drivers/gpu/drm/i915/i915_drv.c                    |   31 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  347 +++--
 drivers/gpu/drm/i915/i915_gem.c                    |  264 ++--
 drivers/gpu/drm/i915/i915_gem.h                    |    2 +
 drivers/gpu/drm/i915/i915_gem_clflush.c            |    8 +-
 drivers/gpu/drm/i915/i915_gem_clflush.h            |    1 -
 drivers/gpu/drm/i915/i915_gem_context.c            |  116 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   24 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   12 +
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  161 ++-
 drivers/gpu/drm/i915/i915_gem_object.h             |    4 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |  185 ++-
 drivers/gpu/drm/i915/i915_gem_request.h            |   14 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   71 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    4 +-
 drivers/gpu/drm/i915/i915_gem_timeline.c           |   95 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |   47 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   59 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |   96 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   43 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    5 -
 drivers/gpu/drm/i915/i915_perf.c                   |  395 ++++--
 drivers/gpu/drm/i915/i915_reg.h                    |   42 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |   62 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    1 -
 drivers/gpu/drm/i915/i915_syncmap.c                |  412 ++++++
 drivers/gpu/drm/i915/i915_syncmap.h                |   38 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |   26 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   49 +
 drivers/gpu/drm/i915/i915_utils.h                  |   34 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   24 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   19 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   13 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   22 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   10 +-
 drivers/gpu/drm/i915/intel_device_info.c           |    2 +-
 drivers/gpu/drm/i915/intel_display.c               |  745 +++++-----
 drivers/gpu/drm/i915/intel_dp.c                    |  360 +++--
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |   45 +-
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   25 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   16 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   86 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |    7 +-
 drivers/gpu/drm/i915/intel_dsi_vbt.c               |    8 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    2 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  231 ++-
 drivers/gpu/drm/i915/intel_fbc.c                   |    4 +-
 drivers/gpu/drm/i915/intel_guc_ct.c                |  461 ++++++
 drivers/gpu/drm/i915/intel_guc_ct.h                |   86 ++
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   47 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |   19 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |    6 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |    2 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |    7 +-
 drivers/gpu/drm/i915/intel_huc.c                   |   64 +-
 drivers/gpu/drm/i915/intel_lpe_audio.c             |   99 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  415 +++---
 drivers/gpu/drm/i915/intel_lrc.h                   |    2 -
 drivers/gpu/drm/i915/intel_panel.c                 |   17 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   30 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 1483 ++++++++++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  329 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  105 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |    9 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  124 +-
 drivers/gpu/drm/i915/intel_tv.c                    |  208 +--
 drivers/gpu/drm/i915/intel_uc.c                    |  155 +-
 drivers/gpu/drm/i915/intel_uc.h                    |   41 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  384 +++--
 drivers/gpu/drm/i915/intel_uncore.h                |  170 +++
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |   10 +-
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |    8 +-
 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c   |  100 ++
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    4 +-
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_timeline.c |  299 ++++
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    3 +
 drivers/gpu/drm/i915/selftests/i915_random.c       |   11 +
 drivers/gpu/drm/i915/selftests/i915_random.h       |    2 +
 drivers/gpu/drm/i915/selftests/i915_sw_fence.c     |  582 ++++++++
 drivers/gpu/drm/i915/selftests/i915_syncmap.c      |  616 ++++++++
 drivers/gpu/drm/i915/selftests/mock_engine.c       |   11 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |   12 +-
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |   45 +
 drivers/gpu/drm/i915/selftests/mock_timeline.h     |   33 +
 drivers/gpu/drm/i915/selftests/mock_uncore.c       |   46 +
 drivers/gpu/drm/i915/selftests/mock_uncore.h       |   30 +
 include/drm/drm_dp_helper.h                        |    2 +
 include/drm/intel_lpe_audio.h                      |   22 +-
 include/uapi/drm/i915_drm.h                        |   17 +-
 sound/x86/intel_hdmi_audio.c                       |  328 +++--
 sound/x86/intel_hdmi_audio.h                       |   20 +-
 98 files changed, 7931 insertions(+), 3097 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_syncmap.c
 create mode 100644 drivers/gpu/drm/i915/i915_syncmap.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_ct.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc_ct.h
 create mode 100644 drivers/gpu/drm/i915/intel_uncore.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_timeline.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_sw_fence.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_syncmap.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_timeline.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_timeline.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_uncore.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_uncore.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-05-16  9:05 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-05-16  9:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Three tags in total. I also just realized that in the last one I've
forgotten to mention the LPE audio fixes from Ville, which also touch
sound/. Those patches are all reviewed by Takashi.

drm-intel-next-2017-05-15:
Another pile of stuff for 4.12:

- OA improvements and fixes from Robert Bragg
- fixes for the dp aux backlight driver (Puthikorn Voravootivat)
- no RCU during shrinking (unfortunately), from Joonas
- small atomic leftovers (better unpin, statified hw verifier), from
  Maarten
- g4x wm fixes (Ville)
- piles of cursor fixes/improvements (Ville)
- g4x overlay plane support (Ville)
- prep for new guc logging/notification (Michal Wajdeczko)
- pile of static checker appeasement from Imre
- implement dma_buf->kmap, good for testing (Chris)
- fine-tune ring handling (Chris)
drm-intel-next-2017-05-02:
Somehow not much these 2 weeks ...

- (hopefully) stability fixes for byt/bsw gt wake (Chris)
- tighten up requests (especially restarts) checks and debug tracking
  (Chris)
- unify context handling more for gen5+ (Chris+Joonas)
- oddball bugfixes as usual
drm-intel-next-2017-04-18:
First slice of 4.13 features:

new uabi:
- extend error state dumping to include non-batch buffers requested by
  userspace (Chris), so that mesa gets more useful error state dumps
- reapply the link status patch, for handlig dp link failures
  (Manasi). This needs updated -modesetting to work correctly.
- Add new _WC cache domain, our assumption that wc can be subsumed by
  the existing cache domains didn't pan out (Chris)

feature work:
- first pile of conversion to atomic properties for connectors
  (Maarten)
- refactor dp link rate handling code and related areas (Jani)
- split engine info into class and runtime stuff (Oscar Mateo)
- more robust wait_for_register code (Chris, Michal Wajdeczko)
- fix rcu issues in the shrinker and simplify locking (Joonas)
- guc/huc for glk (Anusha)
- enable atomic modesetting for vlv/chv (Ville), plus final fixes for
  that

I chatted with Sean, and the plan is that he'll send you the drm-misc-next
pull for opening 4.13 drm-next later today. We need to backmerge the
entire thing into drm-intel afterwards, Maarten needs the connector state
hooks for landing the remaining connector atomicification patches for
i915.

Cheers, Daniel


The following changes since commit 8b03d1ed2c43a2ba5ef3381322ee4515b97381bf:

  Merge branch 'linux-4.12' of git://github.com/skeggsb/linux into drm-next (2017-05-02 04:46:01 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-05-15

for you to fetch changes up to 2388cd9c5056b10c8e461ca2ab075f49d6f1b25d:

  drm/i915: Update DRIVER_DATE to 20170515 (2017-05-15 09:11:48 +0200)

----------------------------------------------------------------
Another pile of stuff for 4.12:

- OA improvements and fixes from Robert Bragg
- fixes for the dp aux backlight driver (Puthikorn Voravootivat)
- no RCU during shrinking (unfortunately), from Joonas
- small atomic leftovers (better unpin, statified hw verifier), from
  Maarten
- g4x wm fixes (Ville)
- piles of cursor fixes/improvements (Ville)
- g4x overlay plane support (Ville)
- prep for new guc logging/notification (Michal Wajdeczko)
- pile of static checker appeasement from Imre
- implement dma_buf->kmap, good for testing (Chris)
- fine-tune ring handling (Chris)

----------------------------------------------------------------
Ander Conselvan de Oliveira (2):
      drm/i915/glk: Don't allow 12 bpc when htotal is too big
      drm/i915/glk: Fix DSI "*ERROR* ULPS is still active" messages

Andrea Arcangeli (1):
      i915: initialize the free_list of the fencing atomic_helper

Anusha Srivatsa (2):
      drm/i915/GuC/GLK: Load GuC on GLK
      drm/i915/GLK/HuC: Load HuC on GLK

Chris Wilson (51):
      drm/i915: intel_ring.engine is unused
      drm/i915: Onion unwind for intel_init_ring_common()
      drm/i915: Park the signaler before sleeping
      drm/i915: Apply a cond_resched() to the saturated signaler
      drm/i915: Use the right mapping_gfp_mask for final shmem allocation
      drm/i915: Assert the engine is idle before overwiting the HWS
      drm/i915: Advance ring->head fully when idle
      drm/i915: The shrinker already acquires struct_mutex, so call it unlocked
      drm/i915: Drain any freed objects prior to hibernation
      drm/i915: Break up long runs of freeing objects
      drm/i915: Insert cond_resched() into i915_gem_free_objects
      drm/i915: Use drm_i915_private directly from debugfs
      drm/i915: Stop second guessing the caller for intel_uncore_wait_for_register()
      drm/i915: Stop sleeping from inside gen6_bsd_submit_request()
      drm/i915: Acquire uncore.lock over intel_uncore_wait_for_register()
      drm/i915: Use __intel_uncore_wait_for_register_fw for sandybride_pcode_read
      drm/i915: Use safer intel_uncore_wait_for_register in ring-init
      drm/i915: Rename intel_engine_cs.exec_id to uabi_id
      drm/i915: Bail if we do not setup the RCS engine
      drm/i915: Lie and treat all engines as idle if wedged
      drm/i915/execlists: Document runtime pm for intel_lrc_irq_handler()
      drm/i915: Combine write_domain flushes to a single function
      drm/i915: Treat WC a separate cache domain
      drm/i915: Add stub mmio read/write routines to mock device
      drm/i915: Pretend the engine is always idle when mocking
      drm/i915: Wake device for emitting request during selftest
      drm/i915: Fix use after free in lpe_audio_platdev_destroy()
      drm/i915: Copy user requested buffers into the error state
      drm/i915/selftests: Allocate inode/file dynamically
      drm/i915: Use discardable buffers for rings
      drm/i915: Stop touching hangcheck.seqno from intel_engine_init_global_seqno()
      drm/i915: Avoid busy-spinning on VLV_GLTC_PW_STATUS mmio
      drm/i915: Confirm the request is still active before adding it to the await
      drm/i915: Include interesting seqno in the missed breadcrumb debug
      drm/i915: Report request restarts for both execlists/guc
      drm/i915: Differentiate between sw write location into ring and last hw read
      drm/i915: Poison the request before emitting commands
      drm/i915: Skip waking the signaler when enabling before request submission
      drm/i915: Defer context state allocation for legacy ring submission
      drm/i915: Mark up clflushes as belonging to an unordered timeline
      drm/i915: Unwrap top level fence-array
      drm/i915: Lift timeline ordering to await_dma_fence
      drm/i915: Squash repeated awaits on the same fence
      drm/i915: Rename intel_timeline.sync_seqno[] to .global_sync[]
      drm/i915: Do not record a successful syncpoint for a dma-await
      drm/i915: Switch the global i915.semaphores check to a local predicate
      drm/i915: Implement dma_buf_ops->kmap
      drm/i915: Use engine->context_pin() to report the intel_ring
      drm/i915: Avoid the branch in computing intel_ring_space()
      drm/i915: Report the ring->space from intel_ring_update_space()
      drm/i915: Micro-optimise hotpath through intel_ring_begin()

Chuanxiao Dong (1):
      drm/i915: set initialised only when init_context callback is NULL

Dan Carpenter (2):
      drm/i915: checking for NULL instead of IS_ERR() in mock selftests
      drm/i915: set "ret" correctly on error paths

Daniel Vetter (6):
      Merge airlied/drm-next into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170418
      drm/i915: Update DRIVER_DATE to 20170502
      Merge tag 'tags/drm-for-v4.12' into drm-intel-next-queued
      drm/i915: Fix __intel_wait_for_register_fw to not sleep in atomic
      drm/i915: Update DRIVER_DATE to 20170515

Daniele Ceraolo Spurio (2):
      drm/i915: Classify the engines in class + instance
      drm/i915: Use the engine class to get the context size

Dhinakaran Pandiyan (1):
      drm/i915: Typo fix - 'pipe bpc' to 'pipe bpp'

Geliang Tang (1):
      drm/i915: use memdup_user_nul

Imre Deak (7):
      drm/i915/vlv: Fix port B PLL opamp initialization
      drm/i915/dp: Check error return during DPCD capability queries
      drm/i915/sdvo: Check error return from intel_sdvo_get_value()
      drm/i915: Check error return when setting DMA mask
      drm/i915: Check error return when converting pipe to connector
      drm/i915: Sanitize stolen memory size calculation
      drm/i915/lvds: Remove magic from PLL programming

Jani Nikula (14):
      drm/i915/dp: use known correct array size in rate_to_index
      drm/i915/dp: return errors from rate_to_index()
      drm/i915/dp: rename rate_to_index() to intel_dp_rate_index() and reuse
      drm/i915/dp: cache source rates at init
      drm/i915/dp: generate and cache sink rate array for all DP, not just eDP 1.4
      drm/i915/dp: use the sink rates array for max sink rates
      drm/i915/dp: cache common rates with sink rates
      drm/i915/dp: do not limit rate seek when not needed
      drm/i915/dp: don't call the link parameters sink parameters
      drm/i915/dp: add functions for max common link rate and lane count
      drm/i915/mst: use max link not sink lane count
      drm/i915/dp: localize link rate index variable more
      drm/i915/dp: use readb and writeb calls for single byte DPCD access
      drm/i915/dp: read sink count to a temporary variable first

Joonas Lahtinen (8):
      drm/i915: Don't call synchronize_rcu_expedited under struct_mutex
      drm/i915: Simplify shrinker locking
      drm/i915: Sanitize engine context sizes
      drm/i915: Eliminate HAS_HW_CONTEXTS
      drm/i915: Reset ILK during GEM sanitization
      drm/i915: Capture CCID on ILK
      drm/i915: Do not leak dev_priv->l3_parity.remap_info[]
      drm/i915: Do not sync RCU during shrinking

Kees Cook (1):
      drm/i915: Avoid format string expansion from engine names

Maarten Lankhorst (10):
      drm/i915: Remove unused members from intel_tv.c
      drm/i915: Convert intel_tv connector properties to atomic, v5.
      drm/i915: Remove unused dp properties for dp-mst.
      drm/i915: Convert intel_dp_mst connector properties to atomic.
      drm/i915: Convert intel_crt connector properties to atomic.
      drm/i915: Convert intel DVO connector to atomic
      Revert "drm/i915: Lock mode_config.mutex in intel_display_resume."
      drm/i915: Do not use lock all in hsw_trans_edp_pipe_A_crc_wa
      drm/i915: Fix hw state verifier access to crtc->state.
      drm/i915: Remove vma unpin in intel_plane_destroy

Madhav Chauhan (1):
      drm/i915/glk: limit pixel clock to 99% of cdclk workaround

Manasi Navare (2):
      drm/i915/dp: Validate cached link rate and lane count before retraining
      drm/i915: Implement Link Rate fallback on Link training failure

Matthew Auld (1):
      drm/i915: don't do allocate_va_range again on PIN_UPDATE

Michal Wajdeczko (11):
      drm/i915/huc: Simplify intel_huc_init_hw()
      drm/i915/guc: Use GUC prefix for CORE_FAMILY definitions
      drm/i915: Fix type of timeout_ms parameter in intel_wait_for_register_fw()
      drm/i915: Extend intel_wait_for_register_fw() with fast timeout
      drm/i915/guc: Use wait_for_register_fw() while waiting for MMIO response
      drm/i915: Drop const qualifiers from params in wait_for_register()
      drm/i915: Don't allow overuse of __intel_wait_for_register_fw()
      drm/i915/guc: Enable send function only after successful init
      drm/i915: Move uncore definitions into a separate header
      drm/i915/guc: Move notification code into virtual function
      drm/i915/guc: Make scratch register base and count flexible

Michel Thierry (2):
      drm/i915: Fix stale comment about I915_RESET_IN_PROGRESS flag
      drm/i915: Rename gen8_(un)request_engine_reset to gen8_reset_engine_start/cancel

Mika Kuoppala (4):
      drm/i915: Fix system hang with EI UP masked on Haswell
      drm/i915: Move the GTFIFODBG to the common mmio dbg framework
      drm/i915: Use wait_for_atomic_us when waiting for gt fifo
      drm/i915: Show dmc debug registers on Kabylake

Oscar Mateo (4):
      drm/i915: Use the same vfunc for BSD2 ring init
      drm/i915: Generate the engine name based on the instance number
      drm/i915: Split the engine info table in two levels, using class + instance
      drm/i915/guc: Dump the GuC stage descriptor pool in debugfs

Puthikorn Voravootivat (4):
      drm/i915: Fix cap check for intel_dp_aux_backlight driver
      drm/i915: Correctly enable backlight brightness adjustment via DPCD
      drm/i915: Set backlight mode before enable backlight
      drm/i915: Restore brightness level in aux backlight driver

Robert Bragg (8):
      drm/i915/perf: fix gen7_append_oa_reports comment
      drm/i915/perf: avoid poll, read, EAGAIN busy loops
      drm/i915/perf: avoid read back of head register
      drm/i915/perf: no head/tail ref in gen7_oa_read
      drm/i915/perf: improve tail race workaround
      drm/i915/perf: improve invalid OA format debug message
      drm/i915/perf: better pipeline aged/aging tail updates
      drm/i915/perf: rate limit spurious oa report notice

Sagar Arun Kamble (1):
      drm/i915: Suspend GuC prior to GPU Reset during GEM suspend

Tvrtko Ursulin (3):
      drm/i915: Remove user-triggerable WARN from i915_gem_object_create
      drm/i915: Fix GCC 4.4 build issue with __intel_wait_for_register_fw
      drm/i915/guc: Fix sleep under spinlock during reset

Ville Syrjälä (47):
      drm/i915: Make legacy cursor updates more unsynced
      drm/i915: Check for id==PLANE_CURSOR instead of type==DRM_PLANE_TYPE_CURSOR
      drm/i915: Use intel_wm_plane_visible() on VLV/CHV as well
      drm/i915: Enable atomic on VLV/CHV
      drm/i915: Perform link quality check unconditionally during long pulse
      drm/i915: Fix runtime PM for LPE audio
      ALSA: x86: Clear the pdata.notify_lpe_audio pointer before teardown
      drm/i915: Stop pretending to mask/unmask LPE audio interrupts
      drm/i915: Remove the unused pending_notify from LPE platform data
      drm/i915: Replace tmds_clock_speed and link_rate with just ls_clock
      drm/i915: Remove hdmi_connected from LPE audio pdata
      drm/i915: Reorganize intel_lpe_audio_notify() arguments
      drm/i915: Clean up the LPE audio platform data
      ALSA: x86: Prepare LPE audio ctls for multiple PCMs
      ALSA: x86: Split snd_intelhad into card and PCM specific structures
      ALSA: x86: Register multiple PCM devices for the LPE audio card
      drm/i915: Fix rawclk readout for g4x
      drm/i915: s/vlv_plane_wm_compute/vlv_raw_plane_wm_compute/ etc.
      drm/i915: Drop the debug message from vlv_get_fifo_size()
      drm/i915: s/vlv_num_wm_levels/intel_wm_num_levels/
      drm/i915: Rename bunch of vlv_ watermark structures to g4x_
      drm/i915: Make vlv/chv watermark debug print less cryptic
      drm/i915: Document CxSR
      drm/i915: Fix cursor 'cpp' in watermark calculatins for old platforms
      drm/i915: Fix the g4x watermark TLB miss workaround
      drm/i915: Refactor the g4x TLB miss w/a to a helper
      drm/i915: Refactor wm calculations
      drm/i915: Apply the g4x TLB miss w/a to SR watermarks as well
      drm/i915: Two stage watermarks for g4x
      drm/i915: Enable HPLL watermarks on g4x
      drm/i915: Add g4x watermark tracepoint
      drm/i915: Add support for sprites on g4x
      drm/i915: Parametrize cursor/primary pipe select bits
      drm/i915: Pass intel_plane and intel_crtc to plane hooks
      drm/i915: Refactor CURBASE calculation
      drm/i915: Clean up cursor junk from intel_crtc
      drm/i915: Refactor CURPOS calculation
      drm/i915: Move cursor position and base handling into the platform specific functions
      drm/i915: Drop useless posting reads from cursor commit
      drm/i915: Split cursor check_plane into i845 and i9xx variants
      drm/i915: Generalize cursor size checks a bit
      drm/i915: Use fb->pitches[0] in cursor code
      drm/i915: Support variable cursor height on ivb+
      drm/i915: Fix gen3 physical cursor alignment requirements
      drm/i915: Handle fb offset and src coordinates for cursors
      drm/i915: Relax 845/865 CURBASE alignemnt requirement to 32 bytes
      drm/i915: Simplify cursor register write sequence

daniele.ceraolospurio@intel.com (1):
      drm/i915/guc: write wopcm related register once during uc init

 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/dvo_ch7017.c                  |    4 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   12 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    8 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  162 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |   27 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  273 ++--
 drivers/gpu/drm/i915/i915_gem.c                    |  232 ++--
 drivers/gpu/drm/i915/i915_gem.h                    |    2 +
 drivers/gpu/drm/i915/i915_gem_clflush.c            |    8 +-
 drivers/gpu/drm/i915/i915_gem_clflush.h            |    1 -
 drivers/gpu/drm/i915/i915_gem_context.c            |  115 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   24 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   12 +
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   42 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |  178 ++-
 drivers/gpu/drm/i915/i915_gem_request.h            |   11 +
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   61 +-
 drivers/gpu/drm/i915/i915_gem_timeline.c           |   95 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |   47 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   46 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |    9 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   35 +-
 drivers/gpu/drm/i915/i915_pci.c                    |    5 -
 drivers/gpu/drm/i915/i915_perf.c                   |  395 ++++--
 drivers/gpu/drm/i915/i915_reg.h                    |   40 +-
 drivers/gpu/drm/i915/i915_syncmap.c                |  412 ++++++
 drivers/gpu/drm/i915/i915_syncmap.h                |   38 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |   26 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   49 +
 drivers/gpu/drm/i915/i915_utils.h                  |    6 +
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   24 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   19 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   13 +-
 drivers/gpu/drm/i915/intel_cdclk.c                 |   22 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   10 +-
 drivers/gpu/drm/i915/intel_device_info.c           |    2 +-
 drivers/gpu/drm/i915/intel_display.c               |  732 ++++++-----
 drivers/gpu/drm/i915/intel_dp.c                    |  360 +++--
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c      |   37 +-
 drivers/gpu/drm/i915/intel_dp_link_training.c      |   25 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   16 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   86 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |    7 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    2 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  215 ++-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |    4 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |   19 +-
 drivers/gpu/drm/i915/intel_guc_log.c               |    6 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |    2 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |    7 +-
 drivers/gpu/drm/i915/intel_huc.c                   |   64 +-
 drivers/gpu/drm/i915/intel_lpe_audio.c             |   99 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  110 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |    2 -
 drivers/gpu/drm/i915/intel_panel.c                 |   17 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |   30 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 1390 ++++++++++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  329 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   85 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |    9 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  124 +-
 drivers/gpu/drm/i915/intel_tv.c                    |  208 +--
 drivers/gpu/drm/i915/intel_uc.c                    |  101 +-
 drivers/gpu/drm/i915/intel_uc.h                    |   19 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  247 ++--
 drivers/gpu/drm/i915/intel_uncore.h                |  169 +++
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |   10 +-
 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c   |  100 ++
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |    4 +-
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |    2 +-
 drivers/gpu/drm/i915/selftests/i915_gem_timeline.c |  301 +++++
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |    2 +
 drivers/gpu/drm/i915/selftests/i915_random.c       |   11 +
 drivers/gpu/drm/i915/selftests/i915_random.h       |    2 +
 drivers/gpu/drm/i915/selftests/i915_syncmap.c      |  616 +++++++++
 drivers/gpu/drm/i915/selftests/mock_engine.c       |   11 +-
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |    2 +
 drivers/gpu/drm/i915/selftests/mock_timeline.c     |   45 +
 drivers/gpu/drm/i915/selftests/mock_timeline.h     |   33 +
 drivers/gpu/drm/i915/selftests/mock_uncore.c       |   46 +
 drivers/gpu/drm/i915/selftests/mock_uncore.h       |   30 +
 include/drm/intel_lpe_audio.h                      |   22 +-
 include/uapi/drm/i915_drm.h                        |   17 +-
 sound/x86/intel_hdmi_audio.c                       |  328 +++--
 sound/x86/intel_hdmi_audio.h                       |   20 +-
 86 files changed, 5924 insertions(+), 2665 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_syncmap.c
 create mode 100644 drivers/gpu/drm/i915/i915_syncmap.h
 create mode 100644 drivers/gpu/drm/i915/intel_uncore.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_timeline.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_syncmap.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_timeline.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_timeline.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_uncore.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_uncore.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-04-07 16:58 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-04-07 16:58 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2017-04-03:
Last 4.12 feature pile:

GVT updates:
- Add mdev attribute group for per-vgpu info
- Time slice based vGPU scheduling QoS support (Gao Ping)
- Initial KBL support for E3 server (Han Xu)
- other misc.

i915:
- lots and lots of small fixes and improvements all over
- refactor fw_domain code (Chris Wilson)
- improve guc code (Oscar Mateo)
- refactor cursor/sprite code, precompute more for less overhead in
  the critical path (Ville)
- refactor guc/huc fw loading code a bit (Michal Wajdeczko)

Note: There's was a small mixup in the script, which is fixed again, but
caused some fun with the tagging of this one here. drm-tip says there's
some conflicts with -rc because git is confused, we should have resolved
them all already.

Cheers, Daniel


The following changes since commit 8bcad07a45637fb88e799466e4eee83859e8ffd3:

  drm/i915/gvt: fix error return check for copy_gma_to_hva() (2017-03-29 13:38:01 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-testing-2017-04-03

for you to fetch changes up to ba515d3407dccfe3b4597f4afdaaf2ef1beb48e1:

  drm/i915: Update DRIVER_DATE to 20170403 (2017-04-03 07:52:18 +0200)

----------------------------------------------------------------
Last 4.12 feature pile:

GVT updates:
- Add mdev attribute group for per-vgpu info
- Time slice based vGPU scheduling QoS support (Gao Ping)
- Initial KBL support for E3 server (Han Xu)
- other misc.

i915:
- lots and lots of small fixes and improvements all over
- refactor fw_domain code (Chris Wilson)
- improve guc code (Oscar Mateo)
- refactor cursor/sprite code, precompute more for less overhead in
  the critical path (Ville)
- refactor guc/huc fw loading code a bit (Michal Wajdeczko)

----------------------------------------------------------------
Arnd Bergmann (1):
      drm/i915: split out check for noncontiguous pfn range

Ben Widawsky (1):
      drm/i915: Use LINEAR modifier instead of NONE

Chris Wilson (46):
      drm/i915: Reset tasklet back to execlists after disabling guc
      drm/i915: Skip force-wake for uncached mmio flush of GGTT writes
      drm/i915: Protect intel_engine_wakeup() for call from irq context
      drm/i915: intel_engine_init_global_seqno() requires atomic kmap
      drm/i915/execlists: Split the atomic test_and_clear_bit for irq handler
      drm/i915: Remove intel_ring.last_retired_head
      drm/i915: Prefer to report ENOMEM rather than incur the oom for gfx allocations
      drm/i915: Actually pass the reclaim gfp_t along to shmemfs!
      drm/i915: Remove superfluous hw_flags from mi_set_context()
      drm/i915: Restore marking context objects as dirty on pinning
      drm/i915: Eliminate per-fw_domain i915 backpointer
      drm/i915: Use correct fw_domains during initialisation
      drm/i915: Use correct fw_domains during reset
      drm/i915: Skip unused fw_domains
      drm/i915: Remove posting-read for forcewake put
      drm/i915: All fw_domains share the same set/clear/reset values
      drm/i915: Drop uncore spinlock for reading debugfs forcewake counters
      drm/i915: Wait for all fences before installing an exclusive clflush fence
      drm/i915/execlists: Relax the locked clear_bit(IRQ_EXECLIST)
      drm/i915/guc: Refactor the retrieval of guc_process_desc
      drm/i915: Disable MI_SET_CONTEXT psmi w/a for bdw
      drm/i915: Fix semaphore emission for BDW+ RCS ringbuffer emission
      drm/i915/execlists: Trim irq handler
      drm/i915: Check we have an wake device before flushing GTT writes
      drm/i915: Limit number of reads to stabilize rc6 counter reads
      drm/i915: Align "unfenced" tiled access on gen2, early gen3
      drm/i915: Fixup intel_write_status_page() for old CPUs without clflush
      drm/i915: Remove unused intel_flush_status_page()
      drm/i915: Use BIT() for computing the engine's flag
      drm/i915/execlists: Wrap tail pointer after reset tweaking
      drm/i915: Assert that the request->tail fits within the ring
      drm/i915: Refactor tests for validity of RING_TAIL
      drm/i915: Mark manually wedged engines as guilty
      drm/i915: Take rpm wakelock around debugfs/i915_gpu_info
      drm/i915: Avoid lock dropping between rescheduling
      Revert "drm/i915: Skip execlists_dequeue() early if the list is empty"
      drm/i915: Ironlake do_idle_maps w/a may be called w/o struct_mutex
      drm/i915: Use a dummy timeline name for a signaled fence
      drm/i915: Drop verbose and archaic "ring" from our internal engine names
      drm/i915: Do request retirement before marking engines as wedged
      drm/i915: Suppress busy status for engines if wedged
      drm/i915: Move retire-requests into i915_gem_wait_for_idle()
      drm/i915: Wait for all engines to be idle as part of i915_gem_wait_for_idle()
      drm/i915: Remove redudant wait for each engine to idle from seqno wrap
      drm/i915: Combine reset_all_global_seqno() loops into one
      drm/i915: Clear gt.active_requests before checking idle status

Daniel Vetter (5):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      Merge tag 'gvt-next-2017-03-30' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170403

Daniele Ceraolo Spurio (1):
      drm/i915/guc: limit forcewake to blitter domain in guc_send

Imre Deak (1):
      drm/i915: WARN if the core runtime PM get helpers fail

Jani Nikula (5):
      drm/i915: update the firmware download URL
      drm/i915/dp: reduce link M/N parameters
      drm/i915/opregion: bail out early for systems with no opregion VBT
      drm/i915/opregion: try to validate RVDA VBT only if it's there
      drm/i915/opregion: debug log about invalid ACPI OpRegion VBT

Joonas Lahtinen (1):
      drm/i915/guc: Sanitize GuC client initialization

Matthew Auld (2):
      drm/i915/perf: destroy stream on sample_flags mismatch
      drm/i915/perf: remove user triggerable warn

Michal Wajdeczko (8):
      drm/i915/uc: Make intel_uc_prepare_fw() static
      drm/i915: Move WARN_ON/MISSING_CASE macros to i915_utils.h
      drm/i915/uc: Move intel_uc_fw_status_repr() to intel_uc.h
      drm/i915/uc: Add intel_uc_fw_type_repr()
      drm/i915/uc: Add intel_uc_fw_fini()
      drm/i915/huc: Remove unused intel_huc_fini()
      drm/i915/uc: Move fw path check to fetch_uc_fw()
      drm/i915/uc: Drop use of MISSING_CASE on trivial enums

Oscar Mateo (12):
      drm/i915/guc: Keep the ctx_pool_vaddr mapped, for easy access
      drm/i915/guc: Add onion teardown to the GuC setup
      drm/i915/guc: The Additional Data Struct (ADS) should get enabled together with GuC submission
      drm/i915/guc: Break out the GuC log extras into their own "runtime" struct
      drm/i915/guc: Make intel_guc_send a function pointer
      drm/i915/guc: Improve the GuC documentation & comments about proxy submissions
      drm/i915/guc: Wait for doorbell to be inactive before deallocating
      drm/i915/guc: A little bit more of doorbell sanitization
      drm/i915/guc: Refactor the concept "GuC context descriptor" into "GuC stage descriptor"
      drm/i915/guc: Split out the mmio_white_list struct
      drm/i915/guc: Move guc_interrupts_release next to guc_interrupts_capture
      drm/i915/guc: Take enable_guc_loading check out of GEM core code

Pandiyan, Dhinakaran (2):
      drm/i915/glk: Apply cdclk workaround for DP audio
      drm/i915: Implement cdclk restrictions based on Azalia BCLK

Paulo Zanoni (3):
      drm/i915: simplify intel_ddi_pll_select()
      drm/i915: kill intel_ddi_pll_select()
      drm/i915: make a few DDI functions static

Pei Zhang (1):
      drm/i915/gvt: make dpcd_fix_data supports DP1.2

Ping Gao (7):
      drm/i915/gvt: use hrtimer replace delayed_work in scheduler
      drm/i915/gvt: add some statistic routine for scheduler
      drm/i915/gvt: factor out the scheduler
      drm/i915/gvt: define weight according to vGPU type
      drm/i915/gvt: add basic function for weight control
      drm/i915/gvt: create an idle vGPU
      drm/i915/gvt: control the scheduler by timeslice usage

Shashank Sharma (2):
      drm/i915: enable scrambling
      drm/i915: allow HDMI 2.0 clock rates

Tamara Diaconita (1):
      drivers: gpu: drm: i915L intel_lpe_audio: Fix kerneldoc comments

Tina Zhang (2):
      drm/i915/gvt: remove workload from intel_shadow_wa_ctx structure
      drm/i915/gvt: remove the redundant info NULL check

Tvrtko Ursulin (2):
      drm/i915/guc: Correct the request_in tracepoint position
      drm/i915: Spinlocks in tasklets can use spin_(un)lock_irq

Ville Syrjälä (14):
      drm/i915: Extract intel_wm_plane_visible()
      drm/i915: Fix SKL cursor watermarks
      drm/i915: Extract skl_plane_ctl()
      drm/i915: Use skl_plane_ctl() for the SKL "sprite" planes
      drm/i915: Extract vlv_sprite_ctl()
      drm/i915: Extract ivb_sprite_ctl()
      drm/i915: Extract ilk_sprite_ctl()
      drm/i915: Extract i845_cursor_ctl() and i9xx_cursor_ctl()
      drm/i915: Extract i9xx_plane_ctl() and ironlake_plane_ctl()
      drm/i915: Nuke ironlake_plane_ctl()
      drm/i915: Pre-compute plane control register value
      drm/i915: Introduce i9xx_check_plane_surface()
      drm/i915: Eliminate ironlake_update_primary_plane()
      drm/i915: Use i9xx_check_plane_surface() for sprite planes as well

Weinan Li (1):
      drm/i915/gvt: emulate SKL_FUSE_STATUS and LCPLL_CTL for virtual monitor detection

Xu Han (5):
      drm/i915/gvt: Add KBL platform definition.
      drm/i915/gvt: Update MMIO handle policy to compatible KBL platform.
      drm/i915/gvt: Update save/restore list to compatible KBL platform.
      drm/i915/gvt: Add KBL dispatch logic in each function.
      drm/i915/gvt: Turn on KBL platform support.

Zhenyu Wang (2):
      drm/i915/gvt: Add mdev device attribute group
      drm/i915/gvt: adjust mem size for low resolution type

 drivers/gpu/drm/i915/gvt/cmd_parser.c             |  42 +-
 drivers/gpu/drm/i915/gvt/display.c                |  22 +-
 drivers/gpu/drm/i915/gvt/execlist.c               |   9 +-
 drivers/gpu/drm/i915/gvt/gtt.c                    |   3 +-
 drivers/gpu/drm/i915/gvt/gvt.c                    |  19 +-
 drivers/gpu/drm/i915/gvt/gvt.h                    |  13 +
 drivers/gpu/drm/i915/gvt/handlers.c               | 427 +++++------
 drivers/gpu/drm/i915/gvt/interrupt.c              |   5 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                  |  45 +-
 drivers/gpu/drm/i915/gvt/mmio.h                   |  19 +-
 drivers/gpu/drm/i915/gvt/render.c                 |  23 +-
 drivers/gpu/drm/i915/gvt/sched_policy.c           | 229 ++++--
 drivers/gpu/drm/i915/gvt/sched_policy.h           |   2 +
 drivers/gpu/drm/i915/gvt/scheduler.c              |   3 +-
 drivers/gpu/drm/i915/gvt/scheduler.h              |   1 -
 drivers/gpu/drm/i915/gvt/vgpu.c                   |  85 ++-
 drivers/gpu/drm/i915/i915_debugfs.c               |  50 +-
 drivers/gpu/drm/i915/i915_drv.c                   |  10 +-
 drivers/gpu/drm/i915/i915_drv.h                   |  98 ++-
 drivers/gpu/drm/i915/i915_gem.c                   |  71 +-
 drivers/gpu/drm/i915/i915_gem_clflush.c           |   2 +-
 drivers/gpu/drm/i915/i915_gem_context.c           |  18 +-
 drivers/gpu/drm/i915/i915_gem_evict.c             |   2 -
 drivers/gpu/drm/i915/i915_gem_execbuffer.c        |   4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c               |   2 +-
 drivers/gpu/drm/i915/i915_gem_request.c           |  33 +-
 drivers/gpu/drm/i915/i915_guc_submission.c        | 828 ++++++++++++----------
 drivers/gpu/drm/i915/i915_irq.c                   |   4 +-
 drivers/gpu/drm/i915/i915_pci.c                   |   5 +
 drivers/gpu/drm/i915/i915_perf.c                  |  11 +-
 drivers/gpu/drm/i915/i915_reg.h                   |   7 +
 drivers/gpu/drm/i915/i915_utils.h                 |  18 +
 drivers/gpu/drm/i915/intel_breadcrumbs.c          |   5 +-
 drivers/gpu/drm/i915/intel_cdclk.c                |  29 +-
 drivers/gpu/drm/i915/intel_csr.c                  |   2 +-
 drivers/gpu/drm/i915/intel_ddi.c                  | 100 +--
 drivers/gpu/drm/i915/intel_display.c              | 429 +++++------
 drivers/gpu/drm/i915/intel_drv.h                  |  27 +-
 drivers/gpu/drm/i915/intel_engine_cs.c            |  33 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h             |  71 +-
 drivers/gpu/drm/i915/intel_guc_loader.c           |  49 +-
 drivers/gpu/drm/i915/intel_guc_log.c              | 386 +++++-----
 drivers/gpu/drm/i915/intel_gvt.c                  |   2 +
 drivers/gpu/drm/i915/intel_hdmi.c                 |  65 ++
 drivers/gpu/drm/i915/intel_huc.c                  |  18 -
 drivers/gpu/drm/i915/intel_lpe_audio.c            |   1 +
 drivers/gpu/drm/i915/intel_lrc.c                  | 131 ++--
 drivers/gpu/drm/i915/intel_opregion.c             |  63 +-
 drivers/gpu/drm/i915/intel_pm.c                   |  88 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c           |  31 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h           |  65 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c           |  12 +-
 drivers/gpu/drm/i915/intel_sprite.c               | 286 ++++----
 drivers/gpu/drm/i915/intel_uc.c                   | 342 +++++----
 drivers/gpu/drm/i915/intel_uc.h                   |  84 ++-
 drivers/gpu/drm/i915/intel_uncore.c               | 150 ++--
 drivers/gpu/drm/i915/selftests/i915_gem_request.c |   6 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c  |   1 -
 drivers/gpu/drm/i915/selftests/mock_engine.c      |   1 -
 drivers/gpu/drm/i915/selftests/scatterlist.c      |  11 +-
 60 files changed, 2601 insertions(+), 1997 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-03-20 15:33 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-03-20 15:33 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2017-03-20:
More in i915 for 4.12:

- designware i2c fixes from Hans de Goede, in a topic branch shared
  with other subsystems (maybe, they didn't confirm, but requested the
  pull)
- drop drm_panel usage from the intel dsi vbt panel (Jani)
- vblank evasion improvements and tracing (Maarten and Ville)
- clarify spinlock irq semantics again a bit (Tvrtko)
- new ->pwrite backend hook (right now just for shmem pageche writes),
  from Chris
- more planar/ccs work from Ville
- hotplug safe connector iterators everywhere
- userptr fixes (Chris)
- selftests for cache coloring eviction (Matthew Auld)
- extend debugfs drop_caches interface for shrinker testing (Chris)
- baytrail "the rps kills the machine" fix (Chris)
- use new atomic state iterators, a lot (Maarten)
- refactor guc/huc code some (Arkadiusz Hiler)
- tighten breadcrumbs rbtree a bit (Chris)
- improve wrap-around and time handling in rps residency counters
  (Mika)
- split reset-in-progress in two flags, backoff and handoff (Chris)
- other misc reset improvements from a few people
- bunch of vgpu interaction fixes with recent code changes
- misc stuff all over, as usual

I need backmerges for reasons and a resync with Linus' tree might not hurt
either, so please pull in -rc3 too (won't matter much whether before or
after).

There shouldn't be any conflicts, but the next -fixes pull with gvt stuff
conflicts horribly with -next. Just a heads up, you can deal with that
next week or maybe even later :-)

Cheers, Daniel


The following changes since commit 6796b129b0e98162a84e0b6322ac28587556d427:

  Merge branch 'linux-4.12' of git://github.com/skeggsb/linux into drm-next (2017-03-08 12:54:58 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-03-20

for you to fetch changes up to c5bd2e14e85d180bc7fb3b8b62ac9348bddaf898:

  drm/i915: Update DRIVER_DATE to 20170320 (2017-03-20 08:21:05 +0100)

----------------------------------------------------------------
More in i915 for 4.12:

- designware i2c fixes from Hans de Goede, in a topic branch shared
  with other subsystems (maybe, they didn't confirm, but requested the
  pull)
- drop drm_panel usage from the intel dsi vbt panel (Jani)
- vblank evasion improvements and tracing (Maarten and Ville)
- clarify spinlock irq semantics again a bit (Tvrtko)
- new ->pwrite backend hook (right now just for shmem pageche writes),
  from Chris
- more planar/ccs work from Ville
- hotplug safe connector iterators everywhere
- userptr fixes (Chris)
- selftests for cache coloring eviction (Matthew Auld)
- extend debugfs drop_caches interface for shrinker testing (Chris)
- baytrail "the rps kills the machine" fix (Chris)
- use new atomic state iterators, a lot (Maarten)
- refactor guc/huc code some (Arkadiusz Hiler)
- tighten breadcrumbs rbtree a bit (Chris)
- improve wrap-around and time handling in rps residency counters
  (Mika)
- split reset-in-progress in two flags, backoff and handoff (Chris)
- other misc reset improvements from a few people
- bunch of vgpu interaction fixes with recent code changes
- misc stuff all over, as usual

----------------------------------------------------------------
Ander Conselvan de Oliveira (3):
      drm/i915/glk: Remove MODULE_FIRMWARE() tag from Geminilake's DMC
      drm/i915/glk: Improve rounding caused by pre-CSC gamma tables
      drm/i915/glk: Enable pooled EUs for Geminilake

Andrew Morton (1):
      drivers/gpu/drm/i915/selftests/i915_selftest.c: fix build with gcc-4.4.4

Anusha Srivatsa (1):
      drm/i915/: DMC 1.04 for Geminilake

Arkadiusz Hiler (11):
      drm/i915/uc: Drop superfluous externs in intel_uc.h
      drm/i915/huc: Add huc_to_i915
      drm/i915/uc: Rename intel_?uc_{setup, load}() to _init_hw()
      drm/i915/uc: Move intel_uc_fw_fetch() to intel_uc.c
      drm/i915/uc: Introduce intel_uc_init_fw()
      drm/i915/guc: Extract param logic form guc_init_fw()
      drm/i915/guc: Simplify intel_guc_init_hw()
      drm/i915/uc: Simplify firmware path handling
      drm/i915/uc: Separate firmware selection and preparation
      drm/i915/uc: Add params for specifying firmware
      drm/i915/uc: Rename intel_uc_fw.fw to .type

Bing Niu (1):
      drm/i915: suppress atomic commit error message under gvt-g env

Changbin Du (1):
      drm/i915: make context status notifier head be per engine

Chris Wilson (50):
      drm/i915: Wake up all waiters before idling
      drm/i915: Take rpm wakelock for releasing the fence on unbind
      drm/i915: Avoid clearing the base drm_crtc_state
      drm/i915: Flush idle work when changing missed-irq fault injection
      drm/i915: Store a permanent error in obj->mm.pages
      drm/i915: Use pagecache write to prepopulate shmemfs from pwrite-ioctl
      drm/i915: Avoiding recursing on ww_mutex inside shrinker
      drm/i915: Purge i915_gem_object_is_dead()
      drm/i915: Check for an invalid seqno before __i915_gem_request_started
      drm/i915/userptr: Deactivate a failed userptr if the worker reports an EFAULT
      drm/i915/userptr: Only flush the workqueue if required
      drm/i915/userptr: Disallow wrapping GTT into a userptr
      drm/i915: Ignore skl+ for debugfs/i915_sr_status
      drm/i915/cmdparser: Limit clflush to active cachelines
      drm/i915: Extend debugfs/i915_drop_caches to call i915_gem_shrink_all()
      drm/i915: Stop using RP_DOWN_EI on Baytrail
      drm/i915: Use max(render, media) for Baytrail busyness calculation
      drm/i915: Defer unmasking RPS interrupts until after making adjustments
      drm/i915: Rename REDIRECT_TO_GUC bit
      drm/i915: Move whole object to CPU domain for coherent shmem access
      drm/i915/selftests: Fix error path for ggtt walk_hole()
      drm/i915/selftests: Catch error from mock_file()
      drm/i915: Inline gen6_sanitize_rps_pm_mask()
      drm/i915: Extend rpm wakelock for debugfs/i915_drpc_info
      drm/i915: Avoid rcu_barrier() from reclaim paths (shrinker)
      drm/i915: Extend rpm wakelock during i915_handle_error()
      drm/i915/breadcrumbs: Use booleans for intel_breadcrumbs_busy()
      drm/i915/breadcrumbs: Update bottom-half before marking as complete
      drm/i915/breadcrumbs: Disable interrupt bottom-half first on idling
      drm/i915/breadcrumbs: Assert that we do not shortcut the current bottom-half
      drm/i915: Only attempt to signal the request once from the interrupt handler
      drm/i915/breadcrumbs: Tweak commentary
      drm/i915/userptr: Reinvent GGTT self-faulting protection
      drm/i915: Replace irq_seqno_barrier on hws write with a clflush
      drm/i915/scheduler: emulate a scheduler for guc
      drm/i915: Split I915_RESET_IN_PROGRESS into two flags
      drm/i915: Move engine->submit_request selection to a vfunc
      drm/i915: Restore engine->submit_request before unwedging
      drm/i915: Wait for reset to complete before returning from debugfs/i915_wedged
      drm/i915: Assert that the context pin_counts do not overflow
      drm/i915: Avoid use-after-free of ctx in request tracepoints
      drm/i915: Remove superfluous i915_add_request_no_flush() helper
      drm/i915: Squelch WARN for VLV_COUNTER_CONTROL
      drm/i915: Stop using obj->obj_exec_link outside of execbuf
      drm/i915: Skip execlists_dequeue() early if the list is empty
      drm/i915: Add i810/i815 pci-ids for completeness
      drm/i915: Retire an active batch pool object rather than allocate new
      drm/i915: i915_gem_object_create_from_data() doesn't require struct_mutex
      drm/i915: Correct error handling for i915_gem_object_create_from_data()
      drm/i915: Initialise i915_gem_object_create_from_data() directly

Daniel Vetter (11):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Use drm_connector_list_iter in debugfs
      drm/i915: use drm_connector_list_iter in intel_hotplug.c
      drm/i915: use drm_connector_list_iter in intel_opregion.c
      drm/i915: Make intel_get_pipe_from_connector atomic
      drm/i915: use for_each_intel_connector_iter in intel_display.c
      drm/i915: Fix up verify_encoder_state
      Merge tag 'topic/designware-baytrail-2017-03-02' of git://anongit.freedesktop.org/git/drm-intel into drm-intel-next-queued
      drm/i915: annote drop_caches debugfs interface with lockdep
      drm/i915: Merge pre/postclose hooks
      drm/i915: Update DRIVER_DATE to 20170320

Hans de Goede (12):
      x86/platform/intel/iosf_mbi: Add a mutex for P-Unit access
      x86/platform/intel/iosf_mbi: Add a PMIC bus access notifier
      i2c: designware: Rename accessor_flags to flags
      i2c: designware-baytrail: Pass dw_i2c_dev into helper functions
      i2c: designware-baytrail: Only check iosf_mbi_available() for shared hosts
      i2c: designware-baytrail: Disallow the CPU to enter C6 or C7 while holding the punit semaphore
      i2c: designware-baytrail: Fix race when resetting the semaphore
      i2c: designware-baytrail: Add support for cherrytrail
      i2c: designware-baytrail: Acquire P-Unit access on bus acquire
      i2c: designware-baytrail: Call pmic_bus_access_notifier_chain
      drm/i915: Add intel_uncore_suspend / resume functions
      drm/i915: Listen for PMIC bus access notifications

Jani Nikula (9):
      drm/i915/dsi: remove support for more than one panel driver
      drm/i915/dsi: call vbt_panel_get_modes directly instead of via drm_panel
      drm/i915/dsi: stop using the drm_panel framework completely
      drm/i915/dsi: rename intel_dsi_exec_vbt_sequence to intel_dsi_vbt_exec_sequence
      drm/i915/dsi: rename intel_dsi_pre_disable to intel_dsi_disable
      drm/i915/dsi: rename intel_dsi_panel_vbt.c to intel_dsi_vbt.c
      drm/i915/dsi: arrange intel_dsi.h according to relevant files
      drm/i915/vbt: don't propagate errors from intel_bios_init()
      drm/i915/vbt: split out defaults that are set when there is no VBT

Maarten Lankhorst (8):
      drm/i915: Move updating color management to before vblank evasion
      drm/i915: Complain if we take too long under vblank evasion.
      drm/i915: Nuke skl_update_plane debug message from the pipe update critical section
      drm/i915: Use new atomic iterator macros in ddi
      drm/i915: Use new atomic iterator macros in fbc
      drm/i915: Use new atomic iterator macros in wm code
      drm/i915: Use new atomic iterator macros in display code
      drm/i915: Use new atomic iterator macros in cdclk

Matthew Auld (3):
      drm/i915/selftests: don't leak the gem object
      drm/i915: use correct node for handling cache domain eviction
      drm/i915/selftests: exercise cache domain eviction

Michal Wajdeczko (2):
      drm/i915/guc: Use formalized struct definition for ads object
      drm/i915: Make intel_uc_sanitize_options() more robust

Mika Kuoppala (6):
      drm/i915: Move residency calculation into intel_pm.c
      drm/i915: Return residency as microseconds
      drm/i915: Extend vlv/chv residency resolution
      drm/i915: Convert debugfs to use generic residency calculator
      drm/i915: Use ktime to calculate rc0 residency
      drm/i915: Use coarse grained residency counter with byt

Paulo Zanoni (2):
      drm/i915: remove potentially confusing IS_G4X checks
      drm/i915: move the {skl, bxt}_{i, uni}nit_cdclk declarations

Sagar Arun Kamble (4):
      drm/i915: Initialize pm_intr_keep during intel_irq_init for GuC
      drm/i915/guc: Release GuC interrupts in i915_guc_submission_disable
      drm/i915: s/pm_intr_keep/pm_intrmsk_mbz
      drm/i915/guc: Update rps.pm_intrmsk_mbz in guc_interrupts_capture/release

Shashank Sharma (1):
      drm/i915: Remove intel_ prefix from encoder variables in intel_ddi.c

Tvrtko Ursulin (6):
      drm/i915: No need to save/restore irq status in __i915_request_irq_complete
      drm/i915: No need to save/restore irq status in intel_breadcrumbs_fake_irq
      drm/i915: No need to save/restore irq status in intel_engine_wakeup
      drm/i915/guc: Fix request re-submission after reset
      drm/i915: Fix forcewake active domain tracking
      drm/i915/vgpu: Neuter forcewakes for VGPU more thoroughly

Ville Syrjälä (13):
      drm/i915: Nuke debug messages from the pipe update critical section
      drm/i915: Plumb drm_framebuffer into more places
      drm/i915: Move nv12 chroma plane handling into intel_surf_alignment()
      drm/i915: Avoid div-by-zero when computing aux_stride w/o an aux plane
      drm/i915: Pass the correct plane index to _intel_compute_tile_offset()
      drm/i915: Use DRM_DEBUG_KMS() for framebuffer failure debug messages
      drm/i915: Reject HDMI 12bpc if the sink doesn't indicate support
      drm/i915: Use I915_READ_FW in i915_get_vblank_counter()
      drm/i915: s/__raw_i915_read32/I915_READ_FW/ in the SKL+ scanline read w/a
      drm/i915: Organize plane register writes into tighter bunches
      drm/i915: Use I915_READ_FW for plane updates
      drm/i915: Optimize VLV/CHV display FIFO updates
      Revert "drm/i915: Ignore panel type from OpRegion on SKL"

Zhenyu Wang (1):
      drm/i915: Fix vGPU balloon for ggtt guard page

 arch/x86/include/asm/iosf_mbi.h                    |  87 +++
 arch/x86/platform/intel/iosf_mbi.c                 |  49 ++
 drivers/gpu/drm/i915/Kconfig                       |   1 +
 drivers/gpu/drm/i915/Makefile                      |   2 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |   2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |  47 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  25 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 278 +++++----
 drivers/gpu/drm/i915/i915_drv.c                    |  42 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  87 ++-
 drivers/gpu/drm/i915/i915_gem.c                    | 260 +++++++--
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |  37 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   3 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |   3 -
 drivers/gpu/drm/i915/i915_gem_evict.c              |   8 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |  11 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   9 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |   2 +-
 drivers/gpu/drm/i915/i915_gem_request.h            |   5 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |  75 +--
 drivers/gpu/drm/i915/i915_guc_submission.c         | 257 +++++++--
 drivers/gpu/drm/i915/i915_irq.c                    | 207 +++----
 drivers/gpu/drm/i915/i915_params.c                 |  10 +
 drivers/gpu/drm/i915/i915_params.h                 |   2 +
 drivers/gpu/drm/i915/i915_reg.h                    |   5 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |  28 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   8 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   2 +
 drivers/gpu/drm/i915/i915_vgpu.c                   |   8 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  46 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           | 101 ++--
 drivers/gpu/drm/i915/intel_cdclk.c                 |   6 +-
 drivers/gpu/drm/i915/intel_color.c                 |   4 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   5 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  70 +--
 drivers/gpu/drm/i915/intel_device_info.c           |   9 +-
 drivers/gpu/drm/i915/intel_display.c               | 635 +++++++++++----------
 drivers/gpu/drm/i915/intel_drv.h                   |  29 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  76 +--
 drivers/gpu/drm/i915/intel_dsi.h                   |  14 +-
 .../{intel_dsi_panel_vbt.c => intel_dsi_vbt.c}     |  46 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |  17 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |   2 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   4 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            | 447 ++-------------
 drivers/gpu/drm/i915/intel_hdmi.c                  |  24 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |  28 +-
 drivers/gpu/drm/i915/intel_huc.c                   | 113 ++--
 drivers/gpu/drm/i915/intel_lrc.c                   |  36 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |   1 -
 drivers/gpu/drm/i915/intel_opregion.c              |  26 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   8 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 133 ++++-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  16 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  11 +
 drivers/gpu/drm/i915/intel_sprite.c                | 288 ++++++----
 drivers/gpu/drm/i915/intel_uc.c                    | 287 ++++++++++
 drivers/gpu/drm/i915/intel_uc.h                    |  25 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 140 +++--
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |   6 +-
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  90 +++
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      |  22 +-
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |   4 +-
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  18 +-
 drivers/i2c/busses/i2c-designware-baytrail.c       |  83 ++-
 drivers/i2c/busses/i2c-designware-core.c           |  14 +-
 drivers/i2c/busses/i2c-designware-core.h           |  13 +-
 drivers/i2c/busses/i2c-designware-pcidrv.c         |  26 +-
 drivers/i2c/busses/i2c-designware-platdrv.c        |   8 +-
 include/drm/i915_pciids.h                          |   8 +
 kernel/locking/lockdep.c                           |   2 +
 72 files changed, 2661 insertions(+), 1842 deletions(-)
 rename drivers/gpu/drm/i915/{intel_dsi_panel_vbt.c => intel_dsi_vbt.c} (95%)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-03-07  0:10 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-03-07  0:10 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Between this one and the drm-misc one I sent out already we have 550+
patches already queued right after -rc1. We're not doing it all wrong :-)

drm-intel-next-2017-03-06:
4 weeks worth of stuff since I was traveling&lazy:

- lspcon improvements (Imre)
- proper atomic state for cdclk handling (Ville)
- gpu reset improvements (Chris)
- lots and lots of polish around fences, requests, waiting and
  everything related all over (both gem and modeset code), from Chris
- atomic by default on gen5+ minus byt/bsw (Maarten did the patch to
  flip the default, really this is a massive joint team effort)
- moar power domains, now 64bit (Ander)
- big pile of in-kernel unit tests for various gem subsystems (Chris),
  including simple mock objects for i915 device and and the ggtt
  manager.
- i915_gpu_info in debugfs, for taking a snapshot of the current gpu
  state. Same thing as i915_error_state, but useful if the kernel didn't
  notice something is stick. From Chris.
- bxt dsi fixes (Umar Shankar)
- bxt w/a updates (Jani)
- no more struct_mutex for gem object unreference (Chris)
- some execlist refactoring (Tvrtko)
- color manager support for glk (Ander)
- improve the power-well sync code to better take over from the
  firmware (Imre)
- gem tracepoint polish (Tvrtko)
- lots of glk fixes all around (Ander)
- ctx switch improvements (Chris)
- glk dsi support&fixes (Deepak M)
- dsi fixes for vlv and clanups, lots of them (Hans de Goede)
- switch to i915.ko types in lots of our internal modeset code (Ander)
- byt/bsw atomic wm update code, yay (Ville)
drm-intel-next-2017-02-06:
First feature pile for 4.12:

- use atomic_commit for legay page flips, once more! (from Maarten)
- piles and piles of fixes and patches to make corner-cases more
  robust in atomic code, execlist, gem, from lots of different folks
- remove pre-production w/a for bxt (Chris)
- taint the kernel on pre-production hw that's no longer supported
  (Chris)
- consistently treat stolen as dma_addr_t (Chris)
- vgpu forcewake cleanup (Weinan Li)
- glk color manager support (Ander)
- explicit fencing support in execbuf, for Android! (Chris)
- ... plus the remaining prep-work for the same (also Chris)
- dp compliance prep (Manasi and Jani)
- cleanup skl/kbl code under IS_GEN9_BC (for "big core"), from Rodrigo

Note that there's a conflict between this and drm-misc-next in
i915_debugfs.c, but it's just entire functions added/removed in the same
place.

Also would be great if you don't delay opening drm-next, I want to
backmerge the entire thing into i915 because we need that there.

Cheers, Daniel


The following changes since commit 64a577196d66b44e37384bc5c4d78c61f59d5b2a:

  lib/Kconfig: make PRIME_NUMBERS not user selectable. (2017-02-24 12:11:21 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-03-06

for you to fetch changes up to 505b681539a7e14aeb866515d3ef1a67375839bc:

  drm/i915: Update DRIVER_DATE to 20170306 (2017-03-06 08:34:44 +0100)

----------------------------------------------------------------
4 weeks worth of stuff since I was traveling&lazy:

- lspcon improvements (Imre)
- proper atomic state for cdclk handling (Ville)
- gpu reset improvements (Chris)
- lots and lots of polish around fences, requests, waiting and
  everything related all over (both gem and modeset code), from Chris
- atomic by default on gen5+ minus byt/bsw (Maarten did the patch to
  flip the default, really this is a massive joint team effort)
- moar power domains, now 64bit (Ander)
- big pile of in-kernel unit tests for various gem subsystems (Chris),
  including simple mock objects for i915 device and and the ggtt
  manager.
- i915_gpu_info in debugfs, for taking a snapshot of the current gpu
  state. Same thing as i915_error_state, but useful if the kernel didn't
  notice something is stick. From Chris.
- bxt dsi fixes (Umar Shankar)
- bxt w/a updates (Jani)
- no more struct_mutex for gem object unreference (Chris)
- some execlist refactoring (Tvrtko)
- color manager support for glk (Ander)
- improve the power-well sync code to better take over from the
  firmware (Imre)
- gem tracepoint polish (Tvrtko)
- lots of glk fixes all around (Ander)
- ctx switch improvements (Chris)
- glk dsi support&fixes (Deepak M)
- dsi fixes for vlv and clanups, lots of them (Hans de Goede)
- switch to i915.ko types in lots of our internal modeset code (Ander)
- byt/bsw atomic wm update code, yay (Ville)

----------------------------------------------------------------
Ander Conselvan de Oliveira (34):
      drm/i915: Don't leak edid in intel_crt_detect_ddc()
      drm/i915: Don't init hpd polling for vlv and chv from runtime_suspend()
      drm/i915: Fix calculation of rotated x and y offsets for planar formats
      drm/i915: Check for NULL atomic state in intel_crtc_disable_noatomic()
      drm/i915/glk: Turn on workarounds that apply to Geminilake too
      drm/i915: Disable plane gamma in SKL+ sprite planes
      drm/i915/glk: Plane color correction register changes
      drm/i915: Split broadwell_load_luts() into smaller functions
      drm/i915/glk: Program pipe gamma and degamma tables
      drm/i915: Remove WA for swapped HPD pins in broxton A stepping
      drm/i915/dp: Move initialization of hpd_pin to a new function
      drm/i915: Make power domain masks 64 bit long
      drm/i915: Remove unused function intel_ddi_get_link_dpll()
      drm/i915: Convert remaining users of 32bit power domain masks
      drm/i915/glk: Load the degamma LUT even in legacy gamma mode
      drm/i915/glk: Enable pipe CSC
      drm/i915/glk: Fix watermark computations for third sprite plane
      drm/i915/glk: Fix maximum scaling factor for Geminilake scalers
      drm/i915/glk: Pass dev_priv to intel_atomic_setup_scalers()
      drm/i915/glk: Fix Geminilake scalers mode programming
      drm/i915: Store aux power domain in intel_dp
      drm/i915: Store encoder power domain in struct intel_encoder
      drm/i915: Check encoder type in enc_to_dig_port()
      drm/i915/glk: Implement WaDDIIOTimeout
      drm/i915/glk: Don't enable DDI IO power domains during init
      drm/i915: Only enable DDI IO power domains after enabling DPLL
      drm/i915: Enable DDI IO power domains in the DP MST path
      drm/i915: Pass intel_crtc to fdi_link_train() hooks
      drm/i915: Pass intel_crtc to intel_lpt_pch_enable()
      drm/i915: Pass pipe_config to pch_enable() functions
      drm/i915: Pass pipe_config to fdi_link_train() functions
      drm/i915: Pass intel_crtc to DDI functions called from crtc en/disable
      drm/i915: Remove direct usages of intel_crtc->config from DDI code
      drm/i915: Remove duplicate DDI enabling logic from MST path

Andrey Ryabinin (1):
      drm/i915: fix use-after-free in page_flip_completed()

Anusha Srivatsa (1):
      i915/HuC: Add an extra check for platforms that do not have HUC

Arthur Heymans (1):
      drm/i915: Get correct display clock on 945gm

Chris Wilson (238):
      agp/intel: Move intel_fake_agp_sizes into #ifdef block
      drm/i915: Fix W=1 warning for csr_load_work_fn()
      drm/i915: Queue hangcheck when irqs are disabled
      drm/i915: Assert that the kernel_context is hw-id 0
      drm/i915: Assert that the context-switch completion matches our context
      drm/i915: Pevent copying uninitialised garbage into vma->ggtt_view
      drm/i915: Remove disable_lite_restore_wa
      drm/i915: Remove BXT incoherent seqno write workaround
      drm/i915: Remove BXT restore arbitration around ctx switch
      drm/i915: Remove BXT disable pixel mask clamping w/a
      drm/i915: Remove BXT TDL state w/a
      drm/i915: Split intel_engine allocation and initialisation
      drm/i915: Reset the gpu on takeover
      drm/i915: Assert that we don't submit to execlists whilst a preempt is pending
      drm/i915: Only disable execlist preemption for the duration of the request
      drm/i915: Move breadcrumbs irq_posted up a level to engine
      drm/i915: Only run execlist context-switch handler after an interrupt
      drm/i915: Skip the execlists CSB scan and rewrite if the ring is untouched
      drm/i915: Only attempt to pass the first request to execlists
      drm/i915: Dequeue execlists on a new request if any port is available
      drm/i915: Emit dma-fence (and execlists submit) first from signaler
      drm/i915: Move atomic state free from out of fence release
      drm/i915: Remove early pre-production RPS workarounds for BXT
      drm/i915: Report the failure to write to the punit
      drm/i915: Also clear the punit's PDATA sideband register
      drm/i915: Enable userspace to opt-out of implicit fencing
      drm/i915: Support explicit fencing for execbuf
      drm/i915: Make intel_detect_preproduction_hw easier to extend
      drm/i915: Mark the kernel as tainted if we fail the preproduction check
      drm/i915: Add early BXT sdv to the list of preproduction machines
      drm/i915: Record more information about the hanging contexts
      drm/i915: Be defensive when cleaning up i915_gem_internal pages
      drm/i915: Treat stolen memory as DMA addresses
      drm/i915: Sanity check the computed size and base of stolen memory
      drm/i915: Flush untouched framebuffers before display on !llc
      drm/i915/execlists: Skip resetting RING_CONTEXT_STATUS_PTR
      drm/i915/execlists: Add interrupt-pending check to intel_execlists_idle()
      drm/i915: Build DRM range manager selftests for CI
      drm/i915: Recreate internal objects with single page segments if dmar fails
      drm/i915: Reject set-tiling-ioctl with stride==0 and a tiling mode
      drm/i915: Tidy the tail of i915_tiling_ok()
      drm/i915: Allow large objects to be tiled on gen2/3
      drm/i915: Drain the freed state from the tail of the next commit
      drm/i915: Print execlists restart after reset
      drm/i915: Manipulate the Global GTT size using I915_GTT_PAGE_SIZE
      drm/i915: Assign I915_COLOR_UNEVICTABLE to the address space head_node
      drm/i915: Use page coloring to provide the guard page at the end of the GTT
      drm/i915: Mark the end of intel_ring_begin() and check in intel_ring_advance()
      drm/i915: Avoid unguarded reads from the request pointer
      drm/i915: Generate i915_params {} using a macro
      drm/i915: Use bool i915_param.alpha_support
      drm/i915: Capture module parameters for the GPU error state
      drm/i915: Show the current i915_params in debugfs/i915_capabilites
      drm/i915: Remove overzealous fence warn on runtime suspend
      drm/i915: Restore context and pd for ringbuffer submission after reset
      drm/i915: i915_gem_shrink_all() needs an awake device
      drm/i915: Move calling engine->init_hw() to its own function
      drm/i915: Split GEM resetting into 3 phases
      drm/i915: Disable engine->irq_tasklet around resets
      drm/i915: Check for timeout completion when waiting for the rq to submitted
      drm/i915: Assert that we never create a vma for the aliasing_ppgtt
      drm/i915: Use the size/type of address space to make decisions
      drm/i915: Always pin contexts into the high GGTT
      drm/i915/byt: Take powerwell for reading PIPESTAT in debugfs
      drm/i915: Split device release from unload
      drm/i915: Unbind any residual objects/vma from the Global GTT on shutdown
      drm/i915: Flush the freed object queue on device release
      drm/i915: Move the irq_barrier for reset earlier into reset_prepare
      drm/i915: Rename conditional GEM execution macros
      drm/i915: Assert that the active request hasn't been signaled
      drm/i915: Always call i915_gem_reset_finish() following i915_gem_reset_prepare()
      drm/i915: Kill the tasklet then disable
      drm/i915: Park the breadcrumbs signaler across a GPU reset
      drm/i915: Clear the last_retired_context following a hang/reset
      drm/i915: Provide a hook for selftests
      drm/i915: Add some selftests for sg_table manipulation
      drm/i915: Add unit tests for the breadcrumb rbtree, insert/remove
      drm/i915: Add unit tests for the breadcrumb rbtree, completion
      drm/i915: Add unit tests for the breadcrumb rbtree, wakeups
      drm/i915: Mock the GEM device for self-testing
      drm/i915: Mock a GGTT for self-testing
      drm/i915: Mock infrastructure for request emission
      drm/i915: Create a fake object for testing huge allocations
      drm/i915: Add selftests for i915_gem_request
      drm/i915: Add a simple request selftest for waiting
      drm/i915: Add a simple fence selftest to i915_gem_request
      drm/i915: Simple selftest to exercise live requests
      drm/i915: Test simultaneously submitting requests to all engines
      drm/i915: Test request ordering between engines
      drm/i915: Live testing of empty requests
      drm/i915: Add selftests for object allocation, phys
      drm/i915: Add a live seftest for GEM objects
      drm/i915: Test partial mappings
      drm/i915: Test exhaustion of the mmap space
      drm/i915: Test coherency of and barriers between cache domains
      drm/i915: Move uncore selfchecks to live selftest infrastructure
      drm/i915: Test all fw tables during mock selftests
      drm/i915: Sanity check all registers for matching fw domains
      drm/i915: Add some mock tests for dmabuf interop
      drm/i915: Add a live dmabuf selftest
      drm/i915: Add initial selftests for i915_gem_gtt
      drm/i915: Exercise filling the top/bottom portions of the ppgtt
      drm/i915: Exercise filling the top/bottom portions of the global GTT
      drm/i915: Fill different pages of the GTT
      drm/i915: Exercise filling and removing random ranges from the live GTT
      drm/i915: Live testing of lowlevel GTT operations
      drm/i915: Use fault-injection to force the shrinker to run in live GTT tests
      drm/i915: Test creation of VMA
      drm/i915: Exercise i915_vma_pin/i915_vma_insert
      drm/i915: Verify page layout for rotated VMA
      drm/i915: Test creation of partial VMA
      drm/i915: Live testing for context execution
      drm/i915: Extract aliasing ppgtt setup
      drm/i915: Force an aliasing_ppgtt test for context execution
      drm/i915: Initial selftests for exercising eviction
      drm/i915: Add mock exercise for i915_gem_gtt_reserve
      drm/i915: Add mock exercise for i915_gem_gtt_insert
      drm/i915: Add mock tests for GTT/VMA handling
      drm/i915: Exercise manipulate of single pages in the GGTT
      drm/i915: Exercise crossing pot boundaries in the GTT
      drm/i915: Add initial selftests for hang detection and resets
      drm/i915/gvt: Disable access to stolen memory as a guest
      drm/i915: Pass timeout==0 on to i915_gem_object_wait_fence()
      drm/i915: Avoid overflow in computing pot_hole loop termination
      drm/i915: Silence compiler for GTT selftests
      drm/i915: Silence compiler warning for seltests/i915_gem_coherency
      drm/i915/guc: Don't take struct_mutex for object unreference
      drm/i915: The return of i915_gpu_info to debugfs
      drm/i915: Enable fine-tuned RPS for cherryview
      drm/i915: Don't accidentally increase the frequency in handling DOWN rps
      drm/i915: Only apply the jump to the "efficient RPS" frequency on startup
      drm/i915: Micro-optimise i915_get_ggtt_vma_pages()
      drm/i915: Micro-optimise gen6_ppgtt_insert_entries()
      drm/i915: Micro-optimise gen8_ppgtt_insert_entries()
      drm/i915: Don't special case teardown of aliasing_ppgtt
      drm/i915: Split ggtt/alasing_gtt unbind_vma
      drm/i915: Convert clflushed pagetables over to WC maps
      drm/i915: Remove kmap/kunmap wrappers
      drm/i915: Move allocate_va_range to GTT
      drm/i915: Always preallocate gen6/7 ppgtt
      drm/i915: Remove redundant clear of appgtt
      drm/i915: Tidy gen6_write_pde()
      drm/i915: Remove bitmap tracking for used-ptes
      drm/i915: Remove bitmap tracking for used-pdes
      drm/i915: Remove bitmap tracking for used-pdpes
      drm/i915: Remove bitmap tracking for used-pml4
      drm/i915: Remove superfluous posting reads after clear GGTT
      drm/i915: Always mark the PDP as dirty when altered
      drm/i915: Remove defunct GTT tracepoints
      drm/i915: Remove unused ppgtt->enable()
      drm/i915: Remove i915_address_space.start
      drm/i915: Only preallocate the aliasing GTT to the extents of the global GTT
      drm/i915: Differentiate the aliasing_ppgtt with an invalid filp
      drm/i915: Use preferred kernel types in i915_gem_gtt.c
      drm/i915: Only enable hotplug interrupts if the display interrupts are enabled
      drm/i915: Squelch any ktime/jiffie rounding errors for wait-ioctl
      drm/i915: Unwind conversion to i915_gem_phys_ops on failure
      drm/i915: Remove struct_mutex for destroying framebuffers
      drm/i915: struct_mutex is not required for allocating the framebuffer
      drm/i915: Drop struct_mutex around frontbuffer flushes
      drm/i915: Postpone fake breadcrumb interrupt until real interrupts cease
      drm/i915: Break i915_spin_request() if we see an interrupt
      drm/i915: Defer declaration of missed-interrupt until the waiter is asleep
      drm/i915: Only start with the fake-irq timer if interrupts are dead
      drm/i915: Remove completed fences after a wait
      drm/i915: Only apply legacy PDE overflow detection to 3lvl machines
      drm/i915: Remove unneeded struct_mutex around rpm
      drm/i915: Prevent divide-by-zero in debugfs/i915_rps_boost_info
      drm/i915: Move the common RPS warnings to intel_set_rps()
      drm/i915: Store the requested frequency whilst RPS is disabled
      drm/i915: Remove unrequired POSTING_READ from gen6_set_rps()
      drm/i915: Assert that the request->tail is always qword aligned
      drm/i915: Use reservation_object_lock()
      drm/i915: Add i915_param charp macro magic
      drm/i915: Remove change_domain tracepoint
      drm/i915: Move cpu_cache_is_coherent() to header
      drm/i915: Amalgamate flushing of display objects
      drm/i915: Skip clflushes for all non-page backed objects
      drm/i915: Perform object clflushing asynchronously
      drm/i915: Remove 'retire' parameter from intel_fb_obj_flush
      drm/i915: Remove Braswell GGTT update w/a
      Revert "drm/i915/dp: Ratelimit DP aux timeout messages"
      drm/i915: Check against the signaled bit for fences/requests
      drm/i915: Keep a global seqno per-engine
      drm/i915: Move reserve_seqno() next to unreserve_seqno()
      drm/i915: Use a local to shorten req->i915->gpu_error.wait_queue
      drm/i915: Add ourselves to the gpu error waitqueue for the entire wait
      drm/i915: Inline __i915_gem_request_wait_for_execute()
      drm/i915: Deconstruct execute fence
      drm/i915: Protect the request->global_seqno with the engine->timeline lock
      drm/i915: Take a reference whilst processing the signaler request
      drm/i915: Allow a request to be cancelled
      drm/i915: Remove the preempted request from the execution queue
      drm/i915: Exercise request cancellation using a mock selftest
      drm/i915: Replace reset_wait_queue with default_wake_function
      drm/i915: Refactor direct GPU reset from request waiters
      drm/i915: Immediately process a reset before starting waiting
      drm/i915: Remove one level of indention from wait-for-execute
      drm/i915: Suppress fbc suggestion to increase stolen if disabled
      drm/i915/fbdev: Stop repeating tile configuration on stagnation
      drm/i915/execlists: Detect an out-of-order context switch
      drm/i915: Timeout lowlevel_hole GTT selftest early
      drm/i915: Assert all sg are initialised in fake_dma_object for selftests
      drm/i915: Assert we do not overflow 4lvl page directories
      drm/i915: Sanity check the vma->node prior to binding into the GTT
      drm/i915: Advance start address on crossing PML (48b ppgtt) boundary
      drm/i915: Only unwind the local pgtable layer if empty
      drm/i915: Unwind vma->pages allocation upon failure
      drm/i915: Remove the vma from the drm_mm if binding fails
      drm/i915: Remove redundant TLB invalidate on switching contexts
      drm/i915: Remove redundant TLB invalidate on switching ppgtt
      drm/i915: Reduce context alignment
      drm/i915: Distinguish between timeout and error in sideband transactions
      drm/i915: Report both waiters and success from intel_engine_wakeup()
      drm/i915: Signal first fence from irq handler if complete
      drm/i915: Defer enabling hangcheck to the first fake breadcrumb interrupt
      drm/i915: Delay disabling the user interrupt for breadcrumbs
      drm/i915: Consolidate reporting of "missed breadcrumbs"
      drm/i915/guc: Make wq_lock irq-safe
      drm/i915/guc: Reorder __i915_guc_submit to reduce spinlock holdtime
      drm/i915: Tighten mmio arrays for MIPI_PORT
      drm/i915: Fix all intel_framebuffer_init failures to take the error path
      drm/i915: Prevent concurrent tiling/framebuffer modifications
      drm/i915: Move w/a LRI debug message from context-init to driver load
      drm/i915: Hold rpm during GEM suspend in driver unload/suspend
      drm/i915: Restore the invalid access without RPM warning
      drm/i915: Assert that fence->lock is held in an irq-safe manner
      drm/i915: s/assert_spin_locked/lockdep_assert_held/
      drm/i915/guc: Disable irq for __i915_guc_submit wq_lock
      drm/i915: Include GT/seqno activity in engine/hangcheck debugfs
      drm/i915: Include power-management state in gpu error dump
      drm/i915: Drop spinlocks around adding to the client request list
      drm/i915: Differentiate between hangcheck waiting for timer or scheduler
      drm/i915: Ensure the engine is idle before manually changing HWS
      drm/i915: Generalise wait for execlists to be idle
      drm/i915: Take reference for signaling the request from hardirq
      drm/i915: Refactor wakeup of the next breadcrumb waiter
      drm/i915: Split breadcrumbs spinlock into two

Chuanxiao Dong (1):
      drm/i915/gvt: set ring buffer size to default for guc submission

Daniel Vetter (5):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170206
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      Merge airlied/drm-next into drm-misc-next
      drm/i915: Update DRIVER_DATE to 20170306

Daniele Ceraolo Spurio (2):
      drm/i915: fix pm refcounting on fence error in execbuf
      drm/i915: refactor register fw read/write macros for recent GENs

Deepak M (7):
      drm/i915: Set the Z inversion overlap field
      drm/i915/glk: Program dphy param reg for GLK
      drm/i915/glk: Program new MIPI DSI PHY registers for GLK
      drm/i915/glk: Add DSI PLL divider range for glk
      drm/i915i/glk: Program MIPI_CLOCK_CTRL only for BXT
      drm/i915/glk: Program txesc clock divider for GLK
      drm/i915/glk: Add MIPIIO Enable/disable sequence

Deepak S (1):
      drm/i915/chv: Set min freq to RPn on CHV.

Geliang Tang (1):
      drm/i915/error: use rb_entry()

Hans de Goede (17):
      drm/i915: Fix not finding the VBT when it overlaps with OPREGION_ASLE_EXT
      drm/i915/dsi: Move calling of wait_for_dsi_fifo_empty to mipi_exec_send_packet
      drm/i915/dsi: Merge intel_dsi_disable/enable into their respective callers
      drm/i915/dsi: Add intel_dsi_unprepare() helper
      drm/i915/dsi: Move intel_dsi_clear_device_ready()
      drm/i915/dsi: Make intel_dsi_enable/disable directly exec VBT sequences
      drm/i915/dsi: VLV/CHT Only wait for LP00 on MIPI PORT A
      drm/i915/dsi: Document the panel enable / disable sequences from the spec
      drm/i915/dsi: Drop bogus MIPI_SEQ_ASSERT_RESET before POWER_ON
      drm/i915/dsi: Move MIPI_SEQ_POWER_ON/OFF calls together with pmic gpio calls
      drm/i915/dsi: Group DPOunit clock gate workaround with PLL enable
      drm/i915/dsi: Execute MIPI_SEQ_DEASSERT_RESET before calling device_ready()
      drm/i915/dsi: Group MIPI_SEQ_BACKLIGHT_ON/OFF with panel_[en|dis]able_backlight
      drm/i915/dsi: Document always using v3 SHUTDOWN / MIPI_SEQ_DISPLAY_OFF order
      drm/i915/dsi: Execute MIPI_SEQ_TEAR_OFF from intel_dsi_post_disable
      drm/i915/dsi: Call MIPI_SEQ_TEAR_ON and DISPLAY_ON for cmd-mode (untested)
      drm/i915/dsi: Skip delays for v3 VBTs in vid-mode

Imre Deak (11):
      drm/i915/gen9+: Enable hotplug detection early
      drm/i915/lspcon: Fix resume time initialization due to unasserted HPD
      drm/i915/lspcon: Remove DPCD compare based resume time workaround
      drm/i915/gen5+, pch: Enable hotplug detection early
      drm/i915: Remove redundant toggling from the power well sync_hw hooks
      drm/i915: Call the sync_hw hook for power wells without a domain
      drm/i915/gen9: Fix clearing of the BIOS power well request register
      drm/i915: Preserve the state of power wells not explicitly enabled
      drm/i915: Add power well SW/HW state verification
      drm/i915/lspcon: Switch back to PCON mode after output replug
      drm/i915/gen9: Increase PCODE request timeout to 50ms

Jani Nikula (9):
      drm/i915/dp: do not proceed with autotests if we don't ACK them
      drm/color: un-inline drm_color_lut_extract()
      Revert "drm/color: un-inline drm_color_lut_extract()"
      drm/i915: use variadic macros and arrays to choose port/pipe based registers
      drm/i915: don't warn about Skylake CPU - KabyPoint PCH combo
      drm/i915/bxt: apply clock gating workaround to all revisions
      drm/i915/bxt: remove snooping workaround on old A revisions
      drm/i915/bxt: remove WaRsDisableCoarsePowerGating for early BXT
      drm/i915: use BUILD_BUG_ON to ensure platform name has been set up

Joonas Lahtinen (3):
      drm/i915: Avoid BIT(max) - 1 and use GENMASK(max - 1, 0)
      drm/i915: Use for_each_power_domain() in i915_power_domain_info()
      drm/i915: Add __destroy_hw_context

Juergen Gross (1):
      drm/i915: fix i915 running as dom0 under Xen

Kelvin Gardiner (1):
      drm/i915/bdw: Do not write the replay bit of the ring mode register

Kenneth Graunke (1):
      drm/i915: Drop support for I915_EXEC_CONSTANTS_* execbuf parameters.

Lee, Shawn C (1):
      drm/i915/bxt: Add MST support when do DPLL calculation

Lyude (2):
      drm/i915/debugfs: Add i915_hpd_storm_ctl
      drm/i915/dp: Ratelimit DP aux timeout messages

Maarten Lankhorst (4):
      drm/i915: Use atomic page flip for intel again.
      drm/i915: Disable all crtcs during driver unload, v2.
      drm/i915: Fix POWER_DOMAIN_AUDIO refcounting.
      drm/i915: Enable atomic support by default on supported platforms.

Madhav Chauhan (2):
      drm/i915/glk: Validate only DSI PORT A PLL divider
      drm/i915/glk: Fix DSI enable I/O sequence

Manasi Navare (5):
      drm/i915: Add support for DP link training compliance
      drm/i915: Fixes to support DP Compliance EDID tests
      drm: Add definitions for DP compliance Video pattern tests
      drm/i915: Add support for DP Video pattern compliance tests
      drm/i915/dp: Reset the link params on HPD/connected boot/resume

Matthew Auld (1):
      drm/i915: remove 512GB allocation warning

Michal Wajdeczko (1):
      drm/i915: Don't use enums for hardware engine id

Michał Winiarski (2):
      drm/i915: Always convert incoming exec offsets to non-canonical
      drm/i915/skl: Add missing SKL ID

Mika Kuoppala (7):
      drm/i915: Create context desc template when context is created
      drm/i915: Avoid tweaking evaluation thresholds on Baytrail v3
      drm/i915/gtt: Make I915_PDPES_PER_PDP inline function
      drm/i915: Don't mark pdps clear if pdps are not submitted
      drm/i915/gtt: Prefer i915_vm_is_48bit() over macro
      drm/i915: Avoid using word legacy with ppgtt
      drm/i915/gtt: Setup vm callbacks late

Paulo Zanoni (2):
      x86/gpu: GLK uses the same GMS values as SKL
      drm/i915: make i915_stolen_to_physical() return phys_addr_t

Robert Bragg (1):
      drm/i915: fix for WaDisableDopClockGating:bdw

Rodrigo Vivi (3):
      drm/i915/kbl: Apply WaIncreaseDefaultTLBEntries on KBL.
      drm/i915: Introduce IS_GEN9_BC for Skylake and Kabylake.
      drm/i915: DMC 1.03 for Geminilake

Tvrtko Ursulin (19):
      drm/i915/guc: Log significant events at the info level
      drm/i915: Emit to ringbuffer directly
      drm/i915: Fix uninitialized return from mi_set_context
      drm/i915: Remove duplicate intel_logical_ring_workarounds_emit
      drm/i915: Make int __intel_ring_space static
      drm/i915: Simplify cleanup path in intel_engines_init
      drm/i915: Move common workaround code to intel_engine_cs
      drm/i915: Tidy workaround batch buffer emission
      drm/i915: Consolidate gen8_emit_pipe_control
      drm/i915: Fix typo in semaphore debug message
      drm/i915: Tidy execlists_init_reg_state
      drm/i915/tracepoints: Tidy request event class
      drm/i915/tracepoints: Adjust i915_gem_ring_dispatch
      drm/i915/tracepoints: Tidy i915_gem_request_wait_begin
      drm/i915/tracepoints: Remove unused i915_gem_request_complete
      drm/i915/tracepoints: Add request submit and execute tracepoints
      drm/i915/tracepoints: Rename i915_gem_request_notify
      drm/i915/tracepoints: Add backend level request in and out tracepoints
      drm/i915/tracepoints: Add hw_id to context tracepoints

Uma Shankar (5):
      drm/i915: Add MIPI_IO WA and program DSI regulators
      drm/i915: Check for platform specific GPIO config
      drm/i915: Fix PLL 8x/3 divider for MIPI video mode
      drm/i915/bxt: Fix BXT DSI ULPS sequence
      drm/i915/bxt: Disable device ready before shutdown command

Ville Syrjälä (40):
      drm/i915: Store the pipe pixel rate in the crtc state
      drm/i915: Nuke intel_mode_max_pixclk()
      drm/i915: s/get_display_clock_speed/get_cdclk/
      drm/i915: Clean up the .get_cdclk() assignment if ladder
      drm/i915: Move most cdclk/rawclk related code to intel_cdclk.c
      drm/i915: Pass computed vco to bxt_set_cdclk()
      drm/i915: Start moving the cdclk stuff into a distinct state structure
      drm/i915: Track full cdclk state for the logical and actual cdclk frequencies
      drm/i915: Pass dev_priv to remainder of the cdclk functions
      drm/i915: Pass the cdclk state to the set_cdclk() functions
      drm/i915: Move PFI credit reprogramming into vlv/chv_set_cdclk()
      drm/i915: Nuke the VLV/CHV PFI programming power domain workaround
      drm/i915: Replace the .modeset_commit_cdclk() hook with a more direct .set_cdclk() hook
      drm/i915: Move ilk_pipe_pixel_rate() to intel_display.c
      drm/i915: Avoid spurious WARNs about the wrong pipe in the PPS code
      drm/i915: Simplify platform checks in intel_fb_pitch_limit()
      drm/i915: Dump more configuration information for DSI
      drm/i915: Refactor code to select the DDI buf translation table
      drm/i915: Refactor translate_signal_level()
      drm/i915: Introduce intel_ddi_dp_voltage_max()
      drm/i915: Fix legacy cursor vs. watermarks for ILK-BDW
      drm/i915: Do .init_clock_gating() earlier to avoid it clobbering watermarks
      drm/i915: Track visible planes in a bitmask
      drm/i915: Track plane fifo sizes under intel_crtc
      drm/i915: Move vlv wms from crtc->wm_state to crtc->wm.active.vlv
      drm/i915: Plop vlv wm state into crtc_state
      drm/i915: Plop vlv/chv fifo sizes into crtc state
      drm/i915: Compute VLV/CHV FIFO sizes based on the PM2 watermarks
      drm/i915: Compute vlv/chv wms the atomic way
      drm/i915: Skip useless watermark/FIFO related work on VLV/CHV when not needed
      drm/i915: Compute proper intermediate wms for vlv/cvh
      drm/i915: Nuke crtc->wm.cxsr_allowed
      drm/i915: Only use update_wm_{pre,post} for pre-ilk platforms
      drm/i915: Sanitize VLV/CHV watermarks properly
      drm/i915: Workaround VLV/CHV sprite1->sprite0 enable underrun
      drm/i915: Kill level 0 wm hack for VLV/CHV
      drm/i915: Add plane update/disable tracepoints
      drm/i915: Add VLV/CHV watermark/FIFO programming tracepoints
      drm/i915: Add cxsr toggle tracepoint
      drm/i915: Add FIFO underrun tracepoints

Weinan Li (2):
      drm/i915: noop forcewake get/put when vgpu activated
      drm/i915: clean up unused vgpu_read/write

Zhi Wang (2):
      drm/i915: Let execlist_update_context() cover !FULL_PPGTT mode.
      drm/i915: A hotfix for making aliasing PPGTT work for GVT-g

sagar.a.kamble@intel.com (1):
      drm/i915: Do RPM Wake during GuC/HuC status read

 Documentation/gpu/i915.rst                         |    9 +
 arch/x86/kernel/early-quirks.c                     |    1 +
 drivers/char/agp/intel-gtt.c                       |   16 +-
 drivers/gpu/drm/i915/Kconfig                       |    1 +
 drivers/gpu/drm/i915/Kconfig.debug                 |   29 +
 drivers/gpu/drm/i915/Makefile                      |    5 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   36 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  364 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |  109 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  231 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  359 ++-
 drivers/gpu/drm/i915/i915_gem.h                    |    9 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |    4 +-
 drivers/gpu/drm/i915/i915_gem_clflush.c            |  189 ++
 drivers/gpu/drm/i915/i915_gem_clflush.h            |   37 +
 drivers/gpu/drm/i915/i915_gem_context.c            |  163 +-
 drivers/gpu/drm/i915/i915_gem_context.h            |    2 -
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |    5 +
 drivers/gpu/drm/i915/i915_gem_evict.c              |   16 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  159 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 2086 ++++++--------
 drivers/gpu/drm/i915/i915_gem_gtt.h                |  124 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    7 +-
 drivers/gpu/drm/i915/i915_gem_object.h             |   41 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |  499 ++--
 drivers/gpu/drm/i915/i915_gem_request.h            |   91 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |    7 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   42 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   25 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |    9 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  321 ++-
 drivers/gpu/drm/i915/i915_guc_submission.c         |   37 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  179 +-
 drivers/gpu/drm/i915/i915_params.c                 |    6 +-
 drivers/gpu/drm/i915/i915_params.h                 |   81 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   32 +-
 drivers/gpu/drm/i915/i915_perf.c                   |    2 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  102 +-
 drivers/gpu/drm/i915/i915_selftest.h               |  106 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |   35 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  472 ++-
 drivers/gpu/drm/i915/i915_utils.h                  |   11 +
 drivers/gpu/drm/i915/i915_vgpu.c                   |    9 +-
 drivers/gpu/drm/i915/i915_vma.c                    |   91 +-
 drivers/gpu/drm/i915/i915_vma.h                    |    4 +-
 drivers/gpu/drm/i915/intel_atomic.c                |   15 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   17 +-
 drivers/gpu/drm/i915/intel_audio.c                 |    4 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  528 ++--
 drivers/gpu/drm/i915/intel_cdclk.c                 | 1891 ++++++++++++
 drivers/gpu/drm/i915/intel_color.c                 |  104 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   21 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    8 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  449 +--
 drivers/gpu/drm/i915/intel_device_info.c           |    8 +-
 drivers/gpu/drm/i915/intel_display.c               | 3029 +++++---------------
 drivers/gpu/drm/i915/intel_dp.c                    |  307 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   37 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   54 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |   16 -
 drivers/gpu/drm/i915/intel_drv.h                   |  198 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  562 +++-
 drivers/gpu/drm/i915/intel_dsi.h                   |    5 +
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c         |   89 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c               |  135 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    1 +
 drivers/gpu/drm/i915/intel_engine_cs.c             |  686 ++++-
 drivers/gpu/drm/i915/intel_fbc.c                   |   11 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   76 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   25 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |    3 +-
 drivers/gpu/drm/i915/intel_frontbuffer.h           |    8 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |   23 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |    4 +
 drivers/gpu/drm/i915/intel_hdmi.c                  |   17 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   31 +-
 drivers/gpu/drm/i915/intel_huc.c                   |   15 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |    4 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  880 ++----
 drivers/gpu/drm/i915/intel_lrc.h                   |    3 -
 drivers/gpu/drm/i915/intel_lspcon.c                |   17 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    8 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   55 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   79 +-
 drivers/gpu/drm/i915/intel_panel.c                 |    4 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |    2 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  919 +++---
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 1147 ++------
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  174 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  625 ++--
 drivers/gpu/drm/i915/intel_sdvo.c                  |    1 +
 drivers/gpu/drm/i915/intel_sideband.c              |   34 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   17 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    1 +
 drivers/gpu/drm/i915/intel_uncore.c                |  166 +-
 drivers/gpu/drm/i915/selftests/huge_gem_object.c   |  135 +
 drivers/gpu/drm/i915/selftests/huge_gem_object.h   |   45 +
 .../gpu/drm/i915/selftests/i915_gem_coherency.c    |  385 +++
 drivers/gpu/drm/i915/selftests/i915_gem_context.c  |  459 +++
 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c   |  303 ++
 drivers/gpu/drm/i915/selftests/i915_gem_evict.c    |  260 ++
 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c      | 1556 ++++++++++
 drivers/gpu/drm/i915/selftests/i915_gem_object.c   |  600 ++++
 drivers/gpu/drm/i915/selftests/i915_gem_request.c  |  882 ++++++
 .../gpu/drm/i915/selftests/i915_live_selftests.h   |   19 +
 .../gpu/drm/i915/selftests/i915_mock_selftests.h   |   20 +
 drivers/gpu/drm/i915/selftests/i915_random.c       |   63 +
 drivers/gpu/drm/i915/selftests/i915_random.h       |   50 +
 drivers/gpu/drm/i915/selftests/i915_selftest.c     |  250 ++
 drivers/gpu/drm/i915/selftests/i915_vma.c          |  746 +++++
 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c |  481 ++++
 drivers/gpu/drm/i915/selftests/intel_hangcheck.c   |  537 ++++
 drivers/gpu/drm/i915/selftests/intel_uncore.c      |  182 ++
 drivers/gpu/drm/i915/selftests/mock_context.c      |   78 +
 drivers/gpu/drm/i915/selftests/mock_context.h      |   34 +
 drivers/gpu/drm/i915/selftests/mock_dmabuf.c       |  176 ++
 drivers/gpu/drm/i915/selftests/mock_dmabuf.h       |   41 +
 drivers/gpu/drm/i915/selftests/mock_drm.c          |   54 +
 drivers/gpu/drm/i915/selftests/mock_drm.h          |   31 +
 drivers/gpu/drm/i915/selftests/mock_engine.c       |  207 ++
 drivers/gpu/drm/i915/selftests/mock_engine.h       |   54 +
 drivers/gpu/drm/i915/selftests/mock_gem_device.c   |  226 ++
 drivers/gpu/drm/i915/selftests/mock_gem_device.h   |    9 +
 drivers/gpu/drm/i915/selftests/mock_gem_object.h   |    8 +
 drivers/gpu/drm/i915/selftests/mock_gtt.c          |  138 +
 drivers/gpu/drm/i915/selftests/mock_gtt.h          |   35 +
 drivers/gpu/drm/i915/selftests/mock_request.c      |   63 +
 drivers/gpu/drm/i915/selftests/mock_request.h      |   46 +
 drivers/gpu/drm/i915/selftests/scatterlist.c       |  355 +++
 include/drm/drm_dp_helper.h                        |   57 +
 include/drm/i915_pciids.h                          |    3 +-
 include/uapi/drm/i915_drm.h                        |   65 +-
 tools/testing/selftests/drivers/gpu/i915.sh        |    1 +
 133 files changed, 18969 insertions(+), 8361 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_clflush.c
 create mode 100644 drivers/gpu/drm/i915/i915_gem_clflush.h
 create mode 100644 drivers/gpu/drm/i915/i915_selftest.h
 create mode 100644 drivers/gpu/drm/i915/intel_cdclk.c
 create mode 100644 drivers/gpu/drm/i915/selftests/huge_gem_object.c
 create mode 100644 drivers/gpu/drm/i915/selftests/huge_gem_object.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_coherency.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_context.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_dmabuf.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_evict.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_gtt.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_object.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_gem_request.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_live_selftests.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_mock_selftests.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_random.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_random.h
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_selftest.c
 create mode 100644 drivers/gpu/drm/i915/selftests/i915_vma.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_breadcrumbs.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_hangcheck.c
 create mode 100644 drivers/gpu/drm/i915/selftests/intel_uncore.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_context.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_context.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_dmabuf.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_dmabuf.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_drm.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_drm.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_engine.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_engine.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_gem_device.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_gem_device.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_gem_object.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_gtt.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_gtt.h
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_request.c
 create mode 100644 drivers/gpu/drm/i915/selftests/mock_request.h
 create mode 100644 drivers/gpu/drm/i915/selftests/scatterlist.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-01-26 10:11 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-01-26 10:11 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Updated pull request because Chris pointed out that I need to cherry-pick
a vma view bugfix from him to avoid unlucky machines no longer booting up
... Besides that one patch exactly the same thing.

drm-intel-next-2017-01-23:
Final block of feature work for 4.11:

- gen8 pd cleanup from Matthew Auld
- more cleanups for view/vma (Chris)
- dmc support on glk (Anusha Srivatsa)
- use core crc api (Tomue)
- track wedged requests using fence.error (Chris)
- lots of psr fixes (Nagaraju, Vathsala)
- dp mst support, acked for merging through drm-intel by Takashi
  (Libin)
- huc loading support, including uapi for libva to use it (Anusha
  Srivatsa)

Cheers, Daniel


The following changes since commit 282d0a35c8c42c3ac4dd86a7e408ed1b30db4897:

  Merge tag 'drm-misc-next-2017-01-09' of git://anongit.freedesktop.org/git/drm-misc into drm-next (2017-01-10 08:06:56 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel drm-intel-next

for you to fetch changes up to 8adabb8928bcf75d6dc3f81b30a82884e70599cf:

  drm/i915: Pevent copying uninitialised garbage into vma->ggtt_view (2017-01-26 11:10:33 +0100)

----------------------------------------------------------------
Ander Conselvan de Oliveira (1):
      drm/i915: Avoid drm_atomic_state_put(NULL) on error paths

Anusha Srivatsa (9):
      drm/i915/DMC/GLK: Load DMC on GLK
      drm/i915/guc: Make the GuC fw loading helper functions general
      drm/i915/huc: Unified css_header struct for GuC and HuC
      drm/i915/huc: Add HuC fw loading support
      drm/i915/huc: Add BXT HuC Loading Support
      drm/i915/HuC: Add KBL huC loading Support
      drm/i915/huc: Add debugfs for HuC loading status check
      drm/i915/huc: Support HuC authentication
      drm/i915/get_params: Add HuC status to getparams

Chris Wilson (60):
      drm/i915: Extract tile_row_size for fencing
      drm/i915: Align GGTT sizes to a fence tile row
      drm/i915: Replace WARNs in fence register writes with extensive asserts
      drm/i915: Store required fence size/alignment for GGTT vma
      drm/i915: Remove the rounding down of the gen4+ fence region
      drm/i915: Move ggtt fence/alignment to i915_gem_tiling.c
      drm/i915: Extract compute_partial_view()
      drm/i915: Clip the partial view against the object not vma
      drm/i915: Include ioctl in set-tiling and get-tiling function names
      drm/i915: Split out i915_gem_object_set_tiling()
      drm/i915: Consolidate reset_request()
      drm/i915: Set guilty-flag on fence after detecting a hang
      drm/i915: Set an error status for a resubmitted request
      drm/i915: Mark all incomplete requests as -EIO when wedged
      drm/i915: Rename i915_gem_engine_cleanup() to engine_set_wedged()
      drm/i915: Replace 4096 with PAGE_SIZE or I915_GTT_PAGE_SIZE
      drm/i915: Use the MRU stack search after evicting
      drm/i915: Extract reserving space in the GTT to a helper
      drm/i915: Prefer random replacement before eviction search
      drm/i915: Add a sanity check that no request is submitted in the middle
      drm/i915: Detect vma reserved for execbuf in evict-for-node
      drm/i915: Invalidate the guc ggtt TLB upon insertion
      drm/i915: Declare i915_gem_object_create_internal() as taking phys_addr_t size
      drm/i915: Expand ggtt_view parameters for debugfs
      drm/i915: Fix up kerneldoc parameters for i915_gem_gtt_*()
      drm/i915: Move i915_ppgtt_close() into i915_gem_gtt.c
      drm/i915: Assert that we have allocated the drm_mm_node upon pinning
      drm/i915: Name the anonymous structs inside i915_ggtt_view
      drm/i915: Mark the ggtt_view structs as packed
      drm/i915: Compact memcmp in i915_vma_compare()
      drm/i915: Stop clearing i915_ggtt_view
      drm/i915: Convert i915_ggtt_view to use an anonymous union
      drm/i915: Eliminate superfluous i915_ggtt_view_rotated
      drm/i915: Eliminate superfluous i915_ggtt_view_normal
      drm/i915: Use __printf markup to silence compiler
      drm/i915/dp: Silence compiler for missing prototype
      drm/i915: Flush the change in debugobject before reallocation
      drm/i915: Catch attempting to use the aliasing_gtt's drm_mm
      drm/i915: Assert we do not attempt to reuse an allocated node
      drm/i915: Construct a request even if the GPU is currently hung
      drm/i915: Skip switch to kernel context if already done
      drm/i915: Assert internal objects are page aligned
      drm/i915: Fix compiler warnings for i915_edp_psr_status()
      drm/i915/psr: Fix compiler warnings for hsw_psr_disable()
      drm/i915: Move engine reset preparation to i915_gem_reset_prepare()
      drm/i915: Detect a failed GPU reset+recovery
      drm/i915: Avoid drm_atomic_state_put(NULL) in intel_display_resume
      drm/i915: Track pinned vma in intel_plane_state
      drm/i915: Rename some warts in the VMA API
      drm/i915: Add a check that the VMA instance we lookup matches the request
      drm/i915: Remove i915_vma_create from VMA API
      drm/i915: Remove i915_gem_object_to_ggtt()
      drm/i915: Release temporary load-detect state upon switching
      drm/i915: Do an unlocked wait before set-cache-level ioctl
      drm/i915: Use common LRU inactive vma bumping for unpin_from_display
      drm/i915: Reject vma creation larger than address space
      drm/i915: Treat an error from i915_vma_instance() as unlikely
      drm/i915: Assert the drm_mm_node is allocated when on the VM lists
      drm/i915: Assert that created vma has a whole number of pages
      drm/i915: Pevent copying uninitialised garbage into vma->ggtt_view

Clint Taylor (1):
      drm/i915: prevent crash with .disable_display parameter

Daniel Vetter (2):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170123

Daniele Ceraolo Spurio (1):
      drm/i915: reinstate call to trace_i915_vma_bind

Francisco Jerez (1):
      drm/i915: Remove WaDisableLSQCROPERFforOCL KBL workaround.

Libin Yang (3):
      ALSA: hda - add DP mst verb support
      ALSA: hda - add DP MST audio support
      ALSA: Documentation about HDA DP MST pin init and connection

Maarten Lankhorst (1):
      drm/i915: Remove useless casts to intel_plane_state

Matthew Auld (3):
      drm/i915: s/gen8_setup_page_directory/gen8_setup_pdpe/
      drm/i915: s/gen8_setup_page_directory_pointer/gen8_setup_pml4e/
      drm/i915: don't open code the pdpe/pml4e clearing

Michal Wajdeczko (1):
      drm/i915/guc: Move GuC log related functions into dedicated file

Michał Winiarski (3):
      drm/i915/guc: Make sure vma containing firmware is GuC mappable
      drm/i915/huc: Avoid attempting to authenticate non-existent fw
      drm/i915/huc: Assert that HuC vma is placed in GuC accessible range

Michel Thierry (3):
      drm/i915/glk: Convert a few more IS_BROXTON() to IS_GEN9_LP()
      drm/i915: Keep i915_handle_error kerneldoc parameters together
      drm/i915: Update i915_reset parameter for kerneldoc

Mika Kuoppala (5):
      drm/i915: Introduce engine_skip_context
      drm/i915: Cleanup request skip decision
      drm/i915: Introduce engine_stalled helper
      drm/i915: Tidy up engine reset logic
      drm/i915: Add comment how we treat hung contexts

Nagaraju, Vathsala (10):
      drm : adds Y-coordinate and Colorimetry Format
      drm/i915/psr: program vsc header for psr2
      drm/i915/psr: disable psr2 for resolution greater than 32X20
      drm/i915/psr: fix blank screen issue for psr2
      drm/i915/psr: disable aux_frame_sync on psr2 exit
      drm/i915/psr: enable ALPM for psr2
      drm/i915/psr: set CHICKEN_TRANS for psr2
      drm/i915/psr: set PSR_MASK bits for deep sleep
      drm/i915/psr: enable psr2 for y cordinate panels
      drm/i915/psr: report live PSR2 State

Rodrigo Vivi (1):
      drm/i915/glk: Add missing bits to allow runtime pm suspend on GLK.

Sagar Arun Kamble (1):
      drm/i915: Set adjustment to zero on Up/Down interrupts if freq is already max/min

Tomeu Vizoso (2):
      drm/i915: Use new CRC debugfs API
      drm/i915: Put "cooked" vlank counters in frame CRC lines

Ville Syrjälä (3):
      drm/i915: Ignore bogus plane coordinates on SKL when the plane is not visible
      drm/i915: Remove crtc->config usage from intel_modeset_readout_hw_state()
      drm/i915: Remove the double handling of 'flags from intel_mode_from_pipe_config()

Zhenyu Wang (2):
      drm/i915: check ppgtt validity when init reg state
      drm/i915: Fix a typo in vgt_balloon_space()

 Documentation/sound/hd-audio/dp-mst.rst      |  17 +
 drivers/gpu/drm/i915/Makefile                |   2 +
 drivers/gpu/drm/i915/gvt/aperture_gm.c       |  33 +-
 drivers/gpu/drm/i915/i915_debugfs.c          | 103 ++++-
 drivers/gpu/drm/i915/i915_drv.c              |  60 ++-
 drivers/gpu/drm/i915/i915_drv.h              |  70 ++-
 drivers/gpu/drm/i915/i915_gem.c              | 335 +++++++-------
 drivers/gpu/drm/i915/i915_gem_context.c      |  55 ++-
 drivers/gpu/drm/i915/i915_gem_evict.c        |  39 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |   7 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c    |  64 ++-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h    |   2 +
 drivers/gpu/drm/i915/i915_gem_gtt.c          | 438 ++++++++++++------
 drivers/gpu/drm/i915/i915_gem_gtt.h          |  74 ++-
 drivers/gpu/drm/i915/i915_gem_internal.c     |   8 +-
 drivers/gpu/drm/i915/i915_gem_object.h       |  23 +
 drivers/gpu/drm/i915/i915_gem_render_state.c |   6 +-
 drivers/gpu/drm/i915/i915_gem_request.c      |  35 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c       |  16 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c       | 347 ++++++++------
 drivers/gpu/drm/i915/i915_gpu_error.c        |   1 +
 drivers/gpu/drm/i915/i915_guc_reg.h          |   6 +
 drivers/gpu/drm/i915/i915_guc_submission.c   | 603 +-----------------------
 drivers/gpu/drm/i915/i915_irq.c              |  86 ++--
 drivers/gpu/drm/i915/i915_reg.h              |  20 +-
 drivers/gpu/drm/i915/i915_sw_fence.c         |   1 +
 drivers/gpu/drm/i915/i915_sysfs.c            |   2 +-
 drivers/gpu/drm/i915/i915_trace.h            |  16 +-
 drivers/gpu/drm/i915/i915_vgpu.c             |  33 +-
 drivers/gpu/drm/i915/i915_vma.c              | 223 +++++----
 drivers/gpu/drm/i915/i915_vma.h              |  41 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c    |  20 +
 drivers/gpu/drm/i915/intel_csr.c             |  12 +-
 drivers/gpu/drm/i915/intel_device_info.c     |   2 +-
 drivers/gpu/drm/i915/intel_display.c         | 206 ++++-----
 drivers/gpu/drm/i915/intel_dp.c              |  38 +-
 drivers/gpu/drm/i915/intel_drv.h             |  15 +-
 drivers/gpu/drm/i915/intel_engine_cs.c       |   2 +-
 drivers/gpu/drm/i915/intel_fbc.c             |  54 +--
 drivers/gpu/drm/i915/intel_fbdev.c           |   7 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h        |  24 +-
 drivers/gpu/drm/i915/intel_guc_loader.c      | 214 +++++----
 drivers/gpu/drm/i915/intel_guc_log.c         | 658 +++++++++++++++++++++++++++
 drivers/gpu/drm/i915/intel_huc.c             | 338 ++++++++++++++
 drivers/gpu/drm/i915/intel_lrc.c             |  34 +-
 drivers/gpu/drm/i915/intel_lrc.h             |   2 +-
 drivers/gpu/drm/i915/intel_overlay.c         |   3 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c        | 104 ++++-
 drivers/gpu/drm/i915/intel_psr.c             | 203 +++++++--
 drivers/gpu/drm/i915/intel_ringbuffer.c      |  24 +-
 drivers/gpu/drm/i915/intel_sprite.c          |   8 +-
 drivers/gpu/drm/i915/intel_uc.c              |  26 --
 drivers/gpu/drm/i915/intel_uc.h              |  73 +--
 include/drm/drm_dp_helper.h                  |  13 +-
 include/uapi/drm/i915_drm.h                  |   1 +
 sound/pci/hda/hda_codec.c                    |  76 +++-
 sound/pci/hda/hda_codec.h                    |   3 +
 sound/pci/hda/patch_hdmi.c                   | 245 +++++++---
 58 files changed, 3261 insertions(+), 1910 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_guc_log.c
 create mode 100644 drivers/gpu/drm/i915/intel_huc.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-01-26  9:37 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-01-26  9:37 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2017-01-23:
Final block of feature work for 4.11:

- gen8 pd cleanup from Matthew Auld
- more cleanups for view/vma (Chris)
- dmc support on glk (Anusha Srivatsa)
- use core crc api (Tomue)
- track wedged requests using fence.error (Chris)
- lots of psr fixes (Nagaraju, Vathsala)
- dp mst support, acked for merging through drm-intel by Takashi
  (Libin)
- huc loading support, including uapi for libva to use it (Anusha
  Srivatsa)

With this 4.11 is off to Jani and drm-intel-next-fixes.

Cheers, Daniel


The following changes since commit 282d0a35c8c42c3ac4dd86a7e408ed1b30db4897:

  Merge tag 'drm-misc-next-2017-01-09' of git://anongit.freedesktop.org/git/drm-misc into drm-next (2017-01-10 08:06:56 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-01-23

for you to fetch changes up to add6329c728cdc705e076fc3d1663bc78bb50a66:

  drm/i915: Update DRIVER_DATE to 20170123 (2017-01-23 08:27:12 +0100)

----------------------------------------------------------------
Final block of feature work for 4.11:

- gen8 pd cleanup from Matthew Auld
- more cleanups for view/vma (Chris)
- dmc support on glk (Anusha Srivatsa)
- use core crc api (Tomue)
- track wedged requests using fence.error (Chris)
- lots of psr fixes (Nagaraju, Vathsala)
- dp mst support, acked for merging through drm-intel by Takashi
  (Libin)
- huc loading support, including uapi for libva to use it (Anusha
  Srivatsa)

----------------------------------------------------------------
Ander Conselvan de Oliveira (1):
      drm/i915: Avoid drm_atomic_state_put(NULL) on error paths

Anusha Srivatsa (9):
      drm/i915/DMC/GLK: Load DMC on GLK
      drm/i915/guc: Make the GuC fw loading helper functions general
      drm/i915/huc: Unified css_header struct for GuC and HuC
      drm/i915/huc: Add HuC fw loading support
      drm/i915/huc: Add BXT HuC Loading Support
      drm/i915/HuC: Add KBL huC loading Support
      drm/i915/huc: Add debugfs for HuC loading status check
      drm/i915/huc: Support HuC authentication
      drm/i915/get_params: Add HuC status to getparams

Chris Wilson (59):
      drm/i915: Extract tile_row_size for fencing
      drm/i915: Align GGTT sizes to a fence tile row
      drm/i915: Replace WARNs in fence register writes with extensive asserts
      drm/i915: Store required fence size/alignment for GGTT vma
      drm/i915: Remove the rounding down of the gen4+ fence region
      drm/i915: Move ggtt fence/alignment to i915_gem_tiling.c
      drm/i915: Extract compute_partial_view()
      drm/i915: Clip the partial view against the object not vma
      drm/i915: Include ioctl in set-tiling and get-tiling function names
      drm/i915: Split out i915_gem_object_set_tiling()
      drm/i915: Consolidate reset_request()
      drm/i915: Set guilty-flag on fence after detecting a hang
      drm/i915: Set an error status for a resubmitted request
      drm/i915: Mark all incomplete requests as -EIO when wedged
      drm/i915: Rename i915_gem_engine_cleanup() to engine_set_wedged()
      drm/i915: Replace 4096 with PAGE_SIZE or I915_GTT_PAGE_SIZE
      drm/i915: Use the MRU stack search after evicting
      drm/i915: Extract reserving space in the GTT to a helper
      drm/i915: Prefer random replacement before eviction search
      drm/i915: Add a sanity check that no request is submitted in the middle
      drm/i915: Detect vma reserved for execbuf in evict-for-node
      drm/i915: Invalidate the guc ggtt TLB upon insertion
      drm/i915: Declare i915_gem_object_create_internal() as taking phys_addr_t size
      drm/i915: Expand ggtt_view parameters for debugfs
      drm/i915: Fix up kerneldoc parameters for i915_gem_gtt_*()
      drm/i915: Move i915_ppgtt_close() into i915_gem_gtt.c
      drm/i915: Assert that we have allocated the drm_mm_node upon pinning
      drm/i915: Name the anonymous structs inside i915_ggtt_view
      drm/i915: Mark the ggtt_view structs as packed
      drm/i915: Compact memcmp in i915_vma_compare()
      drm/i915: Stop clearing i915_ggtt_view
      drm/i915: Convert i915_ggtt_view to use an anonymous union
      drm/i915: Eliminate superfluous i915_ggtt_view_rotated
      drm/i915: Eliminate superfluous i915_ggtt_view_normal
      drm/i915: Use __printf markup to silence compiler
      drm/i915/dp: Silence compiler for missing prototype
      drm/i915: Flush the change in debugobject before reallocation
      drm/i915: Catch attempting to use the aliasing_gtt's drm_mm
      drm/i915: Assert we do not attempt to reuse an allocated node
      drm/i915: Construct a request even if the GPU is currently hung
      drm/i915: Skip switch to kernel context if already done
      drm/i915: Assert internal objects are page aligned
      drm/i915: Fix compiler warnings for i915_edp_psr_status()
      drm/i915/psr: Fix compiler warnings for hsw_psr_disable()
      drm/i915: Move engine reset preparation to i915_gem_reset_prepare()
      drm/i915: Detect a failed GPU reset+recovery
      drm/i915: Avoid drm_atomic_state_put(NULL) in intel_display_resume
      drm/i915: Track pinned vma in intel_plane_state
      drm/i915: Rename some warts in the VMA API
      drm/i915: Add a check that the VMA instance we lookup matches the request
      drm/i915: Remove i915_vma_create from VMA API
      drm/i915: Remove i915_gem_object_to_ggtt()
      drm/i915: Release temporary load-detect state upon switching
      drm/i915: Do an unlocked wait before set-cache-level ioctl
      drm/i915: Use common LRU inactive vma bumping for unpin_from_display
      drm/i915: Reject vma creation larger than address space
      drm/i915: Treat an error from i915_vma_instance() as unlikely
      drm/i915: Assert the drm_mm_node is allocated when on the VM lists
      drm/i915: Assert that created vma has a whole number of pages

Clint Taylor (1):
      drm/i915: prevent crash with .disable_display parameter

Daniel Vetter (2):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170123

Daniele Ceraolo Spurio (1):
      drm/i915: reinstate call to trace_i915_vma_bind

Francisco Jerez (1):
      drm/i915: Remove WaDisableLSQCROPERFforOCL KBL workaround.

Libin Yang (3):
      ALSA: hda - add DP mst verb support
      ALSA: hda - add DP MST audio support
      ALSA: Documentation about HDA DP MST pin init and connection

Maarten Lankhorst (1):
      drm/i915: Remove useless casts to intel_plane_state

Matthew Auld (3):
      drm/i915: s/gen8_setup_page_directory/gen8_setup_pdpe/
      drm/i915: s/gen8_setup_page_directory_pointer/gen8_setup_pml4e/
      drm/i915: don't open code the pdpe/pml4e clearing

Michal Wajdeczko (1):
      drm/i915/guc: Move GuC log related functions into dedicated file

Michał Winiarski (3):
      drm/i915/guc: Make sure vma containing firmware is GuC mappable
      drm/i915/huc: Avoid attempting to authenticate non-existent fw
      drm/i915/huc: Assert that HuC vma is placed in GuC accessible range

Michel Thierry (3):
      drm/i915/glk: Convert a few more IS_BROXTON() to IS_GEN9_LP()
      drm/i915: Keep i915_handle_error kerneldoc parameters together
      drm/i915: Update i915_reset parameter for kerneldoc

Mika Kuoppala (5):
      drm/i915: Introduce engine_skip_context
      drm/i915: Cleanup request skip decision
      drm/i915: Introduce engine_stalled helper
      drm/i915: Tidy up engine reset logic
      drm/i915: Add comment how we treat hung contexts

Nagaraju, Vathsala (10):
      drm : adds Y-coordinate and Colorimetry Format
      drm/i915/psr: program vsc header for psr2
      drm/i915/psr: disable psr2 for resolution greater than 32X20
      drm/i915/psr: fix blank screen issue for psr2
      drm/i915/psr: disable aux_frame_sync on psr2 exit
      drm/i915/psr: enable ALPM for psr2
      drm/i915/psr: set CHICKEN_TRANS for psr2
      drm/i915/psr: set PSR_MASK bits for deep sleep
      drm/i915/psr: enable psr2 for y cordinate panels
      drm/i915/psr: report live PSR2 State

Rodrigo Vivi (1):
      drm/i915/glk: Add missing bits to allow runtime pm suspend on GLK.

Sagar Arun Kamble (1):
      drm/i915: Set adjustment to zero on Up/Down interrupts if freq is already max/min

Tomeu Vizoso (2):
      drm/i915: Use new CRC debugfs API
      drm/i915: Put "cooked" vlank counters in frame CRC lines

Ville Syrjälä (3):
      drm/i915: Ignore bogus plane coordinates on SKL when the plane is not visible
      drm/i915: Remove crtc->config usage from intel_modeset_readout_hw_state()
      drm/i915: Remove the double handling of 'flags from intel_mode_from_pipe_config()

Zhenyu Wang (2):
      drm/i915: check ppgtt validity when init reg state
      drm/i915: Fix a typo in vgt_balloon_space()

 Documentation/sound/hd-audio/dp-mst.rst      |  17 +
 drivers/gpu/drm/i915/Makefile                |   2 +
 drivers/gpu/drm/i915/gvt/aperture_gm.c       |  33 +-
 drivers/gpu/drm/i915/i915_debugfs.c          | 103 ++++-
 drivers/gpu/drm/i915/i915_drv.c              |  60 ++-
 drivers/gpu/drm/i915/i915_drv.h              |  70 ++-
 drivers/gpu/drm/i915/i915_gem.c              | 335 +++++++-------
 drivers/gpu/drm/i915/i915_gem_context.c      |  55 ++-
 drivers/gpu/drm/i915/i915_gem_evict.c        |  39 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |   7 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c    |  64 ++-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h    |   2 +
 drivers/gpu/drm/i915/i915_gem_gtt.c          | 438 ++++++++++++------
 drivers/gpu/drm/i915/i915_gem_gtt.h          |  74 ++-
 drivers/gpu/drm/i915/i915_gem_internal.c     |   8 +-
 drivers/gpu/drm/i915/i915_gem_object.h       |  23 +
 drivers/gpu/drm/i915/i915_gem_render_state.c |   6 +-
 drivers/gpu/drm/i915/i915_gem_request.c      |  35 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c       |  16 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c       | 347 ++++++++------
 drivers/gpu/drm/i915/i915_gpu_error.c        |   1 +
 drivers/gpu/drm/i915/i915_guc_reg.h          |   6 +
 drivers/gpu/drm/i915/i915_guc_submission.c   | 603 +-----------------------
 drivers/gpu/drm/i915/i915_irq.c              |  86 ++--
 drivers/gpu/drm/i915/i915_reg.h              |  20 +-
 drivers/gpu/drm/i915/i915_sw_fence.c         |   1 +
 drivers/gpu/drm/i915/i915_sysfs.c            |   2 +-
 drivers/gpu/drm/i915/i915_trace.h            |  16 +-
 drivers/gpu/drm/i915/i915_vgpu.c             |  33 +-
 drivers/gpu/drm/i915/i915_vma.c              | 221 +++++----
 drivers/gpu/drm/i915/i915_vma.h              |  41 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c    |  20 +
 drivers/gpu/drm/i915/intel_csr.c             |  12 +-
 drivers/gpu/drm/i915/intel_device_info.c     |   2 +-
 drivers/gpu/drm/i915/intel_display.c         | 206 ++++-----
 drivers/gpu/drm/i915/intel_dp.c              |  38 +-
 drivers/gpu/drm/i915/intel_drv.h             |  15 +-
 drivers/gpu/drm/i915/intel_engine_cs.c       |   2 +-
 drivers/gpu/drm/i915/intel_fbc.c             |  54 +--
 drivers/gpu/drm/i915/intel_fbdev.c           |   7 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h        |  24 +-
 drivers/gpu/drm/i915/intel_guc_loader.c      | 214 +++++----
 drivers/gpu/drm/i915/intel_guc_log.c         | 658 +++++++++++++++++++++++++++
 drivers/gpu/drm/i915/intel_huc.c             | 338 ++++++++++++++
 drivers/gpu/drm/i915/intel_lrc.c             |  34 +-
 drivers/gpu/drm/i915/intel_lrc.h             |   2 +-
 drivers/gpu/drm/i915/intel_overlay.c         |   3 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c        | 104 ++++-
 drivers/gpu/drm/i915/intel_psr.c             | 203 +++++++--
 drivers/gpu/drm/i915/intel_ringbuffer.c      |  24 +-
 drivers/gpu/drm/i915/intel_sprite.c          |   8 +-
 drivers/gpu/drm/i915/intel_uc.c              |  26 --
 drivers/gpu/drm/i915/intel_uc.h              |  73 +--
 include/drm/drm_dp_helper.h                  |  13 +-
 include/uapi/drm/i915_drm.h                  |   1 +
 sound/pci/hda/hda_codec.c                    |  76 +++-
 sound/pci/hda/hda_codec.h                    |   3 +
 sound/pci/hda/patch_hdmi.c                   | 245 +++++++---
 58 files changed, 3260 insertions(+), 1909 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_guc_log.c
 create mode 100644 drivers/gpu/drm/i915/intel_huc.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2017-01-09 19:13 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2017-01-09 19:13 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Because of the backmerge now 3 separate tags together:

drm-intel-next-2017-01-09:
More 4.11 stuff, holidays edition (i.e. not much):

- docs and cleanups for shared dpll code (Ander)
- some kerneldoc work (Chris)
- fbc by default on gen9+ too, yeah! (Paulo)
- fixes, polish and other small things all over gem code (Chris)
- and a few small things on top

Plus a backmerge, because Dave was enjoying time off too.

drm-intel-next-2016-12-26:
2nd round of stuff for 4.11:

- DP link rate fixes (DK)
- prep work for atomic wm updates on vlv/chv (Ville)
- platform enumeration cleanup (Jani)
- dsi fixes and cleaups (Hans de Goede)
- gen9 wm fixes (Mahesh Kumar)
- prep work for DP link failure fallback handling (Manasi)
- introduce GEM_WARN_ON (Matthew Auld)
- overlay fixes and cleanups (Ville)
- make is_lp apply to all modern/gen7+ atom-based platforms (Rodrigo)

Tons of small polish, fixes and cleanups all over. This time around
this is about half the patches!

drm-intel-next-2016-12-05:
First round of stuff for 4.10!

- refactor hangcheck/ban/reset stats code in prep for TDR (Mika)
- much more fancy perf monitoring support (Robert Bragg)
- lspcon fixes (Imre)
- rework plane ids to unconfuse the code (Ville)
- fix up cdclck/atomic state handling (Ville)
- debugobjects support for i915 fences (Chris)
- guc code cleanup (Arkadiusz Hiler)
- dp mst enabling, one more attempt (Libin)
- bugfixes for request resubmission after hangs (Chris)
- add basic geminilake support (Ander)
- switch more internal functions from drm_device to dev_priv (Tvrtko)

Cheers, Daniel


The following changes since commit 0c744ea4f77d72b3dcebb7a8f2684633ec79be88:

  Linux 4.10-rc2 (2017-01-01 14:31:53 -0800)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2017-01-09

for you to fetch changes up to 5d799acdd057e4f10fdd09ade22028c83f829f3e:

  drm/i915: Update DRIVER_DATE to 20170109 (2017-01-09 10:12:02 +0100)

----------------------------------------------------------------
More 4.11 stuff, holidays edition (i.e. not much):

- docs and cleanups for shared dpll code (Ander)
- some kerneldoc work (Chris)
- fbc by default on gen9+ too, yeah! (Paulo)
- fixes, polish and other small things all over gem code (Chris)
- and a few small things on top

Plus a backmerge, because Dave was enjoying time off too.

----------------------------------------------------------------
A.Sunil Kamath (1):
      drm/i915: Use num_scalers instead of SKL_NUM_SCALERS in debugfs

Ander Conselvan de Oliveira (22):
      drm/i915: Pass dev_priv to intel_setup_outputs()
      drm/i915: Don't sanitize has_decoupled_mmio if platform is not broxton
      drm/i915/glk: Introduce Geminilake platform definition
      drm/i915/glk: Add Geminilake PCI IDs
      drm/i915/glk: Add a IS_GEN9_LP() macro
      drm/i915: Fix intel_psr_init() kerneldoc
      drm/i915/glk: Reuse broxton code for geminilake
      drm/i915/glk: Add power wells for Geminilake
      drm/i915/glk: Implement Geminilake DDI init sequence
      drm/i915/glk: Set DCC delay range 2 in PLL enable sequence
      drm/i915/glk: Reuse broxton's cdclk code for GLK
      drm/i915/glk: Allow dotclock up to 2 * cdclk on geminilake
      drm/i915/glk: Implement core display init/uninit sequence for geminilake
      drm/i915/glk: Configure number of sprite planes properly
      drm/i915: Introduce intel_release_shared_dpll()
      drm/i915: Rename intel_shared_dpll_commit() to _swap_state()
      drm/i915: Rename intel_shared_dpll_config to intel_shared_dpll_state
      drm/i915: Rename intel_shared_dpll->mode_set() to prepare()
      drm/i915: Update kerneldoc for intel_dpll_mgr.c
      drm/i915: Add dpll entrypoint for dumping hw state
      drm/i915: Move intel_atomic_get_shared_dpll_state() to intel_dpll_mgr.c
      drm/i915: Initialize num_scalers for skl and glk too

Arkadiusz Hiler (6):
      drm/i915: Rename intel_guc.h to intel_uc.h
      drm/i915/guc: Drop guc2host/host2guc from names
      drm/i915/guc: Move guc_{send,recv}() to intel_uc.c
      drm/i915/guc: Init send_mutex in intel_uc_init_early()
      drm/i915/guc: Remove spurious include
      drm/i915/guc: Drop comment on fwif autogeneration

Bob Paauwe (1):
      drm/i915/bxt: Correct dual-link MIPI port control.

Chris Wilson (74):
      drm/i915: Use user, not driver, DRM_DEBUG for 2 context ioctls
      drm/i915: Add a warning on shutdown if signal threads still active
      drm/i915: Don't deref context->file_priv ERR_PTR upon reset
      drm/i915: Disable hangcheck when wedged
      drm/i915: Complete requests in nop_submit_request
      drm/i915: Stop the machine as we install the wedged submit_request handler
      drm/i915/debugfs: Drop i915_hws_info
      drm/i915/debugfs: Increment return value of gt.next_seqno
      drm/i915: Use the precomputed value for whether to enable command parsing
      drm/i915/debugfs: Update pageflip information
      drm/i915: Add is-completed assert to request retire entrypoint
      drm/i915: Assert no external observers when unwind a failed request alloc
      drm/i915: Hold a reference on the request for its fence chain
      drm/i915: Integrate i915_sw_fence with debugobjects
      drm/i915: Move priority bumping for flips earlier
      Revert "drm/i915/execlists: Use a local lock for dfs_link access"
      drm/i915: Convert vm->dev backpointer to vm->i915
      drm/i915/perf: Wrap 64bit divides in do_div()
      drm/i915: Fix tracepoint compilation
      drm/i915: Trim i915_guc_info() stack usage
      drm/i915/guc: Rename client->cookie to match use
      drm/i915/guc: Initialise doorbell cookie to matching value
      drm/i915/guc: Keep the execbuf client allocated across reset
      drm/i915/guc: Split hw submission for replay after GPU reset
      drm/i915/perf: Treat u64 in uabi as a normal integer
      drm/i915: Mark all non-vma being inserted into the address spaces
      drm/i915: Fix i915_gem_evict_for_vma (soft-pinning)
      drm/i915: Tidy i915_gem_valid_gtt_space()
      drm/i915: Implement local atomic_state_free callback
      drm/i915: Enable swfence debugobject support for i915.ko
      drm/i915/execlists: Use list_safe_reset_next() instead of opencoding
      drm/i915: Use memcpy_from_wc for GPU error capture
      drm/i915: Reorder phys backing storage release
      drm/i915: Retire before attempting to evict from the active lists
      drm/i915: Add a reminder that i915_vma_move_to_active() requires struct_mutex
      drm/i915: Move intel_lrc_context_pin() to avoid the forward declaration
      drm/i915: Unify active context tracking between legacy/execlists/guc
      drm/i915: Simplify releasing context reference
      drm/i915: Mark the shadow gvt context as closed
      drm/i915/execlists: Request the kernel context be pinned high
      drm/i915: Swap if(enable_execlists) in i915_gem_request_alloc for a vfunc
      drm/i915: Drop mutex after successful kref_put_mutex()
      drm/i915/breadcrumbs: s/container_of/rb_entry/
      drm/i915: Fallback to single PAGE_SIZE segments for DMA remapping
      drm/i915: Add a test that we terminate the trimmed sgtable as expected
      drm/i915: Break after walking all GGTT vma in bump_inactive_ggtt
      drm/i915: Repeat flush of idle work during suspend
      drm/i915: Don't clflush before release phys object
      drm/i915: Silence allocation failure during sg_trim()
      drm/i915: Assert that the partial VMA fits within the object
      drm/i915: Prevent timeline updates whilst performing reset
      drm/i915/guc: Assert that all GGTT offsets used by the GuC are mappable
      drm/i915: Move assert of page pin vs bind count into i915_vma_unbind
      drm/i915: Fix kerneldoc for i915_gem_object_pin_map()
      drm/i915: Complete kerneldoc for struct i915_gem_context
      drm/i915: Drop kerneldoc markup from non-kerneldoc enum drrs_refresh_rate_type
      drm/i915: Update comment in vlv_set_rps_idle()
      drm/i915: Revoke fenced GTT mmapings across GPU reset
      drm/i915: Assert all timeline requests are gone before fini
      drm/i915: Assert that we do create the deferred context
      drm/i915/execlists: Reorder execlists register enabling
      drm/i915: Move a few utility macros into a separate header
      drm/i915/guc: Exclude the upper end of the Global GTT for the GuC
      drm/i915: Clear ret before unbinding in i915_gem_evict_something()
      drm/i915: Move a few more utility macros to i915_utils.h
      drm/i915: Only skip requests once a context is banned
      drm/i915: Consolidate checks for memcpy-from-wc support
      drm/i915: Use phys_addr_t for the address of stolen memory
      drm/i915: Use fixed-sized types for stolen
      drm/i915: Use range_overflows()
      drm/i915: Simplify testing for am-I-the-kernel-context?
      drm/i915: Fix phys pwrite for struct_mutex-less operation
      drm/i915: Purge loose pages if we run out of DMA remap space
      drm/i915: Drain freed objects for mmap space exhaustion

Daniel Vetter (9):
      drm/i915: Remove dummy i915_kick_out_firmware_fb
      drm/i915: Update DRIVER_DATE to 20161205
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: tune down the fast link training vs boot fail
      drm/i915: Update DRIVER_DATE to 20161226
      drm/i915: Update comment that sets I915_MODE_FLAG_INHERITED
      Merge tag 'v4.10-rc2' into drm-intel-next-queued
      Merge tag 'drm-misc-next-2016-12-30' of git://anongit.freedesktop.org/git/drm-misc into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20170109

Daniele Ceraolo Spurio (2):
      drm/i915: request ring to be pinned above GUC_WOPCM_TOP
      drm/i915: re-use computed offset bias for context pin

Deepak M (1):
      drm/i915/glk: Add new bit fields in MIPI CTRL register

Dhinakaran Pandiyan (2):
      drm/i915: Fix DP link rate math
      drm/i915: Validate mode against max. link data rate for DP MST

Elaine Wang (1):
      drm/i915: Check num_pipes before initializing audio component

Geliang Tang (1):
      drm/i915/debugfs: use rb_entry()

Hans de Goede (4):
      drm/i915/dsi: Do not clear DPOUNIT_CLOCK_GATE_DISABLE from vlv_init_display_clock_gating
      drm/i915/dsi: Fix swapping of MIPI_SEQ_DEASSERT_RESET / MIPI_SEQ_ASSERT_RESET
      drm/i915/dsi: Fix chv_exec_gpio disabling the GPIOs it is setting
      drm/i915/dsi: Move disable pll call outside of clear_device_ready()

Imre Deak (10):
      drm/i915/lspcon: Ensure AUX CH is awake while in DP Sleep state
      drm/i915/lspcon: Add dp_to_lspcon helper()
      drm/i915/lspcon: Wait for expected LSPCON mode to settle
      drm/i915/lspcon: Remove unused force change mode parameter
      drm/i915/lspcon: Enable AUX interrupts for resume time initialization
      drm/i915/gen6+: Clear upper data byte during PCODE write
      drm/i915: Add I2C and DP-AUX char devices to debug kconfig
      drm/i915/gen9: Fix PCODE polling during CDCLK change notification
      drm/i915/gen9: Fix PCODE polling during SAGV disabling
      drm/i915/ddi: Rely on VBT DDI port info for eDP detection

Jani Nikula (9):
      drm/i915: replace platform flags with a platform enum
      drm/i915: keep intel device info structs in gen based order
      drm/i915: rename BROADWATER and CRESTLINE to I965G and I965GM, respectively
      drm/i915: add some more "i" in platform names for consistency
      drm/i915: give G45 and GM45 their own platform enums
      drm/i915: use platform enum instead of duplicating PCI ID if possible
      drm/i915: distinguish G33 and Pineview from each other
      drm/i915/bxt: add bxt dsi gpio element support
      drm/i915: simplify check for I915G/I945G in bit 6 swizzling detection

Joonas Lahtinen (2):
      drm/i915: Rename i915_gem_timeline.next_seqno to .seqno
      drm/i915: Catch non-existent registers in find_fw_domain

Jérémy Lefaure (1):
      drm/i915: fix compilation warnings on maybe uninitialized pointers

Libin Yang (6):
      drm/i915/audio: fix hdmi audio noise issue
      drm/i915/debugfs: add dp mst info
      drm/i915: abstract ddi being audio enabled
      drm/i915: enable dp mst audio
      drm/i915/audio: extend get_saved_enc() to support more scenarios
      drm/i915/audio: extend audio sync rate support for DP MST

Maarten Lankhorst (1):
      drm/i915: Remove all ->config dereferences from intel_hdmi, v2.

Madhav Chauhan (1):
      drm/i915/glk: Update Port PLL enable sequence for Geminilkae

Mahesh Kumar (5):
      drm/i915/skl: Add variables to check x_tile and y_tile
      drm/i915/bxt: IPC WA for Broxton
      drm/i915/kbl: IPC workaround for kabylake
      drm/i915/skl+: change WM calc to fixed point 16.16
      drm/i915: Add intel_atomic_get_existing_crtc_state function

Manasi Navare (3):
      drm/i915: Compute sink's max lane count/link BW at Hotplug
      drm/i915: Find fallback link rate/lane count
      drm/i915: Move all the DP compliance data to a separate struct

Matthew Auld (9):
      drm/i915: cleanup use of INSTR_CLIENT_MASK
      drm/i915: kick out cmd_parser specific structs from i915_drv.h
      drm/i915: drop the struct_mutex when wedged or trying to reset
      drm/i915: make i915_suspend_switcheroo static
      drm/i915: allow GEM_BUG_ON expr checking with !DEBUG_GEM
      drm/i915: introduce GEM_WARN_ON
      drm/i915: move vma sanity checking into i915_vma_bind
      drm/i915: introduce range_overflows utility macros
      drm/i915: convert to using range_overflows

Michal Wajdeczko (2):
      drm/i915: Fix inconsistent naming of i915_guc_client parameter
      drm/i915/guc: Make intel_guc_recv static.

Michel Thierry (2):
      drm/i915: Advertise ppgtt support type in platform definition
      drm/i915: Keep has_* in alphabetical order

Mika Kahola (3):
      drm/i915: Intel panel detection cleanup
      drm/i915: Intel panel downclock cleanup
      drm/i915: clean up Hz to PWM for i965

Mika Kuoppala (8):
      drm/i915: Add more keywords to firmware loading message
      drm/i915: Split up hangcheck phases
      drm/i915: Decouple hang detection from hangcheck period
      drm/i915: Use request retirement as context progress
      drm/i915: Add bannable context parameter
      drm/i915: Add per client max context ban limit
      drm/i915: Wipe hang stats as an embedded struct
      drm/i915: Fix setting of boost freq tunable

Nabendu Maiti (1):
      drm/i915: Move number of scalers initialization to runtime init

Nagaraju, Vathsala (1):
      drm/i915/psr: report psr2 hw enabled from psr2_ctl

Nicholas Mc Guire (2):
      drm/i915: relax uncritical udelay_range() settings
      drm/i915: relax uncritical udelay_range()

Paulo Zanoni (7):
      drm/i915: disable PSR by default on HSW/BDW
      drm/i915: skip the first 4k of stolen memory on everything >= gen8
      drm/i915: fully apply WaSkipStolenMemoryFirstPage
      drm/i915: fix INTEL_BDW_IDS definition
      drm/i915: more .is_mobile cleanups for BDW
      drm/i915: actually drive the BDW reserved IDs
      drm/i915: enable FBC on gen9+ too

Robert Bragg (13):
      drm/i915: Add i915 perf infrastructure
      drm/i915: rename OACONTROL GEN7_OACONTROL
      drm/i915: return EACCES for check_cmd() failures
      drm/i915: don't whitelist oacontrol in cmd parser
      drm/i915: Add 'render basic' Haswell OA unit config
      drm/i915: Enable i915 perf stream for Haswell OA unit
      drm/i915: advertise available metrics via sysfs
      drm/i915: Add dev.i915.perf_stream_paranoid sysctl option
      drm/i915: add dev.i915.oa_max_sample_rate sysctl
      drm/i915: Add more Haswell OA metric sets
      drm/i915: Add a kerneldoc summary for i915_perf.c
      drm/i915/perf: use DRM_DEBUG for userspace issues
      drm/i915/perf: More documentation hooked to i915.rst

Rodrigo Vivi (7):
      drm/i915: Create a common GEN9_LP_FEATURE.
      drm/i915: Only poll DW3_A when init DDI PHY for ports B and C.
      drm/i915: Expand is_lp backwards to gen8_lp and gen7_lp.
      drm/i915: Rename get stolen functions for LP platforms chv+
      drm/i915: Simplify gem stolen initialization.
      drm/i915: Remove useless VLV_FEATURE Macro.
      drm/i915: Update SKL SRV GT4 pci ids reference.

Tomeu Vizoso (1):
      drm/i915/debugfs: Move out pipe CRC code

Tvrtko Ursulin (17):
      drm/i915/guc: Remove unused intel_guc_fw struct member
      drm/i915: i915_gem_alloc_context_obj can be static
      drm/i915: Make GEM object alloc/free and stolen created take dev_priv
      drm/i915: Make GEM object create and create from data take dev_priv
      drm/i915: Make various init functions take dev_priv
      drm/i915: More GEM init dev_priv cleanup
      drm/i915: dev_priv cleanup in bridge/bar/mmio init code
      drm/i915: Unexport VGA switcheroo functions
      drm/i915: Make gmbus setup take dev_priv
      drm/i915: Make i915_destroy_error_state take dev_priv
      drm/i915: Make i915_save/restore_state and intel_i2c_reset take dev_priv
      drm/i915: Make intel_pm_setup take dev_priv
      drm/i915: Fix kerneldoc for intel_guc_fini
      drm/i915: Shrink pipe config checker
      drm/i915: Use DRM_DEV_ERROR in i915_driver_load
      drm/i915: Optimise VMA lookup slightly
      drm/i915: Fix use after free in logical_render_ring_init

Vidya Srinivas (1):
      drm/i915: Parse panel backlight controller from VBT

Ville Syrjälä (40):
      drm/i915: Make skl_write_{plane,cursor}_wm() static
      drm/i915: Add per-pipe plane identifier
      drm/i915: Add crtc->plane_ids_mask
      drm/i915: Use enum plane_id in SKL wm code
      drm/i915: Use enum plane_id in SKL plane code
      drm/i915: Use enum plane_id in VLV/CHV sprite code
      drm/i915: Use enum plane_id in VLV/CHV wm code
      drm/i915: Fix cdclk vs. dev_cdclk mess when not recomputing things
      drm/i915: Protect dev_priv->atomic_cdclk_freq with all the crtc locks
      drm/i915: Simplify error handling in intel_modeset_all_pipes()
      drm/i915: Initialize dev_priv->atomic_cdclk_freq at init time
      drm/i915: Drop the nop intel_update_watermarks() call from haswell_crtc_enable()
      drm/i915: Use the ilk_disable_lp_wm() return value
      drm/i915: Fix the level 0 max_wm hack on VLV/CHV
      drm/i915: Clean up VLV/CHV maxfifo watermark setup
      drm/i915: Remove duplicated wm setup for vlv and chv
      drm/i915: Organize vlv/chv watermarks by plane_id
      drm/i915: Introduce vlv_invert_wm_value()
      drm/i915: Pass around dev_priv in vlv wm functions
      drm/i915: Protect cxsr state with wm_mutex
      drm/i915: Skip vblank wait if cxsr was already off
      drm/i915: Zero out HOWM registers before writing new WM/HOWM register values
      drm/i915: Write all DDL registers in one go
      drm/i915: Clean up vlv_program_watermarks()
      drm/i915: Pass crtc state to vlv_compute_wm_level()
      drm/i915: Protect DSPARB registers with a spinlock
      drm/i915: Prevent PPS stealing from a normal DP port on VLV/CHV
      drm/i915: Force VDD off on the new power seqeuencer before starting to use it
      drm/i915: Move the min_pixclk[] handling to the end of readout
      drm/i915: Initialize overlay->last_flip properly
      drm/i915: Fix oopses in the overlay code due to i915_gem_active stuff
      drm/i915: Fix oops in overlay due to frontbuffer tracking
      drm/i915: Fix the overlay frontbuffer tracking
      drm/i915: Use pipe_src_w in overlay code
      drm/i915: Kill intel_panel_fitter_pipe()
      drm/i915: Simplify SWIDTHSW calculation
      drm/i915: Reorganize overlay filter coeffs into a nicer form
      drm/i915: Use primary plane->state for overlay ckey setup
      drm/i915: Disable L2 cache clock gating on 830 when using the overlay
      drm/i915: Kill the 830 MI_OVERLAY_OFF workaround

Vincente Tsou (1):
      drm/915: Parsing the missed out DTD fields from the VBT

Zhi Wang (1):
      drm/i915: Move the release of PT page to the upper caller

 .../bindings/display/bridge/ti,ths8135.txt         |   46 +
 .../bindings/display/hisilicon/hisi-ade.txt        |    2 +-
 Documentation/dma-buf-sharing.txt                  |  482 -----
 Documentation/driver-api/dma-buf.rst               |   92 +
 Documentation/gpu/i915.rst                         |  103 +
 MAINTAINERS                                        |    2 +-
 drivers/char/agp/intel-gtt.c                       |    6 +-
 drivers/dma-buf/dma-buf.c                          |  208 +-
 drivers/dma-buf/sync_file.c                        |   15 +-
 drivers/gpu/drm/Kconfig                            |   19 +
 drivers/gpu/drm/Makefile                           |    2 +
 drivers/gpu/drm/amd/amdgpu/amdgpu_display.c        |    2 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_fb.c             |    4 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_irq.c            |    6 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_mode.h           |    1 +
 drivers/gpu/drm/amd/amdgpu/dce_v10_0.c             |    6 +-
 drivers/gpu/drm/amd/amdgpu/dce_v11_0.c             |    6 +-
 drivers/gpu/drm/amd/amdgpu/dce_v6_0.c              |    6 +-
 drivers/gpu/drm/amd/amdgpu/dce_v8_0.c              |    6 +-
 drivers/gpu/drm/arc/arcpgu_crtc.c                  |    3 +-
 drivers/gpu/drm/arc/arcpgu_hdmi.c                  |    5 +-
 drivers/gpu/drm/arm/hdlcd_crtc.c                   |   18 +-
 drivers/gpu/drm/arm/malidp_planes.c                |   10 +-
 drivers/gpu/drm/armada/armada_crtc.c               |    9 +-
 drivers/gpu/drm/armada/armada_fb.c                 |    2 +-
 drivers/gpu/drm/armada/armada_fbdev.c              |    5 +-
 drivers/gpu/drm/armada/armada_overlay.c            |    4 +-
 drivers/gpu/drm/ast/ast_drv.h                      |    1 +
 drivers/gpu/drm/ast/ast_fb.c                       |    4 +-
 drivers/gpu/drm/ast/ast_main.c                     |    2 +-
 drivers/gpu/drm/ast/ast_mode.c                     |   16 +-
 drivers/gpu/drm/atmel-hlcdc/atmel_hlcdc_layer.c    |    2 +-
 drivers/gpu/drm/atmel-hlcdc/atmel_hlcdc_output.c   |    4 +-
 drivers/gpu/drm/atmel-hlcdc/atmel_hlcdc_plane.c    |   22 +-
 drivers/gpu/drm/bochs/bochs.h                      |    1 +
 drivers/gpu/drm/bochs/bochs_fbdev.c                |    2 +-
 drivers/gpu/drm/bochs/bochs_mm.c                   |    2 +-
 drivers/gpu/drm/bridge/analogix/analogix_dp_core.c |    9 +-
 drivers/gpu/drm/bridge/dumb-vga-dac.c              |    1 +
 drivers/gpu/drm/bridge/dw-hdmi.c                   |    3 +-
 drivers/gpu/drm/cirrus/cirrus_drv.h                |    1 +
 drivers/gpu/drm/cirrus/cirrus_fbdev.c              |    6 +-
 drivers/gpu/drm/cirrus/cirrus_main.c               |    2 +-
 drivers/gpu/drm/cirrus/cirrus_mode.c               |    9 +-
 drivers/gpu/drm/drm_atomic.c                       |   26 +-
 drivers/gpu/drm/drm_atomic_helper.c                |  115 +-
 drivers/gpu/drm/drm_bridge.c                       |   59 +-
 drivers/gpu/drm/drm_connector.c                    |  229 ++-
 drivers/gpu/drm/drm_crtc.c                         |    9 +-
 drivers/gpu/drm/drm_crtc_helper.c                  |   53 +-
 drivers/gpu/drm/drm_crtc_internal.h                |    9 +
 drivers/gpu/drm/drm_debugfs.c                      |    1 +
 drivers/gpu/drm/drm_drv.c                          |   11 +-
 drivers/gpu/drm/drm_edid.c                         |    1 +
 drivers/gpu/drm/drm_encoder.c                      |   17 +-
 drivers/gpu/drm/drm_fb_cma_helper.c                |   11 +-
 drivers/gpu/drm/drm_fb_helper.c                    |   28 +-
 drivers/gpu/drm/drm_fops.c                         |    2 +-
 drivers/gpu/drm/drm_framebuffer.c                  |   53 +-
 drivers/gpu/drm/drm_internal.h                     |    8 +-
 drivers/gpu/drm/drm_ioctl.c                        |   23 +-
 drivers/gpu/drm/drm_irq.c                          |   30 +-
 drivers/gpu/drm/drm_mm.c                           |  571 +++--
 drivers/gpu/drm/drm_mode_config.c                  |  145 +-
 drivers/gpu/drm/drm_mode_object.c                  |    3 +-
 drivers/gpu/drm/drm_modeset_helper.c               |   25 +-
 drivers/gpu/drm/drm_of.c                           |    1 +
 drivers/gpu/drm/drm_plane.c                        |   14 +-
 drivers/gpu/drm/drm_plane_helper.c                 |    6 +-
 drivers/gpu/drm/drm_probe_helper.c                 |   18 +-
 drivers/gpu/drm/drm_simple_kms_helper.c            |   21 +-
 drivers/gpu/drm/etnaviv/etnaviv_drv.c              |    2 +-
 drivers/gpu/drm/etnaviv/etnaviv_mmu.c              |    9 +-
 drivers/gpu/drm/exynos/exynos5433_drm_decon.c      |    6 +-
 drivers/gpu/drm/exynos/exynos7_drm_decon.c         |    8 +-
 drivers/gpu/drm/exynos/exynos_dp.c                 |    5 +-
 drivers/gpu/drm/exynos/exynos_drm_dsi.c            |    6 +-
 drivers/gpu/drm/exynos/exynos_drm_fb.c             |    2 +-
 drivers/gpu/drm/exynos/exynos_drm_fbdev.c          |    6 +-
 drivers/gpu/drm/exynos/exynos_drm_fimd.c           |    4 +-
 drivers/gpu/drm/exynos/exynos_mixer.c              |   12 +-
 drivers/gpu/drm/fsl-dcu/fsl_dcu_drm_drv.c          |    3 +-
 drivers/gpu/drm/fsl-dcu/fsl_dcu_drm_drv.h          |    2 +
 drivers/gpu/drm/fsl-dcu/fsl_dcu_drm_plane.c        |    4 +-
 drivers/gpu/drm/fsl-dcu/fsl_dcu_drm_rgb.c          |    5 +-
 drivers/gpu/drm/gma500/accel_2d.c                  |    2 +-
 drivers/gpu/drm/gma500/framebuffer.c               |    6 +-
 drivers/gpu/drm/gma500/gma_display.c               |   13 +-
 drivers/gpu/drm/gma500/mdfld_intel_display.c       |   17 +-
 drivers/gpu/drm/gma500/oaktrail_crtc.c             |   13 +-
 drivers/gpu/drm/gma500/psb_intel_drv.h             |    1 +
 drivers/gpu/drm/hisilicon/hibmc/hibmc_drm_de.c     |    6 +-
 drivers/gpu/drm/hisilicon/hibmc/hibmc_drm_fbdev.c  |    2 +-
 drivers/gpu/drm/hisilicon/hibmc/hibmc_ttm.c        |    2 +-
 drivers/gpu/drm/hisilicon/kirin/dw_drm_dsi.c       |    5 +-
 drivers/gpu/drm/hisilicon/kirin/kirin_drm_ade.c    |   17 +-
 drivers/gpu/drm/hisilicon/kirin/kirin_drm_drv.c    |    8 +-
 drivers/gpu/drm/hisilicon/kirin/kirin_drm_drv.h    |    4 +-
 drivers/gpu/drm/i915/Kconfig.debug                 |   15 +
 drivers/gpu/drm/i915/Makefile                      |    9 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c             |    7 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |    5 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |    2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   10 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  174 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 1047 +---------
 drivers/gpu/drm/i915/i915_drv.c                    |  146 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  880 +++++---
 drivers/gpu/drm/i915/i915_gem.c                    |  286 +--
 drivers/gpu/drm/i915/i915_gem.h                    |    4 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  244 +--
 drivers/gpu/drm/i915/i915_gem_context.h            |  277 +++
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |    2 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |  165 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   10 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c          |   36 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  193 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   21 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |    4 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |   75 +-
 drivers/gpu/drm/i915/i915_gem_request.h            |   30 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  119 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |    2 +-
 drivers/gpu/drm/i915/i915_gem_timeline.c           |   16 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |    2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  115 +-
 drivers/gpu/drm/i915/i915_guc_reg.h                |    7 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |  368 ++--
 drivers/gpu/drm/i915/i915_irq.c                    |   14 +-
 drivers/gpu/drm/i915/i915_oa_hsw.c                 |  752 +++++++
 drivers/gpu/drm/i915/i915_oa_hsw.h                 |   38 +
 drivers/gpu/drm/i915/i915_params.c                 |    6 +-
 drivers/gpu/drm/i915/i915_params.h                 |    2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |  183 +-
 drivers/gpu/drm/i915/i915_perf.c                   | 2096 +++++++++++++++++++
 drivers/gpu/drm/i915/i915_reg.h                    |  462 ++++-
 drivers/gpu/drm/i915/i915_suspend.c                |   16 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |  140 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    6 +
 drivers/gpu/drm/i915/i915_sysfs.c                  |    4 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   34 +-
 drivers/gpu/drm/i915/i915_utils.h                  |   64 +
 drivers/gpu/drm/i915/i915_vma.c                    |   52 +-
 drivers/gpu/drm/i915/i915_vma.h                    |   18 +-
 drivers/gpu/drm/i915/intel_atomic.c                |   31 -
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   51 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   39 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   27 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   10 +-
 drivers/gpu/drm/i915/intel_crt.c                   |    7 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    4 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |   70 +-
 drivers/gpu/drm/i915/intel_device_info.c           |   53 +-
 drivers/gpu/drm/i915/intel_display.c               |  776 ++++---
 drivers/gpu/drm/i915/intel_dp.c                    |  355 ++--
 drivers/gpu/drm/i915/intel_dp_mst.c                |   28 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c              |  130 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |  351 +++-
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |  178 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  103 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |   46 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c         |   38 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c               |   18 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    9 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   28 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |   18 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   14 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   55 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |   49 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  256 ++-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  184 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   22 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  216 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |    9 +-
 drivers/gpu/drm/i915/intel_lspcon.c                |   94 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    8 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |    7 +-
 drivers/gpu/drm/i915/intel_mocs.h                  |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c               |  291 +--
 drivers/gpu/drm/i915/intel_panel.c                 |   32 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c              |  939 +++++++++
 drivers/gpu/drm/i915/intel_pm.c                    |  843 ++++----
 drivers/gpu/drm/i915/intel_psr.c                   |    6 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   85 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   66 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  163 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   21 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  131 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    4 +-
 drivers/gpu/drm/i915/intel_uc.c                    |  142 ++
 drivers/gpu/drm/i915/{intel_guc.h => intel_uc.h}   |   41 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   14 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |   12 +-
 drivers/gpu/drm/imx/imx-ldb.c                      |    8 +-
 drivers/gpu/drm/imx/ipuv3-plane.c                  |   40 +-
 drivers/gpu/drm/imx/parallel-display.c             |    6 +-
 drivers/gpu/drm/lib/drm_random.c                   |   41 +
 drivers/gpu/drm/lib/drm_random.h                   |   25 +
 drivers/gpu/drm/mediatek/mtk_dpi.c                 |    8 +-
 drivers/gpu/drm/mediatek/mtk_drm_drv.c             |    3 +-
 drivers/gpu/drm/mediatek/mtk_drm_fb.c              |    2 +-
 drivers/gpu/drm/mediatek/mtk_drm_plane.c           |    4 +-
 drivers/gpu/drm/mediatek/mtk_dsi.c                 |   24 +-
 drivers/gpu/drm/mediatek/mtk_hdmi.c                |   11 +-
 drivers/gpu/drm/meson/meson_plane.c                |    2 +-
 drivers/gpu/drm/mgag200/mgag200_drv.h              |    1 +
 drivers/gpu/drm/mgag200/mgag200_fb.c               |    4 +-
 drivers/gpu/drm/mgag200/mgag200_main.c             |    2 +-
 drivers/gpu/drm/mgag200/mgag200_mode.c             |   23 +-
 drivers/gpu/drm/msm/dsi/dsi_manager.c              |   17 +-
 drivers/gpu/drm/msm/edp/edp_bridge.c               |    2 +-
 drivers/gpu/drm/msm/hdmi/hdmi_bridge.c             |    2 +-
 drivers/gpu/drm/msm/mdp/mdp4/mdp4_plane.c          |    2 +-
 drivers/gpu/drm/msm/mdp/mdp5/mdp5_plane.c          |    2 +-
 drivers/gpu/drm/msm/msm_fb.c                       |   12 +-
 drivers/gpu/drm/msm/msm_fbdev.c                    |    2 +-
 drivers/gpu/drm/mxsfb/mxsfb_crtc.c                 |    2 +-
 drivers/gpu/drm/mxsfb/mxsfb_drv.c                  |    4 +-
 drivers/gpu/drm/nouveau/dispnv04/crtc.c            |   17 +-
 drivers/gpu/drm/nouveau/dispnv04/dfp.c             |    3 +-
 drivers/gpu/drm/nouveau/dispnv04/overlay.c         |    8 +-
 drivers/gpu/drm/nouveau/nouveau_connector.c        |    5 +-
 drivers/gpu/drm/nouveau/nouveau_connector.h        |    1 +
 drivers/gpu/drm/nouveau/nouveau_display.c          |   10 +-
 drivers/gpu/drm/nouveau/nouveau_fbcon.c            |    6 +-
 drivers/gpu/drm/nouveau/nouveau_ttm.c              |   28 +-
 drivers/gpu/drm/nouveau/nv50_display.c             |   14 +-
 drivers/gpu/drm/omapdrm/omap_fb.c                  |   12 +-
 drivers/gpu/drm/omapdrm/omap_fbdev.c               |    2 +-
 drivers/gpu/drm/qxl/qxl_display.c                  |    2 +-
 drivers/gpu/drm/qxl/qxl_draw.c                     |    2 +-
 drivers/gpu/drm/qxl/qxl_drv.h                      |    1 +
 drivers/gpu/drm/qxl/qxl_fb.c                       |    5 +-
 drivers/gpu/drm/radeon/atombios_crtc.c             |   19 +-
 drivers/gpu/drm/radeon/r100.c                      |   10 +-
 drivers/gpu/drm/radeon/radeon_display.c            |    8 +-
 drivers/gpu/drm/radeon/radeon_fb.c                 |    4 +-
 drivers/gpu/drm/radeon/radeon_irq_kms.c            |   12 +-
 drivers/gpu/drm/radeon/radeon_legacy_crtc.c        |   16 +-
 drivers/gpu/drm/radeon/radeon_mode.h               |    1 +
 drivers/gpu/drm/rcar-du/rcar_du_encoder.h          |    1 +
 drivers/gpu/drm/rcar-du/rcar_du_hdmienc.c          |    5 +-
 drivers/gpu/drm/rcar-du/rcar_du_plane.c            |    4 +-
 drivers/gpu/drm/rcar-du/rcar_du_vsp.c              |    4 +-
 drivers/gpu/drm/rockchip/rockchip_drm_fb.c         |    2 +-
 drivers/gpu/drm/rockchip/rockchip_drm_fbdev.c      |    5 +-
 drivers/gpu/drm/rockchip/rockchip_drm_vop.c        |   22 +-
 drivers/gpu/drm/selftests/Makefile                 |    1 +
 drivers/gpu/drm/selftests/drm_mm_selftests.h       |   23 +
 drivers/gpu/drm/selftests/drm_selftest.c           |  109 +
 drivers/gpu/drm/selftests/drm_selftest.h           |   41 +
 drivers/gpu/drm/selftests/test-drm_mm.c            | 2172 ++++++++++++++++++++
 drivers/gpu/drm/shmobile/shmob_drm_crtc.c          |    6 +-
 drivers/gpu/drm/shmobile/shmob_drm_crtc.h          |    1 +
 drivers/gpu/drm/shmobile/shmob_drm_plane.c         |    4 +-
 drivers/gpu/drm/sti/sti_dvo.c                      |    3 +-
 drivers/gpu/drm/sti/sti_gdp.c                      |   10 +-
 drivers/gpu/drm/sti/sti_hda.c                      |    3 +-
 drivers/gpu/drm/sti/sti_hdmi.c                     |    3 +-
 drivers/gpu/drm/sti/sti_hqvdp.c                    |    2 +-
 drivers/gpu/drm/sun4i/sun4i_backend.c              |    5 +-
 drivers/gpu/drm/sun4i/sun4i_rgb.c                  |   13 +-
 drivers/gpu/drm/tegra/dc.c                         |    8 +-
 drivers/gpu/drm/tegra/drm.c                        |    5 +-
 drivers/gpu/drm/tegra/drm.h                        |    1 +
 drivers/gpu/drm/tegra/fb.c                         |    6 +-
 drivers/gpu/drm/tilcdc/tilcdc_crtc.c               |    4 +-
 drivers/gpu/drm/tilcdc/tilcdc_external.c           |    4 +-
 drivers/gpu/drm/tilcdc/tilcdc_plane.c              |    4 +-
 drivers/gpu/drm/ttm/ttm_bo_manager.c               |   10 +-
 drivers/gpu/drm/udl/udl_fb.c                       |    6 +-
 drivers/gpu/drm/vc4/vc4_drv.h                      |    2 +
 drivers/gpu/drm/vc4/vc4_plane.c                    |    8 +-
 drivers/gpu/drm/virtio/virtgpu_display.c           |    3 +-
 drivers/gpu/drm/virtio/virtgpu_drv.h               |    1 +
 drivers/gpu/drm/virtio/virtgpu_fb.c                |    4 +-
 drivers/gpu/drm/virtio/virtgpu_ttm.c               |   10 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_fb.c                 |   11 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_gmrid_manager.c      |   10 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_kms.c                |    4 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_kms.h                |    1 +
 drivers/gpu/drm/vmwgfx/vmwgfx_ldu.c                |    5 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_scrn.c               |    4 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_stdu.c               |    2 +-
 drivers/gpu/drm/zte/zx_plane.c                     |    4 +-
 include/drm/drmP.h                                 |   13 +
 include/drm/drm_atomic.h                           |    8 +-
 include/drm/drm_atomic_helper.h                    |    3 -
 include/drm/drm_auth.h                             |   17 +-
 include/drm/drm_bridge.h                           |    4 +-
 include/drm/drm_connector.h                        |   79 +-
 include/drm/drm_crtc.h                             |    8 -
 include/drm/drm_encoder.h                          |    7 +-
 include/drm/drm_encoder_slave.h                    |    1 +
 include/drm/drm_framebuffer.h                      |   27 +-
 include/drm/drm_mm.h                               |  300 ++-
 include/drm/drm_mode_config.h                      |   12 +-
 include/drm/drm_modeset_helper.h                   |    3 +-
 include/drm/drm_modeset_helper_vtables.h           |    1 +
 include/drm/drm_simple_kms_helper.h                |    2 -
 include/drm/i915_pciids.h                          |   21 +-
 include/drm/intel-gtt.h                            |    6 +-
 include/linux/dma-buf.h                            |  224 +-
 include/linux/kref.h                               |    2 +-
 include/linux/prime_numbers.h                      |   37 +
 include/linux/reservation.h                        |   34 +
 include/uapi/drm/drm_fourcc.h                      |   11 +
 include/uapi/drm/i915_drm.h                        |  135 ++
 lib/Kconfig                                        |    7 +
 lib/Makefile                                       |    2 +
 lib/prime_numbers.c                                |  314 +++
 tools/testing/selftests/drivers/gpu/drm_mm.sh      |   15 +
 tools/testing/selftests/lib/prime_numbers.sh       |   15 +
 314 files changed, 15155 insertions(+), 6196 deletions(-)
 create mode 100644 Documentation/devicetree/bindings/display/bridge/ti,ths8135.txt
 delete mode 100644 Documentation/dma-buf-sharing.txt
 create mode 100644 drivers/gpu/drm/i915/i915_gem_context.h
 create mode 100644 drivers/gpu/drm/i915/i915_oa_hsw.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_hsw.h
 create mode 100644 drivers/gpu/drm/i915/i915_perf.c
 create mode 100644 drivers/gpu/drm/i915/i915_utils.h
 create mode 100644 drivers/gpu/drm/i915/intel_pipe_crc.c
 create mode 100644 drivers/gpu/drm/i915/intel_uc.c
 rename drivers/gpu/drm/i915/{intel_guc.h => intel_uc.h} (85%)
 create mode 100644 drivers/gpu/drm/lib/drm_random.c
 create mode 100644 drivers/gpu/drm/lib/drm_random.h
 create mode 100644 drivers/gpu/drm/selftests/Makefile
 create mode 100644 drivers/gpu/drm/selftests/drm_mm_selftests.h
 create mode 100644 drivers/gpu/drm/selftests/drm_selftest.c
 create mode 100644 drivers/gpu/drm/selftests/drm_selftest.h
 create mode 100644 drivers/gpu/drm/selftests/test-drm_mm.c
 create mode 100644 include/linux/prime_numbers.h
 create mode 100644 lib/prime_numbers.c
 create mode 100755 tools/testing/selftests/drivers/gpu/drm_mm.sh
 create mode 100755 tools/testing/selftests/lib/prime_numbers.sh

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-12-30 10:37 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-12-30 10:37 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-12-26:
2nd round of stuff for 4.11:

- DP link rate fixes (DK)
- prep work for atomic wm updates on vlv/chv (Ville)
- platform enumeration cleanup (Jani)
- dsi fixes and cleaups (Hans de Goede)
- gen9 wm fixes (Mahesh Kumar)
- prep work for DP link failure fallback handling (Manasi)
- introduce GEM_WARN_ON (Matthew Auld)
- overlay fixes and cleanups (Ville)
- make is_lp apply to all modern/gen7+ atom-based platforms (Rodrigo)

Tons of small polish, fixes and cleanups all over. This time around
this is about half the patches!
drm-intel-next-2016-12-05:
First round of stuff for 4.10!

- refactor hangcheck/ban/reset stats code in prep for TDR (Mika)
- much more fancy perf monitoring support (Robert Bragg)
- lspcon fixes (Imre)
- rework plane ids to unconfuse the code (Ville)
- fix up cdclck/atomic state handling (Ville)
- debugobjects support for i915 fences (Chris)
- guc code cleanup (Arkadiusz Hiler)
- dp mst enabling, one more attempt (Libin)
- bugfixes for request resubmission after hangs (Chris)
- add basic geminilake support (Ander)
- switch more internal functions from drm_device to dev_priv (Tvrtko)

As mentioned already, will conflict in a few places with -misc, but
nothing too bad. drm-tip has the well-tested merge solutions, for peeking.

Cheers, Daniel


The following changes since commit f03ee46be9401e3434f52bb15e92d1e640f76438:

  Backmerge tag 'v4.9-rc8' into drm-next (2016-12-05 17:11:48 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2016-12-26

for you to fetch changes up to f061ff077e657b27d7e24e31b1da484b2376348d:

  drm/i915: Update DRIVER_DATE to 20161226 (2016-12-26 16:48:25 +0100)

----------------------------------------------------------------
2nd round of stuff for 4.11:

- DP link rate fixes (DK)
- prep work for atomic wm updates on vlv/chv (Ville)
- platform enumeration cleanup (Jani)
- dsi fixes and cleaups (Hans de Goede)
- gen9 wm fixes (Mahesh Kumar)
- prep work for DP link failure fallback handling (Manasi)
- introduce GEM_WARN_ON (Matthew Auld)
- overlay fixes and cleanups (Ville)
- make is_lp apply to all modern/gen7+ atom-based platforms (Rodrigo)

Tons of small polish, fixes and cleanups all over. This time around
this is about half the patches!

----------------------------------------------------------------
A.Sunil Kamath (1):
      drm/i915: Use num_scalers instead of SKL_NUM_SCALERS in debugfs

Ander Conselvan de Oliveira (14):
      drm/i915: Pass dev_priv to intel_setup_outputs()
      drm/i915: Don't sanitize has_decoupled_mmio if platform is not broxton
      drm/i915/glk: Introduce Geminilake platform definition
      drm/i915/glk: Add Geminilake PCI IDs
      drm/i915/glk: Add a IS_GEN9_LP() macro
      drm/i915: Fix intel_psr_init() kerneldoc
      drm/i915/glk: Reuse broxton code for geminilake
      drm/i915/glk: Add power wells for Geminilake
      drm/i915/glk: Implement Geminilake DDI init sequence
      drm/i915/glk: Set DCC delay range 2 in PLL enable sequence
      drm/i915/glk: Reuse broxton's cdclk code for GLK
      drm/i915/glk: Allow dotclock up to 2 * cdclk on geminilake
      drm/i915/glk: Implement core display init/uninit sequence for geminilake
      drm/i915/glk: Configure number of sprite planes properly

Arkadiusz Hiler (6):
      drm/i915: Rename intel_guc.h to intel_uc.h
      drm/i915/guc: Drop guc2host/host2guc from names
      drm/i915/guc: Move guc_{send,recv}() to intel_uc.c
      drm/i915/guc: Init send_mutex in intel_uc_init_early()
      drm/i915/guc: Remove spurious include
      drm/i915/guc: Drop comment on fwif autogeneration

Bob Paauwe (1):
      drm/i915/bxt: Correct dual-link MIPI port control.

Chris Wilson (51):
      drm/i915: Use user, not driver, DRM_DEBUG for 2 context ioctls
      drm/i915: Add a warning on shutdown if signal threads still active
      drm/i915: Don't deref context->file_priv ERR_PTR upon reset
      drm/i915: Disable hangcheck when wedged
      drm/i915: Complete requests in nop_submit_request
      drm/i915: Stop the machine as we install the wedged submit_request handler
      drm/i915/debugfs: Drop i915_hws_info
      drm/i915/debugfs: Increment return value of gt.next_seqno
      drm/i915: Use the precomputed value for whether to enable command parsing
      drm/i915/debugfs: Update pageflip information
      drm/i915: Add is-completed assert to request retire entrypoint
      drm/i915: Assert no external observers when unwind a failed request alloc
      drm/i915: Hold a reference on the request for its fence chain
      drm/i915: Integrate i915_sw_fence with debugobjects
      drm/i915: Move priority bumping for flips earlier
      Revert "drm/i915/execlists: Use a local lock for dfs_link access"
      drm/i915: Convert vm->dev backpointer to vm->i915
      drm/i915/perf: Wrap 64bit divides in do_div()
      drm/i915: Fix tracepoint compilation
      drm/i915: Trim i915_guc_info() stack usage
      drm/i915/guc: Rename client->cookie to match use
      drm/i915/guc: Initialise doorbell cookie to matching value
      drm/i915/guc: Keep the execbuf client allocated across reset
      drm/i915/guc: Split hw submission for replay after GPU reset
      drm/i915/perf: Treat u64 in uabi as a normal integer
      drm/i915: Mark all non-vma being inserted into the address spaces
      drm/i915: Fix i915_gem_evict_for_vma (soft-pinning)
      drm/i915: Tidy i915_gem_valid_gtt_space()
      drm/i915: Implement local atomic_state_free callback
      drm/i915: Enable swfence debugobject support for i915.ko
      drm/i915/execlists: Use list_safe_reset_next() instead of opencoding
      drm/i915: Use memcpy_from_wc for GPU error capture
      drm/i915: Reorder phys backing storage release
      drm/i915: Retire before attempting to evict from the active lists
      drm/i915: Add a reminder that i915_vma_move_to_active() requires struct_mutex
      drm/i915: Move intel_lrc_context_pin() to avoid the forward declaration
      drm/i915: Unify active context tracking between legacy/execlists/guc
      drm/i915: Simplify releasing context reference
      drm/i915: Mark the shadow gvt context as closed
      drm/i915/execlists: Request the kernel context be pinned high
      drm/i915: Swap if(enable_execlists) in i915_gem_request_alloc for a vfunc
      drm/i915: Drop mutex after successful kref_put_mutex()
      drm/i915/breadcrumbs: s/container_of/rb_entry/
      drm/i915: Fallback to single PAGE_SIZE segments for DMA remapping
      drm/i915: Add a test that we terminate the trimmed sgtable as expected
      drm/i915: Break after walking all GGTT vma in bump_inactive_ggtt
      drm/i915: Repeat flush of idle work during suspend
      drm/i915: Don't clflush before release phys object
      drm/i915: Silence allocation failure during sg_trim()
      drm/i915: Assert that the partial VMA fits within the object
      drm/i915: Prevent timeline updates whilst performing reset

Daniel Vetter (5):
      drm/i915: Remove dummy i915_kick_out_firmware_fb
      drm/i915: Update DRIVER_DATE to 20161205
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: tune down the fast link training vs boot fail
      drm/i915: Update DRIVER_DATE to 20161226

Daniele Ceraolo Spurio (2):
      drm/i915: request ring to be pinned above GUC_WOPCM_TOP
      drm/i915: re-use computed offset bias for context pin

Deepak M (1):
      drm/i915/glk: Add new bit fields in MIPI CTRL register

Dhinakaran Pandiyan (2):
      drm/i915: Fix DP link rate math
      drm/i915: Validate mode against max. link data rate for DP MST

Elaine Wang (1):
      drm/i915: Check num_pipes before initializing audio component

Geliang Tang (1):
      drm/i915/debugfs: use rb_entry()

Hans de Goede (4):
      drm/i915/dsi: Do not clear DPOUNIT_CLOCK_GATE_DISABLE from vlv_init_display_clock_gating
      drm/i915/dsi: Fix swapping of MIPI_SEQ_DEASSERT_RESET / MIPI_SEQ_ASSERT_RESET
      drm/i915/dsi: Fix chv_exec_gpio disabling the GPIOs it is setting
      drm/i915/dsi: Move disable pll call outside of clear_device_ready()

Imre Deak (10):
      drm/i915/lspcon: Ensure AUX CH is awake while in DP Sleep state
      drm/i915/lspcon: Add dp_to_lspcon helper()
      drm/i915/lspcon: Wait for expected LSPCON mode to settle
      drm/i915/lspcon: Remove unused force change mode parameter
      drm/i915/lspcon: Enable AUX interrupts for resume time initialization
      drm/i915/gen6+: Clear upper data byte during PCODE write
      drm/i915: Add I2C and DP-AUX char devices to debug kconfig
      drm/i915/gen9: Fix PCODE polling during CDCLK change notification
      drm/i915/gen9: Fix PCODE polling during SAGV disabling
      drm/i915/ddi: Rely on VBT DDI port info for eDP detection

Jani Nikula (9):
      drm/i915: replace platform flags with a platform enum
      drm/i915: keep intel device info structs in gen based order
      drm/i915: rename BROADWATER and CRESTLINE to I965G and I965GM, respectively
      drm/i915: add some more "i" in platform names for consistency
      drm/i915: give G45 and GM45 their own platform enums
      drm/i915: use platform enum instead of duplicating PCI ID if possible
      drm/i915: distinguish G33 and Pineview from each other
      drm/i915/bxt: add bxt dsi gpio element support
      drm/i915: simplify check for I915G/I945G in bit 6 swizzling detection

Joonas Lahtinen (2):
      drm/i915: Rename i915_gem_timeline.next_seqno to .seqno
      drm/i915: Catch non-existent registers in find_fw_domain

Jérémy Lefaure (1):
      drm/i915: fix compilation warnings on maybe uninitialized pointers

Libin Yang (6):
      drm/i915/audio: fix hdmi audio noise issue
      drm/i915/debugfs: add dp mst info
      drm/i915: abstract ddi being audio enabled
      drm/i915: enable dp mst audio
      drm/i915/audio: extend get_saved_enc() to support more scenarios
      drm/i915/audio: extend audio sync rate support for DP MST

Maarten Lankhorst (1):
      drm/i915: Remove all ->config dereferences from intel_hdmi, v2.

Madhav Chauhan (1):
      drm/i915/glk: Update Port PLL enable sequence for Geminilkae

Mahesh Kumar (5):
      drm/i915/skl: Add variables to check x_tile and y_tile
      drm/i915/bxt: IPC WA for Broxton
      drm/i915/kbl: IPC workaround for kabylake
      drm/i915/skl+: change WM calc to fixed point 16.16
      drm/i915: Add intel_atomic_get_existing_crtc_state function

Manasi Navare (3):
      drm/i915: Compute sink's max lane count/link BW at Hotplug
      drm/i915: Find fallback link rate/lane count
      drm/i915: Move all the DP compliance data to a separate struct

Matthew Auld (9):
      drm/i915: cleanup use of INSTR_CLIENT_MASK
      drm/i915: kick out cmd_parser specific structs from i915_drv.h
      drm/i915: drop the struct_mutex when wedged or trying to reset
      drm/i915: make i915_suspend_switcheroo static
      drm/i915: allow GEM_BUG_ON expr checking with !DEBUG_GEM
      drm/i915: introduce GEM_WARN_ON
      drm/i915: move vma sanity checking into i915_vma_bind
      drm/i915: introduce range_overflows utility macros
      drm/i915: convert to using range_overflows

Michal Wajdeczko (1):
      drm/i915: Fix inconsistent naming of i915_guc_client parameter

Michel Thierry (2):
      drm/i915: Advertise ppgtt support type in platform definition
      drm/i915: Keep has_* in alphabetical order

Mika Kahola (3):
      drm/i915: Intel panel detection cleanup
      drm/i915: Intel panel downclock cleanup
      drm/i915: clean up Hz to PWM for i965

Mika Kuoppala (8):
      drm/i915: Add more keywords to firmware loading message
      drm/i915: Split up hangcheck phases
      drm/i915: Decouple hang detection from hangcheck period
      drm/i915: Use request retirement as context progress
      drm/i915: Add bannable context parameter
      drm/i915: Add per client max context ban limit
      drm/i915: Wipe hang stats as an embedded struct
      drm/i915: Fix setting of boost freq tunable

Nagaraju, Vathsala (1):
      drm/i915/psr: report psr2 hw enabled from psr2_ctl

Nicholas Mc Guire (2):
      drm/i915: relax uncritical udelay_range() settings
      drm/i915: relax uncritical udelay_range()

Paulo Zanoni (3):
      drm/i915: disable PSR by default on HSW/BDW
      drm/i915: skip the first 4k of stolen memory on everything >= gen8
      drm/i915: fully apply WaSkipStolenMemoryFirstPage

Robert Bragg (13):
      drm/i915: Add i915 perf infrastructure
      drm/i915: rename OACONTROL GEN7_OACONTROL
      drm/i915: return EACCES for check_cmd() failures
      drm/i915: don't whitelist oacontrol in cmd parser
      drm/i915: Add 'render basic' Haswell OA unit config
      drm/i915: Enable i915 perf stream for Haswell OA unit
      drm/i915: advertise available metrics via sysfs
      drm/i915: Add dev.i915.perf_stream_paranoid sysctl option
      drm/i915: add dev.i915.oa_max_sample_rate sysctl
      drm/i915: Add more Haswell OA metric sets
      drm/i915: Add a kerneldoc summary for i915_perf.c
      drm/i915/perf: use DRM_DEBUG for userspace issues
      drm/i915/perf: More documentation hooked to i915.rst

Rodrigo Vivi (6):
      drm/i915: Create a common GEN9_LP_FEATURE.
      drm/i915: Only poll DW3_A when init DDI PHY for ports B and C.
      drm/i915: Expand is_lp backwards to gen8_lp and gen7_lp.
      drm/i915: Rename get stolen functions for LP platforms chv+
      drm/i915: Simplify gem stolen initialization.
      drm/i915: Remove useless VLV_FEATURE Macro.

Tomeu Vizoso (1):
      drm/i915/debugfs: Move out pipe CRC code

Tvrtko Ursulin (17):
      drm/i915/guc: Remove unused intel_guc_fw struct member
      drm/i915: i915_gem_alloc_context_obj can be static
      drm/i915: Make GEM object alloc/free and stolen created take dev_priv
      drm/i915: Make GEM object create and create from data take dev_priv
      drm/i915: Make various init functions take dev_priv
      drm/i915: More GEM init dev_priv cleanup
      drm/i915: dev_priv cleanup in bridge/bar/mmio init code
      drm/i915: Unexport VGA switcheroo functions
      drm/i915: Make gmbus setup take dev_priv
      drm/i915: Make i915_destroy_error_state take dev_priv
      drm/i915: Make i915_save/restore_state and intel_i2c_reset take dev_priv
      drm/i915: Make intel_pm_setup take dev_priv
      drm/i915: Fix kerneldoc for intel_guc_fini
      drm/i915: Shrink pipe config checker
      drm/i915: Use DRM_DEV_ERROR in i915_driver_load
      drm/i915: Optimise VMA lookup slightly
      drm/i915: Fix use after free in logical_render_ring_init

Vidya Srinivas (1):
      drm/i915: Parse panel backlight controller from VBT

Ville Syrjälä (40):
      drm/i915: Make skl_write_{plane,cursor}_wm() static
      drm/i915: Add per-pipe plane identifier
      drm/i915: Add crtc->plane_ids_mask
      drm/i915: Use enum plane_id in SKL wm code
      drm/i915: Use enum plane_id in SKL plane code
      drm/i915: Use enum plane_id in VLV/CHV sprite code
      drm/i915: Use enum plane_id in VLV/CHV wm code
      drm/i915: Fix cdclk vs. dev_cdclk mess when not recomputing things
      drm/i915: Protect dev_priv->atomic_cdclk_freq with all the crtc locks
      drm/i915: Simplify error handling in intel_modeset_all_pipes()
      drm/i915: Initialize dev_priv->atomic_cdclk_freq at init time
      drm/i915: Drop the nop intel_update_watermarks() call from haswell_crtc_enable()
      drm/i915: Use the ilk_disable_lp_wm() return value
      drm/i915: Fix the level 0 max_wm hack on VLV/CHV
      drm/i915: Clean up VLV/CHV maxfifo watermark setup
      drm/i915: Remove duplicated wm setup for vlv and chv
      drm/i915: Organize vlv/chv watermarks by plane_id
      drm/i915: Introduce vlv_invert_wm_value()
      drm/i915: Pass around dev_priv in vlv wm functions
      drm/i915: Protect cxsr state with wm_mutex
      drm/i915: Skip vblank wait if cxsr was already off
      drm/i915: Zero out HOWM registers before writing new WM/HOWM register values
      drm/i915: Write all DDL registers in one go
      drm/i915: Clean up vlv_program_watermarks()
      drm/i915: Pass crtc state to vlv_compute_wm_level()
      drm/i915: Protect DSPARB registers with a spinlock
      drm/i915: Prevent PPS stealing from a normal DP port on VLV/CHV
      drm/i915: Force VDD off on the new power seqeuencer before starting to use it
      drm/i915: Move the min_pixclk[] handling to the end of readout
      drm/i915: Initialize overlay->last_flip properly
      drm/i915: Fix oopses in the overlay code due to i915_gem_active stuff
      drm/i915: Fix oops in overlay due to frontbuffer tracking
      drm/i915: Fix the overlay frontbuffer tracking
      drm/i915: Use pipe_src_w in overlay code
      drm/i915: Kill intel_panel_fitter_pipe()
      drm/i915: Simplify SWIDTHSW calculation
      drm/i915: Reorganize overlay filter coeffs into a nicer form
      drm/i915: Use primary plane->state for overlay ckey setup
      drm/i915: Disable L2 cache clock gating on 830 when using the overlay
      drm/i915: Kill the 830 MI_OVERLAY_OFF workaround

Vincente Tsou (1):
      drm/915: Parsing the missed out DTD fields from the VBT

Zhi Wang (1):
      drm/i915: Move the release of PT page to the upper caller

 Documentation/gpu/i915.rst                       |   91 +
 drivers/gpu/drm/i915/Kconfig.debug               |   15 +
 drivers/gpu/drm/i915/Makefile                    |    9 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c           |    7 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c            |    5 +-
 drivers/gpu/drm/i915/gvt/handlers.c              |    2 +-
 drivers/gpu/drm/i915/gvt/scheduler.c             |   10 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c           |  172 +-
 drivers/gpu/drm/i915/i915_debugfs.c              | 1049 +----------
 drivers/gpu/drm/i915/i915_drv.c                  |  143 +-
 drivers/gpu/drm/i915/i915_drv.h                  |  766 +++++---
 drivers/gpu/drm/i915/i915_gem.c                  |  285 +--
 drivers/gpu/drm/i915/i915_gem.h                  |    4 +-
 drivers/gpu/drm/i915/i915_gem_context.c          |  208 +--
 drivers/gpu/drm/i915/i915_gem_dmabuf.c           |    2 +-
 drivers/gpu/drm/i915/i915_gem_evict.c            |  131 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c       |   10 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.c        |   12 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c              |  145 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h              |   12 +-
 drivers/gpu/drm/i915/i915_gem_internal.c         |    4 +-
 drivers/gpu/drm/i915/i915_gem_request.c          |  109 +-
 drivers/gpu/drm/i915/i915_gem_request.h          |   30 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c           |   75 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c           |    2 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h         |    2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c          |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c            |  113 +-
 drivers/gpu/drm/i915/i915_guc_reg.h              |    4 +-
 drivers/gpu/drm/i915/i915_guc_submission.c       |  352 ++--
 drivers/gpu/drm/i915/i915_irq.c                  |   14 +-
 drivers/gpu/drm/i915/i915_oa_hsw.c               |  752 ++++++++
 drivers/gpu/drm/i915/i915_oa_hsw.h               |   38 +
 drivers/gpu/drm/i915/i915_params.c               |    6 +-
 drivers/gpu/drm/i915/i915_params.h               |    2 +-
 drivers/gpu/drm/i915/i915_pci.c                  |  182 +-
 drivers/gpu/drm/i915/i915_perf.c                 | 2096 ++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_reg.h                  |  462 ++++-
 drivers/gpu/drm/i915/i915_suspend.c              |   16 +-
 drivers/gpu/drm/i915/i915_sw_fence.c             |  140 +-
 drivers/gpu/drm/i915/i915_sw_fence.h             |   11 +
 drivers/gpu/drm/i915/i915_sysfs.c                |    6 +-
 drivers/gpu/drm/i915/i915_trace.h                |   34 +-
 drivers/gpu/drm/i915/i915_vma.c                  |   48 +-
 drivers/gpu/drm/i915/i915_vma.h                  |   18 +-
 drivers/gpu/drm/i915/intel_audio.c               |   46 +-
 drivers/gpu/drm/i915/intel_bios.c                |   20 +-
 drivers/gpu/drm/i915/intel_bios.h                |   12 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c         |   10 +-
 drivers/gpu/drm/i915/intel_crt.c                 |    7 +-
 drivers/gpu/drm/i915/intel_csr.c                 |    4 +-
 drivers/gpu/drm/i915/intel_ddi.c                 |   60 +-
 drivers/gpu/drm/i915/intel_device_info.c         |   47 +-
 drivers/gpu/drm/i915/intel_display.c             |  502 +++---
 drivers/gpu/drm/i915/intel_dp.c                  |  359 ++--
 drivers/gpu/drm/i915/intel_dp_mst.c              |   28 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c            |  130 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c            |   32 +-
 drivers/gpu/drm/i915/intel_drv.h                 |   96 +-
 drivers/gpu/drm/i915/intel_dsi.c                 |   46 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c       |   45 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c             |   18 +-
 drivers/gpu/drm/i915/intel_dvo.c                 |    9 +-
 drivers/gpu/drm/i915/intel_engine_cs.c           |   28 +-
 drivers/gpu/drm/i915/intel_fbc.c                 |    2 +-
 drivers/gpu/drm/i915/intel_fbdev.c               |    4 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h            |   55 +-
 drivers/gpu/drm/i915/intel_guc_loader.c          |   43 +-
 drivers/gpu/drm/i915/intel_hangcheck.c           |  256 +--
 drivers/gpu/drm/i915/intel_hdmi.c                |  184 +-
 drivers/gpu/drm/i915/intel_i2c.c                 |   22 +-
 drivers/gpu/drm/i915/intel_lrc.c                 |  202 +--
 drivers/gpu/drm/i915/intel_lrc.h                 |    9 +-
 drivers/gpu/drm/i915/intel_lspcon.c              |   94 +-
 drivers/gpu/drm/i915/intel_lvds.c                |    8 +-
 drivers/gpu/drm/i915/intel_mocs.c                |    7 +-
 drivers/gpu/drm/i915/intel_mocs.h                |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c             |  291 +--
 drivers/gpu/drm/i915/intel_panel.c               |   32 +-
 drivers/gpu/drm/i915/intel_pipe_crc.c            |  939 ++++++++++
 drivers/gpu/drm/i915/intel_pm.c                  |  885 ++++-----
 drivers/gpu/drm/i915/intel_psr.c                 |   16 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c          |   83 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h          |   66 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c          |  176 +-
 drivers/gpu/drm/i915/intel_sdvo.c                |   21 +-
 drivers/gpu/drm/i915/intel_sprite.c              |  117 +-
 drivers/gpu/drm/i915/intel_tv.c                  |    4 +-
 drivers/gpu/drm/i915/intel_uc.c                  |  140 ++
 drivers/gpu/drm/i915/{intel_guc.h => intel_uc.h} |   32 +-
 drivers/gpu/drm/i915/intel_uncore.c              |   14 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h            |   12 +-
 include/drm/i915_pciids.h                        |    4 +
 include/uapi/drm/i915_drm.h                      |  135 ++
 94 files changed, 9110 insertions(+), 3840 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_oa_hsw.c
 create mode 100644 drivers/gpu/drm/i915/i915_oa_hsw.h
 create mode 100644 drivers/gpu/drm/i915/i915_perf.c
 create mode 100644 drivers/gpu/drm/i915/intel_pipe_crc.c
 create mode 100644 drivers/gpu/drm/i915/intel_uc.c
 rename drivers/gpu/drm/i915/{intel_guc.h => intel_uc.h} (87%)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-11-29 10:16 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-11-29 10:16 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Final 4.10 updates:

- fine-tune fb flushing and tracking (Chris Wilson)
- refactor state check dumper code for more conciseness (Tvrtko)
- roll out dev_priv all over the place (Tvrkto)
- finally remove __i915__ magic macro (Tvrtko)
- more gvt bugfixes (Zhenyu&team)
- better opregion CADL handling (Jani)
- refactor/clean up wm programming (Maarten)
- gpu scheduler + priority boosting for flips as first user (Chris
  Wilson)
- make fbc use more atomic (Paulo)
- initial kvm-gvt framework, but not yet complete (Zhenyu&team)

As discussed on irc, there's most likely going to be a super-late gvt pull
request in the merge window because the kvm maintainer didn't want to do a
shared topic branch because reasons. Annoying, but since gvt overall is
new in 4.10, and more so kvm-gvt support the risk should be nil.

Cheers, Daniel


The following changes since commit b7c0e47d98249c2ddf21ea197b651093c6aaee00:

  Merge tag 'drm-vc4-next-2016-11-16' of https://github.com/anholt/linux into drm-next (2016-11-17 09:43:56 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2016-11-21

for you to fetch changes up to e9cbc4bd0140e1d4e0172e2fe8fe07ba278e5980:

  drm/i915: Update DRIVER_DATE to 20161121 (2016-11-21 09:45:03 +0100)

----------------------------------------------------------------
Final 4.10 updates:

- fine-tune fb flushing and tracking (Chris Wilson)
- refactor state check dumper code for more conciseness (Tvrtko)
- roll out dev_priv all over the place (Tvrkto)
- finally remove __i915__ magic macro (Tvrtko)
- more gvt bugfixes (Zhenyu&team)
- better opregion CADL handling (Jani)
- refactor/clean up wm programming (Maarten)
- gpu scheduler + priority boosting for flips as first user (Chris
  Wilson)
- make fbc use more atomic (Paulo)
- initial kvm-gvt framework, but not yet complete (Zhenyu&team)

----------------------------------------------------------------
Arnd Bergmann (1):
      drm/i915: avoid harmless empty-body warning

Bing Niu (1):
      drm/i915/gvt: don't rely on guest PPGTT entry to free old shadow data

Chris Wilson (26):
      drm/i915: Remove chipset flush after cache flush
      drm/i915: Spin until breadcrumb threads are complete
      drm/i915: Only wait upon the execution timeline when unlocked
      drm/i915: Stop skipping the final clflush back to system pages
      drm/i915: Fix test on inputs for vma_compare()
      drm/i915: Give each sw_fence its own lockclass
      drm/i915: Create distinct lockclasses for execution vs user timelines
      drm/i915: Split request submit/execute phase into two
      drm/i915: Defer transfer onto execution timeline to actual hw submission
      drm/i915: Remove engine->execlist_lock
      drm/i915/scheduler: Signal the arrival of a new request
      drm/i915/scheduler: Record all dependencies upon request construction
      drm/i915/scheduler: Execute requests in order of priorities
      drm/i915: Store the execution priority on the context
      drm/i915/scheduler: Boost priorities for flips
      drm/i915: Add execution priority boosting for mmioflips
      drm/i915/execlists: Use a local lock for dfs_link access
      drm/i915: Demote i915_gem_open() debugging from DRIVER to USER
      drm/i915: Remove stolen object spam
      drm/i915: Add a few more sanity checks for stolen handling
      drm/i915: Move frontbuffer CS write tracking from ggtt vma to object
      drm/i915: Be more careful to drop the GT wakeref
      drm/i915: Check that each request phase is completed before retiring
      drm/i915: Don't touch NULL sg on i915_gem_object_get_pages_gtt() error
      drm/i915: Always flush the dirty CPU cache when pinning the scanout
      drm/i915: Skip final clflush if LLC is coherent

Daniel Vetter (6):
      Merge tag 'gvt-next-2016-11-07' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      Merge tag 'for-kvmgt' of git://git.kernel.org/pub/scm/virt/kvm/kvm into drm-intel-next-queued
      Merge tag 'gvt-next-kvmgt-framework' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      Merge tag 'gvt-next-2016-11-17' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20161121

Du, Changbin (3):
      drm/i915/gvt: emulate vgpu engine reset control behavior
      drm/i915/gvt: fix crash in vgpu_reset_execlist
      drm/i915/gvt: fix mem leakage in setup_vgpu_mmio for vgpu reset

Jani Nikula (4):
      drm/i915: rename preliminary_hw_support to alpha_support
      drm/i915/gvt: drop checks for early Skylake revisions
      drm/i915: make i915 the source of acpi device ids for _DOD
      drm/i915/opregion: fill in the CADL from connector list, not DIDL

Jike Song (7):
      kvm/page_track: call notifiers with kvm_page_track_notifier_node
      kvm/page_track: export symbols for external usage
      drm/i915/gvt: remove obsolete code for old kvmgt opregion
      drm/i915/gvt: introduce host_init/host_exit to MPT
      drm/i915/gvt: allow several MPT methods to be NULL
      drm/i915/gvt: refactor intel_gvt_io_emulation_ops to be intel_gvt_ops
      drm/i915/gvt: add KVMGT support

Joonas Lahtinen (3):
      drm/i915: Remove two sloppy inline functions from .h
      drm/i915: Split out i915_vma.c
      drm/i915: Update i915_driver_load kerneldoc

Maarten Lankhorst (10):
      drm/i915: Convert intel_hdmi to use atomic state
      drm/i915: Pass atomic state to intel_audio_codec_enable, v2.
      drm/edid: Remove drm_select_eld
      drm/i915: Update atomic modeset state synchronously, v2.
      drm/i915: Pass atomic state to verify_connector_state
      drm/i915: Add an atomic evasion step to watermark programming, v4.
      drm/i915/gen9+: Program watermarks as a separate step during evasion, v3.
      drm/i915/gen9+: Preserve old allocation from crtc_state.
      drm/i915/gen9+: Kill off hw_ddb from intel_crtc.
      drm/i915: Enable support for nonblocking modeset

Matthew Auld (3):
      drm/i915: add i915_address_space_fini
      drm/i915: don't leak global_timeline
      drm/i915: i915_pages_create_for_stolen should return err ptr

Min He (1):
      drm/i915: fix the dequeue logic for single_port_submission context

Paulo Zanoni (7):
      drm/i915/gen9: fix the WM memory bandwidth WA for Y tiling cases
      drm/i915/fbc: move the intel_fbc_can_choose() call out of the loop
      drm/i915/fbc: replace a loop with drm_atomic_get_existing_crtc_state()
      drm/i915/fbc: extract intel_fbc_can_enable()
      drm/i915/fbc: inline intel_fbc_can_choose()
      drm/i915/fbc: use drm_atomic_get_existing_crtc_state when appropriate
      drm/i915/fbc: convert intel_fbc.c to use INTEL_GEN()

Pei Zhang (2):
      drm/i915/gvt: fix deadlock in workload_thread
      drm/i915/gvt: check workload empty before real scan

Ping Gao (7):
      drm/i915/gvt: remove unused variable 'execlist'
      drm/i915/gvt: add write vreg in MMIO DMA_CTRL handler
      drm/i915/gvt: correct the emulation in TLB control handler
      drm/i915/gvt: update misc ctl regs base on stepping info
      drm/i915/gvt: implement scratch page table tree for shadow PPGTT
      drm/i915/gvt: emulate right behavior for tlb_control
      drm/i915/gvt: add more MMIO regs with command access flag

Praveen Paneri (1):
      drm/i915/bxt: Broxton decoupled MMIO

Tvrtko Ursulin (32):
      drm/i915: Trim the object sg table
      drm/i915: Assorted dev_priv cleanups
      drm/i915: More assorted dev_priv cleanups
      drm/i915: Further assorted dev_priv cleanups
      drm/i915: Pass dev_priv to INTEL_INFO everywhere apart from the gen use
      drm/i915: Convert i915_drv.c to INTEL_GEN
      drm/i915: Fix gen9 forcewake range table
      drm/i915: dev_priv and a small cascade of cleanups in i915_gem.c
      drm/i915: Use dev_priv in INTEL_INFO in i915_gem_execbuffer.c
      drm/i915: Use dev_priv in INTEL_INFO in i915_gem_fence_reg.c
      drm/i915: dev_priv cleanup in i915_gem_gtt.c
      drm/i915: dev_priv cleanup in i915_gem_stolen.c
      drm/i915: dev_priv cleanup in i915_gem_tiling.c
      drm/i915: dev_priv cleanup in i915_gpu_error.c
      drm/i915: dev_priv cleanup in i915_irq.c
      drm/i915: dev_priv cleanup in i915_suspend.c
      drm/i915: Assorted INTEL_INFO(dev) cleanups
      drm/i915: dev_priv cleanup in intel_dp.c
      drm/i915: dev_priv cleanup in intel_pm.c
      drm/i915: dev_priv cleanup in intel_display.c
      drm/i915: Fix for_each_pipe argument in vlv_display_power_well_init
      drm/i915: Remove __I915__ magic macro
      drm/i915: Make scaler updates less chatty
      drm/i915: Extract intel_link_m_n config printing into a helper
      drm/i915: Dump FDI config only where applicable
      drm/i915: Don't log pipe config kernel pointer and duplicated pipe name
      drm/i915: Compact a few pipe config debug lines
      drm/i915: Only dump scaler config where supported
      drm/i915: Only dump possible panel fitter config for the platform
      drm/i915: Introduce enableddisabled helper
      drm/i915: Waterproof verification of gen9 forcewake table ranges
      drm/i915: Only dump dp_m2_n2 configuration when drrs is used

Ville Syrjälä (10):
      drm/i915: Grab the rotation from the passed plane state for VLV sprites
      drm/i915: Fix error handling for cursor/sprite plane create failure
      drm/i915: Use intel_fb_gtt_offset() also for gen2/3 primary plane
      drm/i915: Assume non-DP++ port if dvo_port is HDMI and there's no AUX ch specified in the VBT
      drm/i915: Use & instead if == to check for rotations
      drm/i915: Clean up rotation DSPCNTR/DVSCNTR/etc. setup
      drm/i915: Add horizontal mirroring support for CHV pipe B planes
      drm/i915: Kill dp_encoder_is_mst
      drm/i915: Simplify DP port limited color range bit platform checks
      drm/i915: Remove some duplicated plane swapping logic

Xiaoguang Chen (3):
      KVM: x86: add track_flush_slot page track notifier
      drm/i915/gvt: use kmap instead of kmap_atomic around guest memory access
      drm/i915/gvt: clear guest opregion

Zhenyu Wang (5):
      drm/i915/gvt: Fix shift for cmd data size
      drm/i915/gvt: Fix workload status after wait
      drm/i915/gvt: add intel vgpu types support
      drm/i915/gvt: Fix static checker warning on intel_gvt_i2c_handle_aux_ch_write()
      drm/i915/gvt: remove unresolved vfio pin/unpin pages interface dependency

 arch/x86/include/asm/kvm_page_track.h              |  14 +-
 arch/x86/kvm/mmu.c                                 |  11 +-
 arch/x86/kvm/page_track.c                          |  31 +-
 arch/x86/kvm/x86.c                                 |   2 +-
 drivers/gpu/drm/drm_edid.c                         |  26 -
 drivers/gpu/drm/i915/Kconfig                       |  26 +-
 drivers/gpu/drm/i915/Makefile                      |   3 +-
 drivers/gpu/drm/i915/gvt/Makefile                  |   7 +-
 drivers/gpu/drm/i915/gvt/cfg_space.c               |  12 +-
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   7 +-
 drivers/gpu/drm/i915/gvt/edid.c                    |   3 +-
 drivers/gpu/drm/i915/gvt/edid.h                    |   2 +-
 drivers/gpu/drm/i915/gvt/execlist.c                |  24 +-
 drivers/gpu/drm/i915/gvt/execlist.h                |   2 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     | 202 ++++---
 drivers/gpu/drm/i915/gvt/gtt.h                     |  40 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |  29 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |  63 +-
 drivers/gpu/drm/i915/gvt/handlers.c                |  73 ++-
 drivers/gpu/drm/i915/gvt/hypercall.h               |  14 +-
 drivers/gpu/drm/i915/gvt/kvmgt.c                   | 597 +++++++++++++++++++
 drivers/gpu/drm/i915/gvt/mmio.c                    |   6 +-
 drivers/gpu/drm/i915/gvt/mmio.h                    |   9 +-
 drivers/gpu/drm/i915/gvt/mpt.h                     |  55 +-
 drivers/gpu/drm/i915/gvt/opregion.c                |  34 +-
 drivers/gpu/drm/i915/gvt/render.c                  |   2 +
 drivers/gpu/drm/i915/gvt/sched_policy.c            |   2 -
 drivers/gpu/drm/i915/gvt/scheduler.c               |  51 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    | 180 +++++-
 drivers/gpu/drm/i915/i915_debugfs.c                |  18 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  32 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 543 ++++--------------
 drivers/gpu/drm/i915/i915_gem.c                    | 608 ++++++--------------
 drivers/gpu/drm/i915/i915_gem.h                    |   2 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   1 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  13 +-
 .../{i915_gem_fence.c => i915_gem_fence_reg.c}     |  12 +-
 drivers/gpu/drm/i915/i915_gem_fence_reg.h          |  51 ++
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 579 +++++--------------
 drivers/gpu/drm/i915/i915_gem_gtt.h                | 235 +-------
 drivers/gpu/drm/i915/i915_gem_object.h             | 338 +++++++++++
 drivers/gpu/drm/i915/i915_gem_request.c            | 206 +++++--
 drivers/gpu/drm/i915/i915_gem_request.h            |  74 ++-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  39 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |  12 +-
 drivers/gpu/drm/i915/i915_gem_timeline.c           |  33 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |   1 +
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   3 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  39 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |  15 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  32 +-
 drivers/gpu/drm/i915/i915_params.c                 |   9 +-
 drivers/gpu/drm/i915/i915_params.h                 |   2 +-
 drivers/gpu/drm/i915/i915_pci.c                    |   8 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   7 +
 drivers/gpu/drm/i915/i915_suspend.c                |  24 +-
 drivers/gpu/drm/i915/i915_sw_fence.c               |   7 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |  17 +-
 drivers/gpu/drm/i915/i915_vma.c                    | 638 +++++++++++++++++++++
 drivers/gpu/drm/i915/i915_vma.h                    | 341 +++++++++++
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   9 +
 drivers/gpu/drm/i915/intel_audio.c                 |  17 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  30 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |  31 +-
 drivers/gpu/drm/i915/intel_color.c                 |  36 +-
 drivers/gpu/drm/i915/intel_crt.c                   |  23 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  12 +-
 drivers/gpu/drm/i915/intel_display.c               | 598 ++++++++++---------
 drivers/gpu/drm/i915/intel_dp.c                    |  52 +-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   1 -
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   5 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  28 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c         |   8 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   7 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |  83 ++-
 drivers/gpu/drm/i915/intel_fbdev.c                 |  10 +-
 drivers/gpu/drm/i915/intel_frontbuffer.h           |   5 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |  10 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  50 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 187 +++++-
 drivers/gpu/drm/i915/intel_lvds.c                  |   7 +-
 drivers/gpu/drm/i915/intel_opregion.c              | 139 ++---
 drivers/gpu/drm/i915/intel_panel.c                 |  12 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 170 +++---
 drivers/gpu/drm/i915/intel_psr.c                   |   6 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   2 +
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  16 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   4 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |  11 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  59 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   5 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 121 +++-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |   3 +-
 include/drm/drm_edid.h                             |   1 -
 include/uapi/drm/i915_drm.h                        |   5 +
 96 files changed, 4442 insertions(+), 2789 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gvt/kvmgt.c
 rename drivers/gpu/drm/i915/{i915_gem_fence.c => i915_gem_fence_reg.c} (98%)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_fence_reg.h
 create mode 100644 drivers/gpu/drm/i915/i915_gem_object.h
 create mode 100644 drivers/gpu/drm/i915/i915_vma.c
 create mode 100644 drivers/gpu/drm/i915/i915_vma.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-11-10 14:57 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-11-10 14:57 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-11-08:
- gpu idling rework for s/r (Imre)
- vlv mappable scanout fix
- speed up probing in resume (Lyude)
- dp audio workarounds for gen9 (Dhinakaran)
- more conversion to using dev_priv internally (Ville)
- more gen9+ wm fixes and cleanups (Maarten)
- shrinker cleanup&fixes (Chris)
- reorg plane init code (Ville)
- implement support for multiple timelines (prep work for scheduler)
  from Chris and all
- untangle dev->struct_mutex locking as prep for multiple timelines
  (Chris)
- refactor bxt phy code and collect it all in intel_dpio_phy.c (Ander)
- another gvt with bugfixes all over from Zhenyu
- piles of lspcon fixes from Imre
- 90/270 rotation fixes (Ville)
- guc log buffer support (Akash+Sagar)
- fbc fixes from Paulo
- untangle rpm vs. tiling-fences/mmaps (Chris)
- fix atomic commit to wait on the right fences (Daniel Stone)

Aside: I expect one more drm-intel feature pull for 4.10, then it's all
in.

Cheers, Daniel


The following changes since commit fb422950c6cd726fd36eb72a7cf84583440a18a2:

  Merge branch 'linux-4.9' of git://github.com/skeggsb/linux into drm-next (2016-10-28 14:24:56 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/git/drm-intel tags/drm-intel-next-2016-11-08

for you to fetch changes up to 58e197d631d44f9f4817b8198b43132a40de1164:

  drm/i915: Update DRIVER_DATE to 20161108 (2016-11-08 07:51:35 +0100)

----------------------------------------------------------------
- gpu idling rework for s/r (Imre)
- vlv mappable scanout fix
- speed up probing in resume (Lyude)
- dp audio workarounds for gen9 (Dhinakaran)
- more conversion to using dev_priv internally (Ville)
- more gen9+ wm fixes and cleanups (Maarten)
- shrinker cleanup&fixes (Chris)
- reorg plane init code (Ville)
- implement support for multiple timelines (prep work for scheduler)
  from Chris and all
- untangle dev->struct_mutex locking as prep for multiple timelines
  (Chris)
- refactor bxt phy code and collect it all in intel_dpio_phy.c (Ander)
- another gvt with bugfixes all over from Zhenyu
- piles of lspcon fixes from Imre
- 90/270 rotation fixes (Ville)
- guc log buffer support (Akash+Sagar)
- fbc fixes from Paulo
- untangle rpm vs. tiling-fences/mmaps (Chris)
- fix atomic commit to wait on the right fences (Daniel Stone)

----------------------------------------------------------------
Akash Goel (12):
      drm/i915: New structure to contain GuC logging related fields
      drm/i915: Add low level set of routines for programming PM IER/IIR/IMR register set
      drm/i915: Add a relay backed debugfs interface for capturing GuC logs
      drm/i915: New lock to serialize the Host2GuC actions
      drm/i915: Add stats for GuC log buffer flush interrupts
      drm/i915: Optimization to reduce the sampling time of GuC log buffer
      drm/i915: Increase GuC log buffer size to reduce flush interrupts
      drm/i915: Augment i915 error state to include the dump of GuC log buffer
      drm/i915: Use SSE4.1 movntdqa based memcpy for sampling GuC log buffer
      drm/i915: Early creation of relay channel for capturing boot time logs
      drm/i915: Mark the GuC log buffer flush interrupts handling WQ as freezable
      drm/i915/guc: WA to address the Ringbuffer coherency issue

Ander Conselvan de Oliveira (10):
      drm/i915: Rename struct i915_power_well field data to id
      drm/i915: Explicitly map broxton DPIO power wells to phys
      drm/i915: Pass lane count to bxt_ddi_phy_calc_lane_optmin_mask()
      drm/i915: Move broxton phy code to intel_dpio_phy.c
      drm/i915: Move DPIO phy documentation section to intel_dpio_phy.c
      drm/i915: Move broxton vswing sequence to intel_dpio_phy.c
      drm/i915: Create a struct to hold information about the broxton phys
      drm/i915: Add location of the Rcomp resistor to bxt_ddi_phy_info
      drm/i915: Address broxton phy registers based on phy and channel number
      drm/i915/bxt: Don't set OCL2_LDOFUSE_PWR_DIS bit in phy init sequence

Anusha Srivatsa (1):
      drm/i915/DMC/KBL: Load DMC on KBL using the no_stepping_info array

Arkadiusz Hiler (2):
      drm/i915/gvt: Implement WaForceWakeRenderDuringMmioTLBInvalidate
      drm/i915: fix comment on I915_{READ, WRITE}_FW

Bing Niu (1):
      drm/i915/gvt: throw error basing on execlist submit result

Chris Wilson (59):
      drm/i915: Move user fault tracking to a separate list
      drm/i915: Use RPM as the barrier for controlling user mmap access
      drm/i915: Remove superfluous locking around userfault_list
      drm/i915: Remove RPM sequence checking
      drm/i915: Move fence cancellation to runtime suspend
      drm/i915: Include the kernel uptime in the error state
      drm/i915: Remove insert-page shortcut from execbuf relocate_iomap()
      drm/i915: Support asynchronous waits on struct fence from i915_gem_request
      drm/i915: Allow i915_sw_fence_await_sw_fence() to allocate
      drm/i915: Remove superfluous wait_for_error() from throttle-ioctl
      drm/i915: Rearrange i915_wait_request() accounting with callers
      drm/i915: Remove unused i915_gem_active_wait() in favour of _unlocked()
      drm/i915: Defer active reference until required
      drm/i915: Introduce an internal allocator for disposable private objects
      drm/i915: Reuse the active golden render state batch
      drm/i915: Markup GEM API with lockdep asserts
      drm/i915: Use a radixtree for random access to the object's backing storage
      drm/i915: Use radixtree to jump start intel_partial_pages()
      drm/i915: Refactor object page API
      drm/i915: Pass around sg_table to get_pages/put_pages backend
      drm/i915: Move object backing storage manipulation to its own locking
      drm/i915/dmabuf: Acquire the backing storage outside of struct_mutex
      drm/i915: Implement pread without struct-mutex
      drm/i915: Implement pwrite without struct-mutex
      drm/i915: Acquire the backing storage outside of struct_mutex in set-domain
      drm/i915: Move object release to a freelist + worker
      drm/i915: Use lockless object free
      drm/i915: Move GEM activity tracking into a common struct reservation_object
      drm/i915: Restore nonblocking awaits for modesetting
      drm/i915: Combine seqno + tracking into a global timeline struct
      drm/i915: Queue the idling context switch after all other timelines
      drm/i915: Wait first for submission, before waiting for request completion
      drm/i915: Introduce a global_seqno for each request
      drm/i915: Rename ->emit_request to ->emit_breadcrumb
      drm/i915: Record space required for breadcrumb emission
      drm/i915: Defer breadcrumb emission
      drm/i915: Move the global sync optimisation to the timeline
      drm/i915: Create a unique name for the context
      drm/i915: Convert breadcrumbs spinlock to be irqsafe
      drm/i915: Reserve space in the global seqno during request allocation
      drm/i915: Defer setting of global seqno on request to submission
      drm/i915: Enable multiple timelines
      drm/i915: Mark up obj->mm.lock for shrinker
      drm/i915: Use the full hammer when shutting down the rcu tasks
      drm/i915: Discard objects from mm global_list after being shrunk
      drm/i915: Move the recently scanned objects to the tail after shrinking
      drm/i915: Avoid accessing request->timeline outside of its lifetime
      drm/i915: Track pages pinned due to swizzling quirk
      drm/i915: Store the vma in an rbtree under the object
      drm/i915: Improve lockdep tracking for obj->mm.lock
      drm/i915: Export a function to flush the context upon pinning
      drm/i915: Show the execlist queue in debugfs/i915_engine_info
      drm/i915/guc: Cache the client mapping
      drm/i915: Fix test on inputs for vma_compare()
      drm/i915: Fix pages pin counting around swizzle quirk
      drm/i915: Remove the vma from the object list upon close
      drm/i915: Round tile chunks up for constructing partial VMAs
      drm/i915: Limit Valleyview and earlier to only using mappable scanout
      drm/i915: Mark CPU cache as dirty when used for rendering

Daniel Stone (1):
      drm: i915: Wait for fences on new fb, not old

Daniel Vetter (4):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      Merge tag 'gvt-next-2016-10-27' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20161108

Dhinakaran Pandiyan (2):
      drm/i915/dp: BDW cdclk fix for DP audio
      drm/i915/dp: Extend BDW DP audio workaround to GEN9 platforms

Du, Changbin (3):
      drm/i915/gvt: use well wrapped set_mask_bits() instead of defining new one
      drm/i915/gvt: get msi cap offset from pdev directly
      drm/i915/gvt: fix nested sleeping issue

Imre Deak (12):
      drm/dp: Factor out helper to distinguish between branch and sink devices
      drm/i915/dp: Remove debug dependency of DPCD SW/HW revision read
      drm/i915/dp: Print only sink or branch specific OUI based on dev type
      drm/i915/dp: Print full branch/sink descriptor
      drm/i915/lspcon: Fail LSPCON probe if the start of DPCD can't be read
      drm/i915/dp: Read DP descriptor for eDP and LSPCON too
      drm/i915/lspcon: Get DDC adapter via container_of() instead of cached ptr
      drm/i915/lspcon: Add workaround for resuming in PCON mode
      drm/i915: Avoid early GPU idling due to already pending idle work
      drm/i915: Avoid early GPU idling due to race with new request
      drm/i915: Make sure engines are idle during GPU idling in LR mode
      drm/i915: Add assert for no pending GPU requests during suspend/resume in LR mode

Jani Nikula (3):
      drm/i915: remove AGP dependency from DRM_I915 kconfig help text
      drm/i915/audio: drop extra crtc clock check from HDMI audio N lookup
      MAINTAINERS: drop dri-devel list for i915

Joonas Lahtinen (2):
      drm/i915: Unify global_list into global_link
      drm/i915: Introduce HAS_64BIT_RELOC

Jérémy Lefaure (2):
      drm/i915/gvt: fix bad 32 bit shift in gtt
      drm/i915/gvt: fix an error string format

Libin Yang (1):
      drm/i915/audio: set proper N/M in modeset

Lyude (3):
      drm/i915/vlv: Prevent enabling hpd polling in late suspend
      drm/i915: Remove redundant reprobe in i915_drm_resume
      drm/i915: Reinit polling before hpd when resuming

Maarten Lankhorst (7):
      drm/i915/skl+: Prepare for removing data rate from skl watermark state, v2.
      drm/i915/gen9+: Use cstate plane mask instead of crtc->state.
      drm/i915/gen9+: Use for_each_intel_plane_on_crtc in skl_print_wm_changes, v2.
      drm/i915/skl+: Remove data_rate from watermark struct, v2.
      drm/i915/skl+: Remove minimum block allocation from crtc state.
      drm/i915/skl+: Clean up minimum allocations, v2.
      drm/i915/gen9+: Use the watermarks from crtc_state for everything, v2.

Matt Roper (2):
      drm/i915: Rename for_each_plane -> for_each_universal_plane
      drm/i915: Use macro in place of open-coded for_each_universal_plane loop

Mika Kuoppala (3):
      drm/i915/gtt: Fix pte clear range
      drm/i915/gtt: Mark tlbs dirty on clear
      drm/i915: Move hangcheck code out from i915_irq.c

Min He (1):
      drm/i915/gvt: fix an typo in skl_decode_mi_display_flip

Navare, Manasi D (1):
      drm/i915: Change the placement of some static functions in intel_dp.c

Paulo Zanoni (2):
      drm/i915/fbc: fix CFB size calculation for gen8+
      drm/i915/fbc: fix FBC_COMPRESSION_MASK on BDW+

Ping Gao (3):
      drm/i915/gvt: add vreg write for GDRST handler
      drm/i915/gvt: correct the reset logic
      drm/i915/gvt: add full vGPU reset support

Sagar Arun Kamble (6):
      drm/i915: Decouple GuC log setup from verbosity parameter
      drm/i915: Add GuC ukernel logging related fields to fw interface file
      drm/i915: Support for GuC interrupts
      drm/i915: Handle log buffer flush interrupt event from GuC
      drm/i915: Support for forceful flush of GuC log buffer
      drm/i915: Debugfs support for GuC logging control

Tvrtko Ursulin (5):
      drm/i915: Rotated view does not need a fence
      drm/i915: Remove two invalid warns
      drm/i915: Correct pipe fault reporting string
      drm/i915: Allow shrinking of userptr objects once again
      drm/i915: Tidy slab cache allocations

Ville Syrjälä (32):
      drm/i915: Refresh that status of MST capable connectors in ->detect()
      drm/i915: Fix SKL+ 90/270 degree rotated plane coordinate computation
      drm/i915: Don't try to initialize sprite planes on pre-ilk
      drm/i915: Initialize planes in a reasonable order
      drm/i915: Bail if plane/crtc init fails
      drm/i915: Reorganize sprite init
      drm/i915: Pass dev_priv to plane constructors
      drm/i915: Pass dev_priv to skl_init_scalers()
      drm/i915: Pass intel_crtc to intel_crtc_active()
      drm/i915: Pass intel_crtc to update_wm functions
      drm/i915: Use struct intel_crtc in legacy platform wm code
      drm/i915: Store struct intel_crtc * in {pipe,plane}_to_crtc_mapping[]
      drm/i915: Pass dev_priv to intel_wait_for_vblank()
      drm/i915: Pass dev_priv to vlv force pll functions
      drm/i915: Pass dev_priv to g4x wm functions
      drm/i915: Pass dev_priv to intel_get_crtc_for_pipe()
      drm/i915: Always use intel_get_crtc_for_pipe()
      drm/i915: Pass dev_priv to intel_crtc_init()
      drm/i915: Pass dev_priv to cdclk update funcs
      drm/i915: Pass dev_priv to .get_display_clock_speed()
      drm/i915: Pass dev_priv to IS_MOBILE()
      drm/i915: Pass dev_priv to IS_PINEVIEW()
      drm/i915: Pass dev_priv to i915_pineview_get_mem_freq() and i915_ironlake_get_mem_freq()
      drm/i915: Pass dev_priv to .get_fifo_size()
      drm/i915: Pass dev_priv to HAS_FW_BLC
      drm/i915: Pass dev_priv to IS_BROADWATER/IS_CRESTLINE
      drm/i915: Pass dev_priv to rest of IS_FOO() macros for the old platforms
      drm/i915: Pass dev_priv to single_enabled_crtc()
      drm/i915: Pass dev_priv to init_clock_gating
      drm/i915: Pass dev_priv to intel_suspend_hw()
      drm/i915: Pass dev_priv to ilk_setup_wm_latency() & co.
      drm/i915: Pass dev_priv to intel_init_pm()

Xiaoguang Chen (1):
      drm/i915/gvt: fix detect_host calling logic

Zhenyu Wang (3):
      drm/i915/gvt: Fix failure when ACPI is not enabled
      drm/i915: GVT-g driver depends on 64BIT kernel
      drm/i915/gvt: Fix broken mocs offset

 Documentation/gpu/i915.rst                         |    2 +-
 MAINTAINERS                                        |    1 -
 drivers/gpu/drm/i915/Kconfig                       |   13 +-
 drivers/gpu/drm/i915/Makefile                      |    3 +
 drivers/gpu/drm/i915/gvt/cmd_parser.c              |   29 +-
 drivers/gpu/drm/i915/gvt/gtt.c                     |    4 +-
 drivers/gpu/drm/i915/gvt/gvt.c                     |    8 +-
 drivers/gpu/drm/i915/gvt/gvt.h                     |    2 +
 drivers/gpu/drm/i915/gvt/handlers.c                |   26 +-
 drivers/gpu/drm/i915/gvt/opregion.c                |    6 +-
 drivers/gpu/drm/i915/gvt/render.c                  |   21 +-
 drivers/gpu/drm/i915/gvt/scheduler.c               |   28 +-
 drivers/gpu/drm/i915/gvt/vgpu.c                    |    4 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  295 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |   72 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  466 ++--
 drivers/gpu/drm/i915/i915_gem.c                    | 2350 +++++++++++---------
 drivers/gpu/drm/i915/i915_gem.h                    |    2 +
 drivers/gpu/drm/i915/i915_gem_batch_pool.c         |   39 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   91 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |  150 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   18 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   77 +-
 drivers/gpu/drm/i915/i915_gem_fence.c              |   31 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  323 +--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   12 +-
 drivers/gpu/drm/i915/i915_gem_internal.c           |  170 ++
 drivers/gpu/drm/i915/i915_gem_render_state.c       |  186 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h       |    4 +-
 drivers/gpu/drm/i915/i915_gem_request.c            |  583 ++---
 drivers/gpu/drm/i915/i915_gem_request.h            |  124 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   99 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   51 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   47 +-
 .../{i915_gem_dmabuf.h => i915_gem_timeline.c}     |   56 +-
 drivers/gpu/drm/i915/i915_gem_timeline.h           |   72 +
 drivers/gpu/drm/i915/i915_gem_userptr.c            |  121 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  101 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |  648 +++++-
 drivers/gpu/drm/i915/i915_irq.c                    |  597 ++---
 drivers/gpu/drm/i915/i915_pci.c                    |    5 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  260 +--
 drivers/gpu/drm/i915/i915_sw_fence.c               |   40 +-
 drivers/gpu/drm/i915/i915_sw_fence.h               |    8 +
 drivers/gpu/drm/i915/i915_trace.h                  |    8 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |    2 -
 drivers/gpu/drm/i915/intel_audio.c                 |  112 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c           |   43 +-
 drivers/gpu/drm/i915/intel_crt.c                   |    2 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   11 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  362 +--
 drivers/gpu/drm/i915/intel_device_info.c           |    5 +-
 drivers/gpu/drm/i915/intel_display.c               |  681 +++---
 drivers/gpu/drm/i915/intel_dp.c                    |  258 +--
 drivers/gpu/drm/i915/intel_dpio_phy.c              |  559 +++++
 drivers/gpu/drm/i915/intel_dpll_mgr.c              |   84 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  107 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    6 +-
 drivers/gpu/drm/i915/intel_engine_cs.c             |   29 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |    6 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   23 +-
 drivers/gpu/drm/i915/intel_guc.h                   |   32 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   82 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |   10 +-
 drivers/gpu/drm/i915/intel_hangcheck.c             |  450 ++++
 drivers/gpu/drm/i915/intel_hdmi.c                  |    2 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  162 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |    1 +
 drivers/gpu/drm/i915/intel_lspcon.c                |   57 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    6 +-
 drivers/gpu/drm/i915/intel_overlay.c               |    4 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  587 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  273 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   91 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  154 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |    8 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   91 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    6 +-
 79 files changed, 6767 insertions(+), 4794 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_internal.c
 rename drivers/gpu/drm/i915/{i915_gem_dmabuf.h => i915_gem_timeline.c} (50%)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_timeline.h
 create mode 100644 drivers/gpu/drm/i915/intel_hangcheck.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2016-10-24  7:25 Daniel Vetter
@ 2016-10-24  8:05 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-10-24  8:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Mon, Oct 24, 2016 at 9:25 AM, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> Hi Dave,
>
> drm-intel-next-2016-10-24:
> - first slice of the gvt device model (Zhenyu et al)
> - compression support for gpu error states (Chris)
> - sunset clause on gpu errors resulting in dmesg noise telling users
>   how to report them
> - .rodata diet from Tvrtko
> - switch over lots of macros to only take dev_priv (Tvrtko)
> - underrun suppression for dp link training (Ville)
> - lspcon (hmdi 2.0 on skl/bxt) support from Shashank Sharma, polish
>   from Jani
> - gen9 wm fixes from Paulo&Lyude
> - updated ddi programming for kbl (Rodrigo)
> - respect alternate aux/ddc pins (from vbt) for all ddi ports (Ville)
> drm-intel-next-2016-10-10:
> - dsi/backlight fixes (Jani&Shawn)
> - a few reset improvements (Ben, Chris, Imre)
> - refactor port tracking for audio support (Dhinakaran)
> - a pile of gen9 wm fixes from Paulo
> - drop skl pre-production w/a (Jani)
> - refactor forcewake and shadow reg filters into tables, and unify the
>   funcs/macros using them across platforms (Tvrtko)
> - fix DP detection to not require an edid (Ville)
> - register shadow VGA port (for testing), from Ville
>
> NOTE: There's a big conflict between this tree an the rotation stuff from
> Ville in drm-misc. One conflict git spots and it's easy to resolve, the
> other results in compile fail and needs some s/dev/dev_priv/ in a few
> places to fix up. linux-next just reported&fixed them up, but I've been
> soaking the resolutions in drm-intel-nightly a bit too.
>
> And as mentioned I'd like to backmerge drm-misc+rc2 to unblock merging a
> few patches.
>
> And the other bit I've totally forgotten: As soon as you have all the
> merges I'll pull in the s/fence/dma_fence/ patch from Chris into drm-misc.

One more: This pull contains the intial bits of the gvt device model
(essentially paravirt for intel gpus for kvm&xen). We've decided to
run this as a subproject with pull requests from Zhenyu to Jani& me
for now for a few reasons:
- it's a big codebase, separate team and lots (probably most) of
interactions out i915/drm (kvm/xen, qemu in userspace and all that).
Seems to make sense to run it separately
- there's also differences in CI&testing overall. I think running gvt
as an integrated part of drm-intel with the commit model would have
caused fun with accidentally pushing broken patches.
- and personally I'm also interesting in figuring out whether the
committer model also works when mixed with submaintainers, in case we
ever need that for scalability in areas.

Some hiccups, (there was an almost immediate 2nd pull with pile of
bugfixes), but we're working them out. I expect all smooth sailing by
the time the 4.10 merge windo opens.

Cheers, Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-10-24  7:25 Daniel Vetter
  2016-10-24  8:05 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2016-10-24  7:25 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-10-24:
- first slice of the gvt device model (Zhenyu et al)
- compression support for gpu error states (Chris)
- sunset clause on gpu errors resulting in dmesg noise telling users
  how to report them
- .rodata diet from Tvrtko
- switch over lots of macros to only take dev_priv (Tvrtko)
- underrun suppression for dp link training (Ville)
- lspcon (hmdi 2.0 on skl/bxt) support from Shashank Sharma, polish
  from Jani
- gen9 wm fixes from Paulo&Lyude
- updated ddi programming for kbl (Rodrigo)
- respect alternate aux/ddc pins (from vbt) for all ddi ports (Ville)
drm-intel-next-2016-10-10:
- dsi/backlight fixes (Jani&Shawn)
- a few reset improvements (Ben, Chris, Imre)
- refactor port tracking for audio support (Dhinakaran)
- a pile of gen9 wm fixes from Paulo
- drop skl pre-production w/a (Jani)
- refactor forcewake and shadow reg filters into tables, and unify the
  funcs/macros using them across platforms (Tvrtko)
- fix DP detection to not require an edid (Ville)
- register shadow VGA port (for testing), from Ville

NOTE: There's a big conflict between this tree an the rotation stuff from
Ville in drm-misc. One conflict git spots and it's easy to resolve, the
other results in compile fail and needs some s/dev/dev_priv/ in a few
places to fix up. linux-next just reported&fixed them up, but I've been
soaking the resolutions in drm-intel-nightly a bit too.

And as mentioned I'd like to backmerge drm-misc+rc2 to unblock merging a
few patches.

And the other bit I've totally forgotten: As soon as you have all the
merges I'll pull in the s/fence/dma_fence/ patch from Chris into drm-misc.

Cheers, Daniel


The following changes since commit 69405d3da98b48633b78a49403e4f9cdb7c6a0f5:

  Merge tag 'topic/drm-misc-2016-10-11' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-10-12 06:07:38 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-10-24

for you to fetch changes up to 9558e74c26d2d63b9395f4d4153faa05f9de84f8:

  drm/i915: Update DRIVER_DATE to 20161024 (2016-10-24 08:25:36 +0200)

----------------------------------------------------------------
- first slice of the gvt device model (Zhenyu et al)
- compression support for gpu error states (Chris)
- sunset clause on gpu errors resulting in dmesg noise telling users
  how to report them
- .rodata diet from Tvrtko
- switch over lots of macros to only take dev_priv (Tvrtko)
- underrun suppression for dp link training (Ville)
- lspcon (hmdi 2.0 on skl/bxt) support from Shashank Sharma, polish
  from Jani
- gen9 wm fixes from Paulo&Lyude
- updated ddi programming for kbl (Rodrigo)
- respect alternate aux/ddc pins (from vbt) for all ddi ports (Ville)

----------------------------------------------------------------
Akash Goel (1):
      drm/i915: Allocate intel_engine_cs structure only for the enabled engines

Anusha Srivatsa (1):
      drm/i915/guc: Sanitory checks for platform that dont have GuC

Arkadiusz Hiler (1):
      drm/i915/gen9: Remove WaEnableYV12BugFixInHalfSliceChicken7

Ben Widawsky (2):
      drm/i915: Cleanup instdone collection
      drm/i915: Try to print INSTDONE bits for all slice/subslice

Chris Wilson (54):
      drm/i915: Restore current RPS state after reset
      drm/i915: Only shrink the unbound objects during freeze
      drm/i915/execlists: Reset RING registers upon resume
      drm/i915: Just clear the mmiodebug before a register access
      drm/i915: Unalias obj->phys_handle and obj->userptr
      drm/i915: Use correct index for backtracking HUNG semaphores
      drm/i915: Share the computation of ring size for RING_CTL register
      drm/i915/execlists: Reinitialise context image after GPU hang
      drm/i915/execlists: Move clearing submission count from reset to init
      drm/i915: Disable irqs across GPU reset
      drm/i915: Double check hangcheck.seqno after reset
      drm/i915: Show bounds of active request in the ring on GPU hang
      drm/i915: Show RING registers through debugfs
      drm/i915: Show waiters in i915_hangcheck_info
      drm/i915: Distinguish last emitted request from last submitted request
      drm/i915: Force relocations via cpu if we run out of idle aperture
      drm/i915: Reset the breadcrumbs IRQ more carefully
      drm/i915/guc: Unwind GuC workqueue reservation if request construction fails
      drm/i915: Remove self-harming shrink_all on get_pages_gtt fail
      drm/i915: Allow compaction upto SWIOTLB max segment size
      drm/i915: Convert open-coded use of vma_pages()
      drm/i915: Move common code out of i915_gpu_error.c
      drm/i915: Allow disabling error capture
      drm/i915: Stop the machine whilst capturing the GPU crash dump
      drm/i915: Always use the GTT for error capture
      drm/i915: Consolidate error object printing
      drm/i915: Compress GPU objects in error state
      drm/i915: Use fence_write() from rpm resume
      drm/i915: Update debugfs describe_obj() to show fault-mappable
      drm/i915: Treat a framebuffer reference as an active reference whilst shrinking
      drm/i915: Record the current requests queue for execlists upon hang
      drm/i915: Fix misplaced '\n' in printing the GPU error's RING_HEAD
      drm/i915: Skip unbinding large unmappable global buffers
      drm/i915: Merge duplicate gen4 and vlv/chv enable vblank callbacks
      drm/i915: Bump object bookkeeping to u64 from size_t
      drm/i915: Document our internal limit on object size
      drm/i915: Limit the scattergather coalescing to 32bits
      drm/i915: Restrict pagefault disabling to just around copy_from_user()
      drm/i915: Emit telltales for extra levels of debug upon initialisation
      drm/i915: Catch premature unpinning of pages
      drm/i915: STOP_MACHINE is no more, stop selecting it
      drm/i915/gvt: Add runtime pm around fences
      drm/i915/gvt: i915_gem_object_create() returns an error pointer
      drm/i915/gvt: Use the returned VMA to provide the virtual address
      drm/i915/gvt: Remove dangerous unpin of backing storage of bound GPU object
      drm/i915/gvt: Hold a reference on the request
      drm/i915/gvt: Stop checking for impossible interrupts from a kthread
      drm/i915/gvt: Stop waiting whilst holding struct_mutex
      drm/i915/gvt: Use common mapping routines for indirect_ctx object
      drm/i915/gvt: Use common mapping routines for shadow_bb object
      drm/i915/gvt: Remove defunct vmap_batch()
      drm/i915: Stop reporting error details in dmesg as well as the error-state
      drm/i915: Add a sunset clause to GPU hang logging
      drm/i915: Stop setting SNB min-freq-table 0 on powersave setup

Dan Carpenter (1):
      drm/i915: fix a read size argument

Daniel Vetter (5):
      drm/i915: Update DRIVER_DATE to 20161010
      Merge tag 'drm-for-v4.9' into drm-intel-next-queued
      Merge tag 'gvt-next-2016-10-14' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      Merge tag 'gvt-next-fix-2016-10-20' of https://github.com/01org/gvt-linux into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20161024

Dhinakaran Pandiyan (1):
      Revert "drm/i915: start adding dp mst audio"

Du, Changbin (4):
      drm/i915/gvt: fix sparse warnings on different address spaces
      drm/i915/gvt: mark symbols static where possible
      drm/i915/gvt: fix spare warnings on odd constant _Bool cast
      drm/i915/gvt: do not ignore return value of create_scratch_page

Imre Deak (5):
      drm/i915: Unlock PPS registers after GPU reset
      drm/i915: Queue page flip work via a low latency, unbound workqueue
      drm/i915/bxt: Fix HDMI DPLL configuration
      drm/i915/hsw: Fix GPU hang during resume from S3-devices state
      drm/i915: Fix mismatched INIT power domain disabling during suspend

Jani Nikula (28):
      drm/i915/dsi: don't debug log "missing" sequences
      drm/i915/dsi: add debug logging to element execution
      drm/i915/dsi: add skip functions for spi and pmic elements
      drm/i915/dsi: update reset and power sequences in panel prepare/unprepare hooks
      drm/i915/dsi: run backlight on/off sequences in panel enable/disable hooks
      drm/i915/bios: log about presence of DSI sequences we do not run
      drm/i915/dsi: double check element parsing against size if present
      drm/i915/backlight: setup and cache pwm alternate increment value
      drm/i915: keep declarations in i915_drv.h
      drm/i915/skl: drop workarounds for A0 and B0 revisions
      drm/i915/skl: drop workarounds for C0 revision
      drm/i915/skl: drop workarounds for D0 revision
      drm/i915/skl: drop workarounds for E0 revision
      drm/i915/skl: drop workarounds for F0 revision
      drm/i915: add a few missing platform tags to workaround tags
      drm/i915: keep CONFIG_DRM_FBDEV_EMULATION=n function stubs together
      drm/i915: workaround sparse warning on variable length arrays
      drm/i915: make skl_ddb_add_affected_planes static
      drm/i915: silence io mapping/unmapping sparse warnings on different address spaces
      drm/i915/audio: abstract audio config update
      drm/i915/audio: port is going to be just fine, simplify checks
      drm/i915/audio: use the same code for updating audio config
      drm/i915/audio: split dp and hdmi audio config update
      drm/i915/audio: add register macros for audio config N value
      drm/i915/audio: rename N value getter to emphasize it's for hdmi
      drm: make is_lspcon_adaptor static
      drm/i915: make lspcon_get_current_mode static
      drm: Fix LSPCON kernel-doc

Joonas Lahtinen (3):
      drm/i915: Reduce trickery in DEV_INFO_FOR_EACH_FLAG
      drm/i915: Sort DEV_INFO_FOR_EACH_FLAG
      drm/i915: Remove unused BSM_MASK causing warning

Libin Yang (3):
      drm/i915: start adding dp mst audio
      drm/i915/audio: set proper N/MCTS on more platforms
      drm/i915/audio: HDMI audio gets the TMDS clock by crtc_clock

Lyude (4):
      drm/i915/skl: Move per-pipe ddb allocations into crtc states
      drm/i915/skl: Remove linetime from skl_wm_values
      drm/i915/gen9: Make skl_wm_level per-plane
      drm/i915/gen9: Cleanup skl_pipe_wm_active_state

Matthew Auld (1):
      drm/i915/dp: add lane_count check in intel_dp_check_link_status

Michał Winiarski (3):
      drm/i915: Remove unused "valid" parameter from pte_encode
      drm/i915/gtt: Split gen8_ppgtt_clear_pte_range
      drm/i915/gtt: Free unused lower-level page tables

Nagaraju, Vathsala (1):
      drm/i915: don't report compression when fbc is disabled

Navare, Manasi D (1):
      drm/i915: Code cleanup to use dev_priv and INTEL_GEN

Pandiyan, Dhinakaran (5):
      drm/i915: Standardize port type for DVO encoders
      drm/i915: Store port enum in intel_encoder
      drm/i915: Switch to using port stored in intel_encoder
      drm/i915: Move audio_connector to intel_encoder
      drm/i915/dp: DP audio API changes for MST

Paulo Zanoni (18):
      drm/i915/fbc: disable FBC on FIFO underruns
      drm/i915: don't forget to set intel_crtc->dspaddr_offset on SKL+
      drm/i915: SAGV is not SKL-only, so rename a few things
      drm/i915: introduce intel_has_sagv()
      drm/i915/kbl: KBL also needs to run the SAGV code
      drm/i915/gen9: fix the WaWmMemoryReadLatency implementation
      drm/i915/gen9: minimum scanlines for Y tile is not always 4
      drm/i915/gen9: fix plane_blocks_per_line on watermarks calculations
      drm/i915/gen9: fix the watermark res_blocks value
      drm/i915/gen9: implement missing case for SKL watermarks calculation
      drm/i915/gen9: fail the modeset instead of WARNing on unsupported config
      drm/i915/skl: tell the user about pre-production hardware
      drm/i915/gen9: only add the planes actually affected by ddb changes
      drm/i915/gen9: fix DDB partitioning for multi-screen cases
      drm/i915/gen9: unconditionally apply the memory bandwidth WA
      drm/i915/gen9: look for adjusted_mode in the SAGV check for interlaced
      drm/i915/gen9: fix watermarks when using the pipe scaler
      drm/i915/gen9: don't call ilk_pipe_pixel_rate() twice on the same function

Rodrigo Vivi (2):
      drm/i915: Move down skl/kbl ddi iboost and n_edp_entires fixup
      drm/i915: KBL - Recommended buffer translation programming for DisplayPort

Shashank Sharma (5):
      drm: Helper for lspcon in drm_dp_dual_mode
      drm/i915: Add lspcon support for I915 driver
      drm/i915: Parse VBT data for lspcon
      drm/i915: Enable lspcon initialization
      drm/i915: Add lspcon resume function

Shawn Lee (1):
      drm/i915/backlight: setup backlight pwm alternate increment on backlight enable

Tvrtko Ursulin (38):
      drm/i915: Remove redundant hsw_write* mmio functions
      drm/i915: Keep track of active forcewake domains in a bitmask
      drm/i915: Do not inline forcewake taking in mmio accessors
      drm/i915: Data driven register to forcewake domains lookup
      drm/i915: Sort forcewake mapping tables
      drm/i915: Use binary search when looking up forcewake domains
      drm/i915: Eliminate Gen9 special case
      drm/i915: Store the active forcewake range table pointer
      drm/i915: Remove identical macros
      drm/i915: Remove identical mmio read functions
      drm/i915: Remove identical write mmmio functions
      drm/i915: Sort the shadow register table
      drm/i915: Use binary search when looking for shadowed registers
      drm/i915: Inline binary search
      drm/i915: Shrink cxsr_latency_table
      drm/i915: Shrink sdvo_cmd_names
      drm/i915: Shrink per-platform watermark configuration
      drm/i915: Shrink TV modes const data
      drm/i915: Make HAS_DDI and HAS_PCH_LPT_LP only take dev_priv
      drm/i915: Make INTEL_PCH_TYPE & co only take dev_priv
      drm/i915: Make HAS_GMCH_DISPLAY only take dev_priv
      drm/i915: Make HAS_RUNTIME_PM only take dev_priv
      drm/i915: Do not use INTEL_INFO(dev_priv)->ring_mask inside WARNs
      drm/i915: Make IS_GEN-range macro only take dev_priv
      drm/i915: Make INTEL_DEVID only take dev_priv
      drm/i915: Make IS_IVYBRIDGE only take dev_priv
      drm/i915: Make IS_BROADWELL only take dev_priv
      drm/i915: Make IS_HASWELL only take dev_priv
      drm/i915: Make IS_KABYLAKE only take dev_priv
      drm/i915: Make IS_SKYLAKE only take dev_priv
      drm/i915: Make IS_BROXTON only take dev_priv
      drm/i915: Make HAS_L3_DPF only take dev_priv
      drm/i915: Make IS_G4X only take dev_priv
      drm/i915: Make IS_CHERRYVIEW only take dev_priv
      drm/i915: Make IS_VALLEYVIEW only take dev_priv
      drm/i915: Make INTEL_GEN only take dev_priv
      drm/i915: Make IS_GEN macros only take dev_priv
      drm/i915: Fix cxsr_latency_table reorg

Ville Syrjälä (13):
      drm/i915: Allow PCH DPLL sharing regardless of DPLL_SDVO_HIGH_SPEED
      drm/i915: Move long hpd handling into the hotplug work
      drm/i915: Allow DP to work w/o EDID
      Revert "Skip intel_crt_init for Dell XPS 8700"
      drm/i915: Register shadow VGA even when it produces spurious detection results
      drm/i915: Add spurious CRT DMI match for Intel DZ77BH-55K
      drm/i915: GMBUS don't need no forcewake
      drm/i915: Extract intel_crtc_pch_transcoder()
      drm/i915: Suppress underruns during DP link retraining
      drm/i915: Respect alternate_aux_channel for all DDI ports
      drm/i915: Respect alternate_ddc_pin for all DDI ports
      drm/i915: Clean up DDI DDC/AUX CH sanitation
      drm/i915: Fix whitespace issues

Zhenyu Wang (6):
      drm/i915/gvt: Fix build failure after intel_engine_cs change
      drm/i915/gvt: clean up intel_gvt.h as interface for i915 core
      MAINTAINERS: Add new Intel GVT-g driver maintainer
      drm/i915/gvt: Fix warning on obsolete function usage
      Documentation/gpu: Add section for Intel GVT-g host support
      drm/i915/gvt: properly access enabled intel_engine_cs

Zhi Wang (17):
      drm/i915/gvt: vGPU HW resource management
      drm/i915/gvt: Introduce a framework for tracking HW registers.
      drm/i915/gvt: golden virtual HW state management
      drm/i915/gvt: Introduce basic vGPU life cycle management
      drm/i915/gvt: trace stub
      drm/i915/gvt: vGPU interrupt virtualization.
      drm/i915/gvt: vGPU graphics memory virtualization
      drm/i915/gvt: vGPU PCI configuration space virtualization
      drm/i915/gvt: vGPU MMIO virtualization
      drm/i915/gvt: vGPU display virtualization
      drm/i915/gvt: vGPU execlist virtualization
      drm/i915/gvt: vGPU workload submission
      drm/i915/gvt: vGPU workload scheduler
      drm/i915/gvt: vGPU schedule policy framework
      drm/i915/gvt: vGPU context switch
      drm/i915/gvt: vGPU command scanner
      drm/i915/gvt: Support GVT-g on Skylake

cpaul@redhat.com (6):
      drm/i915/gen9: Get rid of redundant watermark values
      drm/i915/gen9: Add ddb changes to atomic debug output
      drm/i915/gen9: Make skl_pipe_wm_get_hw_state() reusable
      drm/i915/gen9: Add skl_wm_level_equals()
      drm/i915/gen9: Actually verify WM levels in verify_wm_state()
      drm/i915/gen9: Don't wrap strings in verify_wm_state()

 Documentation/gpu/i915.rst                    |    9 +
 MAINTAINERS                                   |   10 +
 drivers/gpu/drm/drm_dp_dual_mode_helper.c     |  103 +
 drivers/gpu/drm/i915/Kconfig                  |   25 +
 drivers/gpu/drm/i915/Makefile                 |    5 +-
 drivers/gpu/drm/i915/gvt/Makefile             |    4 +-
 drivers/gpu/drm/i915/gvt/aperture_gm.c        |  352 +++
 drivers/gpu/drm/i915/gvt/cfg_space.c          |  288 +++
 drivers/gpu/drm/i915/gvt/cmd_parser.c         | 2831 +++++++++++++++++++++++++
 drivers/gpu/drm/i915/gvt/cmd_parser.h         |   49 +
 drivers/gpu/drm/i915/gvt/debug.h              |   29 +-
 drivers/gpu/drm/i915/gvt/display.c            |  330 +++
 drivers/gpu/drm/i915/gvt/display.h            |  163 ++
 drivers/gpu/drm/i915/gvt/edid.c               |  532 +++++
 drivers/gpu/drm/i915/gvt/edid.h               |  150 ++
 drivers/gpu/drm/i915/gvt/execlist.c           |  860 ++++++++
 drivers/gpu/drm/i915/gvt/execlist.h           |  188 ++
 drivers/gpu/drm/i915/gvt/firmware.c           |  312 +++
 drivers/gpu/drm/i915/gvt/gtt.c                | 2232 +++++++++++++++++++
 drivers/gpu/drm/i915/gvt/gtt.h                |  270 +++
 drivers/gpu/drm/i915/gvt/gvt.c                |  172 +-
 drivers/gpu/drm/i915/gvt/gvt.h                |  325 ++-
 drivers/gpu/drm/i915/gvt/handlers.c           | 2797 ++++++++++++++++++++++++
 drivers/gpu/drm/i915/gvt/hypercall.h          |   34 +
 drivers/gpu/drm/i915/gvt/interrupt.c          |  741 +++++++
 drivers/gpu/drm/i915/gvt/interrupt.h          |  233 ++
 drivers/gpu/drm/i915/gvt/mmio.c               |  306 +++
 drivers/gpu/drm/i915/gvt/mmio.h               |  105 +
 drivers/gpu/drm/i915/gvt/mpt.h                |  220 ++
 drivers/gpu/drm/i915/gvt/opregion.c           |  344 +++
 drivers/gpu/drm/i915/gvt/reg.h                |   80 +
 drivers/gpu/drm/i915/gvt/render.c             |  291 +++
 drivers/gpu/drm/i915/gvt/render.h             |   43 +
 drivers/gpu/drm/i915/gvt/sched_policy.c       |  294 +++
 drivers/gpu/drm/i915/gvt/sched_policy.h       |   58 +
 drivers/gpu/drm/i915/gvt/scheduler.c          |  578 +++++
 drivers/gpu/drm/i915/gvt/scheduler.h          |  139 ++
 drivers/gpu/drm/i915/gvt/trace.h              |  286 +++
 drivers/gpu/drm/i915/gvt/trace_points.c       |   36 +
 drivers/gpu/drm/i915/gvt/vgpu.c               |  274 +++
 drivers/gpu/drm/i915/i915_cmd_parser.c        |    3 +-
 drivers/gpu/drm/i915/i915_debugfs.c           |  383 ++--
 drivers/gpu/drm/i915/i915_drv.c               |  148 +-
 drivers/gpu/drm/i915/i915_drv.h               |  409 ++--
 drivers/gpu/drm/i915/i915_gem.c               |  217 +-
 drivers/gpu/drm/i915/i915_gem_context.c       |   17 +-
 drivers/gpu/drm/i915/i915_gem_evict.c         |    3 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |   88 +-
 drivers/gpu/drm/i915/i915_gem_fence.c         |   32 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |  383 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h           |    7 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |    6 +-
 drivers/gpu/drm/i915/i915_gem_request.c       |    5 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c      |    5 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c        |   17 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c        |    7 +-
 drivers/gpu/drm/i915/i915_gpu_error.c         |  593 +++---
 drivers/gpu/drm/i915/i915_guc_submission.c    |   12 +-
 drivers/gpu/drm/i915/i915_irq.c               |  283 ++-
 drivers/gpu/drm/i915/i915_params.c            |    9 +
 drivers/gpu/drm/i915/i915_params.h            |    1 +
 drivers/gpu/drm/i915/i915_pci.c               |    7 -
 drivers/gpu/drm/i915/i915_reg.h               |   18 +-
 drivers/gpu/drm/i915/i915_suspend.c           |    8 +-
 drivers/gpu/drm/i915/i915_sysfs.c             |   25 +-
 drivers/gpu/drm/i915/intel_audio.c            |  302 +--
 drivers/gpu/drm/i915/intel_bios.c             |  175 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c      |    6 +-
 drivers/gpu/drm/i915/intel_color.c            |   16 +-
 drivers/gpu/drm/i915/intel_crt.c              |  118 +-
 drivers/gpu/drm/i915/intel_ddi.c              |  160 +-
 drivers/gpu/drm/i915/intel_device_info.c      |   18 +-
 drivers/gpu/drm/i915/intel_display.c          |  599 +++---
 drivers/gpu/drm/i915/intel_dp.c               |  314 +--
 drivers/gpu/drm/i915/intel_dp_link_training.c |    3 -
 drivers/gpu/drm/i915/intel_dp_mst.c           |    1 +
 drivers/gpu/drm/i915/intel_dpll_mgr.c         |   10 +-
 drivers/gpu/drm/i915/intel_drv.h              |   73 +-
 drivers/gpu/drm/i915/intel_dsi.c              |   38 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c    |   48 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c          |   26 +-
 drivers/gpu/drm/i915/intel_dvo.c              |   16 +-
 drivers/gpu/drm/i915/intel_engine_cs.c        |  167 +-
 drivers/gpu/drm/i915/intel_fbc.c              |   67 +
 drivers/gpu/drm/i915/intel_fifo_underrun.c    |   10 +-
 drivers/gpu/drm/i915/intel_guc_loader.c       |   42 +-
 drivers/gpu/drm/i915/intel_gvt.c              |   10 +-
 drivers/gpu/drm/i915/intel_gvt.h              |    2 +-
 drivers/gpu/drm/i915/intel_hdmi.c             |  143 +-
 drivers/gpu/drm/i915/intel_i2c.c              |   14 +-
 drivers/gpu/drm/i915/intel_lrc.c              |   93 +-
 drivers/gpu/drm/i915/intel_lspcon.c           |  136 ++
 drivers/gpu/drm/i915/intel_lvds.c             |   32 +-
 drivers/gpu/drm/i915/intel_overlay.c          |    6 +-
 drivers/gpu/drm/i915/intel_pm.c               |  737 +++----
 drivers/gpu/drm/i915/intel_psr.c              |   22 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c       |   96 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h       |   42 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c       |   15 +-
 drivers/gpu/drm/i915/intel_sdvo.c             |   26 +-
 drivers/gpu/drm/i915/intel_sprite.c           |   38 +-
 drivers/gpu/drm/i915/intel_tv.c               |   52 +-
 drivers/gpu/drm/i915/intel_uncore.c           |  602 +++---
 include/drm/drm_dp_dual_mode_helper.h         |   27 +
 include/drm/i915_component.h                  |    6 +-
 include/sound/hda_i915.h                      |   11 +-
 sound/hda/hdac_i915.c                         |   18 +-
 sound/pci/hda/patch_hdmi.c                    |    7 +-
 sound/soc/codecs/hdac_hdmi.c                  |    2 +-
 109 files changed, 20187 insertions(+), 2943 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gvt/aperture_gm.c
 create mode 100644 drivers/gpu/drm/i915/gvt/cfg_space.c
 create mode 100644 drivers/gpu/drm/i915/gvt/cmd_parser.c
 create mode 100644 drivers/gpu/drm/i915/gvt/cmd_parser.h
 create mode 100644 drivers/gpu/drm/i915/gvt/display.c
 create mode 100644 drivers/gpu/drm/i915/gvt/display.h
 create mode 100644 drivers/gpu/drm/i915/gvt/edid.c
 create mode 100644 drivers/gpu/drm/i915/gvt/edid.h
 create mode 100644 drivers/gpu/drm/i915/gvt/execlist.c
 create mode 100644 drivers/gpu/drm/i915/gvt/execlist.h
 create mode 100644 drivers/gpu/drm/i915/gvt/firmware.c
 create mode 100644 drivers/gpu/drm/i915/gvt/gtt.c
 create mode 100644 drivers/gpu/drm/i915/gvt/gtt.h
 create mode 100644 drivers/gpu/drm/i915/gvt/handlers.c
 create mode 100644 drivers/gpu/drm/i915/gvt/interrupt.c
 create mode 100644 drivers/gpu/drm/i915/gvt/interrupt.h
 create mode 100644 drivers/gpu/drm/i915/gvt/mmio.c
 create mode 100644 drivers/gpu/drm/i915/gvt/mmio.h
 create mode 100644 drivers/gpu/drm/i915/gvt/opregion.c
 create mode 100644 drivers/gpu/drm/i915/gvt/reg.h
 create mode 100644 drivers/gpu/drm/i915/gvt/render.c
 create mode 100644 drivers/gpu/drm/i915/gvt/render.h
 create mode 100644 drivers/gpu/drm/i915/gvt/sched_policy.c
 create mode 100644 drivers/gpu/drm/i915/gvt/sched_policy.h
 create mode 100644 drivers/gpu/drm/i915/gvt/scheduler.c
 create mode 100644 drivers/gpu/drm/i915/gvt/scheduler.h
 create mode 100644 drivers/gpu/drm/i915/gvt/trace.h
 create mode 100644 drivers/gpu/drm/i915/gvt/trace_points.c
 create mode 100644 drivers/gpu/drm/i915/gvt/vgpu.c
 create mode 100644 drivers/gpu/drm/i915/intel_lspcon.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-09-19  9:17 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-09-19  9:17 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-09-19:
- refactor the sseu code (Imre)
- refine guc dmesg output (Dave Gordon)
- more vgpu work
- more skl wm fixes (Lyude)
- refactor dpll code in prep for upfront link training (Jim Bride et al)
- consolidate all platform feature checks into intel_device_info (Carlos Santa)
- refactor elsp/execlist submission as prep for re-submission after hang
  recovery and eventually scheduling (Chris Wilson)
- allow synchronous gpu reset handling, to remove tricky/impossible/fragile
  error recovery code (Chris Wilson)
- prep work for nonblocking (execlist) submission, using fences to track
  depencies and drive elsp submission (Chris Wilson)
- partial error recover/resubmission of non-guilty batches after hangs (Chris Wilson)
- full dma-buf implicit fencing support (Chris Wilson)
- dp link training fixes (Jim, Dhinkaran, Navare, ...)
- obey dp branch device pixel rate/bpc/clock limits (Mika Kahola), needed for
  many vga dongles
- bunch of small cleanups and polish all over, as usual
drm-intel-next-2016-09-02:
- skl wm fixes (Lyude, Matt, Maarten)
- cleanup of kdev/drm_dev/i915_dev handling (David Weinehall)
- make (most) encoders take advantage of atomic states (Maarten)
- MMAP_GTT_VERSION driver param to announce that gtt mmaps are reliable (Chris)
- allow contexts on all rings (Chris)
- a few fixes (around diagnostic messages) to make BAT less noisy
- misc fixes and cleanups all over, as usual

Since you're on vacation I figured I'll just combine the pull from before
my vacations, and the final 4.9 feature pile I just assembled. With this
4.9 is now for Jani.

Tomeu just pinged me about the crc drm patches, and David made some noises
about cleanup patches he has pending, so I guess there'll be a few
stragglers for 4.9 for -misc still. But if Linus tags final 4.8 (against
excpectations) this w/e already I'll postpone them I think.

Cheers, Daniel


The following changes since commit d5d0804f8f6d0c89913f6a2de5348adef8ec33e4:

  drm/i915: Update DRIVER_DATE to 20160822 (2016-08-22 08:35:48 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-09-19

for you to fetch changes up to 6e05f3d3b9298a56d6f1acb474a75cf14a17c31e:

  drm/i915: Update DRIVER_DATE to 20160919 (2016-09-19 09:26:08 +0200)

----------------------------------------------------------------
- refactor the sseu code (Imre)
- refine guc dmesg output (Dave Gordon)
- more vgpu work
- more skl wm fixes (Lyude)
- refactor dpll code in prep for upfront link training (Jim Bride et al)
- consolidate all platform feature checks into intel_device_info (Carlos Santa)
- refactor elsp/execlist submission as prep for re-submission after hang
  recovery and eventually scheduling (Chris Wilson)
- allow synchronous gpu reset handling, to remove tricky/impossible/fragile
  error recovery code (Chris Wilson)
- prep work for nonblocking (execlist) submission, using fences to track
  depencies and drive elsp submission (Chris Wilson)
- partial error recover/resubmission of non-guilty batches after hangs (Chris Wilson)
- full dma-buf implicit fencing support (Chris Wilson)
- dp link training fixes (Jim, Dhinkaran, Navare, ...)
- obey dp branch device pixel rate/bpc/clock limits (Mika Kahola), needed for
  many vga dongles
- bunch of small cleanups and polish all over, as usual

----------------------------------------------------------------
Ander Conselvan de Oliveira (2):
      drm/i915: Don't pass crtc_state to intel_dp_set_link_params()
      drm/i915: Remove ddi_pll_sel from intel_crtc_state

Carlos Santa (22):
      drm/i915: Move HAS_PSR definition to platform struct definition
      drm/i915: Remove .is_mobile field from platform struct
      drm/i915: Introduce GEN6_FEATURES for device info
      drm/i915: Move HAS_RUNTIME_PM definition to platform
      drm/i915: Remove runtime PM for SNB
      drm/i915: Get rid of HAS_CORE_RING_FREQ
      drm/i915 Move HAS_CSR definition to platform definition
      drm/i915: Move HAS_RESOURCE_STREAMER definition to platform definition
      drm/i915: Move HAS_RC6 definition to platform definition
      drm/i915: Move HAS_RC6p definition to platform definition
      drm/i915: Move HAS_DP_MST definition to platform definition
      drm/i915: Introduce GEN5_FEATURES for device info
      drm/i915: Move HAS_GMBUS_IRQ definition to platform definition
      drm/i915: Introduce GEN4_FEATURES for device info
      drm/i915: Introduce GEN3_FEATURES for device info
      drm/i915: Introduce GEN2_FEATURES for device info
      drm/i915: Move HAS_HW_CONTEXTS definition to platform
      drm/i915: Move HAS_LOGICAL_RING_CONTEXTS definition to platform
      drm/i915: Move HAS_L3_DPF definition to platform definition
      drm/i915: Move HAS_GMCH_DISPLAY definition to platform
      drm/i915: Make HWS_NEEDS_PHYSICAL the exception
      drm/i915: Move HAS_GUC definition to platform definition

Chris Wilson (46):
      drm/i915: Restore debugfs/i915_gem_gtt back to its former glory
      drm/i915: Stop marking the unaccessible scratch page as UC
      drm/i915: Embed the scratch page struct into each VM
      drm/i915: Allow DMA pagetables to use highmem
      drm/i915: Ignore stuck requests when considering hangs
      drm/i915: Fix nesting of filelist_mutex vs struct_mutex in i915_ppgtt_info
      drm/i915: Take forcewake once for the entire GMBUS transaction
      io-mapping.h: s/PAGE_KERNEL_IO/PAGE_KERNEL/
      drm/i915/dvo: Remove dangling call to drm_encoder_cleanup()
      Revert "drm/i915/fbc: Allow on unfenced surfaces, for recent gen"
      drm/i915: Suppress DRM_ERROR for D_COMP write on Haswell
      drm/i915: Force RC6 restore after system resume and reset
      drm/i915: Restore lost "Initialized i915" welcome message
      drm/i915: Add I915_PARAM_MMAP_GTT_VERSION to advertise unlimited mmaps
      drm/i915: Add GEN7_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE to SNB
      drm/i915: Allow the user to pass a context to any ring
      drm/i915: Tidy reporting busy status during i915_gem_retire_requests()
      drm/i915: Make for_each_engine_masked() more compact and quicker
      drm/i915: Drop mutex around clearing error state
      drm/i915: debugfs/i915_gem_seqno_info does not need rpm nor struct_mutex
      drm/i915: debugfs/i915_gem_interrupt_info does not need struct_mutex
      drm/i915: Don't wait for a spinlock inside error capture
      drm/i915: Remove 64b mmio write vfuncs
      drm/i915: Add a sw fence for collecting up dma fences
      drm/i915: Only queue requests during execlists submission
      drm/i915: Record the position of the workarounds in the tail of the request
      drm/i915: Compute the ELSP register location once
      drm/i915: Reorder submitting the requests to ELSP
      drm/i915: Simplify ELSP queue request tracking
      drm/i915: Separate out reset flags from the reset counter
      drm/i915: Drop local struct_mutex around intel_init_emon[ilk]
      drm/i915: Expand bool interruptible to pass flags to i915_wait_request()
      drm/i915: Mark up all locked waiters
      drm/i915: Perform a direct reset of the GPU from the waiter
      drm/i915: Replace wait-on-mutex with wait-on-bit in reset worker
      drm/i915: Update reset path to fix incomplete requests
      drm/i915: Drive request submission through fence callbacks
      drm/i915: Reorder i915_add_request to separate the phases better
      drm/i915: Prepare object synchronisation for asynchronicity
      drm/i915/guc: Prepare for nonblocking execbuf submission
      drm/i915: Ignore valid but unknown semaphores
      drm/i915: Avoid incrementing hangcheck whilst waiting for external fence
      drm/i915: Nonblocking request submission
      drm/i915: Serialise execbuf operation after a dma-buf reservation object
      drm/i915: Shrink objects prior to hibernation
      drm/i915: Flush to GTT domain all GGTT bound objects after hibernation

Daniel Vetter (4):
      drm/i915: Ensure consistent control flow __i915_gem_active_get_rcu
      io-mapping: Fixup for different names of writecombine
      drm/i915: Update DRIVER_DATE to 20160902
      drm/i915: Update DRIVER_DATE to 20160919

Dave Gordon (7):
      drm: extra printk() wrapper macros
      drm/i915/guc: downgrade some DRM_ERROR() messages to DRM_WARN()
      drm/i915/guc: revisit GuC loader message levels
      drm/i915: Only expand COND once in wait_for()
      drm/i915: clarify PMINTRMSK/pm_intr_keep usage
      drm/i915/guc: general tidying up (loader)
      drm/i915/guc: general tidying up (submission)

David Weinehall (8):
      drm/i915: cosmetic fixes to i915_drv.h
      drm/i915: consistent struct device naming
      drm/i915: i915_sysfs.c cleanup
      drm/i915: pdev cleanup
      drm/i915: debugfs spring cleaning
      drm/i915/debugfs: Add panel delays for eDP
      drm/i915: Cleanup i915_param()
      Revert "drm/i915: Check live status before reading edid"

Deepak M (1):
      drm/i915: Add ddb size field to device info structure

Dhinakaran Pandiyan (2):
      drm/i915/dp: Move max. vswing check to it's own function
      drm/dp/i915: Make clock recovery in the link training compliant with DP Spec 1.2

Durgadoss R (1):
      drm/i915: Split bxt_ddi_pll_select()

Imre Deak (7):
      drm/i915: sseu: Move sseu_dev_status to i915_drv.h
      drm/i915: sseu: Use sseu_dev_info in device info
      drm/i915: sseu: Simplify debugfs status/info printing
      drm/i915: sseu: Convert slice count field to mask
      drm/i915: sseu: Convert subslice count fields to subslice mask
      drm/i915: sseu: Add debug printf for slice/subslice masks
      drm/i915/bdw: sseu: Fix sseu status parsing

Jani Nikula (5):
      drm/i915: remove leftover for_each_intel_crtc_masked
      drm/i915/backlight: handle enabled but zero duty cycle at module load
      drm/i915: make intel_dp_compute_bpp static
      drm/i915: do not use 'false' as a NULL pointer
      drm/i915: use NULL for NULL pointers

Jim Bride (2):
      drm/i915: Split skl_get_dpll()
      drm/i915/dp: Add a standalone function to obtain shared dpll for HSW/BDW/SKL/BXT

Joonas Lahtinen (1):
      drm/i915: Use atomic for dev_priv->mm.bsd_engine_dispatch_index

Lyude (7):
      drm/i915/gen6+: Interpret mailbox error flags
      drm/i915/skl: Add support for the SAGV, fix underrun hangs
      drm/i915/skl: Ensure pipes with changed wms get added to the state
      drm/i915/skl: Update plane watermarks atomically during plane updates
      drm/i915: Move CRTC updating in atomic_commit into it's own hook
      drm/i915/skl: Update DDB values atomically with wms/plane attrs
      drm/i915/skl: Don't try to update plane watermarks if they haven't changed

Maarten Lankhorst (21):
      drm/i915: Fix botched merge that downgrades CSR versions.
      drm/i915: handle DP_MST correctly in bxt_get_dpll
      drm/i915: Pass atomic state to crtc enable/disable functions
      drm/i915: Remove unused mode_set hook from encoder
      drm/i915: Walk over encoders in crtc enable/disable using atomic state.
      drm/i915: Pass crtc_state and connector_state to encoder functions
      drm/i915: Make encoder->compute_config take the connector state
      drm/i915: Remove unused loop from intel_dp_mst_compute_config
      drm/i915: Convert intel_crt to use atomic state
      drm/i915: Convert intel_dvo to use atomic state
      drm/i915: Convert intel_dsi to use atomic state
      drm/i915: Convert intel_sdvo to use atomic state
      drm/i915: Convert intel_lvds to use atomic state
      drm/i915: Convert intel_dp_mst to use atomic state
      drm/i915: Convert intel_dp to use atomic state
      drm/i915: Use more atomic state in intel_color.c
      drm/i915: Create a intel_encoder_find_connector helper function.
      drm/i915: Cleanup crt disable sequence on hsw+
      drm/i915: Add missing parameter to intel_dp_set_drrs_state documentation.
      drm/i915: Fix intel_display_crc_init for !DEBUGFS
      drm/i915: Fix other intel_dp warnings too.

Manasi Navare (2):
      drm/i915: Split intel_ddi_pre_enable() into DP and HDMI versions
      drm/i915: Split hsw_get_dpll()

Matt Roper (1):
      drm/i915/gen9: Only copy WM results for changed pipes to skl_hw

Matthew Auld (2):
      drm/i915: free intel_fb
      drm/i915: remove writeq ifdeffery

Mika Kahola (12):
      drm: Add missing DP downstream port types
      drm: Drop VGA from bpc definitions
      drm: Helper to read max clock rate
      drm: Helper to read max bits per component
      drm: Read DP branch device id
      drm/i915: Cleanup DisplayPort AUX channel initialization
      drm/i915: Read DP branch device HW revision
      drm/i915: Read DP branch device SW revision
      drm/i915: Check pixel rate for DP to VGA dongle
      drm/i915: Update bits per component for display info
      drm: Add DP branch device info on debugfs
      drm: Fix DisplayPort branch device ID kernel-doc

Navare, Manasi D (2):
      drm/i915: Make DP link training channel equalization DP 1.2 Spec compliant
      drm/i915: Add support for Kabylake to function obtaining shared PLL

Pandiyan, Dhinakaran (3):
      drm/i915/dp: Add debug messages to print DP link training pattern
      drm/i915/dp: Dump DP link status when link training stages fail
      drm/i915: Eliminate redundant local variable definition

Paulo Zanoni (1):
      drm/i915: Call intel_fbc_pre_update() after pinning the new pageflip

Ping Gao (1):
      drm/i915: enable vGPU detection for all

Rodrigo Vivi (1):
      Revert "drm/i915/psr: Make idle_frames sensible again"

Ville Syrjälä (1):
      drm/i915: Ignore OpRegion panel type except on select machines

Zhi Wang (1):
      drm/i915: disable 48bit full PPGTT when vGPU is active

 arch/x86/kernel/early-quirks.c                |    9 +-
 drivers/gpu/drm/drm_dp_helper.c               |  173 +++++
 drivers/gpu/drm/i915/Makefile                 |    1 +
 drivers/gpu/drm/i915/i915_cmd_parser.c        |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c           | 1015 ++++++++++++-------------
 drivers/gpu/drm/i915/i915_drv.c               |  300 ++++----
 drivers/gpu/drm/i915/i915_drv.h               |  227 +++---
 drivers/gpu/drm/i915/i915_gem.c               |  321 ++++----
 drivers/gpu/drm/i915/i915_gem_context.c       |   16 -
 drivers/gpu/drm/i915/i915_gem_evict.c         |    8 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |   29 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |  141 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h           |    6 +-
 drivers/gpu/drm/i915/i915_gem_request.c       |  229 +++++-
 drivers/gpu/drm/i915/i915_gem_request.h       |   76 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c      |    4 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c        |   19 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c       |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c         |   36 +-
 drivers/gpu/drm/i915/i915_guc_reg.h           |    3 -
 drivers/gpu/drm/i915/i915_guc_submission.c    |  126 +--
 drivers/gpu/drm/i915/i915_irq.c               |  126 ++-
 drivers/gpu/drm/i915/i915_pci.c               |  290 +++----
 drivers/gpu/drm/i915/i915_reg.h               |   15 +-
 drivers/gpu/drm/i915/i915_suspend.c           |    6 +-
 drivers/gpu/drm/i915/i915_sw_fence.c          |  362 +++++++++
 drivers/gpu/drm/i915/i915_sw_fence.h          |   65 ++
 drivers/gpu/drm/i915/i915_sysfs.c             |  171 ++---
 drivers/gpu/drm/i915/i915_vgpu.c              |    3 -
 drivers/gpu/drm/i915/intel_audio.c            |   57 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c      |    3 +
 drivers/gpu/drm/i915/intel_color.c            |   24 +-
 drivers/gpu/drm/i915/intel_crt.c              |   48 +-
 drivers/gpu/drm/i915/intel_csr.c              |    8 +-
 drivers/gpu/drm/i915/intel_ddi.c              |  276 ++++---
 drivers/gpu/drm/i915/intel_device_info.c      |  140 ++--
 drivers/gpu/drm/i915/intel_display.c          |  617 ++++++++++-----
 drivers/gpu/drm/i915/intel_dp.c               |  294 ++++---
 drivers/gpu/drm/i915/intel_dp_link_training.c |  139 ++--
 drivers/gpu/drm/i915/intel_dp_mst.c           |   97 +--
 drivers/gpu/drm/i915/intel_dpll_mgr.c         |  458 ++++++-----
 drivers/gpu/drm/i915/intel_dpll_mgr.h         |   15 +
 drivers/gpu/drm/i915/intel_drv.h              |   96 ++-
 drivers/gpu/drm/i915/intel_dsi.c              |   40 +-
 drivers/gpu/drm/i915/intel_dvo.c              |   28 +-
 drivers/gpu/drm/i915/intel_engine_cs.c        |   15 +-
 drivers/gpu/drm/i915/intel_fbc.c              |    6 +-
 drivers/gpu/drm/i915/intel_fbdev.c            |    3 +-
 drivers/gpu/drm/i915/intel_guc.h              |    4 +-
 drivers/gpu/drm/i915/intel_guc_loader.c       |   91 ++-
 drivers/gpu/drm/i915/intel_hdmi.c             |  118 +--
 drivers/gpu/drm/i915/intel_i2c.c              |  134 ++--
 drivers/gpu/drm/i915/intel_lrc.c              |  540 ++++++-------
 drivers/gpu/drm/i915/intel_lrc.h              |    5 +-
 drivers/gpu/drm/i915/intel_lvds.c             |   52 +-
 drivers/gpu/drm/i915/intel_opregion.c         |   27 +
 drivers/gpu/drm/i915/intel_panel.c            |   40 +-
 drivers/gpu/drm/i915/intel_pm.c               |  491 +++++++-----
 drivers/gpu/drm/i915/intel_psr.c              |   14 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c       |   78 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h       |   26 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c       |   57 +-
 drivers/gpu/drm/i915/intel_sdvo.c             |   56 +-
 drivers/gpu/drm/i915/intel_sprite.c           |   14 +
 drivers/gpu/drm/i915/intel_tv.c               |   15 +-
 drivers/gpu/drm/i915/intel_uncore.c           |   18 +-
 include/drm/drmP.h                            |   26 +-
 include/drm/drm_dp_helper.h                   |   22 +-
 include/drm/i915_pciids.h                     |   38 +-
 include/linux/io-mapping.h                    |   10 +-
 include/uapi/drm/i915_drm.h                   |    1 +
 71 files changed, 4817 insertions(+), 3205 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_sw_fence.c
 create mode 100644 drivers/gpu/drm/i915/i915_sw_fence.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-08-24  7:58 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-08-24  7:58 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-08-22:
- bugfixes and cleanups for rcu-protected requests (Chris)
- atomic modeset fixes for gpu reset on pre-g4x (Maarten&Ville)
- guc submission improvements (Dave Gordon)
- panel power sequence cleanup (Imre)
- better use of stolen and unmappable ggtt (Chris), plus prep work to make that
  happen
- rework of framebuffer offsets, prep for multi-plane framebuffers (Ville)
- fully partial ggtt vmaps, including fenced ones (Chris)
- move lots more of the gem tracking from the object to the vma (Chris)
- tune the command parser (Chris)
- allow fbc without fences on recent platforms (Chris)
- fbc frontbuffer tracking fixes (Chris)
- fast prefaulting using io-mappping.h pgprot caching (Chris)

Plus two fixups for io-mapping.h because gpiolib uses the wrong header.
Chris is chasing 2 patches to fix that properly, this here at least avoids
compile-breakage meanwhile. I tested on x86, arm and microblaze (to
confirm the patch indeed fixes stuff).

Cheers, Daniel


The following changes since commit fc93ff608b15ae32cde3006b7af860b59cac20ec:

  Merge tag 'drm-intel-next-2016-08-08' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-08-15 16:53:57 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel drm-intel-next

for you to fetch changes up to 351243897b15aba02ad15317724d616aeaf00c7d:

  io-mapping: Fixup for different names of writecombine (2016-08-24 09:43:49 +0200)

----------------------------------------------------------------
Chris Wilson (102):
      drm/i915: Remove unused i915_gem_active_peek_rcu()
      drm/i915: Wrap the protected active RCU dereference in a helper
      drm/i915: Don't check for idleness before retiring after a GPU hang
      drm/i915: Add smp_rmb() to busy ioctl's RCU dance
      drm/i915: Do not overwrite the request with zero on reallocation
      drm/i915: Correct typo for __i915_gem_active_get_rcu in a comment
      drm/i915: Always mark the writer as also a read for busy ioctl
      drm/i915: Move missed interrupt detection from hangcheck to breadcrumbs
      drm/i915: Use RCU to annotate and enforce protection for breadcrumb's bh
      drm/i915: Fix nesting of rps.mutex and struct_mutex during powersave init
      drm/i915: Mark unmappable GGTT entries as PIN_HIGH
      drm/i915: Move setting of request->batch into its single callsite
      drm/i915: Support for creating write combined type vmaps
      drm/i915: Use SSE4.1 movntdqa to accelerate reads from WC memory
      drm/i915: Initialize return value for empty i915_gem_object_unbind()
      drm/i915: Unbind closed vma for i915_gem_object_unbind()
      drm/i915: Show RPS autotuning thresholds along with waitboost
      drm/i915: Record the position of the start of the request
      drm/i915: Reduce amount of duplicate buffer information captured on error
      drm/i915: Store the active context object on all engines upon error
      drm/i915: Remove inactive/active list from debugfs
      drm/i915: Focus debugfs/i915_gem_pinned to show only display pins
      drm/i915: Reduce i915_gem_objects to only show object information
      drm/i915: Remove redundant WARN_ON from __i915_add_request()
      drm/i915: Always set the vma->pages
      drm/i915: Create a VMA for an object
      drm/i915: Add fetch_and_zero() macro
      drm/i915: Add convenience wrappers for vma's object get/put
      drm/i915: Track pinned vma inside guc
      drm/i915: Convert fence computations to use vma directly
      drm/i915: Use VMA directly for checking tiling parameters
      drm/i915: Use VMA as the primary object for context state
      drm/i915: Only change the context object's domain when binding
      drm/i915: Move assertion for iomap access to i915_vma_pin_iomap
      drm/i915: Use VMA for ringbuffer tracking
      drm/i915: Use VMA for scratch page tracking
      drm/i915: Move common scratch allocation/destroy to intel_engine_cs.c
      drm/i915: Move common seqno reset to intel_engine_cs.c
      drm/i915/overlay: Use VMA as the primary tracker for images
      drm/i915: Use VMA as the primary tracker for semaphore page
      drm/i915: Use VMA for render state page tracking
      drm/i915: Use VMA for wa_ctx tracking
      drm/i915: Consolidate i915_vma_unpin_and_release()
      drm/i915: Track pinned VMA
      drm/i915: Introduce i915_ggtt_offset()
      drm/i915: Move debug only per-request pid tracking from request to ctx
      drm/i915: Print the batchbuffer offset next to BBADDR in error state
      drm/i915: Only record active and pending requests upon a GPU hang
      drm/i915: Record the RING_MODE register for post-mortem debugging
      drm/i915: Initialise mmaped_count for i915_gem_object_info
      drm/i915: Embrace the race in busy-ioctl
      drm/i915: Silence GCC warning for cmn_a_well
      drm/i915: Mark the static key for movntqda as static
      drm/i915: Mark i915_hpd_poll_init_work as static
      drm/i915: Remember to set vma->pages for the preallocated stolen object
      drm/i915: Add missing kerneldoc for guc_client_alloc:engines
      drm/i915: Unconditionally flush any chipset buffers before execbuf
      agp/intel: Flush chipset writes after updating a single PTE
      drm/i915: vfree() no longer ignores the low bits of the address
      drm/i915: Use ORIGIN_CPU for fb invalidation from pwrite
      drm/i915: Mark up the GTT flush following WC writes as ORIGIN_CPU
      drm/i915: Fallback to single page pwrite/pread if unable to release fence
      drm/i915: Cache kmap between relocations
      drm/i915: Extract i915_gem_obj_prepare_shmem_write()
      drm/i915: Before accessing an object via the cpu, flush GTT writes
      drm/i915: Wait for writes through the GTT to land before reading back
      drm/i915: Pin the pages first in shmem prepare read/write
      drm/i915: Tidy up flush cpu/gtt write domains
      drm/i915: Refactor execbuffer relocation writing
      drm/i915: Fallback to single page GTT mmappings for relocations
      drm/i915: Disallow direct CPU access to stolen pages for relocations
      drm/i915: Move map-and-fenceable tracking to the VMA
      drm/i915: Allow ringbuffers to be bound anywhere
      drm/i915: Allocate rings from stolen
      drm/i915/userptr: Make gup errors stickier
      drm/i915: Rename fence.lru_list to link
      drm/i915: Move fence tracking from object to vma
      drm/i915: Choose partial chunksize based on tile row size
      drm/i915: Fix partial GGTT faulting
      drm/i915: Convert partial ggtt vma to full ggtt if it spans the entire object
      drm/i915: Drop ORIGIN_GTT for untracked GTT writes
      drm/i915: Choose not to evict faultable objects from the GGTT
      drm/i915: Fallback to using unmappable memory for scanout
      drm/i915: Track display alignment on VMA
      drm/i915: Bump the inactive tracking for all VMA accessed
      drm/i915: Stop discarding GTT cache-domain on unbind vma
      drm/i915/cmdparser: Make initialisation failure non-fatal
      drm/i915/cmdparser: Add the TIMESTAMP register for the other engines
      drm/i915/cmdparser: Use cached vmappings
      drm/i915/cmdparser: Only cache the dst vmap
      drm/i915/cmdparser: Improve hash function
      drm/i915/cmdparser: Compare against the previous command descriptor
      drm/i915/cmdparser: Check for SKIP descriptors first
      drm/i915/cmdparser: Use binary search for faster register lookup
      drm/i915/cmdparser: Accelerate copies from WC memory
      drm/i915: Flush delayed fence releases after reset
      drm/i915/fbc: Don't set an illegal fence if unfenced
      drm/i915/fbc: Allow on unfenced surfaces, for recent gen
      io-mapping: Always create a struct to hold metadata about the io-mapping
      drm/i915: Embed the io-mapping struct inside drm_i915_private
      drm/i915: Use remap_io_mapping() to prefault all PTE in a single pass
      io-mapping.h: s/PAGE_KERNEL_IO/PAGE_KERNEL/

Daniel Vetter (3):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20160822
      io-mapping: Fixup for different names of writecombine

Dave Gordon (7):
      drm: avoid "possible bad bitmask?" warning
      drm/i915/guc: doorbell reset should avoid used doorbells
      drm/i915/guc: refactor guc_init_doorbell_hw()
      drm/i915/guc: add engine mask to GuC client & pass to GuC
      drm/i915/guc: use for_each_engine_id() where appropriate
      drm/i915/guc: re-optimise i915_guc_client layout
      drm/i915: Reattach comment, complete type specification

Imre Deak (6):
      drm/i915: Merge the PPS register definitions
      drm/i915: Merge TARGET_POWER_ON and PANEL_POWER_ON flag definitions
      drm/i915/lvds: Restore initial HW state during encoder enabling
      drm/i915/dp: Restore PPS HW state from the encoder resume hook
      drm/i915: Apply the PPS register unlock workaround more consistently
      drm/i915: Remove LVDS and PPS suspend time save/restore

Maarten Lankhorst (2):
      drm/i915: Fix modeset handling during gpu reset, v5.
      drm/i915: Add a way to test the modeset done during gpu reset, v3.

Matt Roper (2):
      drm/i915/gen9: Initialize intel_state->active_crtcs during WM sanitization (v2)
      drm/i915/gen9: Drop invalid WARN() during data rate calculation

Tvrtko Ursulin (4):
      drm/i915: Store number of active engines in device info
      drm/i915/guc: Consolidate firmware major-minor to one place
      drm/i915: Add enum for hardware engine identifiers
      drm/i915: Initialize legacy semaphores from engine hw id indexed array

Ville Syrjälä (16):
      drm/i915: Add some curly braces
      drm/i915: Don't mark PCH underrun reporting as disabled for transcoder B/C on LPT-H
      drm/i915: Introduce gpu_reset_clobbers_display()
      drm/i915: Use the g4x+ approach on gen2 for handling display stuff around GPU reset
      drm/i915: Account for TSEG size when determining 865G stolen base
      drm/i915: Rewrite fb rotation GTT handling
      drm/i915: Don't pass pitch to intel_compute_page_offset()
      drm/i915: Move SKL hw stride calculation into a helper
      drm/i915: Pass around plane_state instead of fb+rotation
      drm/i915: Use fb modifiers for display tiling decisions
      drm/i915: Adjust obj tiling vs. fb modifier rules
      drm/i915: Limit fb x offset due to fences
      drm/i915: Allow calling intel_adjust_tile_offset() multiple times
      drm/i915: Make intel_adjust_tile_offset() work for linear buffers
      drm/i915: Compute display surface offset in the plane check hook for SKL+
      drm/i915: Deal with NV12 CbCr plane AUX surface on SKL+

 arch/x86/kernel/early-quirks.c               |    9 +-
 drivers/char/agp/intel-gtt.c                 |    2 +
 drivers/gpu/drm/Makefile                     |    2 +-
 drivers/gpu/drm/i915/Makefile                |    6 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c       |  309 +++----
 drivers/gpu/drm/i915/i915_debugfs.c          |  334 ++++----
 drivers/gpu/drm/i915/i915_drv.c              |    3 +
 drivers/gpu/drm/i915/i915_drv.h              |  504 +++++++-----
 drivers/gpu/drm/i915/i915_gem.c              |  904 ++++++++++----------
 drivers/gpu/drm/i915/i915_gem_context.c      |   74 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c       |    2 +-
 drivers/gpu/drm/i915/i915_gem_evict.c        |    7 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  457 +++++++----
 drivers/gpu/drm/i915/i915_gem_fence.c        |  483 +++++------
 drivers/gpu/drm/i915/i915_gem_gtt.c          |  191 +++--
 drivers/gpu/drm/i915/i915_gem_gtt.h          |   65 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c |   40 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h |    2 +-
 drivers/gpu/drm/i915/i915_gem_request.c      |   76 +-
 drivers/gpu/drm/i915/i915_gem_request.h      |   61 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c       |   26 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c       |   72 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c      |   17 +-
 drivers/gpu/drm/i915/i915_gpu_error.c        |  438 +++++-----
 drivers/gpu/drm/i915/i915_guc_submission.c   |  243 +++---
 drivers/gpu/drm/i915/i915_irq.c              |   26 +-
 drivers/gpu/drm/i915/i915_memcpy.c           |  101 +++
 drivers/gpu/drm/i915/i915_mm.c               |   84 ++
 drivers/gpu/drm/i915/i915_params.c           |    6 +
 drivers/gpu/drm/i915/i915_params.h           |    1 +
 drivers/gpu/drm/i915/i915_reg.h              |  152 ++--
 drivers/gpu/drm/i915/i915_suspend.c          |   41 -
 drivers/gpu/drm/i915/intel_breadcrumbs.c     |   91 ++-
 drivers/gpu/drm/i915/intel_display.c         | 1131 +++++++++++++++++++-------
 drivers/gpu/drm/i915/intel_dp.c              |   85 +-
 drivers/gpu/drm/i915/intel_drv.h             |   41 +-
 drivers/gpu/drm/i915/intel_engine_cs.c       |  120 ++-
 drivers/gpu/drm/i915/intel_fbc.c             |   70 +-
 drivers/gpu/drm/i915/intel_fbdev.c           |   21 +-
 drivers/gpu/drm/i915/intel_guc.h             |   18 +-
 drivers/gpu/drm/i915/intel_guc_loader.c      |   58 +-
 drivers/gpu/drm/i915/intel_hotplug.c         |    3 +-
 drivers/gpu/drm/i915/intel_lrc.c             |  149 ++--
 drivers/gpu/drm/i915/intel_lvds.c            |  151 ++--
 drivers/gpu/drm/i915/intel_overlay.c         |   71 +-
 drivers/gpu/drm/i915/intel_pm.c              |   24 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c      |  481 +++++------
 drivers/gpu/drm/i915/intel_ringbuffer.h      |   81 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c      |    8 +-
 drivers/gpu/drm/i915/intel_sprite.c          |  111 +--
 include/drm/i915_drm.h                       |    2 +-
 include/linux/io-mapping.h                   |   98 ++-
 include/uapi/drm/i915_drm.h                  |   16 +-
 53 files changed, 4259 insertions(+), 3309 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_memcpy.c
 create mode 100644 drivers/gpu/drm/i915/i915_mm.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-08-12 17:21 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-08-12 17:21 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-08-08:
- refactor ddi buffer programming a bit (Ville)
- large-scale renaming to untangle naming in the gem code (Chris)
- rework vma/active tracking for accurately reaping idle mappings of shared
  objects (Chris)
- misc dp sst/mst probing corner case fixes (Ville)
- tons of cleanup&tunings all around in gem
- lockless (rcu-protected) request lookup, plus use it everywhere for
  non(b)locking waits (Chris)
- pipe crc debugfs fixes (Rodrigo)
- random fixes all over
drm-intel-next-2016-07-25:
- more engine code unification (Tvrtko)
- reorganize rps&rc6 setup (Chris Wilson)
- hotplug polling when in deep rpm states, especially fixes vls (Lyude)
- mocs fix for bxt (Imre)
- convert i915 request to use dma fences (Chris)
- prep work for lockless i915 requests/fences (needed for full sync integration)
  from Chris Wilson
- wait for external rendering/fences attached to dma_bufs (Chris)
- tons of small bugfixes all over

Note also contains a backmerge (git got confused), but when you've pulled
in all pending pulls (there's a few now) I want to do another backmerge to
get at the latest fences stuff from Gustavo.

Cheers, Daniel


The following changes since commit 1cf915d305b6e1d57db6c35c208016f9747ba3c6:

  Merge tag 'imx-drm-fixes-2016-07-27' of git://git.pengutronix.de/git/pza/linux into drm-next (2016-07-30 05:45:30 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-08-08

for you to fetch changes up to c5b7e97b27db4f8a8ffe1072506620679043f006:

  drm/i915: Update DRIVER_DATE to 20160808 (2016-08-08 09:37:31 +0200)

----------------------------------------------------------------
- refactor ddi buffer programming a bit (Ville)
- large-scale renaming to untangle naming in the gem code (Chris)
- rework vma/active tracking for accurately reaping idle mappings of shared
  objects (Chris)
- misc dp sst/mst probing corner case fixes (Ville)
- tons of cleanup&tunings all around in gem
- lockless (rcu-protected) request lookup, plus use it everywhere for
  non(b)locking waits (Chris)
- pipe crc debugfs fixes (Rodrigo)
- random fixes all over

----------------------------------------------------------------
Akash Goel (1):
      drm/i915/gen9: Update i915_drpc_info debugfs for coarse pg & forcewake info

Bob Paauwe (1):
      drm/i915: Set legacy properties when using legacy gamma set IOCTL. (v2)

Chris Wilson (152):
      drm/i915/breadcrumbs: Queue hangcheck before sleeping
      drm/i915: Kick hangcheck from retire worker
      drm/i915: Remove temporary RPM wakeref assert disables
      drm/i915: Update ifdeffery for mutex->owner
      drm/i915: Provide argument names for static stubs
      drm/i915: Flush GT idle status upon reset
      drm/i915: Preserve current RPS frequency across init
      drm/i915: Perform static RPS frequency setup before userspace
      drm/i915: Move overclocking detection to alongside RPS frequency detection
      drm/i915: Define a separate variable and control for RPS waitboost frequency
      drm/i915: Remove superfluous powersave work flushing
      drm/i915: Defer enabling rc6 til after we submit the first batch/context
      drm/i915: Hide gen6_update_ring_freq()
      drm/i915/fbdev: Drain the suspend worker on retiring
      drm/i915/fbdev: Check for the framebuffer before use
      drm/i915/evict: Always switch away from the current context
      drm/i915: Flush logical context image out to memory upon suspend
      drm/i915: Handle ENOSPC after failing to insert a mappable node
      drm/i915: Move GEM request routines to i915_gem_request.c
      drm/i915: Retire oldest completed request before allocating next
      drm/i915: Mark all current requests as complete before resetting them
      drm/i915: Derive GEM requests from dma-fence
      drm/i915: Disable waitboosting for fence_wait()
      drm/i915: Disable waitboosting for mmioflips/semaphores
      drm/i915: Mark imported dma-buf objects as being coherent
      drm/i915: Wait on external rendering for GEM objects
      drm/i915: Rename request reference/unreference to get/put
      drm/i915: Rename i915_gem_context_reference/unreference()
      drm/i915: Wrap drm_gem_object_lookup in i915_gem_object_lookup
      drm/i915: Wrap drm_gem_object_reference in i915_gem_object_get
      drm/i915: Rename drm_gem_object_unreference in preparation for lockless free
      drm/i915: Rename drm_gem_object_unreference_unlocked in preparation for lockless free
      drm/i915: Treat ringbuffer writes as write to normal memory
      drm/i915: Rename ring->virtual_start as ring->vaddr
      drm/i915: Convert i915_semaphores_is_enabled over to early sanitize
      drm/i915: Enable RC6 immediately
      Revert "drm/i915: Enable RC6 immediately"
      drm/i915: Drop racy markup of missed-irqs from idle-worker
      drm/i915: Update the breadcrumb interrupt counter before enabling
      drm/i915: Reduce breadcrumb lock coverage for intel_engine_enable_signaling()
      drm/i915: Prefer list_first_entry_or_null
      drm/i915: Only clear the client pointer when tearing down the file
      drm/i915: Only drop the batch-pool's object reference
      drm/i915/cmdparser: Remove stray intel_engine_cs *ring
      drm/i915: Use engine to refer to the user's BSD intel_engine_cs
      drm/i915: Avoid using intel_engine_cs *ring for GPU error capture
      drm/i915: Remove stray intel_engine_cs ring identifiers from i915_gem.c
      drm/i915: Update a couple of hangcheck comments to talk about engines
      drm/i915: Fix up some stray to_i915(dev) after a recent merge
      drm/i915: Add missing ring_mask to Pineview
      drm/i915: Protect older gen against intel_gt_init_powersave()
      drm/i915: Unify intel_logical_ring_emit and intel_ring_emit
      drm/i915: Rename request->ringbuf to request->ring
      drm/i915: Rename intel_context[engine].ringbuf
      drm/i915: Rename struct intel_ringbuffer to struct intel_ring
      drm/i915: Rename residual ringbuf parameters
      drm/i915: Rename intel_pin_and_map_ring()
      drm/i915: Remove obsolete engine->gpu_caches_dirty
      drm/i915: Reduce engine->emit_flush() to a single mode parameter
      drm/i915: Simplify request_alloc by returning the allocated request
      drm/i915: Unify legacy/execlists emission of MI_BATCHBUFFER_START
      drm/i915: Remove intel_ring_get_tail()
      drm/i915: Convert engine->write_tail to operate on a request
      drm/i915: Move the modulus for ring emission to the register write
      drm/i915: Unify request submission
      drm/i915/lrc: Update function names to match request flow
      drm/i915: Stop passing caller's num_dwords to engine->semaphore.signal()
      drm/i915: Reuse legacy breadcrumbs + tail emission
      drm/i915/ringbuffer: Specialise SNB+ request emission for semaphores
      drm/i915: Remove duplicate golden render state init from execlists
      drm/i915: Refactor golden render state emission to unconfuse gcc
      drm/i915: Unify legacy/execlists submit_execbuf callbacks
      drm/i915: Simplify calling engine->sync_to
      drm/i915: Rename engine->semaphore.sync_to, engine->sempahore.signal locals
      drm/i915: Move the common engine cleanup to intel_engine_cs.c
      drm/i915: Amalgamate GGTT/ppGTT vma debug list walkers
      drm/i915: Split GGTT initialisation between probing and setup
      drm/i915: Update GGTT initialisation functions to take drm_i915_private
      drm/i915: Split early global GTT initialisation
      drm/i915: Rearrange GGTT probing to avoid needing a vfunc
      drm/i915: Store owning file on the i915_address_space
      drm/i915: Count how many VMA are bound for an object
      drm/i915: Be more careful when unbinding vma
      drm/i915: Kill drop_pages()
      drm/i915: Introduce i915_gem_active for request tracking
      drm/i915: Prepare i915_gem_active for annotations
      drm/i915: Mark up i915_gem_active for locking annotation
      drm/i915: Refactor blocking waits
      drm/i915: Rename request->list to link for consistency
      drm/i915: Remove obsolete i915_gem_object_flush_active()
      drm/i915: Refactor activity tracking for requests
      drm/i915: Track requests inside each intel_ring
      drm/i915: Convert intel_overlay to request tracking
      drm/i915: Move the special case wait-request handling to its one caller
      drm/i915: Disable waitboosting for a saturated engine
      drm/i915: s/__i915_wait_request/i915_wait_request/
      drm/i915: Double check activity before relocations
      drm/i915: Move request list retirement to i915_gem_request.c
      drm/i915: i915_vma_move_to_active prep patch
      drm/i915: Track active vma requests
      drm/i915: Release vma when the handle is closed
      drm/i915: Mark the context and address space as closed
      Revert "drm/i915: Clean up associated VMAs on context destruction"
      drm/i915: Fix use of engine->index for register offset
      drm/i915/fbc: FBC causes display flicker when VT-d is enabled on Skylake
      drm/i915: Add missing rpm wakelock to GGTT pread
      drm/i915: Acquire audio powerwell for HD-Audio registers
      drm/i915: Combine loops within i915_gem_evict_something
      drm/i915: Remove surplus drm_device parameter to i915_gem_evict_something()
      drm/i915: Double check the active status on the batch pool
      drm/i915: Remove request retirement before each batch
      drm/i915: Remove i915_gem_execbuffer_retire_commands()
      drm/i915: Fix up vma alignment to be u64
      drm/i915: Pad GTT views of exec objects up to user specified size
      drm/i915: Reduce WARN(i915_gem_valid_gtt_space) to a debug-only check
      drm/i915: Split insertion/binding of an object into the VM
      drm/i915: Convert 4096 alignment request to 0 for drm_mm allocations
      drm/i915: Update the GGTT size/alignment query functions
      drm/i915: Update i915_gem_get_ggtt_size/_alignment to use drm_i915_private
      drm/i915: Record allocated vma size
      drm/i915: Wrap vma->pin_count accessors with small inline helpers
      drm/i915: Start passing around i915_vma from execbuffer
      drm/i915: Combine all i915_vma bitfields into a single set of flags
      drm/i915: Make i915_vma_pin() small and inline
      drm/i915: Remove highly confusing i915_gem_obj_ggtt_pin()
      drm/i915: Separate intel_frontbuffer into its own header
      drm/i915: Make fb_tracking.lock a spinlock
      drm/i915: Use atomics to manipulate obj->frontbuffer_bits
      drm/i915: Use dev_priv consistently through the intel_frontbuffer interface
      drm/i915: Move obj->active:5 to obj->flags
      drm/i915: Move i915_gem_object_wait_rendering()
      drm/i915: Enable lockless lookup of request tracking via RCU
      drm/i915: Export our request as a dma-buf fence on the reservation object
      drm/i915: Introduce i915_gem_active_wait_unlocked()
      drm/i915: Convert non-blocking waits for requests over to using RCU
      drm/i915: Convert non-blocking userptr waits for requests over to using RCU
      drm/i915/userptr: Remove superfluous interruptible=false on waiting
      drm/i915: Remove forced stop ring on suspend/unload
      drm/i915: Enable i915_gem_wait_for_idle() without holding struct_mutex
      drm/i915: Simplify do_idling() (Ironlake vt-d w/a)
      drm/i915/shrinker: Wait before acquiring struct_mutex under oom
      drm/i915: Tidy generation of the GTT mmap offset
      drm/i915: Remove unused no-shrinker-steal
      drm/i915: Do a nonblocking wait first in pread/pwrite
      drm/i915: Remove (struct_mutex) locking for wait-ioctl
      drm/i915: Remove (struct_mutex) locking for busy-ioctl
      drm/i915: Reduce locking inside swfinish ioctl
      drm/i915: Remove pinned check from madvise ioctl
      drm/i915: Remove locking for get_tiling
      drm/i915: Document and reject invalid tiling modes
      drm/i915: Repack fence tiling mode and stride into a single integer
      drm/i915: Assert that the request hasn't been retired

Daniel Vetter (8):
      drm/i915: Fixup kerneldoc code snippets in intel_uncore.c
      drm/i915: Clean up kerneldoc for intel_lrc.c
      drm/i915: Update missing kerneldoc
      drm/i915: Remove misleading CSR firmware loading docs
      drm/i915: Update DRIVER_DATE to 20160725
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update comment before i915_spin_request
      drm/i915: Update DRIVER_DATE to 20160808

Dave Gordon (7):
      drm/i915: unify first-stage engine struct setup
      drm/i915: compile-time consistency check on __EXEC_OBJECT flags
      drm/i915: refactor eb_get_batch()
      drm/i915: rename macro parameter(ring) to (engine)
      drm/i915: rename 'ring' where it refers to an engine or engine_id
      drm/i915: rename & update eb_select_ring()
      drm/i915: use i915_gem_object_put_unlocked() after releasing mutex

David Weinehall (1):
      drm/i915/debugfs: Take runtime_pm ref for sseu

Imre Deak (3):
      drm/i915/gen9: Clean up MOCS table definitions
      drm/i915/bxt: Fix inadvertent CPU snooping due to incorrect MOCS config
      drm/i915: Give proper names to MOCS entries

Keith Packard (1):
      drm/i915: cleanup_plane_fb: also drop reference to current state wait_req

Lionel Landwerlin (1):
      drm/i915: add missing condition for committing planes on crtc

Lyude (4):
      drm/i915/vlv: Make intel_crt_reset() per-encoder
      drm/i915/vlv: Reset the ADPA in vlv_display_power_well_init()
      drm/i915/vlv: Disable HPD in valleyview_crt_detect_hotplug()
      drm/i915: Enable polling when we don't have hpd

Matt Roper (1):
      drm/i915/gen9: Give one extra block per line for SKL plane WM calculations

Matthew Auld (4):
      drm/i915: remove superfluous i915_gem_object_free_mmap_offset call
      drm/i915: remove redundant fbc warnings
      drm/i915: fix WaInsertDummyPushConstPs
      drm/i915: fix aliasing_ppgtt leak

Mika Kuoppala (2):
      drm/i915/gen9: Add WaInPlaceDecompressionHang
      drm/i915/gen9: Add WaDisableGatherAtSetShaderCommonSlice

Rodrigo Vivi (3):
      drm/i915/guc: Revert "drm/i915/guc: enable GuC loading & submission by default"
      drm/i915: Fix copy_to_user usage for pipe_crc
      drm/i915: Use drm official vblank_no_hw_counter callback.

Tim Gore (1):
      drm/i915:gen9: restrict WaC6DisallowByGfxPause

Tvrtko Ursulin (6):
      drm/i915: Prepare for engine init unification
      drm/i915: Unify engine init loop
      drm/i915: Make more use of the shared engine irq setup
      drm/i915: Simplify intel_init_ring_buffer prototype
      drm/i915: Move common engine setup into intel_engine_cs.c
      drm/i915: Pull out some more common engine init code

Ville Syrjälä (27):
      drm/i915: Unbreak interrupts on pre-gen6
      drm/i915: Ignore panel type from OpRegion on SKL
      drm/i915: Treat eDP as always connected, again
      drm/i915: Wait up to 3ms for the pcu to ack the cdclk change request on SKL
      drm/i915: Never fully mask the the EI up rps interrupt on SNB/IVB
      drm/i915: Fix iboost setting for DDI with 4 lanes on SKL
      drm/i915: Name the "iboost bit"
      drm/i915: Program iboost settings for HDMI/DVI on SKL
      drm/i915: Move bxt_ddi_vswing_sequence() call into intel_ddi_pre_enable() for HDMI
      drm/i915: Explicitly use ddi buf trans entry 9 for hdmi
      drm/i915: Split DP/eDP/FDI and HDMI/DVI DDI buffer programming apart
      drm/i915: Get the iboost setting based on the port type
      drm/i915: Simplify intel_ddi_get_encoder_port()
      drm/i915: Extract bdw_get_buf_trans_edp()
      drm/i915: Always use cpp==4 for FW_BLC_SELF on 915GM/945GM
      drm/i915: Program FW_BLC_SELF on 915G as well
      drm/i915: Warn about aux msg buffer vs. size mismatch
      drm/i915: Clean up the extra RPM ref on CHV with i915.enable_rc6=0
      drm/i915: Read PSR caps/intermediate freqs/etc. only once on eDP
      drm/i915: Avoid mixing up SST and MST in DDI setup
      drm/i915: Reject mixing MST and SST/HDMI on the same digital port
      drm/i915: Track active streams also for DP SST
      drm/i915: Allow MST sinks to work even if drm_probe_ddc() fails
      drm/i915: Remove useless rate_to_index() usage
      drm/i915: Don't try to ack sink irqs when there are none
      drm/i915: Fix iboost setting for SKL Y/U DP DDI buffer translation entry 2
      Revert "drm/i915: Track active streams also for DP SST"

 Documentation/gpu/i915.rst                   |    3 +
 drivers/gpu/drm/i915/Makefile                |    3 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c       |   74 +-
 drivers/gpu/drm/i915/i915_debugfs.c          |  187 +-
 drivers/gpu/drm/i915/i915_drv.c              |   85 +-
 drivers/gpu/drm/i915/i915_drv.h              |  521 ++---
 drivers/gpu/drm/i915/i915_gem.c              | 2796 +++++++++-----------------
 drivers/gpu/drm/i915/i915_gem_batch_pool.c   |   34 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.h   |    6 +-
 drivers/gpu/drm/i915/i915_gem_context.c      |  183 +-
 drivers/gpu/drm/i915/i915_gem_debug.c        |   70 -
 drivers/gpu/drm/i915/i915_gem_dmabuf.c       |   71 +-
 drivers/gpu/drm/i915/i915_gem_evict.c        |  187 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  476 +++--
 drivers/gpu/drm/i915/i915_gem_fence.c        |   52 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c          |  587 +++---
 drivers/gpu/drm/i915/i915_gem_gtt.h          |  183 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c |  120 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h |   18 -
 drivers/gpu/drm/i915/i915_gem_request.c      |  767 +++++++
 drivers/gpu/drm/i915/i915_gem_request.h      |  676 +++++++
 drivers/gpu/drm/i915/i915_gem_shrinker.c     |   78 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c       |   20 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c       |   52 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c      |   57 +-
 drivers/gpu/drm/i915/i915_gpu_error.c        |  459 +++--
 drivers/gpu/drm/i915/i915_guc_submission.c   |   31 +-
 drivers/gpu/drm/i915/i915_irq.c              |   57 +-
 drivers/gpu/drm/i915/i915_pci.c              |    1 +
 drivers/gpu/drm/i915/i915_reg.h              |   20 +-
 drivers/gpu/drm/i915/i915_sysfs.c            |   78 +-
 drivers/gpu/drm/i915/i915_trace.h            |   35 +-
 drivers/gpu/drm/i915/i915_vgpu.c             |    3 +-
 drivers/gpu/drm/i915/intel_audio.c           |    6 +
 drivers/gpu/drm/i915/intel_breadcrumbs.c     |   31 +-
 drivers/gpu/drm/i915/intel_csr.c             |    7 -
 drivers/gpu/drm/i915/intel_ddi.c             |  255 +--
 drivers/gpu/drm/i915/intel_display.c         |  255 ++-
 drivers/gpu/drm/i915/intel_dp.c              |  231 ++-
 drivers/gpu/drm/i915/intel_dp_mst.c          |    4 +-
 drivers/gpu/drm/i915/intel_drv.h             |   40 +-
 drivers/gpu/drm/i915/intel_engine_cs.c       |  231 +++
 drivers/gpu/drm/i915/intel_fbc.c             |   29 +-
 drivers/gpu/drm/i915/intel_fbdev.c           |   32 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c     |  128 +-
 drivers/gpu/drm/i915/intel_frontbuffer.h     |   91 +
 drivers/gpu/drm/i915/intel_guc.h             |    1 -
 drivers/gpu/drm/i915/intel_guc_loader.c      |   10 +-
 drivers/gpu/drm/i915/intel_hotplug.c         |    1 -
 drivers/gpu/drm/i915/intel_lrc.c             |  837 +++-----
 drivers/gpu/drm/i915/intel_lrc.h             |   52 +-
 drivers/gpu/drm/i915/intel_mocs.c            |   61 +-
 drivers/gpu/drm/i915/intel_mocs.h            |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c         |  174 +-
 drivers/gpu/drm/i915/intel_pm.c              |  357 ++--
 drivers/gpu/drm/i915/intel_psr.c             |   26 +-
 drivers/gpu/drm/i915/intel_renderstate.h     |   16 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c      | 1157 +++++------
 drivers/gpu/drm/i915/intel_ringbuffer.h      |  228 +--
 drivers/gpu/drm/i915/intel_sprite.c          |   13 +-
 drivers/gpu/drm/i915/intel_uncore.c          |   14 +-
 include/uapi/drm/i915_drm.h                  |   42 +-
 62 files changed, 6296 insertions(+), 6025 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/i915_gem_debug.c
 create mode 100644 drivers/gpu/drm/i915/i915_gem_request.c
 create mode 100644 drivers/gpu/drm/i915/i915_gem_request.h
 create mode 100644 drivers/gpu/drm/i915/intel_engine_cs.c
 create mode 100644 drivers/gpu/drm/i915/intel_frontbuffer.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-07-14  8:17 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-07-14  8:17 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-07-11:
- select igt testing depencies for CONFIG_DRM_I915_DEBUG (Chris)
- track outputs in crtc state and clean up all our ad-hoc connector/encoder
  walking in modest code (Ville)
- demidlayer drm_device/drm_i915_private (Chris Wilson)
- thundering herd fix from Chris Wilson, with lots of help from Tvrtko Ursulin
- piles of assorted clean and fallout from the thundering herd fix
- documentation and more tuning for waitboosting (Chris)
- pooled EU support on bxt (Arun Siluvery)
- bxt support is no longer considered prelimary!
- ring/engine vfunc cleanup from Tvrtko
- introduce intel_wait_for_register helper (Chris)
- opregion updates (Jani Nukla)
- tuning and fixes for wait_for macros (Tvrkto&Imre)
- more kabylake pci ids (Rodrigo)
- pps cleanup and fixes for bxt (Imre)
- move sink crc support over to atomic state (Maarten)
- fix up async fbdev init ordering (Chris)
- fbc fixes from Paulo and Chris

Final feature pull request for 4.8.

Cheers, Daniel


The following changes since commit 2a3467063ae3b17264578626dec2377dd48cd1c3:

  Merge tag 'mediatek-drm-2016-06-20' of git://git.pengutronix.de/git/pza/linux into drm-next (2016-06-24 13:16:07 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-07-11

for you to fetch changes up to 0b2c0582f1570bfc95aa9ac1cd340a215d8e8335:

  drm/i915: Update DRIVER_DATE to 20160711 (2016-07-11 09:18:31 +0200)

----------------------------------------------------------------
- select igt testing depencies for CONFIG_DRM_I915_DEBUG (Chris)
- track outputs in crtc state and clean up all our ad-hoc connector/encoder
  walking in modest code (Ville)
- demidlayer drm_device/drm_i915_private (Chris Wilson)
- thundering herd fix from Chris Wilson, with lots of help from Tvrtko Ursulin
- piles of assorted clean and fallout from the thundering herd fix
- documentation and more tuning for waitboosting (Chris)
- pooled EU support on bxt (Arun Siluvery)
- bxt support is no longer considered prelimary!
- ring/engine vfunc cleanup from Tvrtko
- introduce intel_wait_for_register helper (Chris)
- opregion updates (Jani Nukla)
- tuning and fixes for wait_for macros (Tvrkto&Imre)
- more kabylake pci ids (Rodrigo)
- pps cleanup and fixes for bxt (Imre)
- move sink crc support over to atomic state (Maarten)
- fix up async fbdev init ordering (Chris)
- fbc fixes from Paulo and Chris

----------------------------------------------------------------
Chris Wilson (149):
      drm/i915: Extract checking for backing struct pages to a helper
      drm/i915: pwrite/pread do not require obj->base.filp, just pages
      drm/i915: use ORIGIN_CPU for frontbuffer invalidation on WC mmaps
      drm/i915/fbdev: Perform async fbdev initialisation much later
      drm/i915/fbdev: Limit the global async-domain synchronization
      drm/i915/fbdev: Flush mode configuration before lastclose
      drm/i915/gvt: Mark i915.enable_gvt as false if loading fails
      drm/i915: Move panel's backlight setup next to panel init
      drm/i915: Move registration actions to connector->late_register
      drm/i915: Move backlight registration to connector registration
      drm/i915: Move connector registration to driver registration
      drm/i915: Register debugfs interface last
      drm/i915: Demidlayer driver loading
      drm/i915: Demidlayer driver unloading
      drm/i915: Remove redundant drm_connector_register_all()
      drm/i915: Start exploiting drm_device subclassing
      drm/i915: Merge i915_dma.c into i915_drv.c
      drm/i915: Remove user controllable DRM_ERROR for i915_getparam()
      drm/i915: Remove user controllable DRM_ERROR for intel_get_pipe_from_crtc_id()
      drm/i915: Split out the PCI driver interface to i915_pci.c
      drm/i915: Move module init/exit to i915_pci.c
      drm/i915: Skip idling an idle engine
      drm/i915: Move legacy kernel context pinning to intel_ringbuffer.c
      drm/i915: Treat kernel context as initialised
      drm/i915: Mark all default contexts as uninitialised after context loss
      drm/i915: No need to wait for idle on L3 remap
      drm/i915: Split idling from forcing context switch
      drm/i915: Only switch to default context when evicting from GGTT
      drm/i915: Remove request->reset_counter
      Revert "drm/i915: Use atomic commits for legacy page_flips"
      drm/i915: Use a hybrid scheme for fast register waits
      drm/i915: Convert sandybridge_pcode_*() to use intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Perform Sandybridge BSD tail write under the forcewake
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915: Convert wait_for(I915_READ(reg)) to intel_wait_for_register()
      drm/i915/ringbuffer: Move all generic engine->dispatch_batchbuffer together
      drm/i915/ringbuffer: Move all default irq vfuncs init to a separate func
      drm/i915/shrinker: Flush active on objects before counting
      drm/i915: Delay queuing hangcheck to wait-request
      drm/i915: Remove the dedicated hangcheck workqueue
      drm/i915: Make queueing the hangcheck work inline
      drm/i915: Separate GPU hang waitqueue from advance
      drm/i915: Slaughter the thundering i915_wait_request herd
      drm/i915: Spin after waking up for an interrupt
      drm/i915: Use HWS for seqno tracking everywhere
      drm/i915: Stop mapping the scratch page into CPU space
      drm/i915: Allocate scratch page from stolen
      drm/i915: Refactor scratch object allocation for gen2 w/a buffer
      drm/i915: Add a delay between interrupt and inspecting the final seqno (ilk)
      drm/i915: Check the CPU cached value in HWS of seqno after waking the waiter
      drm/i915: Only apply one barrier after a breadcrumb interrupt is posted
      drm/i915: Stop setting wraparound seqno on initialisation
      drm/i915: Convert trace-irq to the breadcrumb waiter
      drm/i915: Embed signaling node into the GEM request
      drm/i915: Move the get/put irq locking into the caller
      drm/i915: Simplify enabling user-interrupts with L3-remapping
      drm/i915: Remove debug noise on detecting fault-injection of missed interrupts
      drm/i915: Fix random indent in i915_drm_resume()
      drm/915: Fix long lines and random indent in gen6_set_rps_thresholds()
      drm/i915: Fix indentation in i915_gem_framebuffer_info()
      drm/i915: Fix inconsistent indenting in i915_error_state_to_str()
      drm/i915: Match bitmask size to types in intel_fb_initial_config()
      drm/i915: Fix inconsistent indenting in vbt_panel_init()
      drm/i915: Fix buffer overflow in dsi_calc_mnp()
      drm/i915: Fix inconsistent indentation in intel_pre_enable_lvds()
      drm/i915: Remove check for !crtc_state in intel_plane_atomic_calc_changes()
      drm/i915: Only start retire worker when idle
      drm/i915: Do not keep postponing the idle-work
      drm/i915: Remove redundant queue_delayed_work() from throttle ioctl
      drm/i915: Restore waitboost credit to the synchronous waiter
      drm/i915: Add background commentary to "waitboosting"
      drm/i915: Flush the RPS bottom-half when the GPU idles
      drm/i915: Remove stop-rings debugfs interface
      drm/i915: Record the ringbuffer associated with the request
      drm/i915: Allow userspace to request no-error-capture upon GPU hangs
      drm/i915: Hold irq uncore.lock when initialising fw_domains
      drm/i915: Limit i915_ring_test_irq debugfs to actual rings
      drm/i915: Mass convert dev->dev_private to to_i915(dev)
      drm/i915: Replace lockless_dereference(bool) with READ_ONCE()
      drm/i915: Amalgamate gen6_mm_switch() and vgpu_mm_switch()
      drm/i915: Clean up GPU hang message
      drm/i915: Skip capturing an error state if we already have one
      drm/i915: Split out runtime configuration of device info to its own file
      drm/i915: Remove use of dev_priv->dev backpointer in __i915_printk()
      drm/i915: Remove impossible tests for dev->dev_private
      drm/i915: Convert dev_priv->dev backpointers to dev_priv->drm
      drm/i915: Always double check for a missed interrupt for new bottom halves
      drm/i915: Wake up the bottom-half if we steal their interrupt
      drm/i915: Group the irq breadcrumb variables into the same cacheline
      drm/i915: Fill unused GGTT with scratch pages for VT-d
      drm/i915: Select X86_MSR for igt
      drm/i915: Select DRM_VGEM for igt

Daniel Vetter (2):
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20160711

Dave Gordon (5):
      drm/i915/guc: index host arrays by i915 engine ID, not guc_id
      drm/i915: tweak gen6_for_{each_pde, all_pdes} macros
      drm/i915/guc: don't ever forward VBlank to the GuC
      drm/i915: convert a few more E->dev_private to to_i915(E)
      drm/i915: avoid wait_for_atomic() in non-atomic host2guc_action()

Frank Binns (1):
      drm/i915: Fix misleading driver debug message

Imre Deak (14):
      drm/i915/ibx, cpt: Don't attempt to register eDP if LVDS was detected
      drm/i915: Initialize the PPS HW before its first use
      drm/i915: Group all the PPS init steps to one place
      drm/i915/bxt: Fix PPS lost state after suspend breaking eDP link training
      drm/i915: Deduplicate PPS register retrieval
      drm/i915: Factor out helper to read out PPS HW state
      drm/i915: Sanity check PPS HW state
      drm/i915/bxt: Avoid early timeout during PLL enable
      drm/i915/lpt: Avoid early timeout during FDI PHY reset
      drm/i915/hsw: Avoid early timeout during LCPLL disable/restore
      drm/i915: Avoid early timeout during AUX transfers
      drm/i915: Fix log type for RC6 debug messages
      drm/i915/bxt: Fix sanity check for BIOS RC6 setup
      drm/i915/bxt: Remove the preliminary_hw_support flag

Jani Nikula (3):
      drm/i915/opregion: add acpi defines from the spec
      drm/i915/opregion: abstract acpi display type getter for a connector
      drm/i915/opregion: handle missing connector types for acpi display types

Lyude (1):
      drm/i915/fbc: Disable on HSW by default for now

Maarten Lankhorst (4):
      drm/i915: Use connector->name in drrs debugfs.
      drm/i915: Use connector_type instead of intel_encoder->type for DP.
      drm/i915: Use atomic state and connector_type in i915_sink_src
      drm/i915: Use connector_type for printing in intel_connector_info, v2.

Matt Roper (1):
      drm/i915/gen9: Re-allocate DDB only for changed pipes

Michał Winiarski (1):
      drm/i915: Set softmin frequency on idle->busy transition

Mika Kahola (1):
      drm/i915: Revert DisplayPort fast link training feature

Patrik Jakobsson (1):
      drm/i915/dmc: Step away from symbolic links

Paulo Zanoni (2):
      drm/i915/fbc: update busy_bits even for GTT and flip flushes
      drm/i915/fbc: sanitize i915.enable_fbc during FBC init

Peter Antoine (2):
      Revert "drm/i915/kbl: drm/i915: Avoid GuC loading for now on Kabylake."
      i915/guc: Add Kabylake GuC Loading

Randy Dunlap (1):
      drm/i915: fix build errors when ACPI is not enabled

Rodrigo Vivi (3):
      drm/i915: Add more Kabylake PCI IDs.
      drm/i915: Removing PCI IDs that are no longer listed as Kabylake.
      drm/i915: Introduce Kabypoint PCH for Kabylake H/DT.

Tim Gore (1):
      drm/i915:gen9: implement WaMediaPoolStateCmdInWABB

Tvrtko Ursulin (18):
      drm/i915/guc: Remove one unnecessary variable
      drm/i915: Small compaction of the engine init code
      drm/i915/debug: Select PREEMPT_COUNT when enabling debugging
      drm/i915: Use atomic waits for short non-atomic ones
      drm/i915: Consolidate write_tail vfunc initializer
      drm/i915: Consolidate add_request vfunc
      drm/i915: Consolidate seqno_barrier vfunc
      drm/i915: Consolidate get and put irq vfuncs
      drm/i915: Consolidate get/set_seqno
      drm/i915: Consolidate init_hw vfunc
      drm/i915: Consolidate dispatch_execbuffer vfunc
      drm/i915: Consolidate semaphore vfuncs init
      drm/i915: Move semaphore object creation into intel_ring_init_semaphores
      drm/i915: Compact Gen8 semaphore initialization
      drm/i915: Compact gen8_ring_sync
      drm/i915: Consolidate legacy semaphore initialization
      drm/i915: Trim some if-else braces
      drm/i915: Explicitly convert some macros to boolean values

Ville Syrjälä (12):
      drm/i915: Refresh cached DP port register value on resume
      drm/i915: Don't mark eDP encoders as MST capable
      drm/i915: Remove encoder type checks from MST suspend/resume
      drm/i915: Add output_types bitmask into the crtc state
      drm/i915: Unify intel_pipe_has_type() and intel_pipe_will_have_type()
      drm/i915: Replace manual lvds and sdvo/hdmi counting with intel_crtc_has_type()
      drm/i915: Kill has_dp_encoder from pipe_config
      drm/i915: Replace some open coded intel_crtc_has_dp_encoder()s
      drm/i915: s/INTEL_OUTPUT_DISPLAYPORT/INTEL_OUTPUT_DP/
      drm/i915: Kill has_dsi_encoder
      drm/i915: Simplify hdmi_12bpc_possible()
      drm/i915: Check for invalid cloning earlier during modeset

Zhi Wang (1):
      drm/i915: Set the access right of kernel param "i915.enable_gvt" to read-only.

arun.siluvery@linux.intel.com (1):
      drm/i915/bxt: Export pooled eu info to userspace

 drivers/gpu/drm/i915/Kconfig.debug             |    3 +
 drivers/gpu/drm/i915/Makefile                  |    6 +-
 drivers/gpu/drm/i915/i915_debugfs.c            |  400 +++--
 drivers/gpu/drm/i915/i915_dma.c                | 1692 --------------------
 drivers/gpu/drm/i915/i915_drv.c                | 2011 +++++++++++++++++-------
 drivers/gpu/drm/i915/i915_drv.h                |  377 +++--
 drivers/gpu/drm/i915/i915_gem.c                |  528 ++++---
 drivers/gpu/drm/i915/i915_gem_context.c        |   83 +-
 drivers/gpu/drm/i915/i915_gem_evict.c          |   55 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c     |   14 +-
 drivers/gpu/drm/i915/i915_gem_fence.c          |   24 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c            |   86 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h            |   40 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c   |    2 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c       |   12 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c         |    6 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c         |    4 +-
 drivers/gpu/drm/i915/i915_gpu_error.c          |  124 +-
 drivers/gpu/drm/i915/i915_guc_submission.c     |   21 +-
 drivers/gpu/drm/i915/i915_irq.c                |  311 ++--
 drivers/gpu/drm/i915/i915_params.c             |    2 +-
 drivers/gpu/drm/i915/i915_pci.c                |  503 ++++++
 drivers/gpu/drm/i915/i915_reg.h                |    7 +-
 drivers/gpu/drm/i915/i915_suspend.c            |    8 +-
 drivers/gpu/drm/i915/i915_sysfs.c              |   29 +-
 drivers/gpu/drm/i915/i915_trace.h              |   24 +-
 drivers/gpu/drm/i915/intel_audio.c             |   42 +-
 drivers/gpu/drm/i915/intel_bios.c              |    2 +-
 drivers/gpu/drm/i915/intel_breadcrumbs.c       |  586 +++++++
 drivers/gpu/drm/i915/intel_color.c             |   20 +-
 drivers/gpu/drm/i915/intel_crt.c               |   45 +-
 drivers/gpu/drm/i915/intel_csr.c               |   33 +-
 drivers/gpu/drm/i915/intel_ddi.c               |   60 +-
 drivers/gpu/drm/i915/intel_device_info.c       |  388 +++++
 drivers/gpu/drm/i915/intel_display.c           |  775 +++++----
 drivers/gpu/drm/i915/intel_dp.c                |  610 +++----
 drivers/gpu/drm/i915/intel_dp_link_training.c  |   26 +-
 drivers/gpu/drm/i915/intel_dp_mst.c            |   19 +-
 drivers/gpu/drm/i915/intel_dpio_phy.c          |   10 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c          |   30 +-
 drivers/gpu/drm/i915/intel_drv.h               |  113 +-
 drivers/gpu/drm/i915/intel_dsi.c               |   75 +-
 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.c |    2 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c     |   13 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c           |   42 +-
 drivers/gpu/drm/i915/intel_dvo.c               |   20 +-
 drivers/gpu/drm/i915/intel_fbc.c               |   71 +-
 drivers/gpu/drm/i915/intel_fbdev.c             |   85 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c     |   30 +-
 drivers/gpu/drm/i915/intel_guc.h               |    7 +-
 drivers/gpu/drm/i915/intel_guc_loader.c        |   24 +-
 drivers/gpu/drm/i915/intel_gvt.c               |   10 +-
 drivers/gpu/drm/i915/intel_hdmi.c              |   72 +-
 drivers/gpu/drm/i915/intel_hotplug.c           |    8 +-
 drivers/gpu/drm/i915/intel_i2c.c               |   22 +-
 drivers/gpu/drm/i915/intel_lrc.c               |  369 ++---
 drivers/gpu/drm/i915/intel_lvds.c              |   51 +-
 drivers/gpu/drm/i915/intel_modes.c             |    4 +-
 drivers/gpu/drm/i915/intel_opregion.c          |  109 +-
 drivers/gpu/drm/i915/intel_overlay.c           |   28 +-
 drivers/gpu/drm/i915/intel_panel.c             |   30 +-
 drivers/gpu/drm/i915/intel_pm.c                |  310 ++--
 drivers/gpu/drm/i915/intel_psr.c               |   65 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c        |  926 +++++------
 drivers/gpu/drm/i915/intel_ringbuffer.h        |  135 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c        |   76 +-
 drivers/gpu/drm/i915/intel_sdvo.c              |   58 +-
 drivers/gpu/drm/i915/intel_sideband.c          |   32 +-
 drivers/gpu/drm/i915/intel_sprite.c            |   18 +-
 drivers/gpu/drm/i915/intel_tv.c                |   14 +-
 drivers/gpu/drm/i915/intel_uncore.c            |  113 +-
 include/drm/i915_pciids.h                      |   10 +-
 include/uapi/drm/i915_drm.h                    |    3 +
 73 files changed, 6432 insertions(+), 5531 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/i915_dma.c
 create mode 100644 drivers/gpu/drm/i915/i915_pci.c
 create mode 100644 drivers/gpu/drm/i915/intel_breadcrumbs.c
 create mode 100644 drivers/gpu/drm/i915/intel_device_info.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2016-06-22  9:24 Daniel Vetter
@ 2016-06-22 11:16 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-06-22 11:16 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Wed, Jun 22, 2016 at 11:24:57AM +0200, Daniel Vetter wrote:
> Hi Dave,
> 
> drm-intel-next-2016-06-20:
> - Infrastructure for GVT-g (paravirtualized gpu on gen8+), from Zhi Wang
> - another attemp at nonblocking atomic plane updates
> - bugfixes and refactoring for GuC doorbell code (Dave Gordon)
> - GuC command submission enabled by default, if fw available (Dave Gordon)
> - more bxt w/a (Arun Siluvery)
> - bxt phy improvements (Imre Deak)
> - prep work for stolen objects support (Ankitprasa Sharma & Chris Wilson)
> - skl/bkl w/a update from Mika Kuoppala
> - bunch of small improvements and fixes all over, as usual
> 
> As mentioned in the drm-misc pull I'll be on vacation for 2 weeks. I'll
> probably send you another (final for 4.8) feature pull right when I'm
> back, so a bit later than usual. Jani's also going on vacation in July,
> with some overlap with mine. So might be you need to apply a serious
> bugfix directly, but it's all seems calm, I don't think we need that. I'll
> take care of -fixes when I'm back until Jani's return.

Forgot to mention: There's 2x minor fallout from the atomic work,
specifically using atomic_commit for legacy page flips. Cursor can stall
sometimes, and there's some flickering/frontbuffer rendering going on
sometimes. Maarten and Chris are looking into it, but worst case it's a
simple revert of a one-liner - the entire patch series is intionally still
keeping all the legacy page flip stuff around.
-Daniel

> 
> Cheers, Daniel
> 
> 
> The following changes since commit a0877f52035280370707bdefeddc6faa6478b892:
> 
>   Merge tag 'topic/drm-misc-2016-06-15' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-06-16 05:49:32 +1000)
> 
> are available in the git repository at:
> 
>   git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-06-20
> 
> for you to fetch changes up to a02b01096def82df28363b0b9e7afdea9b5587fd:
> 
>   drm/i915: Update DRIVER_DATE to 20160620 (2016-06-20 00:30:34 +0200)
> 
> ----------------------------------------------------------------
> - Infrastructure for GVT-g (paravirtualized gpu on gen8+), from Zhi Wang
> - another attemp at nonblocking atomic plane updates
> - bugfixes and refactoring for GuC doorbell code (Dave Gordon)
> - GuC command submission enabled by default, if fw available (Dave Gordon)
> - more bxt w/a (Arun Siluvery)
> - bxt phy improvements (Imre Deak)
> - prep work for stolen objects support (Ankitprasa Sharma & Chris Wilson)
> - skl/bkl w/a update from Mika Kuoppala
> - bunch of small improvements and fixes all over, as usual
> 
> ----------------------------------------------------------------
> Ankitprasad Sharma (2):
>       drm/i915: Use insert_page for pwrite_fast
>       drm/i915: Support for pread/pwrite from/to non shmem backed objects
> 
> Chris Wilson (3):
>       drm/i915: Add support for mapping an object page by page
>       drm/i915: Introduce i915_gem_object_get_dma_address()
>       drm/i915: Serialise presentation with imported dmabufs
> 
> Dan Carpenter (1):
>       drm/i915/mocs: || vs | typo in get_mocs_settings()
> 
> Daniel Vetter (8):
>       Revert "drm/i915/ilk: Don't disable SSC source if it's in use"
>       Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
>       drm/i915: Signal drm events for atomic
>       drm/i915: Roll out the helper nonblock tracking
>       drm/i915: nonblocking commit
>       drm/i915: Move fb_bits updating later in atomic_commit
>       drm/i915: Use atomic commits for legacy page_flips
>       drm/i915: Update DRIVER_DATE to 20160620
> 
> Dave Gordon (13):
>       drm/i915/guc: fix GuC loading/submission check
>       drm/i915/guc: disable GuC submission earlier during GuC (re)load
>       drm/i915/guc: enable GuC loading & submission by default
>       drm/i915/guc: suppress GuC-related message on non-GuC platforms
>       drm/i915/guc: prefer 'dev_priv' to 'dev' for static functions
>       drm/i915/guc: prefer 'dev_priv' to 'dev' for intra-module functions
>       drm/i915/guc: add doorbell map to debugfs/i915_guc_info
>       drm/i915/guc: prefer __set/clear_bit() to bitmap_set/clear()
>       drm/i915/guc: remove writes to GEN8_DRBREG registers
>       drm/i915/guc: move guc_ring_doorbell() nearer to callsite
>       drm/i915/guc: refactor doorbell management code
>       drm/i915/guc: replace assign_doorbell() with select_doorbell_register()
>       drm/i915/guc: (re)initialise doorbell h/w when enabling GuC submission
> 
> David Weinehall (1):
>       drm/i915: only disable memory self-refresh on GMCH
> 
> Gerd Hoffmann (1):
>       drm/i915: use #defines for qemu subsystem ids
> 
> Imre Deak (6):
>       drm/i915/bxt: Wait for PHY1 GRC calibration synchronously
>       drm/i915: Factor out intel_power_well_get/put
>       drm/i915/bxt: Move DDI PHY enabling/disabling to the power well code
>       drm/i915/bxt: Set DDI PHY lane latency optimization during modeset
>       drm/i915/bxt: Rename broxton to bxt in PHY/CDCLK function prefixes
>       drm/i915/bxt: Sanitiy check the PHY lane power down status
> 
> Jani Nikula (1):
>       drm/i915/dsi: fix bxt split screen and color issue
> 
> Lukas Wunner (1):
>       drm/i915: Don't unregister fbdev's fb twice
> 
> Lyude (1):
>       drm/i915/ilk: Don't disable SSC source if it's in use
> 
> Maarten Lankhorst (1):
>       Reapply "drm/i915: Pass atomic states to fbc update, functions."
> 
> Mika Kuoppala (27):
>       drm/i915/skl: Add WaDisableGafsUnitClkGating
>       drm/i915/kbl: Init gen9 workarounds
>       drm/i915/kbl: Add REVID macro
>       drm/i915/kbl: Add WaSkipStolenMemoryFirstPage for A0
>       drm/i915/gen9: Always apply WaForceContextSaveRestoreNonCoherent
>       drm/i915: Mimic skl with WaForceEnableNonCoherent
>       drm/i915/kbl: Add WaEnableGapsTsvCreditFix
>       drm/i915/kbl: Add WaDisableFenceDestinationToSLM for A0
>       drm/i915/kbl: Add WaDisableSDEUnitClockGating
>       drm/i915/edp: Add WaKVMNotificationOnConfigChange:bdw
>       drm/i915/kbl: Add WaDisableLSQCROPERFforOCL
>       drm/i915/gen9: Enable must set chicken bits in config0 reg
>       drm/i915/kbl: Add WaDisableGamClockGating
>       drm/i915/kbl: Add WaDisableDynamicCreditSharing
>       drm/i915: Add WaInsertDummyPushConstP for bxt and kbl
>       drm/i915/gen9: Add WaDisableSkipCaching
>       drm/i915/skl: Add WAC6entrylatency
>       drm/i915/kbl: Add WaForGAMHang
>       drm/i915/kbl: Add WaDisableGafsUnitClkGating
>       drm/i915/kbl: Add WaDisableSbeCacheDispatchPortSharing
>       drm/i915/gen9: Add WaEnableChickenDCPR
>       drm/i915/skl: Extend WaDisableChickenBitTSGBarrierAckForFFSliceCS
>       drm/i915/kbl: Add WaClearSlmSpaceAtContextSwitch
>       drm/i915/gen9: Add WaFbcTurnOffFbcWatermark
>       drm/i915/gen9: Add WaFbcWakeMemOn
>       drm/i195/fbc: Add WaFbcNukeOnHostModify
>       drm/i915/gen9: Add WaFbcHighMemBwCorruptionAvoidance
> 
> Tim Gore (1):
>       drm/i915/gen9: implement WaConextSwitchWithConcurrentTLBInvalidate
> 
> Tvrtko Ursulin (1):
>       drm/i915: Fix a buch of kerneldoc warnings
> 
> Ville Syrjälä (1):
>       drm/i915: Check VBT for port presence in addition to the strap on VLV/CHV
> 
> Zhi Wang (9):
>       drm/i915: Factor out i915_pvinfo.h
>       drm/i915: Use offsetof() to calculate the offset of members in PVINFO page
>       drm/i915: Fold vGPU active check into inner functions
>       drm/i915: gvt: Introduce the basic architecture of GVT-g
>       drm/i915: Make ring buffer size of a LRC context configurable
>       drm/i915: Make addressing mode bits in context descriptor configurable
>       drm/i915: Introduce execlist context status change notification
>       drm/i915: Support LRC context single submission
>       drm/i915: Introduce GVT context creation API
> 
> arun.siluvery@linux.intel.com (4):
>       drm/i915/gen9: Add WaVFEStateAfterPipeControlwithMediaStateClear
>       drm/i915:bxt: Enable Pooled EU support
>       drm/i915/bxt: Add WaEnablePooledEuFor2x6
>       drm/i915/bxt: Add WaDisablePooledEuLoadBalancingFix
> 
>  drivers/char/agp/intel-gtt.c                 |   8 +
>  drivers/gpu/drm/i915/Kconfig                 |  22 ++
>  drivers/gpu/drm/i915/Makefile                |   5 +
>  drivers/gpu/drm/i915/gvt/Makefile            |   5 +
>  drivers/gpu/drm/i915/gvt/debug.h             |  34 +++
>  drivers/gpu/drm/i915/gvt/gvt.c               | 145 +++++++++++
>  drivers/gpu/drm/i915/gvt/gvt.h               |  69 +++++
>  drivers/gpu/drm/i915/gvt/hypercall.h         |  38 +++
>  drivers/gpu/drm/i915/gvt/mpt.h               |  49 ++++
>  drivers/gpu/drm/i915/i915_cmd_parser.c       |   9 +-
>  drivers/gpu/drm/i915/i915_debugfs.c          |   8 +
>  drivers/gpu/drm/i915/i915_dma.c              |  39 +++
>  drivers/gpu/drm/i915/i915_drv.c              |   7 +-
>  drivers/gpu/drm/i915/i915_drv.h              |  51 +++-
>  drivers/gpu/drm/i915/i915_gem.c              | 366 ++++++++++++++++++++++-----
>  drivers/gpu/drm/i915/i915_gem_context.c      |  38 +++
>  drivers/gpu/drm/i915/i915_gem_dmabuf.h       |  45 ++++
>  drivers/gpu/drm/i915/i915_gem_gtt.c          |  77 +++++-
>  drivers/gpu/drm/i915/i915_gem_gtt.h          |   5 +
>  drivers/gpu/drm/i915/i915_gem_render_state.c |  28 ++
>  drivers/gpu/drm/i915/i915_gem_stolen.c       |   6 +-
>  drivers/gpu/drm/i915/i915_guc_submission.c   | 355 +++++++++++++++-----------
>  drivers/gpu/drm/i915/i915_irq.c              |   7 +-
>  drivers/gpu/drm/i915/i915_params.c           |  13 +-
>  drivers/gpu/drm/i915/i915_params.h           |   1 +
>  drivers/gpu/drm/i915/i915_pvinfo.h           | 113 +++++++++
>  drivers/gpu/drm/i915/i915_reg.h              |  55 ++++
>  drivers/gpu/drm/i915/i915_vgpu.c             |  17 +-
>  drivers/gpu/drm/i915/i915_vgpu.h             |  92 +------
>  drivers/gpu/drm/i915/intel_bios.c            |  39 +++
>  drivers/gpu/drm/i915/intel_ddi.c             | 212 +++++++++-------
>  drivers/gpu/drm/i915/intel_display.c         | 302 ++++++++++++++--------
>  drivers/gpu/drm/i915/intel_drv.h             |  27 +-
>  drivers/gpu/drm/i915/intel_dsi.c             |   6 +
>  drivers/gpu/drm/i915/intel_fbc.c             |  39 ++-
>  drivers/gpu/drm/i915/intel_fbdev.c           |   2 -
>  drivers/gpu/drm/i915/intel_guc.h             |   8 +-
>  drivers/gpu/drm/i915/intel_guc_loader.c      |  37 +--
>  drivers/gpu/drm/i915/intel_gvt.c             | 100 ++++++++
>  drivers/gpu/drm/i915/intel_gvt.h             |  45 ++++
>  drivers/gpu/drm/i915/intel_hdmi.c            |   3 +
>  drivers/gpu/drm/i915/intel_lrc.c             | 130 +++++++---
>  drivers/gpu/drm/i915/intel_lrc.h             |   5 +
>  drivers/gpu/drm/i915/intel_mocs.c            |  10 +
>  drivers/gpu/drm/i915/intel_pm.c              |  67 ++++-
>  drivers/gpu/drm/i915/intel_ringbuffer.c      | 161 +++++++++---
>  drivers/gpu/drm/i915/intel_runtime_pm.c      | 144 +++++++++--
>  drivers/gpu/drm/i915/intel_sprite.c          |  14 +
>  include/drm/intel-gtt.h                      |   3 +
>  49 files changed, 2382 insertions(+), 679 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/gvt/Makefile
>  create mode 100644 drivers/gpu/drm/i915/gvt/debug.h
>  create mode 100644 drivers/gpu/drm/i915/gvt/gvt.c
>  create mode 100644 drivers/gpu/drm/i915/gvt/gvt.h
>  create mode 100644 drivers/gpu/drm/i915/gvt/hypercall.h
>  create mode 100644 drivers/gpu/drm/i915/gvt/mpt.h
>  create mode 100644 drivers/gpu/drm/i915/i915_gem_dmabuf.h
>  create mode 100644 drivers/gpu/drm/i915/i915_pvinfo.h
>  create mode 100644 drivers/gpu/drm/i915/intel_gvt.c
>  create mode 100644 drivers/gpu/drm/i915/intel_gvt.h
> 
> -- 
> Daniel Vetter
> Software Engineer, Intel Corporation
> http://blog.ffwll.ch

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-06-22  9:24 Daniel Vetter
  2016-06-22 11:16 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2016-06-22  9:24 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-06-20:
- Infrastructure for GVT-g (paravirtualized gpu on gen8+), from Zhi Wang
- another attemp at nonblocking atomic plane updates
- bugfixes and refactoring for GuC doorbell code (Dave Gordon)
- GuC command submission enabled by default, if fw available (Dave Gordon)
- more bxt w/a (Arun Siluvery)
- bxt phy improvements (Imre Deak)
- prep work for stolen objects support (Ankitprasa Sharma & Chris Wilson)
- skl/bkl w/a update from Mika Kuoppala
- bunch of small improvements and fixes all over, as usual

As mentioned in the drm-misc pull I'll be on vacation for 2 weeks. I'll
probably send you another (final for 4.8) feature pull right when I'm
back, so a bit later than usual. Jani's also going on vacation in July,
with some overlap with mine. So might be you need to apply a serious
bugfix directly, but it's all seems calm, I don't think we need that. I'll
take care of -fixes when I'm back until Jani's return.

Cheers, Daniel


The following changes since commit a0877f52035280370707bdefeddc6faa6478b892:

  Merge tag 'topic/drm-misc-2016-06-15' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-06-16 05:49:32 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-06-20

for you to fetch changes up to a02b01096def82df28363b0b9e7afdea9b5587fd:

  drm/i915: Update DRIVER_DATE to 20160620 (2016-06-20 00:30:34 +0200)

----------------------------------------------------------------
- Infrastructure for GVT-g (paravirtualized gpu on gen8+), from Zhi Wang
- another attemp at nonblocking atomic plane updates
- bugfixes and refactoring for GuC doorbell code (Dave Gordon)
- GuC command submission enabled by default, if fw available (Dave Gordon)
- more bxt w/a (Arun Siluvery)
- bxt phy improvements (Imre Deak)
- prep work for stolen objects support (Ankitprasa Sharma & Chris Wilson)
- skl/bkl w/a update from Mika Kuoppala
- bunch of small improvements and fixes all over, as usual

----------------------------------------------------------------
Ankitprasad Sharma (2):
      drm/i915: Use insert_page for pwrite_fast
      drm/i915: Support for pread/pwrite from/to non shmem backed objects

Chris Wilson (3):
      drm/i915: Add support for mapping an object page by page
      drm/i915: Introduce i915_gem_object_get_dma_address()
      drm/i915: Serialise presentation with imported dmabufs

Dan Carpenter (1):
      drm/i915/mocs: || vs | typo in get_mocs_settings()

Daniel Vetter (8):
      Revert "drm/i915/ilk: Don't disable SSC source if it's in use"
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Signal drm events for atomic
      drm/i915: Roll out the helper nonblock tracking
      drm/i915: nonblocking commit
      drm/i915: Move fb_bits updating later in atomic_commit
      drm/i915: Use atomic commits for legacy page_flips
      drm/i915: Update DRIVER_DATE to 20160620

Dave Gordon (13):
      drm/i915/guc: fix GuC loading/submission check
      drm/i915/guc: disable GuC submission earlier during GuC (re)load
      drm/i915/guc: enable GuC loading & submission by default
      drm/i915/guc: suppress GuC-related message on non-GuC platforms
      drm/i915/guc: prefer 'dev_priv' to 'dev' for static functions
      drm/i915/guc: prefer 'dev_priv' to 'dev' for intra-module functions
      drm/i915/guc: add doorbell map to debugfs/i915_guc_info
      drm/i915/guc: prefer __set/clear_bit() to bitmap_set/clear()
      drm/i915/guc: remove writes to GEN8_DRBREG registers
      drm/i915/guc: move guc_ring_doorbell() nearer to callsite
      drm/i915/guc: refactor doorbell management code
      drm/i915/guc: replace assign_doorbell() with select_doorbell_register()
      drm/i915/guc: (re)initialise doorbell h/w when enabling GuC submission

David Weinehall (1):
      drm/i915: only disable memory self-refresh on GMCH

Gerd Hoffmann (1):
      drm/i915: use #defines for qemu subsystem ids

Imre Deak (6):
      drm/i915/bxt: Wait for PHY1 GRC calibration synchronously
      drm/i915: Factor out intel_power_well_get/put
      drm/i915/bxt: Move DDI PHY enabling/disabling to the power well code
      drm/i915/bxt: Set DDI PHY lane latency optimization during modeset
      drm/i915/bxt: Rename broxton to bxt in PHY/CDCLK function prefixes
      drm/i915/bxt: Sanitiy check the PHY lane power down status

Jani Nikula (1):
      drm/i915/dsi: fix bxt split screen and color issue

Lukas Wunner (1):
      drm/i915: Don't unregister fbdev's fb twice

Lyude (1):
      drm/i915/ilk: Don't disable SSC source if it's in use

Maarten Lankhorst (1):
      Reapply "drm/i915: Pass atomic states to fbc update, functions."

Mika Kuoppala (27):
      drm/i915/skl: Add WaDisableGafsUnitClkGating
      drm/i915/kbl: Init gen9 workarounds
      drm/i915/kbl: Add REVID macro
      drm/i915/kbl: Add WaSkipStolenMemoryFirstPage for A0
      drm/i915/gen9: Always apply WaForceContextSaveRestoreNonCoherent
      drm/i915: Mimic skl with WaForceEnableNonCoherent
      drm/i915/kbl: Add WaEnableGapsTsvCreditFix
      drm/i915/kbl: Add WaDisableFenceDestinationToSLM for A0
      drm/i915/kbl: Add WaDisableSDEUnitClockGating
      drm/i915/edp: Add WaKVMNotificationOnConfigChange:bdw
      drm/i915/kbl: Add WaDisableLSQCROPERFforOCL
      drm/i915/gen9: Enable must set chicken bits in config0 reg
      drm/i915/kbl: Add WaDisableGamClockGating
      drm/i915/kbl: Add WaDisableDynamicCreditSharing
      drm/i915: Add WaInsertDummyPushConstP for bxt and kbl
      drm/i915/gen9: Add WaDisableSkipCaching
      drm/i915/skl: Add WAC6entrylatency
      drm/i915/kbl: Add WaForGAMHang
      drm/i915/kbl: Add WaDisableGafsUnitClkGating
      drm/i915/kbl: Add WaDisableSbeCacheDispatchPortSharing
      drm/i915/gen9: Add WaEnableChickenDCPR
      drm/i915/skl: Extend WaDisableChickenBitTSGBarrierAckForFFSliceCS
      drm/i915/kbl: Add WaClearSlmSpaceAtContextSwitch
      drm/i915/gen9: Add WaFbcTurnOffFbcWatermark
      drm/i915/gen9: Add WaFbcWakeMemOn
      drm/i195/fbc: Add WaFbcNukeOnHostModify
      drm/i915/gen9: Add WaFbcHighMemBwCorruptionAvoidance

Tim Gore (1):
      drm/i915/gen9: implement WaConextSwitchWithConcurrentTLBInvalidate

Tvrtko Ursulin (1):
      drm/i915: Fix a buch of kerneldoc warnings

Ville Syrjälä (1):
      drm/i915: Check VBT for port presence in addition to the strap on VLV/CHV

Zhi Wang (9):
      drm/i915: Factor out i915_pvinfo.h
      drm/i915: Use offsetof() to calculate the offset of members in PVINFO page
      drm/i915: Fold vGPU active check into inner functions
      drm/i915: gvt: Introduce the basic architecture of GVT-g
      drm/i915: Make ring buffer size of a LRC context configurable
      drm/i915: Make addressing mode bits in context descriptor configurable
      drm/i915: Introduce execlist context status change notification
      drm/i915: Support LRC context single submission
      drm/i915: Introduce GVT context creation API

arun.siluvery@linux.intel.com (4):
      drm/i915/gen9: Add WaVFEStateAfterPipeControlwithMediaStateClear
      drm/i915:bxt: Enable Pooled EU support
      drm/i915/bxt: Add WaEnablePooledEuFor2x6
      drm/i915/bxt: Add WaDisablePooledEuLoadBalancingFix

 drivers/char/agp/intel-gtt.c                 |   8 +
 drivers/gpu/drm/i915/Kconfig                 |  22 ++
 drivers/gpu/drm/i915/Makefile                |   5 +
 drivers/gpu/drm/i915/gvt/Makefile            |   5 +
 drivers/gpu/drm/i915/gvt/debug.h             |  34 +++
 drivers/gpu/drm/i915/gvt/gvt.c               | 145 +++++++++++
 drivers/gpu/drm/i915/gvt/gvt.h               |  69 +++++
 drivers/gpu/drm/i915/gvt/hypercall.h         |  38 +++
 drivers/gpu/drm/i915/gvt/mpt.h               |  49 ++++
 drivers/gpu/drm/i915/i915_cmd_parser.c       |   9 +-
 drivers/gpu/drm/i915/i915_debugfs.c          |   8 +
 drivers/gpu/drm/i915/i915_dma.c              |  39 +++
 drivers/gpu/drm/i915/i915_drv.c              |   7 +-
 drivers/gpu/drm/i915/i915_drv.h              |  51 +++-
 drivers/gpu/drm/i915/i915_gem.c              | 366 ++++++++++++++++++++++-----
 drivers/gpu/drm/i915/i915_gem_context.c      |  38 +++
 drivers/gpu/drm/i915/i915_gem_dmabuf.h       |  45 ++++
 drivers/gpu/drm/i915/i915_gem_gtt.c          |  77 +++++-
 drivers/gpu/drm/i915/i915_gem_gtt.h          |   5 +
 drivers/gpu/drm/i915/i915_gem_render_state.c |  28 ++
 drivers/gpu/drm/i915/i915_gem_stolen.c       |   6 +-
 drivers/gpu/drm/i915/i915_guc_submission.c   | 355 +++++++++++++++-----------
 drivers/gpu/drm/i915/i915_irq.c              |   7 +-
 drivers/gpu/drm/i915/i915_params.c           |  13 +-
 drivers/gpu/drm/i915/i915_params.h           |   1 +
 drivers/gpu/drm/i915/i915_pvinfo.h           | 113 +++++++++
 drivers/gpu/drm/i915/i915_reg.h              |  55 ++++
 drivers/gpu/drm/i915/i915_vgpu.c             |  17 +-
 drivers/gpu/drm/i915/i915_vgpu.h             |  92 +------
 drivers/gpu/drm/i915/intel_bios.c            |  39 +++
 drivers/gpu/drm/i915/intel_ddi.c             | 212 +++++++++-------
 drivers/gpu/drm/i915/intel_display.c         | 302 ++++++++++++++--------
 drivers/gpu/drm/i915/intel_drv.h             |  27 +-
 drivers/gpu/drm/i915/intel_dsi.c             |   6 +
 drivers/gpu/drm/i915/intel_fbc.c             |  39 ++-
 drivers/gpu/drm/i915/intel_fbdev.c           |   2 -
 drivers/gpu/drm/i915/intel_guc.h             |   8 +-
 drivers/gpu/drm/i915/intel_guc_loader.c      |  37 +--
 drivers/gpu/drm/i915/intel_gvt.c             | 100 ++++++++
 drivers/gpu/drm/i915/intel_gvt.h             |  45 ++++
 drivers/gpu/drm/i915/intel_hdmi.c            |   3 +
 drivers/gpu/drm/i915/intel_lrc.c             | 130 +++++++---
 drivers/gpu/drm/i915/intel_lrc.h             |   5 +
 drivers/gpu/drm/i915/intel_mocs.c            |  10 +
 drivers/gpu/drm/i915/intel_pm.c              |  67 ++++-
 drivers/gpu/drm/i915/intel_ringbuffer.c      | 161 +++++++++---
 drivers/gpu/drm/i915/intel_runtime_pm.c      | 144 +++++++++--
 drivers/gpu/drm/i915/intel_sprite.c          |  14 +
 include/drm/intel-gtt.h                      |   3 +
 49 files changed, 2382 insertions(+), 679 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/gvt/Makefile
 create mode 100644 drivers/gpu/drm/i915/gvt/debug.h
 create mode 100644 drivers/gpu/drm/i915/gvt/gvt.c
 create mode 100644 drivers/gpu/drm/i915/gvt/gvt.h
 create mode 100644 drivers/gpu/drm/i915/gvt/hypercall.h
 create mode 100644 drivers/gpu/drm/i915/gvt/mpt.h
 create mode 100644 drivers/gpu/drm/i915/i915_gem_dmabuf.h
 create mode 100644 drivers/gpu/drm/i915/i915_pvinfo.h
 create mode 100644 drivers/gpu/drm/i915/intel_gvt.c
 create mode 100644 drivers/gpu/drm/i915/intel_gvt.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-06-07 19:56 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-06-07 19:56 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-06-06:
- some polish for the guc code (Dave Gordon)
- big refactoring of gen9 display clock handling code (Ville)
- refactoring work in the context code (Chris Wilson)
- give encoder/crtc/planes useful names for debug output (Ville)
- improvements to skl/kbl wm computation code (Mahesh Kumar)
- bunch of smaller improvements all over as usual

Cheers, Daniel


The following changes since commit 66fd7a66e8b9e11e49f46ea77910f935c4dee5c3:

  Merge branch 'drm-intel-next' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-06-02 07:58:36 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-06-06

for you to fetch changes up to 1750d59dfa3caf1fc5354a2217f0e83d717c9b22:

  drm/i915: Update DRIVER_DATE to 20160606 (2016-06-06 00:29:53 +0200)

----------------------------------------------------------------
- some polish for the guc code (Dave Gordon)
- big refactoring of gen9 display clock handling code (Ville)
- refactoring work in the context code (Chris Wilson)
- give encoder/crtc/planes useful names for debug output (Ville)
- improvements to skl/kbl wm computation code (Mahesh Kumar)
- bunch of smaller improvements all over as usual

----------------------------------------------------------------
Ander Conselvan de Oliveira (1):
      drm/i915: Fix NULL pointer deference when out of PLLs in IVB

Chris Wilson (14):
      drm/i915/opregion: Convert to using native drm_i915_private
      drm/i915/opregion: Rename init/fini functions to register/unregister
      drm/i915: Rename struct intel_context
      drm/i915: Apply lockdep annotations to i915_gem_context.c
      drm/i915: Rename and inline i915_gem_context_get()
      drm/i915: Name the inner most per-engine intel_context struct
      drm/i915: Move pinning of dev_priv->kernel_context into its creator
      drm/i915: Show i915_gem_context owner in debugfs
      drm/i915: Put the kernel_context in drm_i915_private next to its friends
      drm/i915: Merge legacy+execlists context structs
      drm/i915: Rearrange i915_gem_context
      drm/i915/debugfs: Show context objects in i915_gem_objects
      drm/i915: Only ignore eDP ports that are connected
      drm/i915: Silence "unexpected child device config size" for VBT on 845g

Clint Taylor (1):
      drm/i915/skl: SKL CDCLK change on modeset tracking VCO

Daniel Vetter (3):
      drm/i915: Revert async unpin and nonblocking atomic commit
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20160606

Dave Gordon (6):
      drm/i915/guc: rename loader entry points
      drm/i915/guc: distinguish HAS_GUC() from HAS_GUC_UCODE/HAS_GUC_SCHED
      drm/i915/guc: add enable_guc_loading parameter
      drm/i915/guc: pass request (not client) to i915_guc_{wq_check_space, submit}()
      drm/i915/guc: don't spinwait if the GuC's workqueue is full
      drm/i915/guc: rework guc_add_workqueue_item()

Imre Deak (2):
      drm/i915/gen9: Assume CDCLK PLL is off if it's not locked
      drm/i915/bxt: Sanitize CDCLK to fix breakage during S4 resume

Kumar, Mahesh (3):
      drm/i915/skl+: calculate ddb minimum allocation (v6)
      drm/i915/skl+: calculate plane pixel rate (v4)
      drm/i915/skl+: Use scaling amount for plane data rate calculation (v4)

Lyude (1):
      drm/i915/ilk: Don't disable SSC source if it's in use

Matt Roper (1):
      drm/i915: Don't try to calculate relative data rates during hw readout

Sagar Arun Kamble (1):
      drm/i915: Update GEN6_PMINTRMSK setup with GuC enabled

Tvrtko Ursulin (1):
      drm/i915/guc: Disable automatic GuC firmware loading

Ville Syrjälä (31):
      drm/i915: Enable GSE interrupt on BDW+
      drm/i915: Fix BXT min_pixclk after state readout
      drm/i915: Move the SKL DPLL0 VCO computation into intel_dp_compute_config()
      drm/i915: Extract skl_calc_cdclk()
      drm/i915: Actually read out DPLL0 vco on skl from hardware
      drm/i915: Report the current DPLL0 vco on SKL/KBL
      drm/i915: Allow enable/disable of DPLL0 around cdclk changes on SKL
      drm/i915: Keep track of preferred cdclk vco frequency on SKL
      drm/i915: Beef up skl_sanitize_cdclk() a bit
      drm/i915: Unify SKL cdclk init paths
      drm/i915: Move SKL+ DBUF enable/disable to display core init/uninit
      drm/i915: Make 308 and 671 MHz cdclks more accurate on SKL
      drm/i915: Rename skl_vco_freq to cdclk_pll.vco
      drm/i915: Store cdclk PLL reference clock under dev_priv
      drm/i915: Extract bxt DE PLL enable/disable from broxton_set_cdclk()
      drm/i915: Store BXT DE PLL vco and ref clocks in dev_priv
      drm/i915: Update cached cdclk state from broxton_init_cdclk()
      drm/i915: Rewrite broxton_get_display_clock_speed() in terms of the DE PLL vco/refclk
      drm/i915: Make bxt_set_cdclk() operate in terms of the current vs target DE PLL vco
      drm/i915: Replace bxt_verify_cdclk_state() with a more generic cdclk check
      drm/i915: Set BXT cdclk to minimum initially
      drm/i915: Assert the dbuf is enabled when disabling DC5/6
      drm/i915: Reject modeset if the dotclock is too high
      drm/i915: Use crtc->name in debug messages
      drm/i915: Use plane->name in debug prints
      drm/i915: Set crtc->name to "pipe A", "pipe B", etc.
      drm/i915: Don't leak primary/cursor planes on crtc init failure
      drm/i915: Give meaningful names to all the planes
      drm/i915: Give encoders useful names
      drm/i915: kill STANDARD/CURSOR plane screams
      drm/i915: Extract physical display dimensions from VBT

 drivers/gpu/drm/i915/i915_debugfs.c        | 106 ++--
 drivers/gpu/drm/i915/i915_dma.c            |  12 +-
 drivers/gpu/drm/i915/i915_drv.c            |  18 +-
 drivers/gpu/drm/i915/i915_drv.h            | 104 ++--
 drivers/gpu/drm/i915/i915_gem.c            |  17 +-
 drivers/gpu/drm/i915/i915_gem_context.c    | 209 +++----
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  12 +-
 drivers/gpu/drm/i915/i915_guc_submission.c | 167 +++---
 drivers/gpu/drm/i915/i915_irq.c            |  30 +-
 drivers/gpu/drm/i915/i915_params.c         |  14 +-
 drivers/gpu/drm/i915/i915_params.h         |   3 +-
 drivers/gpu/drm/i915/i915_reg.h            |   2 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |   2 +-
 drivers/gpu/drm/i915/i915_trace.h          |  12 +-
 drivers/gpu/drm/i915/intel_bios.c          |   9 +-
 drivers/gpu/drm/i915/intel_crt.c           |   2 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   2 +-
 drivers/gpu/drm/i915/intel_display.c       | 863 ++++++++++++++++++-----------
 drivers/gpu/drm/i915/intel_dp.c            |  41 +-
 drivers/gpu/drm/i915/intel_dp_mst.c        |   2 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c      |  38 +-
 drivers/gpu/drm/i915/intel_drv.h           |   9 +-
 drivers/gpu/drm/i915/intel_dsi.c           |   5 +-
 drivers/gpu/drm/i915/intel_dvo.c           |  18 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |   4 +-
 drivers/gpu/drm/i915/intel_guc.h           |  37 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h      |   3 +-
 drivers/gpu/drm/i915/intel_guc_loader.c    | 153 +++--
 drivers/gpu/drm/i915/intel_hdmi.c          |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c           | 145 ++---
 drivers/gpu/drm/i915/intel_lrc.h           |   9 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   4 +-
 drivers/gpu/drm/i915/intel_opregion.c      | 105 ++--
 drivers/gpu/drm/i915/intel_pm.c            | 176 ++++--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   4 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    |  56 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   2 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  16 +-
 drivers/gpu/drm/i915/intel_tv.c            |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c        |   2 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h      |   7 +-
 41 files changed, 1467 insertions(+), 957 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-06-01  8:28 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-06-01  8:28 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-05-22:
- cmd-parser support for direct reg->reg loads (Ken Graunke)
- better handle DP++ smart dongles (Ville)
- bxt guc fw loading support (Nick Hoathe)
- remove a bunch of struct typedefs from dpll code (Ander)
- tons of small work all over to avoid casting between drm_device and the i915
  dev struct (Tvrtko&Chris)
- untangle request retiring from other operations, also fixes reset stat corner
  cases (Chris)
- skl atomic watermark support from Matt Roper, yay!
- various wm handling bugfixes from Ville
- big pile of cdclck rework for bxt/skl (Ville)
- CABC (Content Adaptive Brigthness Control) for dsi panels (Jani&Deepak M)
- nonblocking atomic commits for plane-only updates (Maarten Lankhorst)
- bunch of PSR fixes&improvements
- untangle our map/pin/sg_iter code a bit (Dave Gordon)
drm-intel-next-2016-05-08:
- refactor stolen quirks to share code between early quirks and i915 (Joonas)
- refactor gem BO/vma funcstion (Tvrtko&Dave)
- backlight over DPCD support (Yetunde Abedisi)
- more dsi panel sequence support (Jani)
- lots of refactoring around handling iomaps, vma, ring access and related
  topics culmulating in removing the duplicated request tracking in the execlist
  code (Chris & Tvrtko) includes a small patch for core iomapping code
- hw state readout for bxt dsi (Ramalingam C)
- cdclk cleanups (Ville)
- dedupe chv pll code a bit (Ander)
- enable semaphores on gen8+ for legacy submission, to be able to have a direct
  comparison against execlist on the same platform (Chris) Not meant to be used
  for anything else but performance tuning
- lvds border bit hw state checker fix (Jani)
- rpm vs. shrinker/oom-notifier fixes (Praveen Paneri)
- l3 tuning (Imre)
- revert mst dp audio, it's totally non-functional and crash-y (Lyude)
- first official dmc for kbl (Rodrigo)
- and tons of small things all over as usual

I've cherry-picked the revert for the nonblocking stuff that landed right
before I tagged this over, just to avoid too much confusion.

This has a bunch of conflicts because git gets lots with us cherry-picking
patches from -next to 4.7. But since it's all cherry-picks you can just
pull in with "-X theirs" and it should all work out.

Besides that I want a baseline with 4.7, drm-misc and this for a few patch
series I also want to backmerge the entire pile into drm-intel-next again
this week because of these conflicts. Would be awesome if you can handle
all the -next pulls I've sent you on Thu, so that I can do the backmerge
on Fri before I do the next tag round.

btw Tomi missed 4.7 with his pull, so I'll ping him to get his omapdrm
pile out now too to make sure it hits 4.8.

Cheers, Daniel


The following changes since commit 99ee87295017e36abb6925e6139ca303cb55aee7:

  Merge tag 'topic/drm-misc-2016-05-13' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-05-17 07:06:14 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel drm-intel-next

for you to fetch changes up to e42aeef1237b7c969a77b7f726c50f6cb832185f:

  drm/i915: Revert async unpin and nonblocking atomic commit (2016-06-01 09:46:46 +0200)

----------------------------------------------------------------
Ander Conselvan de Oliveira (13):
      drm/i915: Set crtc_state->lane_count for HDMI
      drm/i915: Unduplicate CHV signal level code
      drm/i915: Unduplicate chv_data_lane_soft_reset()
      drm/i915: Unduplicate CHV phy-releated pre pll enabling code
      drm/i915: Unduplicate CHV pre-encoder enabling phy logic
      drm/i915: Unduplicate CHV encoders' post pll disable code
      drm/i915: Unduplicate VLV signal level code
      drm/i915: Unduplicate VLV phy pre pll enabling code
      drm/i915: Unduplicate pre encoder enabling phy code
      drm/i915: Move VLV HDMI lane reset work around logic to intel_dpio_phy.c
      drm/i915: Remove intel_clock_t typedef
      drm/i915: Remove intel_range_t and intel_p2_t typedefs
      drm/i915: Remove intel_limit_t typedef

Chris Wilson (50):
      drm/i915: Protect gen7 irq_seqno_barrier with uncore lock
      drm/i915: Propagate error from drm_gem_object_init()
      drm/i915/fbdev: Call intel_unpin_fb_obj() on release
      drm/i915/overlay: Replace i915_gem_obj_ggtt_offset() with the known flip_addr
      io-mapping: Specify mapping size for io_mapping_map_wc()
      drm/i915: Introduce i915_vm_to_ggtt()
      drm/i915: Move ioremap_wc tracking onto VMA
      drm/i915: Use i915_vma_pin_iomap on the ringbuffer object
      drm/i915: Mark the current context as lost on suspend
      drm/i915: L3 cache remapping is part of context switching
      drm/i915: Consolidate L3 remapping LRI
      drm/i915: Remove early l3-remap
      drm/i915: Rearrange switch_context to load the aliasing ppgtt on first use
      drm/i915: Unify intel_ring_begin()
      drm/i915: Remove the identical implementations of request space reservation
      drm/i915: Manually unwind after a failed request allocation
      drm/i915: Preallocate enough space for the average request
      drm/i915: Update execlists context descriptor format commentary
      drm/i915: Assign every HW context a unique ID
      drm/i915: Replace the pinned context address with its unique ID
      drm/i915: Refactor execlists default context pinning
      drm/i915: Move the magical deferred context allocation into the request
      drm/i915: Move releasing of the GEM request from free to retire/cancel
      drm/i915: Track the previous pinned context inside the request
      drm/i915: Unify GPU resets upon shutdown
      drm/i915: Bump reserved size for legacy gen8 semaphore emission
      drm/i915: Trim the flush for the legacy request emission
      drm/i915: Trim the flush for the execlists request emission
      drm/i915: Apply strongly ordered RCS breadcrumb to gen8/legacy
      drm/i915: Fix ordering of sanitize ppgtt and sanitize execlists
      drm/i915: Fix gen8 semaphores id for legacy mode
      drm/i915: Fix serialisation of pipecontrol write vs semaphore signal
      drm/i915: Reload PD tables after semaphore wait on gen8
      drm/i915: Enable semaphores for legacy submission on gen8
      drm/i915: Report command parser version 0 if disabled
      drm/i915: Unexport i915_ppgtt_init()
      drm/i915/execlists: Refactor common engine setup
      drm/i915: Store a i915 backpointer from engine, and use it
      x86: Silence 32bit compiler warning in intel_graphics_stolen()
      drm/i915: Use drm_i915_private as the native pointer for intel_uncore.c
      drm/i915: Convert intel_overlay.c to use native drm_i915_private pointers
      drm/i915: Move get-reset-stats ioctl from intel_uncore.c to i915_gem_context.c
      drm/i915: Complete pending resets before get-reset-stats ioctl
      drm/i915: Stop retiring requests from busy/wait ioctls
      drm/i915: Stop automatically retiring requests after a GPU hang
      drm/i915: Add distinct stubs for PM hibernation phases
      drm/i915: Update domain tracking for GEM objects on hibernation
      drm/i915: Lazily migrate the objects after hibernation
      drm/i915: Skip clearing the GGTT on full-ppgtt systems
      drm/i915/userptr: Convert to drm_i915_private

Daniel Vetter (12):
      drm/i915: Bail out of pipe config compute loop on LPT
      drm/i915: Update DRIVER_DATE to 20160508
      Revert "mfd: intel_soc_pmic_core: Terminate panel control GPIO lookup table correctly"
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Simplify control flow in intel_atomic_check a bit.
      drm/i915/psr: Try to program link training times correctly
      drm/i915/psr: Make idle_frames sensible again
      drm/i915/psr: Order DP aux transactions correctly
      drm/i915/psr: Use ->get_aux_send_ctl functions
      drm/i915/psr: Implement PSR2 w/a for gen9
      drm/i915: Update DRIVER_DATE to 20160522
      drm/i915: Revert async unpin and nonblocking atomic commit

Dave Gordon (5):
      drm/i915: rename i915_gem_alloc_object() to i915_gem_object_create()
      drm/i915: refactor i915_gem_object_pin_map()
      drm/i915: optimise i915_gem_object_map() for small objects
      drm/i915: Introduce & use new lightweight SGL iterators
      drm/i915: Inline sg_next() for the optimised SGL iterator

Deepak M (3):
      drm/i915: Parsing the PWM cntrl and CABC ON/OFF fields in VBT
      drm/i915: Parse LFP brightness control field in VBT
      drm/i915/dsi: CABC support for Panel PWM backlight control

Imre Deak (7):
      drm/i915/bdw: Add missing delay during L3 SQC credit programming
      drm/i915: Clean up L3 SQC register field definitions
      drm/i915/chv: Tune L3 SQC credits based on actual latencies
      drm/i915/gen9: Avoid using negative array index in skl_update_plane()
      drm/i915: Add comments about fixed pipe->transcoder/PLL mapping
      drm/i915: Handle error return from dma_set_coherent_mask()
      drm/i915: Remove redundant const from function return type

Jani Nikula (6):
      drm/i915/dsi: don't pretend we support SC GPIOs
      drm/i915/dsi: add support for sequence block v3 gpio for VLV
      drm/i915/dsi: add support for gpio elements on CHV
      drm/i915/lvds: separate border enable readout from panel fitter
      drm/i915: don't mix bitwise and logical operations for has_snoop
      drm/i915/dsi: Add DCS control for Panel PWM

Joonas Lahtinen (2):
      drm/i915: Canonicalize stolen memory calculations
      drm/i915: Function per early graphics quirk

Kenneth Graunke (1):
      drm/i915: Allow MI_LOAD_REGISTER_REG between whitelisted registers.

Lyude (1):
      Revert "drm/i915: start adding dp mst audio"

Maarten Lankhorst (22):
      drm/i915: Dump pipe config when intel_modeset_pipe_config fails.
      drm/core: Add drm_accurate_vblank_count, v5.
      drm/i915: Remove stallcheck special handling, v3.
      drm/i915: Remove intel_finish_page_flip_plane.
      drm/i915: Remove intel_prepare_page_flip, v3.
      drm/i915: Add support for detecting vblanks when hw frame counter is unavailable.
      drm/i915: Unify unpin_work and mmio_work into flip_work, v2.
      Revert "drm/i915: Avoid stalling on pending flips for legacy cursor updates"
      drm/i915: Allow mmio updates on all platforms, v2.
      drm/i915: Convert flip_work to a list.
      drm/i915: Add the exclusive fence to plane_state.
      drm/i915: Rework intel_crtc_page_flip to be almost atomic, v3.
      drm/i915: Remove cs based page flip support.
      drm/i915: Remove use_mmio_flip kernel parameter.
      drm/i915: Remove queue_flip pointer.
      drm/i915: Remove reset_counter from intel_crtc.
      drm/i915: Pass atomic states to fbc update functions.
      drm/i915: Prepare connectors for nonblocking checks.
      drm/i915: Make unpin async.
      Reapply "drm/i915: Avoid stalling on pending flips for legacy cursor updates"
      drm/i915: Check for unpin correctness.
      drm/i915: Allow nonblocking update of pageflips.

Matt Roper (17):
      drm/i915: Reorganize WM structs/unions in CRTC state
      drm/i915: Rename s/skl_compute_pipe_wm/skl_build_pipe_wm/
      drm/i915/gen9: Cache plane data rates in CRTC state
      drm/i915/gen9: Allow calculation of data rate for in-flight state (v2)
      drm/i915/gen9: Store plane minimum blocks in CRTC wm state (v2)
      drm/i915: Track whether an atomic transaction changes the active CRTC's
      drm/i915/gen9: Allow skl_allocate_pipe_ddb() to operate on in-flight state (v3)
      drm/i915: Add distrust_bios_wm flag to dev_priv (v2)
      drm/i915/gen9: Compute DDB allocation at atomic check time (v4)
      drm/i915/gen9: Drop re-allocation of DDB at atomic commit (v2)
      drm/i915/gen9: Calculate plane WM's from state
      drm/i915/gen9: Allow watermark calculation on in-flight atomic state (v3)
      drm/i915/gen9: Use a bitmask to track dirty pipe watermarks
      drm/i915/gen9: Propagate watermark calculation failures up the call chain
      drm/i915/gen9: Calculate watermarks during atomic 'check' (v2)
      drm/i915/gen9: Reject display updates that exceed wm limitations (v2)
      drm/i915: Remove wm_config from dev_priv/intel_atomic_state

Matthew Auld (2):
      drm/i915: tidy up gen8_init_scratch
      drm/i915: remove i915_gem_object_ggtt_unbind

Nick Hoath (1):
      drm/i915/guc: Add Broxton GuC firmware loading support

Peter Antoine (1):
      drm/i915/bxt: reserve space for RC6 in the the GuC WOPCM

Praveen Paneri (2):
      drm/i915: Unbind objects in shrinker only if device is runtime active
      drm/i915: Add rpm get/put in oom and vmap notifier

Ramalingam C (2):
      drm/i915/BXT: Retrieving the horizontal timing for DSI
      drm/i915/bxt: Adjusting the error in horizontal timings retrieval

Rodrigo Vivi (1):
      drm/i915/kbl: Introduce the first official DMC for Kabylake.

Tim Gore (1):
      drm/i915:bxt: implement WaProgramL3SqcReg1DefaultForPerf

Tom O'Rourke (1):
      drm/i915/guc: Use major_minor version for filename

Tvrtko Ursulin (13):
      drm/i915: Remove i915_gem_obj_size
      drm/i915: Simplify i915_gem_obj_to_ggtt_view
      drm/i915: Simplify i915_gem_obj_ggtt_offset_view
      drm/i915: Simplify i915_gem_obj_ggtt_bound_view
      drm/i915: Store LRC hardware id in the request
      drm/i915: Stop tracking execlists retired requests
      drm/i915: Simplify intel_mark_busy/idle
      drm/i915: Small display interrupt handlers tidy
      drm/i915: Make IS_GENx macros work on a mask
      drm/i915: Promote IS_BROADWELL to a simple macro
      drm/i915: Replace "INTEL_INFO->gen == x" checks with IS_GENx
      drm/i915: Do not use a bitfield for INTEL_INFO->num_pipes
      drm/i915: Introduce IS_GEN macro

Ville Syrjälä (29):
      drm/i915: Unify VLV/CHV DPOunit clock gating disable/enable
      drm/i915: Update RAWCLK_FREQ register on VLV/CHV
      drm/i915: Update CDCLK_FREQ register on BDW after changing cdclk frequency
      drm/i915: Use cached cdclk value in i915_audio_component_get_cdclk_freq()
      drm/i915: Fix comments about GMBUSFREQ register
      mfd: intel_soc_pmic_core: Terminate panel control GPIO lookup table correctly
      drm: Add helper for DP++ adaptors
      drm/i915: Respect DP++ adaptor TMDS clock limit
      drm/i915: Enable/disable TMDS output buffers in DP++ adaptor as needed
      drm/i915: Determine DP++ type 1 DVI adaptor presence based on VBT
      drm/i915: Calculate IPS linetime watermark based on future cdclk
      drm/i915: Add a FIXME about crtc !active vs. watermarks
      drm/i915: Re-enable GGTT earlier during resume on pre-gen6 platforms
      drm/i915: s/DPPL/DPLL/ for SKL DPLLs
      drm/i915: Drop checks for max_pixclk failures in cdclk computation
      drm/i915: Extract broadwell_calc_cdclk()
      drm/i915: Untangle .fdi_link_train and cdclk vfunc setup
      drm/i915: Don't pass dev_priv to broxton_calc_cdclk()
      drm/i915: Use ilk_max_pixel_rate() for BXT cdclk calculation
      drm/i915: Use skl_cdclk_decimal() on bxt
      drm/i915: Remove 10% cdclk guardband on BXT
      drm/i915: Extract skl_dpll0_disable()
      drm/i915: Kill off dead code from skl_dpll0_enable()
      drm/i915: s/freq/cdclk/
      drm/i915: s/required_vco/vco/ in skl cdclk code
      drm/i915: Program BXT_CDCLK_CD2X_PIPE
      drm/i915: Eliminate the CDCLK_CTL RMW on BXT
      drm/i915: Don't leave old junk in ilk active watermarks on readout
      drm/i915: Ignore stale wm register values on resume on ilk-bdw (v2)

Yetunde Adebisi (3):
      drm/dp: Add definition for Display Control DPCD Registers capability size
      drm/i915: Read eDP Display control capability registers
      drm/i915: Add Backlight Control using DPCD for eDP connectors (v9)

 Documentation/DocBook/gpu.tmpl                 |    6 +
 arch/x86/kernel/early-quirks.c                 |  404 +++++----
 drivers/gpu/drm/Makefile                       |    2 +-
 drivers/gpu/drm/drm_dp_dual_mode_helper.c      |  366 ++++++++
 drivers/gpu/drm/drm_irq.c                      |   31 +
 drivers/gpu/drm/i915/Makefile                  |    3 +
 drivers/gpu/drm/i915/i915_cmd_parser.c         |   44 +-
 drivers/gpu/drm/i915/i915_debugfs.c            |   77 +-
 drivers/gpu/drm/i915/i915_dma.c                |  126 ++-
 drivers/gpu/drm/i915/i915_drv.c                |  106 ++-
 drivers/gpu/drm/i915/i915_drv.h                |  308 +++++--
 drivers/gpu/drm/i915/i915_gem.c                |  411 ++++-----
 drivers/gpu/drm/i915/i915_gem_batch_pool.c     |    6 +-
 drivers/gpu/drm/i915/i915_gem_context.c        |  291 ++++---
 drivers/gpu/drm/i915/i915_gem_evict.c          |    4 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c     |   14 +-
 drivers/gpu/drm/i915/i915_gem_fence.c          |   14 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c            |  247 +++---
 drivers/gpu/drm/i915/i915_gem_gtt.h            |   40 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c   |   17 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c       |   48 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c         |    6 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c         |    4 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c        |   12 +-
 drivers/gpu/drm/i915/i915_gpu_error.c          |   81 +-
 drivers/gpu/drm/i915/i915_guc_reg.h            |    6 +-
 drivers/gpu/drm/i915/i915_guc_submission.c     |    7 +-
 drivers/gpu/drm/i915/i915_irq.c                |  485 +++++------
 drivers/gpu/drm/i915/i915_params.c             |    4 +
 drivers/gpu/drm/i915/i915_params.h             |    1 +
 drivers/gpu/drm/i915/i915_reg.h                |   19 +-
 drivers/gpu/drm/i915/i915_sysfs.c              |    9 +-
 drivers/gpu/drm/i915/i915_trace.h              |   36 +-
 drivers/gpu/drm/i915/i915_vgpu.c               |    7 +-
 drivers/gpu/drm/i915/i915_vgpu.h               |    2 +-
 drivers/gpu/drm/i915/intel_audio.c             |   17 +-
 drivers/gpu/drm/i915/intel_bios.c              |   55 ++
 drivers/gpu/drm/i915/intel_bios.h              |   16 +-
 drivers/gpu/drm/i915/intel_crt.c               |    8 +-
 drivers/gpu/drm/i915/intel_csr.c               |   30 +-
 drivers/gpu/drm/i915/intel_ddi.c               |   42 +-
 drivers/gpu/drm/i915/intel_display.c           |  905 ++++++++++----------
 drivers/gpu/drm/i915/intel_dp.c                |  428 +---------
 drivers/gpu/drm/i915/intel_dp_aux_backlight.c  |  172 ++++
 drivers/gpu/drm/i915/intel_dp_mst.c            |   22 -
 drivers/gpu/drm/i915/intel_dpio_phy.c          |  470 +++++++++++
 drivers/gpu/drm/i915/intel_dpll_mgr.c          |    8 +-
 drivers/gpu/drm/i915/intel_drv.h               |  194 +++--
 drivers/gpu/drm/i915/intel_dsi.c               |  190 ++++-
 drivers/gpu/drm/i915/intel_dsi.h               |    4 +
 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.c |  179 ++++
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c     |   77 +-
 drivers/gpu/drm/i915/intel_fbc.c               |    4 +-
 drivers/gpu/drm/i915/intel_fbdev.c             |   35 +-
 drivers/gpu/drm/i915/intel_guc_loader.c        |   26 +-
 drivers/gpu/drm/i915/intel_hdmi.c              |  456 +++-------
 drivers/gpu/drm/i915/intel_hotplug.c           |   13 +-
 drivers/gpu/drm/i915/intel_lrc.c               |  751 +++++++----------
 drivers/gpu/drm/i915/intel_lrc.h               |   11 +-
 drivers/gpu/drm/i915/intel_lvds.c              |    6 +-
 drivers/gpu/drm/i915/intel_mocs.c              |   14 +-
 drivers/gpu/drm/i915/intel_opregion.c          |    4 +-
 drivers/gpu/drm/i915/intel_overlay.c           |  147 ++--
 drivers/gpu/drm/i915/intel_panel.c             |    8 +
 drivers/gpu/drm/i915/intel_pm.c                | 1071 ++++++++++++++----------
 drivers/gpu/drm/i915/intel_psr.c               |  108 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c        |  651 +++++++-------
 drivers/gpu/drm/i915/intel_ringbuffer.h        |   43 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c        |    5 +
 drivers/gpu/drm/i915/intel_sprite.c            |   27 +-
 drivers/gpu/drm/i915/intel_uncore.c            |  219 ++---
 drivers/gpu/drm/i915/intel_vbt_defs.h          |   18 +
 drivers/net/ethernet/mellanox/mlx4/pd.c        |    4 +-
 include/drm/drmP.h                             |    1 +
 include/drm/drm_dp_dual_mode_helper.h          |   92 ++
 include/drm/drm_dp_helper.h                    |    1 +
 include/drm/i915_drm.h                         |    3 +
 include/linux/io-mapping.h                     |   10 +-
 78 files changed, 5519 insertions(+), 4270 deletions(-)
 create mode 100644 drivers/gpu/drm/drm_dp_dual_mode_helper.c
 create mode 100644 drivers/gpu/drm/i915/intel_dp_aux_backlight.c
 create mode 100644 drivers/gpu/drm/i915/intel_dpio_phy.c
 create mode 100644 drivers/gpu/drm/i915/intel_dsi_dcs_backlight.c
 create mode 100644 include/drm/drm_dp_dual_mode_helper.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-04-29  7:53 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-04-29  7:53 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-04-25:
- more userptr cornercase fixes from Chris
- clean up and tune forcewake handling (Tvrtko)
- more underrun fixes from Ville, mostly for ilk to appeas CI
- fix unclaimed register warnings on vlv/chv and enable the debug code to catch
  them by default (Ville)
- skl gpu hang fixes for gt3/4 (Mika Kuoppala)
- edram improvements for gen9+ (Mika again)
- clean up gpu reset corner cases (Chris)
- fix ctx/ring machine deaths on snb/ilk (Chris)
- MOCS programming for all engines (Peter Antoine)
- robustify/clean up vlv/chv irq handler (Ville)
- split gen8+ irq handlers into ack/handle phase (Ville)
- tons of bxt rpm fixes (mostly around firmware interactions), from Imre
- hook up panel fitting for dsi panels (Ville)
- more runtime PM fixes all over from Imre
- shrinker polish (Chris)
- more guc fixes from Alex Dai and Dave Gordon
- tons of bugfixes and small polish all over (but with a big focus on bxt)

Final feature pull request for 4.7, after this Jani will take over as
usual with handling the inevitable fallout&fixes ;-)

Cheers, Daniel


The following changes since commit ba3150ac3876acd082307f142597d3482107facc:

  drm/i915: Update DRIVER_DATE to 20160411 (2016-04-11 20:20:18 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-04-25

for you to fetch changes up to 5b4fd5b1111b1230cd037df3b314e7b36d45d483:

  drm/i915: Update DRIVER_DATE to 20160425 (2016-04-25 09:35:38 +0200)

----------------------------------------------------------------
- more userptr cornercase fixes from Chris
- clean up and tune forcewake handling (Tvrtko)
- more underrun fixes from Ville, mostly for ilk to appeas CI
- fix unclaimed register warnings on vlv/chv and enable the debug code to catch
  them by default (Ville)
- skl gpu hang fixes for gt3/4 (Mika Kuoppala)
- edram improvements for gen9+ (Mika again)
- clean up gpu reset corner cases (Chris)
- fix ctx/ring machine deaths on snb/ilk (Chris)
- MOCS programming for all engines (Peter Antoine)
- robustify/clean up vlv/chv irq handler (Ville)
- split gen8+ irq handlers into ack/handle phase (Ville)
- tons of bxt rpm fixes (mostly around firmware interactions), from Imre
- hook up panel fitting for dsi panels (Ville)
- more runtime PM fixes all over from Imre
- shrinker polish (Chris)
- more guc fixes from Alex Dai and Dave Gordon
- tons of bugfixes and small polish all over (but with a big focus on bxt)

----------------------------------------------------------------
Akash Goel (3):
      drm/i915: Macros to convert PM time interval values to microseconds
      drm/i915: Correct the i915_frequency_info debugfs output
      drm/i915/bxt: Explicitly clear the Turbo control register

Alex Dai (1):
      drm/i915/guc: drop cached copy of 'wq_head'

Chris Wilson (23):
      drm/i915/userptr: Flush cancellations before mmu-notifier invalidate returns
      drm/i915/userptr: Hold mmref whilst calling get-user-pages
      drm/i915/userptr: Store i915 backpointer for i915_mm_struct
      drm/i915: Force clean compilation with -Werror
      drm/i915: Disentangle i915_drv.h includes
      drm/i915: Add GEM debugging Kconfig option
      drm/i915: Hide the atomic_read(reset_counter) behind a helper
      drm/i915: Simplify checking of GPU reset_counter in display pageflips
      drm/i915: Tighten reset_counter for reset status
      drm/i915: Store the reset counter when constructing a request
      drm/i915: Simplify reset_counter handling during atomic modesetting
      drm/i915: Prevent leaking of -EIO from i915_wait_request()
      drm/i915: Suppress error message when GPU resets are disabled
      drm/i915: Prevent machine death on Ivybridge context switching
      drm/i915: Force ringbuffers to not be at offset 0
      drm/i915: Move the mb() following release-mmap into release-mmap
      drm/i915: Split out !RCS legacy context switching
      drm/i915: Reorganise legacy context switch to cope with late failure
      drm/i915: Late request cancellations are harmful
      drm/i915: Avoid stalling on pending flips for legacy cursor updates
      drm/i915/shrinker: Only report objects with extra pinned pages as pinned
      drm/i915/shrinker: Report "unevictable" pages
      drm/i915/shrinker: Only shmemfs objects are backed by swap

Daniel Vetter (1):
      drm/i915: Update DRIVER_DATE to 20160425

Dave Gordon (3):
      drm/i915/guc: keep GuC doorbell & process descriptor mapped in kernel
      drm/i915/guc: local optimisations and updating comments
      drm/i915: check for ERR_PTR from i915_gem_object_pin_map()

Dongwon Kim (1):
      drm/i915/bxt: PORT_PLL_REF_SEL bit should be set for all BXT variations

Gustavo Padovan (1):
      drm/i915: use drm_crtc_send_vblank_event()

Imre Deak (29):
      drm/i915/bxt: Reject DMC firmware versions with known bugs
      drm/i915/bxt: Fix GRC code register field definitions
      drm/i915/bxt: Add a note about BXT_PORT_CL1CM_DW30 being read-only
      drm/i915/gen9: Reset secondary power well requests left on by DMC/KVMR
      drm/i915/gen9: Make power well disabling synchronous
      drm/i915/gen9: Fix DMC/DC state asserts
      drm/i915/bxt: Suspend power domains during suspend-to-idle
      drm/i915/skl: Unexport skl_pw1_misc_io_init
      drm/i915/bxt: Pass drm_i915_private to DDI PHY, CDCLK helpers
      drm/i915/bxt: Power down DDI PHYs separately during the per PHY uninit
      drm/i915/bxt: Don't toggle power well 1 on-demand
      drm/i915/bxt: Sanitize the DBUF HW state together with CDCLK
      drm/i915/bxt: Don't reprogram an already enabled DDI PHY
      drm/i915/bxt: Add HW state verification for DDI PHY and CDCLK
      Revert "drm/i915/bxt: Disable power well support"
      drm/i915/bxt: Enable runtime PM
      drm/i915/kbl: Reset secondary power well requests left on by DMC/KVMR
      drm/i915: Fix error path in i915_drm_resume_early
      drm/i915: Fix system resume if PCI device remained enabled
      drm/i915/ddi: Fix eDP VDD handling during booting and suspend/resume
      drm/i915/gen9: Fix runtime PM refcounting in case DMC firmware isn't loaded
      drm/i915/kbl: Don't WARN for expected secondary MISC IO power well request
      drm/i915: Inline intel_suspend_complete
      drm/i915/bxt: Don't uninit/init display core twice during system suspend/resume
      drm/i915/bxt: Sanitize DC state tracking during system resume
      drm/i915/bxt: Enable DC5 during runtime resume
      drm/i915/bxt: Use PHY0 GRC value for HW state verification
      drm/i915/bxt: Wait for PHY1 GRC done if PHY0 was already enabled
      drm/i915/bxt: Force reprogramming a PHY with invalid HW state

Jani Nikula (3):
      drm/i915: add INTEL_GEN() helper shorthand for INTEL_INFO()->gen
      drm/i915/opregion: remove unnecessary ifdefs on CONFIG_ACPI
      drm/i915/dsi: fix CHV dsi encoder hardware state readout on port C

Joonas Lahtinen (1):
      drm/i915: Clean up PCI config register handling

Matthew Auld (2):
      drm/i915: call kunmap_px on pt_vaddr
      drm/i915: use dev_priv directly in gen8_ppgtt_notify_vgt

Michał Winiarski (1):
      drm/i915: Adjust size of PIPE_CONTROL used for gen8 render seqno write

Mika Kahola (1):
      drm/i915: Fix eDP low vswing for Broadwell

Mika Kuoppala (5):
      drm/i915/skl: Fix rc6 based gpu/system hang
      drm/i915/skl: Fix spurious gpu hang with gt3/gt4 revs
      drm/i915: Don't program eLLC IDI hash mask for gen9+
      drm/i915: Store and use edram capabilities
      drm/i915: Calculate edram size

Peter Antoine (1):
      drm/i915/mocs: Program MOCS for all engines on init

Shashank Sharma (1):
      drm/i915: Fake HDMI live status

Shubhangi Shrivastava (1):
      drm/i915: Fixing eDP detection on certain platforms

Tim Gore (1):
      drm/i915/gen9: implement WaEnableSamplerGPGPUPreemptionSupport

Tvrtko Ursulin (12):
      drm/i915: Use consistent forcewake auto-release timeout across kernel configs
      drm/i915: Simplify for_each_fw_domain iterators
      drm/i915: Do not serialize forcewake acquire across domains
      drm/i915: Extract knowledge of register forcewake domains
      drm/i915: Remove forcewake request registers from the shadowed table
      drm/i915: Only grab correct forcewake for the engine with execlists
      drm/i915: Split execlists hardware status page initialisation from setup
      drm/i915: Use new i915_gem_object_pin_map for LRC
      drm/i915: Show pin mapped status in describe_obj
      drm/i915: Show pin mapped counts and sizes in debugfs
      drm/i915/gen8+: Do not enable DPF interrupt since the handler does not exist
      drm/i915: Remove a couple pointless WARN_ONs

Ville Syrjälä (50):
      drm/i915: Protect force_bit with gmbus_mutex
      drm/i915: Restore GMBUS operation after a failed bit-banging fallback
      drm/i915: Make GMBUS timeout message DRM_DEBUG_KMS
      drm/i915: Reject panel_type > 0xf from VBT
      drm/i915: Replace the static panel_type variable with dev_priv->vbt.panel_type
      drm/i915: Get panel_type from OpRegion panel details
      drm/i915: Try to shut up more ILK underruns
      drm/i915: Make sure LP1+ watermarks levels are preserved when going from 1 to 2 pipes
      drm/i915: Replace ILK eDP underrun suppression with something better
      drm/i915: Remove "VLV magic" from irq setup
      drm/i915: Fix up vlv/chv display irq setup
      drm/i915: Skip display irq setup if display irqs aren't flagged as enabled
      drm/i915: Move vlv/chv display irq code to a more logical place
      drm/i915: Clear display interrupt before enabling when turning on the power well
      drm/i915: Use GEN5_IRQ_INIT() in vlv_display_irq_postinstall()
      drm/i915: Warn if irq_mask isn't ~0 during vlv/cvh display irq postinstall
      drm/i915: Move vlv_init_display_clock_gating() to the display power well
      drm/i915: Move DPINVGTT setup to vlv_display_irq_reset()
      Revert "drm/i915: Limit the auto arming of mmio debugs on vlv/chv"
      drm/i915: Change lfsr_converts[] to u16
      drm/i915: Power down the DSI PLL before reconfiguring it
      drm/i915: Fix CHV DSI PLL refclk during state readout
      drm/i915: Dump pfit PGM_RATIOS as hex
      drm/i915: Don't read out port_clock on CHV when DPLL is disabled
      drm/i915: Ignore GTFIFODBG FIFO free entry fields on CHV
      drm/i915: Use GEN8_MASTER_IRQ_CONTROL consistently
      drm/i915: Set up VLV_MASTER_IER consistently
      drm/i915: Clear VLV_IIR after PIPESTAT
      drm/i915: Clear VLV_MASTER_IER around irq processing
      drm/i915: Clear VLV_IER around irq processing
      drm/i915: Eliminate loop from VLV irq handler
      drm/i915: Move variables to narrower scope in VLV/CHV irq handlers
      drm/i915: Split PORT_HOTPLUG_STAT ack out from i9xx_hpd_irq_handler()
      drm/i915: Split VLV/CVH PIPESTAT handling into ack+handler
      drm/i915: Move gt/pm irq handling out from irq disabled section on VLV
      drm/i915: Eliminate passing dev+dev_priv to {snb,ilk}_gt_irq_handler()
      drm/i915: Split gen8_gt_irq_handler into ack+handle
      drm/i915: Use fw_domains_put_with_fifo() on HSW
      drm/i915: Setup DPLL/DPLLMD for DSI too on VLV/CHV
      drm/i915: Compute DSI PLL parameters during .compute_config()
      drm/i915: Eliminate {vlv,bxt}_configure_dsi_pll()
      drm/i915: Hook up pfit for DSI
      drm/i915: Reject 'Center' scaling mode for eDP/DSI on GMCH platforms
      drm/i915: Replace nondescript 'WARN_ON(!lret)' with a sensible error message
      drm/i915: Fix oops in vlv_force_pll_on()
      drm/i915: Set .domains=POWER_DOMAIN_MASK for the always-on well
      drm/i915: Define VLV/CHV display power well domains properly
      drm/i915: Define HSW/BDW display power domains the right way up
      drm/i915: Wait for power cycle delay after turning off DSI panel power
      drm/i915: Make RPS EI/thresholds multiple of 25 on SNB-BDW

jim.bride@linux.intel.com (1):
      drm/i915/dp/mst: Fix MST logic in intel_dp_long_pulse()

 drivers/gpu/drm/i915/Kconfig.debug         |  29 ++
 drivers/gpu/drm/i915/Makefile              |   2 +
 drivers/gpu/drm/i915/i915_debugfs.c        | 104 ++++--
 drivers/gpu/drm/i915/i915_dma.c            |  32 +-
 drivers/gpu/drm/i915/i915_drv.c            | 193 ++++++-----
 drivers/gpu/drm/i915/i915_drv.h            | 126 ++++---
 drivers/gpu/drm/i915/i915_gem.c            | 197 +++++------
 drivers/gpu/drm/i915/i915_gem.h            |  34 ++
 drivers/gpu/drm/i915/i915_gem_context.c    | 228 +++++++------
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  15 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  10 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c   |  33 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |  14 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c    |  90 +++--
 drivers/gpu/drm/i915/i915_guc_submission.c | 120 +++----
 drivers/gpu/drm/i915/i915_irq.c            | 523 +++++++++++++++--------------
 drivers/gpu/drm/i915/i915_reg.h            |  74 ++--
 drivers/gpu/drm/i915/intel_bios.c          |  32 +-
 drivers/gpu/drm/i915/intel_csr.c           |  64 +++-
 drivers/gpu/drm/i915/intel_ddi.c           | 234 +++++++++++--
 drivers/gpu/drm/i915/intel_display.c       | 309 +++++++++--------
 drivers/gpu/drm/i915/intel_dp.c            |  86 ++---
 drivers/gpu/drm/i915/intel_dpll_mgr.c      |  17 +-
 drivers/gpu/drm/i915/intel_drv.h           |  25 +-
 drivers/gpu/drm/i915/intel_dsi.c           | 135 ++++++--
 drivers/gpu/drm/i915/intel_dsi.h           |  14 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c       | 156 ++++-----
 drivers/gpu/drm/i915/intel_guc.h           |  29 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  12 +-
 drivers/gpu/drm/i915/intel_i2c.c           |  19 +-
 drivers/gpu/drm/i915/intel_lrc.c           | 176 +++++-----
 drivers/gpu/drm/i915/intel_lrc.h           |  10 +-
 drivers/gpu/drm/i915/intel_mocs.c          | 155 ++++++---
 drivers/gpu/drm/i915/intel_mocs.h          |   2 +
 drivers/gpu/drm/i915/intel_opregion.c      |  58 ++--
 drivers/gpu/drm/i915/intel_overlay.c       |   8 +-
 drivers/gpu/drm/i915/intel_panel.c         |   4 +-
 drivers/gpu/drm/i915/intel_pm.c            |  51 +--
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  51 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   1 +
 drivers/gpu/drm/i915/intel_runtime_pm.c    | 370 +++++++++++++-------
 drivers/gpu/drm/i915/intel_uncore.c        | 500 ++++++++++++++++++---------
 42 files changed, 2689 insertions(+), 1653 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2016-04-21  9:26 Daniel Vetter
@ 2016-04-21 13:32 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-04-21 13:32 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Thu, Apr 21, 2016 at 11:26:49AM +0200, Daniel Vetter wrote:
> Hi Dave,
> 
> drm-intel-next-2016-04-11:
> - make modeset hw state checker atomic aware (Maarten)
> - close races in gpu stuck detection/seqno reading (Chris)
> - tons&tons of small improvements from Chris Wilson all over the gem code
> - more dsi/bxt work from Ramalingam&Jani
> - macro polish from Joonas
> - guc fw loading fixes (Arun&Dave)
> - vmap notifier (acked by Andrew) + i915 support by Chris Wilson
> - create bottom half for execlist irq processing (Chris Wilson)
> - vlv/chv pll cleanup (Ville)
> - rework DP detection, especially sink detection (Shubhangi Shrivastava)
> - make color manager support fully atomic (Maarten)
> - avoid livelock on chv in execlist irq handler (Chris)

Gustavo pointed out that there's piles of stuff from Linus' tree in here.
I forgot to add: contains backmerge, because Chris needed to get at the
core mm notifier for vmap space. As usual the backmerge has it all
explained, simply missed to give you a changelog for just the non-upstream
changes in here.
-Daniel

> 
> Cheers, Daniel
> 
> 
> The following changes since commit 9735a22799b9214d17d3c231fe377fc852f042e9:
> 
>   Linux 4.6-rc2 (2016-04-03 09:09:40 -0500)
> 
> are available in the git repository at:
> 
>   git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-04-11
> 
> for you to fetch changes up to ba3150ac3876acd082307f142597d3482107facc:
> 
>   drm/i915: Update DRIVER_DATE to 20160411 (2016-04-11 20:20:18 +0200)
> 
> ----------------------------------------------------------------
> - make modeset hw state checker atomic aware (Maarten)
> - close races in gpu stuck detection/seqno reading (Chris)
> - tons&tons of small improvements from Chris Wilson all over the gem code
> - more dsi/bxt work from Ramalingam&Jani
> - macro polish from Joonas
> - guc fw loading fixes (Arun&Dave)
> - vmap notifier (acked by Andrew) + i915 support by Chris Wilson
> - create bottom half for execlist irq processing (Chris Wilson)
> - vlv/chv pll cleanup (Ville)
> - rework DP detection, especially sink detection (Shubhangi Shrivastava)
> - make color manager support fully atomic (Maarten)
> - avoid livelock on chv in execlist irq handler (Chris)
> 
> ----------------------------------------------------------------
> Adam Buchbinder (1):
>       MIPS: Fix misspellings in comments.
> 
> Adrian Hunter (2):
>       mmc: sdhci: Fix regression setting power on Trats2 board
>       mmc: sdhci-pci: Add support and PCI IDs for more Broxton host controllers
> 
> Akash Goel (1):
>       drm/i915: Fixup the free space logic in ring_prepare
> 
> Akinobu Mita (1):
>       spi: omap2-mcspi: fix dma transfer for vmalloced buffer
> 
> Alban Bedel (3):
>       MIPS: zboot: Fix the build with XZ compression on older GCC versions
>       MIPS: zboot: Remove copied source files on clean
>       MIPS: ath79: Fix the ar913x reference clock rate
> 
> Alex Deucher (4):
>       drm/amdgpu/gmc: move vram type fetching into sw_init
>       drm/amdgpu/gmc: use proper register for vram type on Fiji
>       drm/amdgpu: print vram type rather than just DDR
>       drm/ttm: use phys_addr_t for ttm_bus_placement
> 
> Alexander Duyck (3):
>       e1000: Do not overestimate descriptor counts in Tx pre-check
>       e1000: Double Tx descriptors needed check for 82544
>       GRE: Disable segmentation offloads w/ CSUM and we are encapsulated via FOU
> 
> Alexandre Courbot (1):
>       drm/nouveau/tegra: acquire and enable reference clock if needed
> 
> Alexey Brodkin (1):
>       drm: ARM HDLCD - get rid of devm_clk_put()
> 
> Antony Pavlov (2):
>       dt-bindings: clock: qca,ath79-pll: fix copy-paste typos
>       MIPS: dts: qca: ar9132_tl_wr1043nd_v1.dts: use "ref" for reference clock name
> 
> Arik Nemtsov (3):
>       mac80211: TDLS: always downgrade invalid chandefs
>       mac80211: TDLS: change BW calculation for WIDER_BW peers
>       mac80211: recalc min_def chanctx even when chandef is identical
> 
> Arnd Bergmann (6):
>       aacraid: add missing curly braces
>       usb: phy: qcom-8x16: fix regulator API abuse
>       iio: st_magn: always define ST_MAGN_TRIGGER_SET_STATE
>       iommu: provide of_xlate pointer unconditionally
>       IB/mlx5: fix VFs callback function prototypes
>       i40iw: avoid potential uninitialized variable use
> 
> Arun Siluvery (1):
>       drm/i915/guc: reset GuC and retry on firmware load failure
> 
> Bart Van Assche (3):
>       scsi: Declare local symbols static
>       scsi_dh_alua: Fix a recently introduced deadlock
>       Revert "ib_srpt: Convert to percpu_ida tag allocation"
> 
> Bastien Philbert (1):
>       bridge: Fix incorrect variable assignment on error path in br_sysfs_addbr
> 
> Ben Greear (1):
>       mac80211: ensure no limits on station rhashtable
> 
> Ben Hutchings (1):
>       i2c: mux: demux-pinctrl: Clean up sysfs attributes
> 
> Bjorn Helgaas (1):
>       Revert "netpoll: Fix extra refcount release in netpoll_cleanup()"
> 
> Bjørn Mork (2):
>       drm/i915: fix deadlock on lid open
>       USB: option: add "D-Link DWM-221 B1" device id
> 
> Boris Ostrovsky (3):
>       xen/apic: Provide Xen-specific version of cpu_present_to_apicid APIC op
>       xen/x86: Call cpu_startup_entry(CPUHP_AP_ONLINE_IDLE) from xen_play_dead()
>       xen/events: Mask a moving irq
> 
> Calvin Owens (1):
>       mpt3sas: Don't overreach ioc->reply_post[] during initialization
> 
> Chris Mason (1):
>       Merge branch 'misc-4.6' of git://git.kernel.org/.../kdave/linux into for-linus-4.6
> 
> Chris Wilson (29):
>       drm/i915: Rename __force_wake_get to __force_wake_auto
>       drm/i915: Exit cherryview_irq_handler() after one pass
>       drm/i915: Add struct_mutex locking for debugs/i915_gem_framebuffer
>       drm/i915: Show PCI power state under debugfs/i915_runtime_pm_status
>       drm/i915/ddi: Silence compiler warning for unknown output type
>       drm/i915/shrinker: Account for unshrinkable unbound pages
>       mm/vmap: Add a notifier for when we run out of vmap address space
>       drm/i915/shrinker: Hook up vmap allocation failure notifier
>       drm/i915/shrinker: Refactor common uninterruptible locking
>       drm/i915: Include engine->last_submitted_seqno in GPU error state
>       drm/i915: On GPU reset, set the HWS breadcrumb to the last seqno
>       drm/i915: Remove unneeded drm_device pointer from intel_ring_init_seqno()
>       drm/i915: Move the hw semaphore initialisation from GEM to the engine
>       drm/i915: Refactor gen8 semaphore offset calculation
>       drm/i915: Reset semaphore page for gen8
>       drm/i915: Reset engine->last_submitted_seqno
>       drm/i915: Apply a mb between emitting the request and hangcheck
>       drm/i915: Simplify check for idleness in hangcheck
>       drm/i915: Remove forcewake dance from seqno/irq barrier on legacy gen6+
>       drm/i915: Separate out the seqno-barrier from engine->get_seqno
>       drm/i915: Harden detection of missed interrupts
>       drm/i915: Use simplest form for flushing the single cacheline in the HWS
>       drm/i915: Replace manual barrier() with READ_ONCE() in HWS accessor
>       drm/i915/dmabuf: Tighten struct_mutex for unmap_dma_buf
>       drm/i915: Consolidate common error handling in intel_pin_and_map_ringbuffer_obj
>       drm/i915: Refactor duplicate object vmap functions
>       drm/i915/shrinker: Restrict vmap purge to objects with vmaps
>       drm,i915: Introduce drm_malloc_gfp()
>       drm/i915: Avoid allocating a vmap arena for a single page
> 
> Christian Borntraeger (1):
>       s390/mm/kvm: fix mis-merge in gmap handling
> 
> Christian König (1):
>       drm/amdgpu: fix leaking fence in the pageflip code
> 
> Chunming Zhou (2):
>       drm/amdgpu: fence wait old rcu slot
>       drm/amdgpu: total vram size also reduces pin size
> 
> Dan Carpenter (4):
>       ext4 crypto: fix some error handling
>       drm: ARM HDLCD - fix an error code
>       usb: gadget: f_midi: unlock on error
>       iommu/vt-d: Silence an uninitialized variable warning
> 
> Dan Williams (3):
>       libnvdimm: fix smart data retrieval
>       libnvdimm, pfn: fix uuid validation
>       libnvdimm, pfn: fix nvdimm_namespace_add_poison() vs section alignment
> 
> Daniel Vetter (4):
>       drm/i915: Remove PIPE_CONF_CHECK_I_ALT
>       drm/imx: Don't set a gamma table size
>       Merge tag 'v4.6-rc3' into drm-intel-next-queued
>       drm/i915: Update DRIVER_DATE to 20160411
> 
> Dave Airlie (4):
>       Merge tag 'imx-drm-next-2016-04-01' of git://git.pengutronix.de/git/pza/linux into drm-fixes
>       Merge branch 'for-upstream/hdlcd' of git://linux-arm.org/linux-ld into drm-fixes
>       Merge branch 'linux-4.6' of git://github.com/skeggsb/linux into drm-fixes
>       Merge branch 'drm-fixes-4.6' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
> 
> Dave Gordon (1):
>       drm/i915/guc: always reset GuC before loading firmware
> 
> Dave Jones (1):
>       af_packet: tone down the Tx-ring unsupported spew.
> 
> David Disseldorp (1):
>       rbd: use GFP_NOIO consistently for request allocations
> 
> David S. Miller (3):
>       Merge branch 'master' of git://git.kernel.org/.../jkirsher/net-queue
>       Revert "bridge: Fix incorrect variable assignment on error path in br_sysfs_addbr"
>       Merge tag 'mac80211-for-davem-2016-04-06' of git://git.kernel.org/.../jberg/mac80211
> 
> David Sterba (1):
>       btrfs: fallback to vmalloc in btrfs_compare_tree
> 
> Davide Italiano (1):
>       Btrfs: Improve FL_KEEP_SIZE handling in fallocate
> 
> Dexuan Cui (1):
>       net: add the AF_KCM entries to family name tables
> 
> Dmitry Torokhov (1):
>       gpio / ACPI: ignore GpioInt() GPIOs when requesting GPIO_OUT_*
> 
> Dongwon Kim (1):
>       drm/i915/bxt: Reversed polarity of PORT_PLL_REF_SEL bit
> 
> Douglas Anderson (2):
>       drm/imx: dw_hdmi: Call drm_encoder_cleanup() in error path
>       drm/imx: dw_hdmi: Don't call platform_set_drvdata()
> 
> Emmanuel Grumbach (2):
>       mac80211: don't send deferred frames outside the SP
>       mac80211: close the SP when we enqueue frames during the SP
> 
> Felipe Balbi (3):
>       usb: dwc3: core: improve reset sequence
>       usb: dwc3: gadget: fix endpoint renaming
>       usb: gadget: udc: atmel: don't disable enpdoints we don't own
> 
> Felipe F. Tonello (2):
>       usb: gadget: f_midi: added spinlock on transmit function
>       usb: gadget: f_midi: Fixed a bug when buflen was smaller than wMaxPacketSize
> 
> Felix Fietkau (1):
>       mac80211: fix AP buffered multicast frames with queue control and txq
> 
> Filipe Manana (2):
>       btrfs: fix crash/invalid memory access on fsync when using overlayfs
>       Btrfs: fix file/data loss caused by fsync after rename and new inode
> 
> Florian Fainelli (1):
>       MIPS: BMIPS: Fix gisb-arb compatible string for 7435
> 
> Gabriel Somlo (1):
>       firmware: qemu_fw_cfg.c: hold ACPI global lock during device access
> 
> Geert Uytterhoeven (1):
>       spi: rockchip: Spelling s/divsor/divisor/
> 
> Giuseppe CAVALLARO (1):
>       stmmac: fix adjust link call in case of a switch is attached
> 
> Govindraj Raja (1):
>       pinctrl: pistachio: fix mfio84-89 function description and pinmux.
> 
> Greg Kroah-Hartman (6):
>       Merge tag 'fixes-for-v4.6-rc2' of git://git.kernel.org/.../balbi/usb into usb-linus
>       Merge tag 'iio-fixes-for-4.6a' of git://git.kernel.org/.../jic23/iio into usb-linus
>       Merge tag 'iio-fixes-for-4.6b' of git://git.kernel.org/.../jic23/iio into staging-linus
>       Revert "Staging: olpc_dcon: Remove obsolete driver"
>       Merge tag 'fixes-for-v4.6-rc3' of git://git.kernel.org/.../balbi/usb into usb-linus
>       Merge tag 'usb-serial-4.6-rc3' of git://git.kernel.org/.../johan/usb-serial into usb-linus
> 
> Grygorii Strashko (2):
>       usb: gadget: udc-core: remove manual dma configuration
>       usb: dwc3: keystone: drop dma_mask configuration
> 
> Guenter Roeck (2):
>       gpiolib: Do not use devm functions when registering gpio chip
>       gpiolib: Defer gpio device setup until after gpiolib initialization
> 
> Guoqing Jiang (1):
>       md/bitmap: clear bitmap if bitmap_create failed
> 
> Haishuang Yan (2):
>       ipv4: l2tp: fix a potential issue in l2tp_ip_recv
>       ipv6: l2tp: fix a potential issue in l2tp_ip6_recv
> 
> Hannes Reinecke (1):
>       scsi: Do not attach VPD to devices that don't support it
> 
> Hans de Goede (1):
>       pinctrl: sunxi: Fix A33 external interrupts not working
> 
> Hariprasad Shenai (1):
>       cxgb4: Add pci device id for chelsio t520-cr adapter
> 
> Harvey Hunt (1):
>       MIPS: ci20: Enable NAND and UBIFS support in defconfig.
> 
> Heikki Krogerus (1):
>       usb: dwc3: pci: add ID for one more Intel Broxton platform
> 
> Heiko Stübner (1):
>       spi: fix possible deadlock between internal bus locks and bus_lock_flag
> 
> Helge Deller (5):
>       parisc: Handle R_PARISC_PCREL32 relocations in kernel modules
>       parisc: Avoid function pointers for kernel exception routines
>       parisc: Fix kernel crash with reversed copy_from_user()
>       parisc: Unbreak handling exceptions from kernel modules
>       parisc: Update comment regarding relative extable support
> 
> Ignat Korchagin (1):
>       USB: usbip: fix potential out-of-bounds write
> 
> Ilan Peer (1):
>       mac80211: Fix BW upgrade for TDLS peers
> 
> Irina Tirdea (4):
>       iio: fix config watermark initial value
>       iio: accel: bmc150: fix endianness when reading axes
>       iio: gyro: bmg160: fix endianness when reading axes
>       iio: gyro: bmg160: fix buffer read values
> 
> Jaegeuk Kim (2):
>       f2fs: cover large section in sanity check of super
>       f2fs crypto: fix corrupted symlink in encrypted case
> 
> Jakub Sitnicki (1):
>       ipv6: Count in extension headers in skb->network_header
> 
> James Bottomley (1):
>       Merge branch 'fixes-base' into fixes
> 
> James Hogan (1):
>       MIPS: cpu_name_string: Use raw_smp_processor_id().
> 
> James Morse (1):
>       arm64: KVM: Register CPU notifiers when the kernel runs at HYP
> 
> Jan Kara (3):
>       quota: Handle Q_GETNEXTQUOTA when quota is disabled
>       ocfs2: Fix Q_GETNEXTQUOTA for filesystem without quotas
>       ext4: retry block allocation for failed DIO and DAX writes
> 
> Jani Nikula (7):
>       drm/i915: use for_each_port_masked in bxt phy init for clarity
>       drm/i915/dsi: refer to gpio index instead of gpio to avoid confusion
>       drm/i915/dsi: add support for DSI sequence block v2 gpio element
>       drm/i915/chv: add more IOSF port definitions
>       drm/i915/dsi: clean up vlv gpio table and definitions
>       drm/i915/dsi: abstract VLV gpio element execution to a separate function
>       drm/i915/dsi: use a temp variable for referencing the gpio table
> 
> Jason Wang (1):
>       tuntap: restore default qdisc
> 
> Jeff Mahoney (1):
>       mac80211: fix "warning: ‘target_metric’ may be used uninitialized"
> 
> Jesse Brandeburg (1):
>       i40e: fix errant PCIe bandwidth message
> 
> Jiebing Li (1):
>       usb: dwc3: gadget: release spin lock during gadget resume
> 
> Jiri Benc (1):
>       MAINTAINERS: intel-wired-lan list is moderated
> 
> Joe Perches (1):
>       orangefs: Add KERN_<LEVEL> to gossip_<level> macros
> 
> Joerg Roedel (1):
>       iommu: Don't overwrite domain pointer when there is no default_domain
> 
> Johannes Berg (1):
>       mac80211: properly deal with station hashtable insert errors
> 
> John Keeping (1):
>       iommu/rockchip: Fix "is stall active" check
> 
> John Youn (2):
>       usb: gadget: composite: Access SSP Dev Cap fields properly
>       usb: ch9: Fix SSP Device Cap wFunctionalitySupport type
> 
> Joonas Lahtinen (6):
>       drm/i915: Rename GGTT init functions
>       drm/i915: Refer to GGTT {,VM} consistently
>       Revert "drm/i915: Fix races on fbdev"
>       drm/i915: Use i915_vm_to_ppgtt instead of manual container_of
>       drm/i915: Do not WARN_ON in i915_vm_to_ppgtt
>       drm/i915: Do not use {HAS_*, IS_*, INTEL_INFO}(dev_priv->dev)
> 
> Jorgen Hansen (1):
>       VSOCK: Detach QP check should filter out non matching QPs.
> 
> Josef Bacik (1):
>       Btrfs: don't use src fd for printk
> 
> Josh Boyer (1):
>       USB: serial: ftdi_sio: Add support for ICP DAS I-756xU devices
> 
> Kirill A. Shutemov (3):
>       mm, fs: get rid of PAGE_CACHE_* and page_cache_{get,release} macros
>       mm, fs: remove remaining PAGE_CACHE_* and page_cache_{get,release} usage
>       mm: drop PAGE_CACHE_* and page_cache_{get,release} definition
> 
> Knut Wohlrab (1):
>       spi: imx: only do necessary changes to ECSPIx_CONFIGREG
> 
> Konrad Rzeszutek Wilk (2):
>       Merge tag 'v4.6-rc1' into for-linus-4.6
>       MAINTAINERS: xen: Konrad to step down and Juergen to pick up
> 
> Krzysztof Kozlowski (3):
>       iio: adc: Fix build error of missing devm_ioremap_resource on UM
>       MAINTAINERS: pinctrl: samsung: Add two new maintainers
>       PM / runtime: Document steps for device removal
> 
> Kumar, Mahesh (1):
>       drm/i915/skl+: Use plane size for relative data rate calculation
> 
> Len Brown (9):
>       intel_idle: Add SKX support
>       intel_idle: Add KBL support
>       tools/power turbostat: SGX state should print only if --debug
>       tools/power turbostat: print IRTL MSRs
>       tools/power turbostat: initial BXT support
>       tools/power turbostat: decode BXT TSC frequency via CPUID
>       tools/power turbostat: initial SKX support
>       tools/power turbostat: initial KBL support
>       tools/power turbostat: work around RC6 counter wrap
> 
> Leo Liu (2):
>       drm/amdgpu: save and restore UVD context with suspend and resume
>       drm/amdgpu: save and restore the firwmware cache part when suspend resume
> 
> Linus Torvalds (35):
>       Merge branch 'PAGE_CACHE_SIZE-removal'
>       gma500: remove annoying deprecation warning
>       Merge tag 'f2fs-for-linus' of git://git.kernel.org/.../jaegeuk/f2fs
>       Merge branch 'for_linus' of git://git.kernel.org/.../jack/linux-fs
>       Merge tag 'for-linus-4.6-rc2-tag' of git://git.kernel.org/.../xen/tip
>       Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
>       Merge tag 'linux-kselftest-4.6-rc3' of git://git.kernel.org/.../shuah/linux-kselftest
>       Merge tag 'media/v4.6-3' of git://git.kernel.org/.../mchehab/linux-media
>       Merge tag 'pinctrl-v4.6-2' of git://git.kernel.org/.../linusw/linux-pinctrl
>       Merge tag 'spi-fix-v4.6-rc2' of git://git.kernel.org/.../broonie/spi
>       Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
>       Merge tag 'for-linus' of git://git.kernel.org/.../dledford/rdma
>       x86: remove the kernel code/data/bss resources from /proc/iomem
>       Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
>       Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
>       Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
>       Merge tag 'ext4_for_linus_stable' of git://git.kernel.org/.../tytso/ext4
>       Merge tag 'iommu-fixes-v4.6-rc2' of git://git.kernel.org/.../joro/iommu
>       Merge tag 'for-linus-4.6-ofs1' of git://git.kernel.org/.../hubcap/linux
>       Merge branch 'for-linus-4.6' of git://git.kernel.org/.../mason/linux-btrfs
>       Merge git://git.kernel.org/.../davem/net
>       Merge tag 'pm+acpi-4.6-rc3' of git://git.kernel.org/.../rafael/linux-pm
>       Merge tag 'md/4.6-rc2-fix' of git://git.kernel.org/.../shli/md
>       Merge tag 'scsi-fixes' of git://git.kernel.org/.../jejb/scsi
>       Merge tag 'staging-4.6-rc3' of git://git.kernel.org/.../gregkh/staging
>       Merge tag 'usb-4.6-rc3' of git://git.kernel.org/.../gregkh/usb
>       Merge tag 'tty-4.6-rc3' of git://git.kernel.org/.../gregkh/tty
>       Merge tag 'gpio-v4.6-3' of git://git.kernel.org/.../linusw/linux-gpio
>       Merge branch 'libnvdimm-fixes' of git://git.kernel.org/.../nvdimm/nvdimm
>       Merge branch 'parisc-4.6-3' of git://git.kernel.org/.../deller/parisc-linux
>       Revert "ext4: allow readdir()'s of large empty directories to be interrupted"
>       Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
>       Merge tag 'mmc-v4.6-rc1' of git://git.linaro.org/people/ulf.hansson/mmc
>       Merge branch 'fixes' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
>       Linux 4.6-rc3
> 
> Linus Walleij (2):
>       pinctrl: nomadik: fix pull debug print inversion
>       Revert "Revert "pinctrl: lantiq: Implement gpio_chip.to_irq""
> 
> Liu Bo (1):
>       Btrfs: fix invalid reference in replace_path
> 
> Liu Ying (4):
>       gpu: ipu-v3: ipu-dmfc: Protect function ipu_dmfc_init_channel() with mutex
>       gpu: ipu-v3: ipu-dmfc: Make function ipu_dmfc_init_channel() return void
>       gpu: ipu-v3: ipu-dmfc: Rename ipu_dmfc_init_channel to ipu_dmfc_config_wait4eot
>       drm/imx: ipuv3-plane: Configure DMFC wait4eot bit after slots are determined
> 
> Luis de Bethencourt (2):
>       mac80211: add doc for RX_FLAG_DUP_VALIDATED flag
>       mac80211: remove description of dropped member
> 
> Luiz Capitulino (1):
>       kvm: x86: make lapic hrtimer pinned
> 
> Maarten Lankhorst (7):
>       drm/i915: Add locking to pll updates, v3.
>       drm/i915: Pass crtc_state to color management functions.
>       drm/i915: Do not check crtc_state->active in intel_color_load_luts.
>       drm/i915: Update color management during vblank evasion.
>       drm/i915: Make modeset state verifier take crtc as argument.
>       drm/i915: Move modeset state verifier calls.
>       drm/i915: Rename hw state checker to hw state verifier.
> 
> Maciej W. Rozycki (2):
>       MIPS: traps: Correct the SIGTRAP debug ABI in `do_watch' and `do_trap_or_bp'
>       MIPS: traps.c: Verify the ISA for microMIPS RDHWR emulation
> 
> Manoj N. Kumar (2):
>       cxlflash: Fix regression issue with re-ordering patch
>       cxlflash: Move to exponential back-off when cmd_room is not available
> 
> Manuel Lauss (1):
>       pcmcia: db1xxx_ss: fix last irq_to_gpio user
> 
> Marcelo Ricardo Leitner (2):
>       sctp: flush if we can't fit another DATA chunk
>       sctp: use list_* in sctp_list_dequeue
> 
> Mark Brown (3):
>       Merge remote-tracking branch 'spi/fix/core' into spi-linus
>       Merge remote-tracking branch 'spi/fix/imx' into spi-linus
>       Merge remote-tracking branches 'spi/fix/omap2' and 'spi/fix/rockchip' into spi-linus
> 
> Mark Fasheh (2):
>       btrfs: handle non-fatal errors in btrfs_qgroup_inherit()
>       btrfs: Add qgroup tracing
> 
> Markus Böhme (1):
>       staging/rdma/hfi1: select CRC32
> 
> Martin Brandenburg (3):
>       orangefs: clean up truncate ctime and mtime setting
>       orangefs: strncpy -> strscpy
>       orangefs: remove unused variable
> 
> Martin K. Petersen (1):
>       sd: Fix excessive capacity printing on devices with blocks bigger than 512 bytes
> 
> Martyn Welch (1):
>       USB: serial: cp210x: Adding GE Healthcare Device ID
> 
> Mathias Nyman (1):
>       usb: fix regression in SuperSpeed endpoint descriptor parsing
> 
> Matt Ranostay (2):
>       iio: light: apds9960: correct FIFO check condition
>       iio: health: max30100: correct FIFO check condition
> 
> Matt Roper (1):
>       drm/i915/bxt: Set max cdclk frequency properly
> 
> Matthew Auld (1):
>       drm/i915: BUG_ON when ggtt_view is NULL
> 
> Matthew McClintock (3):
>       pinctrl: qcom: ipq4019: set ngpios to correct value
>       pinctrl: qcom: ipq4019: fix the function enum for gpio mode
>       pinctrl: qcom: ipq4019: fix register offsets
> 
> Mauro Carvalho Chehab (5):
>       [media] au0828: disable tuner links and cache tuner/decoder
>       [media] v4l2-mc: cleanup a warning
>       [media] au0828: Fix dev_state handling
>       [media] Revert "[media] sound/usb: Use Media Controller API to share media resources"
>       [media] Revert "[media] media: au0828 change to use Managed Media Controller API"
> 
> Michael S. Tsirkin (3):
>       qemu_fw_cfg: don't leak kobj on init error
>       virtio: virtio 1.0 cs04 spec compliance for reset
>       MAINTAINERS: add entry for QEMU
> 
> Michel Dänzer (3):
>       drm/radeon: Set vblank_disable_allowed = true
>       drm/amdgpu: Set vblank_disable_allowed = true
>       drm/radeon: Only call drm_vblank_on/off between drm_vblank_init/cleanup
> 
> Mickaël Salaün (2):
>       selftest/seccomp: Fix the flag name SECCOMP_FILTER_FLAG_TSYNC
>       selftest/seccomp: Fix the seccomp(2) signature
> 
> Mike Marshall (2):
>       Orangefs: xattr.c cleanup
>       Orangefs: optimize boilerplate code.
> 
> Miklos Szeredi (4):
>       fs: add file_dentry()
>       nfs: use file_dentry()
>       ext4: use dget_parent() in ext4_file_open()
>       ext4: use file_dentry()
> 
> Naveen N. Rao (7):
>       samples/bpf: Fix build breakage with map_perf_test_user.c
>       samples/bpf: Use llc in PATH, rather than a hardcoded value
>       samples/bpf: Enable powerpc support
>       lib/test_bpf: Fix JMP_JSET tests
>       lib/test_bpf: Add tests for unsigned BPF_JGT
>       lib/test_bpf: Add test to check for result of 32-bit add that overflows
>       lib/test_bpf: Add additional BPF_ADD tests
> 
> Nicolas Pitre (1):
>       ARM: 8550/1: protect idiv patching against undefined gcc behavior
> 
> Oliver Neukum (3):
>       USB: mct_u232: add sanity checking in probe
>       USB: cypress_m8: add endpoint sanity check
>       USB: digi_acceleport: do sanity checking for the number of ports
> 
> Paolo Bonzini (4):
>       Merge tag 'kvm-arm-for-4.6-rc2' of git://git.kernel.org/.../kvmarm/kvmarm into HEAD
>       KVM: Hyper-V: do not do hypercall userspace exits if SynIC is disabled
>       KVM: x86: reduce default value of halt_poll_ns parameter
>       compiler-gcc: disable -ftracer for __noclone functions
> 
> Paul Burton (3):
>       MIPS: Fix MSA ld unaligned failure cases
>       MIPS: tlb-r4k: panic if the MMU doesn't support PAGE_SIZE
>       MIPS: Bail on unsupported module relocs
> 
> Peter Hurley (2):
>       tty: Fix UML console breakage
>       tty: Fix merge of "tty: Refactor tty_open()"
> 
> Philipp Zabel (3):
>       gpu: ipu-cpmem: modify ipu_cpmem_set_yuv_planar_full for better control
>       drm/imx: ipuv3-plane: Add more thorough checks for plane parameter limitations
>       drm/imx: ipuv3-plane: fix planar YUV 4:2:0 support
> 
> Przemek Rudy (1):
>       usb: dwc2: do not override forced dr_mode in gadget setup
> 
> Qais Yousef (1):
>       MIPS: Fix broken malta qemu
> 
> Qi Zheng (1):
>       pinctrl: intel: implement gpio_irq_enable
> 
> Qipeng Zha (1):
>       pinctrl: intel: make the high level interrupt working
> 
> Qu Wenruo (1):
>       btrfs: Output more info for enospc_debug mount option
> 
> Rafael J. Wysocki (4):
>       intel_pstate: Do not set utilization update hook too early
>       intel_pstate: Avoid extra invocation of intel_pstate_sample()
>       Merge branches 'pm-cpufreq', 'pm-cpuidle' and 'acpi-cppc'
>       Merge branches 'pm-core', 'powercap' and 'pm-tools'
> 
> Ralf Baechle (2):
>       MIPS: Wire up preadv2 and pwrite2 syscalls.
>       FIRMWARE: Broadcom: Fix grammar of warning messages in bcm47xx_sprom.c.
> 
> Ramalingam C (2):
>       drm/i915: Sharing the pixel_format_from_vbt to whole i915
>       drm/i915/BXT: Get pipe conf from the port registers
> 
> Rex Zhu (9):
>       drm/amd/powerplay: fix segment fault issue in multi-display case.
>       drm/amdgpu: add an cgs interface to notify amdgpu the dpm state.
>       drm/amdgpu: Not support disable dpm in powerplay.
>       drm/amd/powerplay: notify amdgpu whether dpm is enabled or not.
>       drm/amdgpu: check dpm state before pm system fs initialized.
>       drm/amd/powerplay: add new Fiji function for not setting same ps.
>       drm/amd/powerplay: Need to change boot to performance state in resume.
>       drm/amd/powerplay: fix issue that resume back, dpm can't work on FIJI.
>       drm/amd/powerplay: add uvd/vce dpm enabling flag default.
> 
> Richard Cochran (10):
>       intel_idle: remove useless return from void function.
>       intel_idle: Fix a helper function's return value.
>       intel_idle: Remove redundant initialization calls.
>       intel_idle: Fix deallocation order on the driver exit path.
>       intel_idle: Fix dangling registration on error path.
>       intel_idle: Avoid a double free of the per-CPU data.
>       intel_idle: Setup the timer broadcast only on successful driver load.
>       intel_idle: Don't overreact to a cpuidle registration failure.
>       intel_idle: Propagate hot plug errors.
>       intel_idle: Clean up all registered devices on exit.
> 
> Richard Weinberger (1):
>       iio: imu: Fix inv_mpu6050 dependencies
> 
> Robert Jarzmik (1):
>       gpio: pxa: fix legacy non pinctrl aware builds
> 
> Robin Murphy (1):
>       iommu/dma: Restore scatterlist offsets correctly
> 
> Rodrigo Vivi (1):
>       drm/i915/kbl: Remove preliminary_hw_support protection from KBL.
> 
> Roopa Prabhu (1):
>       mpls: find_outdev: check for err ptr in addition to NULL check
> 
> Russell King (2):
>       ARM: SMP enable of cache maintanence broadcast
>       ARM: wire up preadv2 and pwritev2 syscalls
> 
> Sascha Hauer (1):
>       spi: imx: Fix possible NULL pointer deref
> 
> Shanker Donthineni (1):
>       mailbox: pcc: Don't access an unmapped memory address space
> 
> Shaohua Li (1):
>       MD: add rdev reference for super write
> 
> Shawn Lin (1):
>       spi: rockchip: fix probe deferral handling
> 
> Shuah Khan (2):
>       [media] media: au0828 fix to clear enable/disable/change source handlers
>       [media] au0828: fix au0828_v4l2_close() dev_state race condition
> 
> Shubhangi Shrivastava (7):
>       drm/i915: Splitting intel_dp_detect
>       drm/i915: Cleaning up intel_dp_hpd_pulse
>       drm/i915: Reorganizing intel_dp_check_link_status
>       drm/i915: Read sink_count dpcd always
>       drm/i915: force full detect on sink count change
>       drm/i915: Update VBT fields for child devices
>       drm/i915: Set invert bit for hpd based on VBT
> 
> Shuoran Liu (1):
>       f2fs: retrieve IO write stat from the right place
> 
> Srinivas Pandruvada (3):
>       cpufreq: intel_pstate: fix inconsistency in setting policy limits
>       cpufreq: intel_pstate: Documenation for structures
>       powercap: intel_rapl: Add missing Haswell model
> 
> Stefan Eichenberger (2):
>       iio: adc: max1363: add missing adc to max1363_id
>       iio: adc: max1363: correct reference voltage
> 
> Stefan Hajnoczi (1):
>       virtio: add VIRTIO_CONFIG_S_NEEDS_RESET device status bit
> 
> Stefano Stabellini (1):
>       Xen on ARM and ARM64: update MAINTAINERS info
> 
> Strashko, Grygorii (1):
>       PM / wakeirq: fix wakeirq setting after wakup re-configuration from sysfs
> 
> Suzuki K Poulose (1):
>       arm64: kvm: 4.6-rc1: Fix VTCR_EL2 VS setting
> 
> Thadeu Lima de Souza Cascardo (1):
>       ip6_tunnel: set rtnl_link_ops before calling register_netdevice
> 
> Theodore Ts'o (7):
>       ext4: check if in-inode xattr is corrupted in ext4_expand_extra_isize_ea()
>       ext4 crypto: don't let data integrity writebacks fail with ENOMEM
>       ext4 crypto: use dget_parent() in ext4_d_revalidate()
>       ext4: allow readdir()'s of large empty directories to be interrupted
>       ext4: add lockdep annotations for i_data_sem
>       ext4: avoid calling dquot_get_next_id() if quota is not enabled
>       ext4: ignore quota mount options if the quota feature is enabled
> 
> Tim Gore (1):
>       drm/i915: implement WaClearTdlStateAckDirtyBits
> 
> Tvrtko Ursulin (1):
>       drm/i915: Move execlists irq handler to a bottom half
> 
> Vandana Kannan (1):
>       drm/i915: BXT DDI PHY sequence BUN
> 
> Ville Syrjälä (10):
>       drm/i915: Disable FDI RX before DDI_BUF_CTL
>       drm/i915: Throw out BUGs from DPLL/PCH functions
>       drm/i915: Make {vlv,chv}_{disable,update}_pll() more similar
>       drm/i915: Implement WaPixelRepeatModeFixForC0:chv
>       drm/i915: Add a local pipe variable to vlv_enable_pll()
>       drm/i915: assert_panel_unlocked() in chv_enable_pll()
>       drm/i915: Remove the "three times for luck" trick from vlv_enable_pll()
>       drm/i915: Fix plane init failure paths
>       drm/i915: Use GPLL ref clock to calculate GPU freqs on VLV/CHV
>       drm/i915: Set GPU freq to idle_freq initially
> 
> Viresh Kumar (1):
>       cpufreq: dt: Drop stale comment
> 
> Vladimir Zapolskiy (1):
>       pinctrl: freescale: imx: fix bogus check of of_iomap() return value
> 
> WANG Cong (1):
>       net_sched: fix a memory leak in tc action
> 
> Wei Fang (2):
>       md:raid1: fix a dead loop when read from a WriteMostly disk
>       md: fix a trivial typo in comments
> 
> Weijie Gao (1):
>       MIPS: ath79: Fix the ar724x clock calculation
> 
> Wolfram Sang (6):
>       pinctrl: sh-pfc: only use dummy states for non-DT platforms
>       i2c: prevent endless uevent loop with CONFIG_I2C_DEBUG_CORE
>       i2c: mux: demux-pinctrl: Update docs to new sysfs-attributes
>       i2c: jz4780: prevent potential division by zero
>       Revert "i2c: jz4780: prevent potential division by zero"
>       i2c: jz4780: really prevent potential division by zero
> 
> Yauhen Kharuzhy (1):
>       btrfs: Reset IO error counters before start of device replacing
> 
> Yong Li (1):
>       gpio: pca953x: Use correct u16 value for register word write
> 
> Yoshihiro Shimoda (3):
>       usb: renesas_usbhs: avoid NULL pointer derefernce in usbhsf_pkt_handler()
>       usb: renesas_usbhs: disable TX IRQ before starting TX DMAC transfer
>       usb: renesas_usbhs: fix to avoid using a disabled ep in usbhsg_queue_done()
> 
> Yu Zhao (1):
>       kvm: set page dirty only if page has been writable
> 
> Yuki Shibuya (1):
>       KVM: x86: Inject pending interrupt even if pending nmi exist
> 
> kbuild test robot (1):
>       Orangefs: fix ifnullfree.cocci warnings
> 
> shamir rabinovitch (2):
>       RDS: memory allocated must be align to 8
>       RDS: fix congestion map corruption for PAGE_SIZE > 4k
> 
> stephen hemminger (1):
>       bridge, netem: mark mailing lists as moderated
> 
>  .../ABI/testing/sysfs-platform-i2c-demux-pinctrl   |   29 +-
>  Documentation/DocBook/gpu.tmpl                     |    8 +-
>  .../devicetree/bindings/clock/qca,ath79-pll.txt    |    6 +-
>  .../bindings/pinctrl/img,pistachio-pinctrl.txt     |   12 +-
>  Documentation/filesystems/cramfs.txt               |    2 +-
>  Documentation/filesystems/tmpfs.txt                |    2 +-
>  Documentation/filesystems/vfs.txt                  |    4 +-
>  Documentation/power/runtime_pm.txt                 |    4 +
>  MAINTAINERS                                        |   33 +-
>  Makefile                                           |    2 +-
>  arch/arc/mm/cache.c                                |    2 +-
>  arch/arm/include/asm/unistd.h                      |    2 +-
>  arch/arm/include/uapi/asm/unistd.h                 |    2 +
>  arch/arm/kernel/calls.S                            |    4 +-
>  arch/arm/kernel/setup.c                            |    2 +
>  arch/arm/kvm/arm.c                                 |   52 +-
>  arch/arm/mm/flush.c                                |    4 +-
>  arch/arm/mm/proc-v7.S                              |   10 +-
>  arch/arm64/include/asm/kvm_arm.h                   |    4 +-
>  arch/arm64/include/asm/sysreg.h                    |    3 +
>  arch/arm64/kvm/hyp/s2-setup.c                      |    6 +-
>  arch/mips/alchemy/common/dbdma.c                   |    4 +-
>  arch/mips/alchemy/devboards/db1000.c               |   18 +-
>  arch/mips/alchemy/devboards/db1550.c               |    4 +-
>  arch/mips/ath79/clock.c                            |   44 +-
>  arch/mips/bcm47xx/sprom.c                          |    4 +-
>  arch/mips/boot/compressed/Makefile                 |    7 +-
>  arch/mips/boot/dts/brcm/bcm7435.dtsi               |    2 +-
>  arch/mips/boot/dts/qca/ar9132.dtsi                 |    2 +-
>  arch/mips/boot/dts/qca/ar9132_tl_wr1043nd_v1.dts   |    2 +-
>  .../executive/cvmx-interrupt-decodes.c             |   14 +-
>  arch/mips/cavium-octeon/executive/cvmx-pko.c       |    2 +-
>  arch/mips/cavium-octeon/smp.c                      |    2 +-
>  arch/mips/configs/ci20_defconfig                   |   14 +-
>  arch/mips/dec/int-handler.S                        |    2 +-
>  arch/mips/fw/arc/memory.c                          |    2 +-
>  arch/mips/include/asm/cpu-info.h                   |    2 +-
>  .../asm/mach-cavium-octeon/kernel-entry-init.h     |    2 +-
>  .../include/asm/mach-generic/kernel-entry-init.h   |    2 +-
>  arch/mips/include/asm/mach-ip27/irq.h              |    2 +-
>  .../mips/include/asm/mach-ip27/kernel-entry-init.h |    2 +-
>  arch/mips/include/asm/mach-jz4740/gpio.h           |    2 +-
>  arch/mips/include/asm/mips-cm.h                    |    2 +-
>  arch/mips/include/asm/mips-r2-to-r6-emul.h         |    2 +-
>  arch/mips/include/asm/octeon/cvmx-config.h         |    2 +-
>  arch/mips/include/asm/octeon/cvmx.h                |    2 +-
>  arch/mips/include/asm/pci/bridge.h                 |   18 +-
>  arch/mips/include/asm/sgi/hpc3.h                   |    2 +-
>  arch/mips/include/asm/sgiarcs.h                    |    4 +-
>  arch/mips/include/asm/sn/ioc3.h                    |    2 +-
>  arch/mips/include/asm/sn/sn0/hubio.h               |    2 +-
>  arch/mips/include/asm/uaccess.h                    |    2 +-
>  arch/mips/include/uapi/asm/unistd.h                |   18 +-
>  arch/mips/kernel/mips-cm.c                         |    2 +-
>  arch/mips/kernel/mips-r2-to-r6-emul.c              |   12 +-
>  arch/mips/kernel/module-rela.c                     |   19 +-
>  arch/mips/kernel/module.c                          |   19 +-
>  arch/mips/kernel/perf_event_mipsxx.c               |    2 +-
>  arch/mips/kernel/pm-cps.c                          |    2 +-
>  arch/mips/kernel/process.c                         |    2 +-
>  arch/mips/kernel/scall32-o32.S                     |    2 +
>  arch/mips/kernel/scall64-64.S                      |    2 +
>  arch/mips/kernel/scall64-n32.S                     |    2 +
>  arch/mips/kernel/scall64-o32.S                     |    2 +
>  arch/mips/kernel/smp.c                             |   12 +
>  arch/mips/kernel/traps.c                           |   46 +-
>  arch/mips/kernel/unaligned.c                       |   51 +-
>  arch/mips/kvm/tlb.c                                |    2 +-
>  arch/mips/kvm/trap_emul.c                          |    2 +-
>  arch/mips/math-emu/ieee754dp.c                     |    6 +-
>  arch/mips/math-emu/ieee754sp.c                     |    6 +-
>  arch/mips/mm/sc-ip22.c                             |    2 +-
>  arch/mips/mm/tlb-r4k.c                             |    5 +
>  arch/mips/mm/tlbex.c                               |    2 +-
>  arch/mips/sgi-ip27/ip27-memory.c                   |    2 +-
>  arch/parisc/include/asm/uaccess.h                  |   11 +-
>  arch/parisc/kernel/asm-offsets.c                   |    1 +
>  arch/parisc/kernel/cache.c                         |    2 +-
>  arch/parisc/kernel/module.c                        |    8 +
>  arch/parisc/kernel/parisc_ksyms.c                  |   10 +-
>  arch/parisc/kernel/traps.c                         |    3 +
>  arch/parisc/lib/fixup.S                            |    6 +
>  arch/parisc/mm/fault.c                             |    1 +
>  arch/parisc/mm/init.c                              |    2 +-
>  arch/powerpc/platforms/cell/spufs/inode.c          |    4 +-
>  arch/s390/hypfs/inode.c                            |    4 +-
>  arch/s390/mm/gmap.c                                |    4 +-
>  arch/x86/include/asm/kvm_host.h                    |    2 +-
>  arch/x86/include/asm/msr-index.h                   |    8 +
>  arch/x86/kernel/setup.c                            |   37 -
>  arch/x86/kvm/hyperv.c                              |    5 +
>  arch/x86/kvm/lapic.c                               |    8 +-
>  arch/x86/kvm/mmu.c                                 |   12 +-
>  arch/x86/kvm/x86.c                                 |   20 +-
>  arch/x86/xen/apic.c                                |   12 +-
>  arch/x86/xen/smp.c                                 |    2 +
>  block/bio.c                                        |   12 +-
>  block/blk-core.c                                   |    2 +-
>  block/blk-settings.c                               |   12 +-
>  block/blk-sysfs.c                                  |    8 +-
>  block/cfq-iosched.c                                |    2 +-
>  block/compat_ioctl.c                               |    4 +-
>  block/ioctl.c                                      |    4 +-
>  block/partition-generic.c                          |    8 +-
>  drivers/base/power/wakeup.c                        |    2 +
>  drivers/block/aoe/aoeblk.c                         |    2 +-
>  drivers/block/brd.c                                |    2 +-
>  drivers/block/drbd/drbd_int.h                      |    4 +-
>  drivers/block/drbd/drbd_nl.c                       |    2 +-
>  drivers/block/rbd.c                                |    6 +-
>  drivers/cpufreq/cpufreq-dt.c                       |    3 -
>  drivers/cpufreq/intel_pstate.c                     |  206 +-
>  drivers/firmware/qemu_fw_cfg.c                     |   24 +-
>  drivers/gpio/gpio-pca953x.c                        |    3 +-
>  drivers/gpio/gpio-pxa.c                            |    4 +-
>  drivers/gpio/gpiolib.c                             |  133 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu.h                |    1 +
>  drivers/gpu/drm/amd/amdgpu/amdgpu_cgs.c            |   24 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu_display.c        |    2 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu_fence.c          |    8 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu_irq.c            |    2 +
>  drivers/gpu/drm/amd/amdgpu/amdgpu_kms.c            |    1 +
>  drivers/gpu/drm/amd/amdgpu/amdgpu_object.c         |   15 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu_powerplay.c      |   10 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu_ttm.c            |    2 +-
>  drivers/gpu/drm/amd/amdgpu/amdgpu_uvd.c            |   58 +-
>  drivers/gpu/drm/amd/amdgpu/gmc_v7_0.c              |   16 +-
>  drivers/gpu/drm/amd/amdgpu/gmc_v8_0.c              |   23 +-
>  drivers/gpu/drm/amd/amdgpu/uvd_v4_2.c              |    4 +-
>  drivers/gpu/drm/amd/amdgpu/uvd_v5_0.c              |    4 +-
>  drivers/gpu/drm/amd/amdgpu/uvd_v6_0.c              |    7 +-
>  drivers/gpu/drm/amd/include/cgs_common.h           |    8 +
>  .../drm/amd/powerplay/eventmgr/eventactionchains.c |    4 +-
>  drivers/gpu/drm/amd/powerplay/hwmgr/fiji_hwmgr.c   |   69 +
>  .../gpu/drm/amd/powerplay/hwmgr/hardwaremanager.c  |   16 +-
>  drivers/gpu/drm/arm/hdlcd_drv.c                    |   14 +-
>  drivers/gpu/drm/armada/armada_gem.c                |    4 +-
>  drivers/gpu/drm/drm_gem.c                          |    4 +-
>  drivers/gpu/drm/gma500/cdv_intel_dp.c              |    2 +-
>  drivers/gpu/drm/i915/Kconfig                       |    6 +
>  drivers/gpu/drm/i915/Kconfig.debug                 |   12 +
>  drivers/gpu/drm/i915/Makefile                      |    2 +
>  drivers/gpu/drm/i915/i915_cmd_parser.c             |  211 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                |  331 ++-
>  drivers/gpu/drm/i915/i915_dma.c                    |  483 ++-
>  drivers/gpu/drm/i915/i915_drv.c                    |   40 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |  389 +--
>  drivers/gpu/drm/i915/i915_gem.c                    |  553 ++--
>  drivers/gpu/drm/i915/i915_gem_context.c            |  174 +-
>  drivers/gpu/drm/i915/i915_gem_debug.c              |   16 +-
>  drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   53 +-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  144 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  558 ++--
>  drivers/gpu/drm/i915/i915_gem_gtt.h                |   28 +-
>  drivers/gpu/drm/i915/i915_gem_render_state.c       |   12 +-
>  drivers/gpu/drm/i915/i915_gem_render_state.h       |    2 +-
>  drivers/gpu/drm/i915/i915_gem_shrinker.c           |   92 +-
>  drivers/gpu/drm/i915/i915_gem_stolen.c             |   98 +-
>  drivers/gpu/drm/i915/i915_gem_userptr.c            |   25 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c              |  210 +-
>  drivers/gpu/drm/i915/i915_guc_reg.h                |   11 +-
>  drivers/gpu/drm/i915/i915_guc_submission.c         |   45 +-
>  drivers/gpu/drm/i915/i915_irq.c                    |  352 ++-
>  drivers/gpu/drm/i915/i915_params.c                 |    9 +
>  drivers/gpu/drm/i915/i915_params.h                 |    2 +
>  drivers/gpu/drm/i915/i915_reg.h                    |  202 +-
>  drivers/gpu/drm/i915/i915_sysfs.c                  |   10 +
>  drivers/gpu/drm/i915/i915_trace.h                  |   52 +-
>  drivers/gpu/drm/i915/i915_vgpu.c                   |   36 +-
>  drivers/gpu/drm/i915/intel_atomic.c                |    5 +-
>  drivers/gpu/drm/i915/intel_atomic_plane.c          |    4 +-
>  drivers/gpu/drm/i915/intel_audio.c                 |   18 +-
>  drivers/gpu/drm/i915/intel_bios.c                  |  283 +-
>  drivers/gpu/drm/i915/intel_bios.h                  |  861 +-----
>  drivers/gpu/drm/i915/intel_color.c                 |  553 ++++
>  drivers/gpu/drm/i915/intel_crt.c                   |   40 +-
>  drivers/gpu/drm/i915/intel_csr.c                   |   86 +-
>  drivers/gpu/drm/i915/intel_ddi.c                   | 1265 +-------
>  drivers/gpu/drm/i915/intel_display.c               | 3066 ++++++++++----------
>  drivers/gpu/drm/i915/intel_dp.c                    |  438 ++-
>  drivers/gpu/drm/i915/intel_dp_mst.c                |   10 +-
>  drivers/gpu/drm/i915/intel_dpll_mgr.c              | 1794 ++++++++++++
>  drivers/gpu/drm/i915/intel_dpll_mgr.h              |  164 ++
>  drivers/gpu/drm/i915/intel_drv.h                   |  175 +-
>  drivers/gpu/drm/i915/intel_dsi.c                   |  194 +-
>  drivers/gpu/drm/i915/intel_dsi.h                   |   16 +-
>  drivers/gpu/drm/i915/intel_dsi_panel_vbt.c         |  177 +-
>  drivers/gpu/drm/i915/intel_dsi_pll.c               |  126 +-
>  drivers/gpu/drm/i915/intel_fbc.c                   |    5 +-
>  drivers/gpu/drm/i915/intel_fbdev.c                 |   20 +-
>  drivers/gpu/drm/i915/intel_fifo_underrun.c         |   10 +-
>  drivers/gpu/drm/i915/intel_guc_loader.c            |   73 +-
>  drivers/gpu/drm/i915/intel_hdmi.c                  |    5 +-
>  drivers/gpu/drm/i915/intel_i2c.c                   |    6 +-
>  drivers/gpu/drm/i915/intel_lrc.c                   | 1036 +++----
>  drivers/gpu/drm/i915/intel_lrc.h                   |   15 +-
>  drivers/gpu/drm/i915/intel_lvds.c                  |   70 +-
>  drivers/gpu/drm/i915/intel_mocs.c                  |   14 +-
>  drivers/gpu/drm/i915/intel_overlay.c               |   70 +-
>  drivers/gpu/drm/i915/intel_panel.c                 |   52 +-
>  drivers/gpu/drm/i915/intel_pm.c                    |  547 ++--
>  drivers/gpu/drm/i915/intel_psr.c                   |    8 +-
>  drivers/gpu/drm/i915/intel_ringbuffer.c            | 1553 +++++-----
>  drivers/gpu/drm/i915/intel_ringbuffer.h            |  127 +-
>  drivers/gpu/drm/i915/intel_runtime_pm.c            |  161 +-
>  drivers/gpu/drm/i915/intel_sdvo.c                  |   20 +-
>  drivers/gpu/drm/i915/intel_sprite.c                |   61 +-
>  drivers/gpu/drm/i915/intel_tv.c                    |   58 +-
>  drivers/gpu/drm/i915/intel_uncore.c                |  182 +-
>  drivers/gpu/drm/i915/intel_vbt_defs.h              |  832 ++++++
>  drivers/gpu/drm/imx/dw_hdmi-imx.c                  |   13 +-
>  drivers/gpu/drm/imx/imx-drm-core.c                 |   10 -
>  drivers/gpu/drm/imx/ipuv3-plane.c                  |  123 +-
>  drivers/gpu/drm/imx/ipuv3-plane.h                  |    4 +
>  drivers/gpu/drm/nouveau/include/nvkm/core/tegra.h  |    5 +
>  drivers/gpu/drm/nouveau/nouveau_platform.c         |    7 +-
>  drivers/gpu/drm/nouveau/nvkm/engine/device/tegra.c |   17 +
>  drivers/gpu/drm/radeon/atombios_crtc.c             |    6 +-
>  drivers/gpu/drm/radeon/radeon_irq_kms.c            |    2 +
>  drivers/gpu/drm/radeon/radeon_legacy_crtc.c        |    6 +-
>  drivers/gpu/drm/radeon/radeon_ttm.c                |    2 +-
>  drivers/gpu/drm/ttm/ttm_tt.c                       |    4 +-
>  drivers/gpu/drm/via/via_dmablit.c                  |    2 +-
>  drivers/gpu/ipu-v3/ipu-cpmem.c                     |   79 +-
>  drivers/gpu/ipu-v3/ipu-dmfc.c                      |    8 +-
>  drivers/i2c/busses/i2c-jz4780.c                    |    7 +-
>  drivers/i2c/i2c-core.c                             |   10 +-
>  drivers/i2c/muxes/i2c-demux-pinctrl.c              |   39 +-
>  drivers/idle/intel_idle.c                          |   97 +-
>  drivers/iio/accel/bmc150-accel-core.c              |    7 +-
>  drivers/iio/adc/Kconfig                            |    1 +
>  drivers/iio/adc/max1363.c                          |   12 +-
>  drivers/iio/gyro/bmg160_core.c                     |    9 +-
>  drivers/iio/health/max30100.c                      |    3 +-
>  drivers/iio/imu/inv_mpu6050/Kconfig                |    3 +-
>  drivers/iio/industrialio-buffer.c                  |    1 +
>  drivers/iio/light/apds9960.c                       |    3 +-
>  drivers/iio/magnetometer/st_magn.h                 |    1 +
>  drivers/infiniband/hw/i40iw/i40iw_cm.c             |   10 +-
>  drivers/infiniband/hw/mlx5/mlx5_ib.h               |   18 +-
>  drivers/infiniband/ulp/srpt/ib_srpt.c              |   55 +-
>  drivers/infiniband/ulp/srpt/ib_srpt.h              |    2 +
>  drivers/iommu/dma-iommu.c                          |    4 +-
>  drivers/iommu/intel-iommu.c                        |    2 +-
>  drivers/iommu/iommu.c                              |    3 +-
>  drivers/iommu/rockchip-iommu.c                     |    8 +-
>  drivers/mailbox/pcc.c                              |    4 +-
>  drivers/md/bitmap.c                                |   21 +-
>  drivers/md/md.c                                    |    5 +-
>  drivers/md/raid1.c                                 |    2 +-
>  drivers/media/usb/au0828/au0828-cards.c            |    4 -
>  drivers/media/usb/au0828/au0828-core.c             |   52 +-
>  drivers/media/usb/au0828/au0828-input.c            |    4 +-
>  drivers/media/usb/au0828/au0828-video.c            |   63 +-
>  drivers/media/usb/au0828/au0828.h                  |    9 +-
>  drivers/media/v4l2-core/videobuf-dma-sg.c          |    2 +-
>  drivers/misc/ibmasm/ibmasmfs.c                     |    4 +-
>  drivers/misc/vmw_vmci/vmci_queue_pair.c            |    2 +-
>  drivers/mmc/core/host.c                            |    6 +-
>  drivers/mmc/host/sdhci-pci-core.c                  |   25 +
>  drivers/mmc/host/sdhci-pci.h                       |    3 +
>  drivers/mmc/host/sdhci-pxav3.c                     |   22 +
>  drivers/mmc/host/sdhci.c                           |   39 +-
>  drivers/mmc/host/sdhci.h                           |    4 +
>  drivers/mmc/host/sh_mmcif.c                        |    2 +-
>  drivers/mmc/host/tmio_mmc_dma.c                    |    4 +-
>  drivers/mmc/host/tmio_mmc_pio.c                    |    2 +-
>  drivers/mmc/host/usdhi6rol0.c                      |    2 +-
>  drivers/mtd/devices/block2mtd.c                    |    6 +-
>  drivers/mtd/nand/nandsim.c                         |    6 +-
>  drivers/net/ethernet/chelsio/cxgb4/t4_pci_id_tbl.h |    1 +
>  drivers/net/ethernet/intel/e1000/e1000_main.c      |   21 +-
>  drivers/net/ethernet/intel/i40e/i40e_main.c        |    1 +
>  drivers/net/ethernet/stmicro/stmmac/stmmac_main.c  |   22 +-
>  drivers/net/tun.c                                  |    4 +-
>  drivers/nvdimm/btt.c                               |    2 +-
>  drivers/nvdimm/bus.c                               |    2 +-
>  drivers/nvdimm/core.c                              |   41 +-
>  drivers/nvdimm/nd.h                                |    4 +-
>  drivers/nvdimm/pfn_devs.c                          |    2 +-
>  drivers/nvdimm/pmem.c                              |   38 +-
>  drivers/oprofile/oprofilefs.c                      |    4 +-
>  drivers/pcmcia/db1xxx_ss.c                         |   11 +-
>  drivers/pinctrl/freescale/pinctrl-imx.c            |   17 +-
>  drivers/pinctrl/intel/pinctrl-intel.c              |   35 +-
>  drivers/pinctrl/nomadik/pinctrl-nomadik.c          |    2 +-
>  drivers/pinctrl/pinctrl-pistachio.c                |   24 +-
>  drivers/pinctrl/pinctrl-xway.c                     |   17 +
>  drivers/pinctrl/qcom/pinctrl-ipq4019.c             |   14 +-
>  drivers/pinctrl/sh-pfc/core.c                      |    4 +-
>  drivers/pinctrl/sunxi/pinctrl-sun8i-a33.c          |    1 +
>  drivers/pinctrl/sunxi/pinctrl-sunxi.c              |   17 +-
>  drivers/pinctrl/sunxi/pinctrl-sunxi.h              |   21 +-
>  drivers/powercap/intel_rapl.c                      |    1 +
>  drivers/scsi/aacraid/linit.c                       |    3 +-
>  drivers/scsi/cxlflash/main.c                       |  138 +-
>  drivers/scsi/cxlflash/main.h                       |    5 +-
>  drivers/scsi/device_handler/scsi_dh_alua.c         |    4 +-
>  drivers/scsi/mpt3sas/mpt3sas_base.c                |   33 +-
>  drivers/scsi/scsi.c                                |    3 +-
>  drivers/scsi/scsi_sysfs.c                          |    8 +-
>  drivers/scsi/sd.c                                  |   49 +-
>  drivers/scsi/sd.h                                  |    7 +-
>  drivers/scsi/st.c                                  |    4 +-
>  drivers/spi/spi-imx.c                              |   16 +-
>  drivers/spi/spi-omap2-mcspi.c                      |   62 +-
>  drivers/spi/spi-rockchip.c                         |   16 +-
>  drivers/spi/spi.c                                  |    4 +-
>  drivers/staging/Kconfig                            |    2 +
>  drivers/staging/Makefile                           |    1 +
>  .../lustre/include/linux/libcfs/libcfs_private.h   |    2 +-
>  .../lustre/include/linux/libcfs/linux/linux-mem.h  |    4 +-
>  drivers/staging/lustre/include/linux/lnet/types.h  |    2 +-
>  .../lustre/lnet/klnds/socklnd/socklnd_lib.c        |    2 +-
>  drivers/staging/lustre/lnet/libcfs/debug.c         |    2 +-
>  drivers/staging/lustre/lnet/libcfs/tracefile.c     |   16 +-
>  drivers/staging/lustre/lnet/libcfs/tracefile.h     |    6 +-
>  drivers/staging/lustre/lnet/lnet/lib-md.c          |    2 +-
>  drivers/staging/lustre/lnet/lnet/lib-move.c        |    6 +-
>  drivers/staging/lustre/lnet/lnet/lib-socket.c      |    4 +-
>  drivers/staging/lustre/lnet/lnet/router.c          |    6 +-
>  drivers/staging/lustre/lnet/selftest/brw_test.c    |   20 +-
>  drivers/staging/lustre/lnet/selftest/conctl.c      |    4 +-
>  drivers/staging/lustre/lnet/selftest/conrpc.c      |   10 +-
>  drivers/staging/lustre/lnet/selftest/framework.c   |    2 +-
>  drivers/staging/lustre/lnet/selftest/rpc.c         |    2 +-
>  drivers/staging/lustre/lnet/selftest/selftest.h    |    6 +-
>  .../lustre/include/linux/lustre_patchless_compat.h |    2 +-
>  drivers/staging/lustre/lustre/include/lu_object.h  |    2 +-
>  .../lustre/lustre/include/lustre/lustre_idl.h      |    6 +-
>  drivers/staging/lustre/lustre/include/lustre_mdc.h |    4 +-
>  drivers/staging/lustre/lustre/include/lustre_net.h |   10 +-
>  drivers/staging/lustre/lustre/include/obd.h        |    4 +-
>  .../staging/lustre/lustre/include/obd_support.h    |    2 +-
>  drivers/staging/lustre/lustre/lclient/lcommon_cl.c |    4 +-
>  drivers/staging/lustre/lustre/ldlm/ldlm_lib.c      |   12 +-
>  drivers/staging/lustre/lustre/ldlm/ldlm_pool.c     |    2 +-
>  drivers/staging/lustre/lustre/ldlm/ldlm_request.c  |    2 +-
>  drivers/staging/lustre/lustre/llite/dir.c          |   23 +-
>  .../staging/lustre/lustre/llite/llite_internal.h   |    8 +-
>  drivers/staging/lustre/lustre/llite/llite_lib.c    |    8 +-
>  drivers/staging/lustre/lustre/llite/llite_mmap.c   |    8 +-
>  drivers/staging/lustre/lustre/llite/lloop.c        |   12 +-
>  drivers/staging/lustre/lustre/llite/lproc_llite.c  |   18 +-
>  drivers/staging/lustre/lustre/llite/rw.c           |   24 +-
>  drivers/staging/lustre/lustre/llite/rw26.c         |   28 +-
>  drivers/staging/lustre/lustre/llite/vvp_io.c       |   10 +-
>  drivers/staging/lustre/lustre/llite/vvp_page.c     |    8 +-
>  drivers/staging/lustre/lustre/lmv/lmv_obd.c        |   12 +-
>  drivers/staging/lustre/lustre/mdc/mdc_request.c    |    6 +-
>  drivers/staging/lustre/lustre/mgc/mgc_request.c    |   22 +-
>  drivers/staging/lustre/lustre/obdclass/cl_page.c   |    6 +-
>  drivers/staging/lustre/lustre/obdclass/class_obd.c |    6 +-
>  .../lustre/lustre/obdclass/linux/linux-obdo.c      |    5 +-
>  .../lustre/lustre/obdclass/linux/linux-sysctl.c    |    6 +-
>  drivers/staging/lustre/lustre/obdclass/lu_object.c |    6 +-
>  .../staging/lustre/lustre/obdecho/echo_client.c    |   30 +-
>  drivers/staging/lustre/lustre/osc/lproc_osc.c      |   16 +-
>  drivers/staging/lustre/lustre/osc/osc_cache.c      |   44 +-
>  drivers/staging/lustre/lustre/osc/osc_page.c       |    6 +-
>  drivers/staging/lustre/lustre/osc/osc_request.c    |   26 +-
>  drivers/staging/lustre/lustre/ptlrpc/client.c      |    6 +-
>  drivers/staging/lustre/lustre/ptlrpc/import.c      |    2 +-
>  .../staging/lustre/lustre/ptlrpc/lproc_ptlrpc.c    |    4 +-
>  drivers/staging/lustre/lustre/ptlrpc/recover.c     |    2 +-
>  drivers/staging/lustre/lustre/ptlrpc/sec_bulk.c    |    2 +-
>  drivers/staging/olpc_dcon/Kconfig                  |   35 +
>  drivers/staging/olpc_dcon/Makefile                 |    6 +
>  drivers/staging/olpc_dcon/TODO                     |    9 +
>  drivers/staging/olpc_dcon/olpc_dcon.c              |  813 ++++++
>  drivers/staging/olpc_dcon/olpc_dcon.h              |  111 +
>  drivers/staging/olpc_dcon/olpc_dcon_xo_1.c         |  205 ++
>  drivers/staging/olpc_dcon/olpc_dcon_xo_1_5.c       |  161 +
>  drivers/staging/rdma/hfi1/Kconfig                  |    1 +
>  drivers/tty/tty_io.c                               |    5 +-
>  drivers/usb/core/config.c                          |   16 +-
>  drivers/usb/dwc2/gadget.c                          |   23 +-
>  drivers/usb/dwc3/core.c                            |   48 +-
>  drivers/usb/dwc3/dwc3-keystone.c                   |    5 -
>  drivers/usb/dwc3/dwc3-pci.c                        |    2 +
>  drivers/usb/dwc3/gadget.c                          |   11 +-
>  drivers/usb/gadget/composite.c                     |    8 +-
>  drivers/usb/gadget/function/f_fs.c                 |    4 +-
>  drivers/usb/gadget/function/f_midi.c               |   17 +-
>  drivers/usb/gadget/legacy/inode.c                  |    4 +-
>  drivers/usb/gadget/udc/atmel_usba_udc.c            |   14 -
>  drivers/usb/gadget/udc/udc-core.c                  |    6 -
>  drivers/usb/phy/phy-qcom-8x16-usb.c                |   72 +-
>  drivers/usb/renesas_usbhs/fifo.c                   |    4 +-
>  drivers/usb/renesas_usbhs/mod_gadget.c             |    6 +-
>  drivers/usb/serial/cp210x.c                        |    1 +
>  drivers/usb/serial/cypress_m8.c                    |   11 +-
>  drivers/usb/serial/digi_acceleport.c               |   19 +
>  drivers/usb/serial/ftdi_sio.c                      |    4 +
>  drivers/usb/serial/ftdi_sio_ids.h                  |    8 +
>  drivers/usb/serial/mct_u232.c                      |    9 +-
>  drivers/usb/serial/option.c                        |    2 +
>  drivers/usb/storage/scsiglue.c                     |    2 +-
>  drivers/usb/usbip/usbip_common.c                   |   11 +
>  drivers/video/fbdev/pvr2fb.c                       |    2 +-
>  drivers/virtio/virtio_pci_modern.c                 |   11 +-
>  drivers/xen/events/events_base.c                   |   28 +-
>  fs/9p/vfs_addr.c                                   |   18 +-
>  fs/9p/vfs_file.c                                   |    4 +-
>  fs/9p/vfs_super.c                                  |    2 +-
>  fs/affs/file.c                                     |   26 +-
>  fs/afs/dir.c                                       |    2 +-
>  fs/afs/file.c                                      |    4 +-
>  fs/afs/mntpt.c                                     |    6 +-
>  fs/afs/super.c                                     |    4 +-
>  fs/afs/write.c                                     |   26 +-
>  fs/binfmt_elf.c                                    |    2 +-
>  fs/binfmt_elf_fdpic.c                              |    2 +-
>  fs/block_dev.c                                     |    4 +-
>  fs/btrfs/check-integrity.c                         |   64 +-
>  fs/btrfs/compression.c                             |   84 +-
>  fs/btrfs/ctree.c                                   |   12 +-
>  fs/btrfs/dev-replace.c                             |    2 +
>  fs/btrfs/disk-io.c                                 |   14 +-
>  fs/btrfs/extent-tree.c                             |   25 +-
>  fs/btrfs/extent_io.c                               |  266 +-
>  fs/btrfs/extent_io.h                               |    6 +-
>  fs/btrfs/file-item.c                               |    4 +-
>  fs/btrfs/file.c                                    |   51 +-
>  fs/btrfs/free-space-cache.c                        |   30 +-
>  fs/btrfs/inode-map.c                               |   10 +-
>  fs/btrfs/inode.c                                   |  104 +-
>  fs/btrfs/ioctl.c                                   |   86 +-
>  fs/btrfs/lzo.c                                     |   32 +-
>  fs/btrfs/qgroup.c                                  |   63 +-
>  fs/btrfs/raid56.c                                  |   28 +-
>  fs/btrfs/reada.c                                   |   30 +-
>  fs/btrfs/relocation.c                              |   17 +-
>  fs/btrfs/scrub.c                                   |   24 +-
>  fs/btrfs/send.c                                    |   16 +-
>  fs/btrfs/struct-funcs.c                            |    4 +-
>  fs/btrfs/tests/extent-io-tests.c                   |   44 +-
>  fs/btrfs/tests/free-space-tests.c                  |    2 +-
>  fs/btrfs/tree-log.c                                |  137 +
>  fs/btrfs/volumes.c                                 |   14 +-
>  fs/btrfs/zlib.c                                    |   38 +-
>  fs/buffer.c                                        |  100 +-
>  fs/cachefiles/rdwr.c                               |   38 +-
>  fs/ceph/addr.c                                     |  114 +-
>  fs/ceph/caps.c                                     |    2 +-
>  fs/ceph/dir.c                                      |    4 +-
>  fs/ceph/file.c                                     |   32 +-
>  fs/ceph/inode.c                                    |    6 +-
>  fs/ceph/mds_client.c                               |    2 +-
>  fs/ceph/mds_client.h                               |    2 +-
>  fs/ceph/super.c                                    |    8 +-
>  fs/cifs/cifsfs.c                                   |    2 +-
>  fs/cifs/cifsglob.h                                 |    4 +-
>  fs/cifs/cifssmb.c                                  |   16 +-
>  fs/cifs/connect.c                                  |    2 +-
>  fs/cifs/file.c                                     |   96 +-
>  fs/cifs/inode.c                                    |   10 +-
>  fs/configfs/mount.c                                |    4 +-
>  fs/cramfs/README                                   |   26 +-
>  fs/cramfs/inode.c                                  |   32 +-
>  fs/crypto/crypto.c                                 |    8 +-
>  fs/dax.c                                           |   34 +-
>  fs/dcache.c                                        |    5 +-
>  fs/direct-io.c                                     |   26 +-
>  fs/dlm/lowcomms.c                                  |    8 +-
>  fs/ecryptfs/crypto.c                               |   22 +-
>  fs/ecryptfs/inode.c                                |    8 +-
>  fs/ecryptfs/keystore.c                             |    2 +-
>  fs/ecryptfs/main.c                                 |    8 +-
>  fs/ecryptfs/mmap.c                                 |   44 +-
>  fs/ecryptfs/read_write.c                           |   14 +-
>  fs/efivarfs/super.c                                |    4 +-
>  fs/exofs/dir.c                                     |   30 +-
>  fs/exofs/inode.c                                   |   34 +-
>  fs/exofs/namei.c                                   |    4 +-
>  fs/ext2/dir.c                                      |   36 +-
>  fs/ext2/namei.c                                    |    6 +-
>  fs/ext4/crypto.c                                   |   57 +-
>  fs/ext4/dir.c                                      |    4 +-
>  fs/ext4/ext4.h                                     |   33 +-
>  fs/ext4/file.c                                     |   16 +-
>  fs/ext4/inline.c                                   |   18 +-
>  fs/ext4/inode.c                                    |  176 +-
>  fs/ext4/mballoc.c                                  |   40 +-
>  fs/ext4/move_extent.c                              |   27 +-
>  fs/ext4/page-io.c                                  |   18 +-
>  fs/ext4/readpage.c                                 |   14 +-
>  fs/ext4/super.c                                    |   65 +-
>  fs/ext4/symlink.c                                  |    4 +-
>  fs/ext4/xattr.c                                    |   32 +-
>  fs/f2fs/data.c                                     |   52 +-
>  fs/f2fs/debug.c                                    |    6 +-
>  fs/f2fs/dir.c                                      |    4 +-
>  fs/f2fs/f2fs.h                                     |    2 +-
>  fs/f2fs/file.c                                     |   74 +-
>  fs/f2fs/inline.c                                   |   10 +-
>  fs/f2fs/namei.c                                    |   16 +-
>  fs/f2fs/node.c                                     |   10 +-
>  fs/f2fs/recovery.c                                 |    2 +-
>  fs/f2fs/segment.c                                  |   16 +-
>  fs/f2fs/super.c                                    |  108 +-
>  fs/freevxfs/vxfs_immed.c                           |    4 +-
>  fs/freevxfs/vxfs_lookup.c                          |   12 +-
>  fs/freevxfs/vxfs_subr.c                            |    2 +-
>  fs/fs-writeback.c                                  |    2 +-
>  fs/fscache/page.c                                  |   10 +-
>  fs/fuse/dev.c                                      |   26 +-
>  fs/fuse/file.c                                     |   72 +-
>  fs/fuse/inode.c                                    |   16 +-
>  fs/gfs2/aops.c                                     |   44 +-
>  fs/gfs2/bmap.c                                     |   12 +-
>  fs/gfs2/file.c                                     |   16 +-
>  fs/gfs2/meta_io.c                                  |    4 +-
>  fs/gfs2/quota.c                                    |   14 +-
>  fs/gfs2/rgrp.c                                     |    5 +-
>  fs/hfs/bnode.c                                     |   12 +-
>  fs/hfs/btree.c                                     |   20 +-
>  fs/hfs/inode.c                                     |    8 +-
>  fs/hfsplus/bitmap.c                                |    2 +-
>  fs/hfsplus/bnode.c                                 |   90 +-
>  fs/hfsplus/btree.c                                 |   22 +-
>  fs/hfsplus/inode.c                                 |    8 +-
>  fs/hfsplus/super.c                                 |    2 +-
>  fs/hfsplus/xattr.c                                 |    6 +-
>  fs/hostfs/hostfs_kern.c                            |   18 +-
>  fs/hugetlbfs/inode.c                               |   10 +-
>  fs/isofs/compress.c                                |   36 +-
>  fs/isofs/inode.c                                   |    2 +-
>  fs/jbd2/commit.c                                   |    4 +-
>  fs/jbd2/journal.c                                  |    2 +-
>  fs/jbd2/transaction.c                              |    4 +-
>  fs/jffs2/debug.c                                   |    8 +-
>  fs/jffs2/file.c                                    |   23 +-
>  fs/jffs2/fs.c                                      |    8 +-
>  fs/jffs2/gc.c                                      |    8 +-
>  fs/jffs2/nodelist.c                                |    8 +-
>  fs/jffs2/write.c                                   |    7 +-
>  fs/jfs/jfs_metapage.c                              |   42 +-
>  fs/jfs/jfs_metapage.h                              |    4 +-
>  fs/jfs/super.c                                     |    2 +-
>  fs/kernfs/mount.c                                  |    4 +-
>  fs/libfs.c                                         |   24 +-
>  fs/logfs/dev_bdev.c                                |    2 +-
>  fs/logfs/dev_mtd.c                                 |   10 +-
>  fs/logfs/dir.c                                     |   12 +-
>  fs/logfs/file.c                                    |   26 +-
>  fs/logfs/readwrite.c                               |   20 +-
>  fs/logfs/segment.c                                 |   28 +-
>  fs/logfs/super.c                                   |   16 +-
>  fs/minix/dir.c                                     |   18 +-
>  fs/minix/namei.c                                   |    4 +-
>  fs/mpage.c                                         |   22 +-
>  fs/ncpfs/dir.c                                     |   10 +-
>  fs/ncpfs/ncplib_kernel.h                           |    2 +-
>  fs/nfs/blocklayout/blocklayout.c                   |   24 +-
>  fs/nfs/blocklayout/blocklayout.h                   |    4 +-
>  fs/nfs/client.c                                    |    8 +-
>  fs/nfs/dir.c                                       |   10 +-
>  fs/nfs/direct.c                                    |    8 +-
>  fs/nfs/file.c                                      |   20 +-
>  fs/nfs/inode.c                                     |    2 +-
>  fs/nfs/internal.h                                  |    6 +-
>  fs/nfs/nfs4file.c                                  |    4 +-
>  fs/nfs/nfs4xdr.c                                   |    2 +-
>  fs/nfs/objlayout/objio_osd.c                       |    2 +-
>  fs/nfs/pagelist.c                                  |    6 +-
>  fs/nfs/pnfs.c                                      |    6 +-
>  fs/nfs/read.c                                      |   16 +-
>  fs/nfs/write.c                                     |    4 +-
>  fs/nilfs2/bmap.c                                   |    2 +-
>  fs/nilfs2/btnode.c                                 |   10 +-
>  fs/nilfs2/dir.c                                    |   32 +-
>  fs/nilfs2/gcinode.c                                |    2 +-
>  fs/nilfs2/inode.c                                  |    4 +-
>  fs/nilfs2/mdt.c                                    |   14 +-
>  fs/nilfs2/namei.c                                  |    4 +-
>  fs/nilfs2/page.c                                   |   18 +-
>  fs/nilfs2/recovery.c                               |    4 +-
>  fs/nilfs2/segment.c                                |    2 +-
>  fs/ntfs/aops.c                                     |   50 +-
>  fs/ntfs/aops.h                                     |    4 +-
>  fs/ntfs/attrib.c                                   |   28 +-
>  fs/ntfs/bitmap.c                                   |   10 +-
>  fs/ntfs/compress.c                                 |   77 +-
>  fs/ntfs/dir.c                                      |   56 +-
>  fs/ntfs/file.c                                     |   56 +-
>  fs/ntfs/index.c                                    |   14 +-
>  fs/ntfs/inode.c                                    |   12 +-
>  fs/ntfs/lcnalloc.c                                 |    6 +-
>  fs/ntfs/logfile.c                                  |   16 +-
>  fs/ntfs/mft.c                                      |   34 +-
>  fs/ntfs/ntfs.h                                     |    2 +-
>  fs/ntfs/super.c                                    |   72 +-
>  fs/ocfs2/alloc.c                                   |   28 +-
>  fs/ocfs2/aops.c                                    |   50 +-
>  fs/ocfs2/cluster/heartbeat.c                       |   10 +-
>  fs/ocfs2/dlmfs/dlmfs.c                             |    4 +-
>  fs/ocfs2/file.c                                    |   14 +-
>  fs/ocfs2/mmap.c                                    |    6 +-
>  fs/ocfs2/ocfs2.h                                   |   20 +-
>  fs/ocfs2/quota_global.c                            |   11 +-
>  fs/ocfs2/refcounttree.c                            |   24 +-
>  fs/ocfs2/super.c                                   |    4 +-
>  fs/orangefs/dir.c                                  |    4 +-
>  fs/orangefs/inode.c                                |   26 +-
>  fs/orangefs/orangefs-bufmap.c                      |    4 +-
>  fs/orangefs/orangefs-debugfs.c                     |    3 +-
>  fs/orangefs/orangefs-utils.c                       |    8 +-
>  fs/orangefs/protocol.h                             |   33 +-
>  fs/orangefs/xattr.c                                |   19 +-
>  fs/overlayfs/super.c                               |   33 +
>  fs/pipe.c                                          |    6 +-
>  fs/proc/task_mmu.c                                 |    2 +-
>  fs/proc/vmcore.c                                   |    4 +-
>  fs/pstore/inode.c                                  |    4 +-
>  fs/qnx6/dir.c                                      |   16 +-
>  fs/qnx6/inode.c                                    |    4 +-
>  fs/qnx6/qnx6.h                                     |    2 +-
>  fs/quota/dquot.c                                   |   13 +-
>  fs/ramfs/inode.c                                   |    4 +-
>  fs/reiserfs/file.c                                 |    4 +-
>  fs/reiserfs/inode.c                                |   44 +-
>  fs/reiserfs/ioctl.c                                |    4 +-
>  fs/reiserfs/journal.c                              |    6 +-
>  fs/reiserfs/stree.c                                |    4 +-
>  fs/reiserfs/tail_conversion.c                      |    4 +-
>  fs/reiserfs/xattr.c                                |   18 +-
>  fs/splice.c                                        |   32 +-
>  fs/squashfs/block.c                                |    4 +-
>  fs/squashfs/cache.c                                |   18 +-
>  fs/squashfs/decompressor.c                         |    2 +-
>  fs/squashfs/file.c                                 |   24 +-
>  fs/squashfs/file_direct.c                          |   22 +-
>  fs/squashfs/lz4_wrapper.c                          |    8 +-
>  fs/squashfs/lzo_wrapper.c                          |    8 +-
>  fs/squashfs/page_actor.c                           |    4 +-
>  fs/squashfs/page_actor.h                           |    2 +-
>  fs/squashfs/super.c                                |    2 +-
>  fs/squashfs/symlink.c                              |    6 +-
>  fs/squashfs/xz_wrapper.c                           |    4 +-
>  fs/squashfs/zlib_wrapper.c                         |    4 +-
>  fs/sync.c                                          |    4 +-
>  fs/sysv/dir.c                                      |   18 +-
>  fs/sysv/namei.c                                    |    4 +-
>  fs/ubifs/file.c                                    |   54 +-
>  fs/ubifs/super.c                                   |    6 +-
>  fs/ubifs/ubifs.h                                   |    4 +-
>  fs/udf/file.c                                      |    6 +-
>  fs/udf/inode.c                                     |    4 +-
>  fs/ufs/balloc.c                                    |    6 +-
>  fs/ufs/dir.c                                       |   32 +-
>  fs/ufs/inode.c                                     |    4 +-
>  fs/ufs/namei.c                                     |    6 +-
>  fs/ufs/util.c                                      |    4 +-
>  fs/ufs/util.h                                      |    2 +-
>  fs/xfs/libxfs/xfs_bmap.c                           |    4 +-
>  fs/xfs/xfs_aops.c                                  |   22 +-
>  fs/xfs/xfs_bmap_util.c                             |    4 +-
>  fs/xfs/xfs_file.c                                  |   12 +-
>  fs/xfs/xfs_linux.h                                 |    2 +-
>  fs/xfs/xfs_mount.c                                 |    2 +-
>  fs/xfs/xfs_mount.h                                 |    4 +-
>  fs/xfs/xfs_pnfs.c                                  |    4 +-
>  fs/xfs/xfs_super.c                                 |    8 +-
>  include/drm/drm_mem_util.h                         |   19 +
>  include/drm/ttm/ttm_bo_api.h                       |    2 +-
>  include/linux/backing-dev-defs.h                   |    2 +-
>  include/linux/bio.h                                |    2 +-
>  include/linux/blkdev.h                             |    2 +-
>  include/linux/buffer_head.h                        |    4 +-
>  include/linux/ceph/libceph.h                       |    4 +-
>  include/linux/compiler-gcc.h                       |    2 +-
>  include/linux/dcache.h                             |   10 +
>  include/linux/f2fs_fs.h                            |    4 +-
>  include/linux/fs.h                                 |   14 +-
>  include/linux/iommu.h                              |    2 -
>  include/linux/mm.h                                 |    2 +-
>  include/linux/mm_types.h                           |    2 +-
>  include/linux/netdevice.h                          |    5 +-
>  include/linux/nfs_page.h                           |    6 +-
>  include/linux/nilfs2_fs.h                          |    4 +-
>  include/linux/pagemap.h                            |   32 +-
>  include/linux/sunrpc/svc.h                         |    2 +-
>  include/linux/swap.h                               |    4 +-
>  include/linux/vmalloc.h                            |    4 +
>  include/net/act_api.h                              |    1 +
>  include/net/mac80211.h                             |    2 +
>  include/net/sctp/sctp.h                            |    6 +-
>  include/scsi/scsi_device.h                         |   25 +
>  include/trace/events/btrfs.h                       |   89 +-
>  include/uapi/linux/usb/ch9.h                       |    2 +-
>  include/uapi/linux/virtio_config.h                 |    2 +
>  include/video/imx-ipu-v3.h                         |    7 +-
>  ipc/mqueue.c                                       |    4 +-
>  kernel/events/uprobes.c                            |    8 +-
>  lib/test_bpf.c                                     |  229 +-
>  mm/fadvise.c                                       |    8 +-
>  mm/filemap.c                                       |  126 +-
>  mm/gup.c                                           |    2 +-
>  mm/hugetlb.c                                       |    8 +-
>  mm/madvise.c                                       |    6 +-
>  mm/memory-failure.c                                |    2 +-
>  mm/memory.c                                        |   55 +-
>  mm/mincore.c                                       |    8 +-
>  mm/nommu.c                                         |    2 +-
>  mm/page-writeback.c                                |   12 +-
>  mm/page_io.c                                       |    2 +-
>  mm/readahead.c                                     |   20 +-
>  mm/rmap.c                                          |    2 +-
>  mm/shmem.c                                         |  130 +-
>  mm/swap.c                                          |   14 +-
>  mm/swap_state.c                                    |   12 +-
>  mm/swapfile.c                                      |   12 +-
>  mm/truncate.c                                      |   40 +-
>  mm/userfaultfd.c                                   |    4 +-
>  mm/vmalloc.c                                       |   27 +
>  mm/zswap.c                                         |    4 +-
>  net/ceph/messenger.c                               |    6 +-
>  net/ceph/pagelist.c                                |    4 +-
>  net/ceph/pagevec.c                                 |   30 +-
>  net/core/dev.c                                     |    1 +
>  net/core/netpoll.c                                 |    3 +-
>  net/core/sock.c                                    |    9 +-
>  net/ipv4/fou.c                                     |    6 +
>  net/ipv4/gre_offload.c                             |    8 +
>  net/ipv4/ip_gre.c                                  |   13 +-
>  net/ipv6/ip6_output.c                              |    8 +-
>  net/ipv6/ip6_tunnel.c                              |    2 +-
>  net/l2tp/l2tp_ip.c                                 |    8 +-
>  net/l2tp/l2tp_ip6.c                                |    8 +-
>  net/mac80211/chan.c                                |    4 +-
>  net/mac80211/ieee80211_i.h                         |    4 +
>  net/mac80211/mesh_hwmp.c                           |    2 +-
>  net/mac80211/sta_info.c                            |   14 +-
>  net/mac80211/sta_info.h                            |    1 -
>  net/mac80211/tdls.c                                |   43 +-
>  net/mac80211/tx.c                                  |   13 +-
>  net/mac80211/vht.c                                 |   30 +-
>  net/mpls/af_mpls.c                                 |    3 +
>  net/packet/af_packet.c                             |    2 +-
>  net/rds/ib_recv.c                                  |    2 +-
>  net/rds/page.c                                     |    4 +-
>  net/sctp/output.c                                  |    3 +-
>  net/sunrpc/auth_gss/auth_gss.c                     |    8 +-
>  net/sunrpc/auth_gss/gss_krb5_crypto.c              |    2 +-
>  net/sunrpc/auth_gss/gss_krb5_wrap.c                |    4 +-
>  net/sunrpc/cache.c                                 |    4 +-
>  net/sunrpc/rpc_pipe.c                              |    4 +-
>  net/sunrpc/socklib.c                               |    6 +-
>  net/sunrpc/xdr.c                                   |   50 +-
>  net/vmw_vsock/vmci_transport.c                     |    4 +-
>  samples/bpf/Makefile                               |   12 +-
>  samples/bpf/bpf_helpers.h                          |   26 +
>  samples/bpf/map_perf_test_user.c                   |    1 +
>  samples/bpf/spintest_kern.c                        |    2 +-
>  samples/bpf/tracex2_kern.c                         |    4 +-
>  samples/bpf/tracex4_kern.c                         |    2 +-
>  sound/usb/Kconfig                                  |    4 -
>  sound/usb/Makefile                                 |    2 -
>  sound/usb/card.c                                   |   14 -
>  sound/usb/card.h                                   |    3 -
>  sound/usb/media.c                                  |  318 --
>  sound/usb/media.h                                  |   72 -
>  sound/usb/mixer.h                                  |    3 -
>  sound/usb/pcm.c                                    |   28 +-
>  sound/usb/quirks-table.h                           |    1 -
>  sound/usb/stream.c                                 |    2 -
>  sound/usb/usbaudio.h                               |    6 -
>  tools/power/x86/turbostat/turbostat.c              |  117 +-
>  tools/testing/selftests/seccomp/seccomp_bpf.c      |   22 +-
>  770 files changed, 18018 insertions(+), 12655 deletions(-)
>  create mode 100644 drivers/gpu/drm/i915/Kconfig.debug
>  create mode 100644 drivers/gpu/drm/i915/intel_color.c
>  create mode 100644 drivers/gpu/drm/i915/intel_dpll_mgr.c
>  create mode 100644 drivers/gpu/drm/i915/intel_dpll_mgr.h
>  create mode 100644 drivers/gpu/drm/i915/intel_vbt_defs.h
>  create mode 100644 drivers/staging/olpc_dcon/Kconfig
>  create mode 100644 drivers/staging/olpc_dcon/Makefile
>  create mode 100644 drivers/staging/olpc_dcon/TODO
>  create mode 100644 drivers/staging/olpc_dcon/olpc_dcon.c
>  create mode 100644 drivers/staging/olpc_dcon/olpc_dcon.h
>  create mode 100644 drivers/staging/olpc_dcon/olpc_dcon_xo_1.c
>  create mode 100644 drivers/staging/olpc_dcon/olpc_dcon_xo_1_5.c
>  delete mode 100644 sound/usb/media.c
>  delete mode 100644 sound/usb/media.h
> 
> -- 
> Daniel Vetter
> Software Engineer, Intel Corporation
> http://blog.ffwll.ch

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-04-21  9:26 Daniel Vetter
  2016-04-21 13:32 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2016-04-21  9:26 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-04-11:
- make modeset hw state checker atomic aware (Maarten)
- close races in gpu stuck detection/seqno reading (Chris)
- tons&tons of small improvements from Chris Wilson all over the gem code
- more dsi/bxt work from Ramalingam&Jani
- macro polish from Joonas
- guc fw loading fixes (Arun&Dave)
- vmap notifier (acked by Andrew) + i915 support by Chris Wilson
- create bottom half for execlist irq processing (Chris Wilson)
- vlv/chv pll cleanup (Ville)
- rework DP detection, especially sink detection (Shubhangi Shrivastava)
- make color manager support fully atomic (Maarten)
- avoid livelock on chv in execlist irq handler (Chris)

Cheers, Daniel


The following changes since commit 9735a22799b9214d17d3c231fe377fc852f042e9:

  Linux 4.6-rc2 (2016-04-03 09:09:40 -0500)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-04-11

for you to fetch changes up to ba3150ac3876acd082307f142597d3482107facc:

  drm/i915: Update DRIVER_DATE to 20160411 (2016-04-11 20:20:18 +0200)

----------------------------------------------------------------
- make modeset hw state checker atomic aware (Maarten)
- close races in gpu stuck detection/seqno reading (Chris)
- tons&tons of small improvements from Chris Wilson all over the gem code
- more dsi/bxt work from Ramalingam&Jani
- macro polish from Joonas
- guc fw loading fixes (Arun&Dave)
- vmap notifier (acked by Andrew) + i915 support by Chris Wilson
- create bottom half for execlist irq processing (Chris Wilson)
- vlv/chv pll cleanup (Ville)
- rework DP detection, especially sink detection (Shubhangi Shrivastava)
- make color manager support fully atomic (Maarten)
- avoid livelock on chv in execlist irq handler (Chris)

----------------------------------------------------------------
Adam Buchbinder (1):
      MIPS: Fix misspellings in comments.

Adrian Hunter (2):
      mmc: sdhci: Fix regression setting power on Trats2 board
      mmc: sdhci-pci: Add support and PCI IDs for more Broxton host controllers

Akash Goel (1):
      drm/i915: Fixup the free space logic in ring_prepare

Akinobu Mita (1):
      spi: omap2-mcspi: fix dma transfer for vmalloced buffer

Alban Bedel (3):
      MIPS: zboot: Fix the build with XZ compression on older GCC versions
      MIPS: zboot: Remove copied source files on clean
      MIPS: ath79: Fix the ar913x reference clock rate

Alex Deucher (4):
      drm/amdgpu/gmc: move vram type fetching into sw_init
      drm/amdgpu/gmc: use proper register for vram type on Fiji
      drm/amdgpu: print vram type rather than just DDR
      drm/ttm: use phys_addr_t for ttm_bus_placement

Alexander Duyck (3):
      e1000: Do not overestimate descriptor counts in Tx pre-check
      e1000: Double Tx descriptors needed check for 82544
      GRE: Disable segmentation offloads w/ CSUM and we are encapsulated via FOU

Alexandre Courbot (1):
      drm/nouveau/tegra: acquire and enable reference clock if needed

Alexey Brodkin (1):
      drm: ARM HDLCD - get rid of devm_clk_put()

Antony Pavlov (2):
      dt-bindings: clock: qca,ath79-pll: fix copy-paste typos
      MIPS: dts: qca: ar9132_tl_wr1043nd_v1.dts: use "ref" for reference clock name

Arik Nemtsov (3):
      mac80211: TDLS: always downgrade invalid chandefs
      mac80211: TDLS: change BW calculation for WIDER_BW peers
      mac80211: recalc min_def chanctx even when chandef is identical

Arnd Bergmann (6):
      aacraid: add missing curly braces
      usb: phy: qcom-8x16: fix regulator API abuse
      iio: st_magn: always define ST_MAGN_TRIGGER_SET_STATE
      iommu: provide of_xlate pointer unconditionally
      IB/mlx5: fix VFs callback function prototypes
      i40iw: avoid potential uninitialized variable use

Arun Siluvery (1):
      drm/i915/guc: reset GuC and retry on firmware load failure

Bart Van Assche (3):
      scsi: Declare local symbols static
      scsi_dh_alua: Fix a recently introduced deadlock
      Revert "ib_srpt: Convert to percpu_ida tag allocation"

Bastien Philbert (1):
      bridge: Fix incorrect variable assignment on error path in br_sysfs_addbr

Ben Greear (1):
      mac80211: ensure no limits on station rhashtable

Ben Hutchings (1):
      i2c: mux: demux-pinctrl: Clean up sysfs attributes

Bjorn Helgaas (1):
      Revert "netpoll: Fix extra refcount release in netpoll_cleanup()"

Bjørn Mork (2):
      drm/i915: fix deadlock on lid open
      USB: option: add "D-Link DWM-221 B1" device id

Boris Ostrovsky (3):
      xen/apic: Provide Xen-specific version of cpu_present_to_apicid APIC op
      xen/x86: Call cpu_startup_entry(CPUHP_AP_ONLINE_IDLE) from xen_play_dead()
      xen/events: Mask a moving irq

Calvin Owens (1):
      mpt3sas: Don't overreach ioc->reply_post[] during initialization

Chris Mason (1):
      Merge branch 'misc-4.6' of git://git.kernel.org/.../kdave/linux into for-linus-4.6

Chris Wilson (29):
      drm/i915: Rename __force_wake_get to __force_wake_auto
      drm/i915: Exit cherryview_irq_handler() after one pass
      drm/i915: Add struct_mutex locking for debugs/i915_gem_framebuffer
      drm/i915: Show PCI power state under debugfs/i915_runtime_pm_status
      drm/i915/ddi: Silence compiler warning for unknown output type
      drm/i915/shrinker: Account for unshrinkable unbound pages
      mm/vmap: Add a notifier for when we run out of vmap address space
      drm/i915/shrinker: Hook up vmap allocation failure notifier
      drm/i915/shrinker: Refactor common uninterruptible locking
      drm/i915: Include engine->last_submitted_seqno in GPU error state
      drm/i915: On GPU reset, set the HWS breadcrumb to the last seqno
      drm/i915: Remove unneeded drm_device pointer from intel_ring_init_seqno()
      drm/i915: Move the hw semaphore initialisation from GEM to the engine
      drm/i915: Refactor gen8 semaphore offset calculation
      drm/i915: Reset semaphore page for gen8
      drm/i915: Reset engine->last_submitted_seqno
      drm/i915: Apply a mb between emitting the request and hangcheck
      drm/i915: Simplify check for idleness in hangcheck
      drm/i915: Remove forcewake dance from seqno/irq barrier on legacy gen6+
      drm/i915: Separate out the seqno-barrier from engine->get_seqno
      drm/i915: Harden detection of missed interrupts
      drm/i915: Use simplest form for flushing the single cacheline in the HWS
      drm/i915: Replace manual barrier() with READ_ONCE() in HWS accessor
      drm/i915/dmabuf: Tighten struct_mutex for unmap_dma_buf
      drm/i915: Consolidate common error handling in intel_pin_and_map_ringbuffer_obj
      drm/i915: Refactor duplicate object vmap functions
      drm/i915/shrinker: Restrict vmap purge to objects with vmaps
      drm,i915: Introduce drm_malloc_gfp()
      drm/i915: Avoid allocating a vmap arena for a single page

Christian Borntraeger (1):
      s390/mm/kvm: fix mis-merge in gmap handling

Christian König (1):
      drm/amdgpu: fix leaking fence in the pageflip code

Chunming Zhou (2):
      drm/amdgpu: fence wait old rcu slot
      drm/amdgpu: total vram size also reduces pin size

Dan Carpenter (4):
      ext4 crypto: fix some error handling
      drm: ARM HDLCD - fix an error code
      usb: gadget: f_midi: unlock on error
      iommu/vt-d: Silence an uninitialized variable warning

Dan Williams (3):
      libnvdimm: fix smart data retrieval
      libnvdimm, pfn: fix uuid validation
      libnvdimm, pfn: fix nvdimm_namespace_add_poison() vs section alignment

Daniel Vetter (4):
      drm/i915: Remove PIPE_CONF_CHECK_I_ALT
      drm/imx: Don't set a gamma table size
      Merge tag 'v4.6-rc3' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20160411

Dave Airlie (4):
      Merge tag 'imx-drm-next-2016-04-01' of git://git.pengutronix.de/git/pza/linux into drm-fixes
      Merge branch 'for-upstream/hdlcd' of git://linux-arm.org/linux-ld into drm-fixes
      Merge branch 'linux-4.6' of git://github.com/skeggsb/linux into drm-fixes
      Merge branch 'drm-fixes-4.6' of git://people.freedesktop.org/~agd5f/linux into drm-fixes

Dave Gordon (1):
      drm/i915/guc: always reset GuC before loading firmware

Dave Jones (1):
      af_packet: tone down the Tx-ring unsupported spew.

David Disseldorp (1):
      rbd: use GFP_NOIO consistently for request allocations

David S. Miller (3):
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/net-queue
      Revert "bridge: Fix incorrect variable assignment on error path in br_sysfs_addbr"
      Merge tag 'mac80211-for-davem-2016-04-06' of git://git.kernel.org/.../jberg/mac80211

David Sterba (1):
      btrfs: fallback to vmalloc in btrfs_compare_tree

Davide Italiano (1):
      Btrfs: Improve FL_KEEP_SIZE handling in fallocate

Dexuan Cui (1):
      net: add the AF_KCM entries to family name tables

Dmitry Torokhov (1):
      gpio / ACPI: ignore GpioInt() GPIOs when requesting GPIO_OUT_*

Dongwon Kim (1):
      drm/i915/bxt: Reversed polarity of PORT_PLL_REF_SEL bit

Douglas Anderson (2):
      drm/imx: dw_hdmi: Call drm_encoder_cleanup() in error path
      drm/imx: dw_hdmi: Don't call platform_set_drvdata()

Emmanuel Grumbach (2):
      mac80211: don't send deferred frames outside the SP
      mac80211: close the SP when we enqueue frames during the SP

Felipe Balbi (3):
      usb: dwc3: core: improve reset sequence
      usb: dwc3: gadget: fix endpoint renaming
      usb: gadget: udc: atmel: don't disable enpdoints we don't own

Felipe F. Tonello (2):
      usb: gadget: f_midi: added spinlock on transmit function
      usb: gadget: f_midi: Fixed a bug when buflen was smaller than wMaxPacketSize

Felix Fietkau (1):
      mac80211: fix AP buffered multicast frames with queue control and txq

Filipe Manana (2):
      btrfs: fix crash/invalid memory access on fsync when using overlayfs
      Btrfs: fix file/data loss caused by fsync after rename and new inode

Florian Fainelli (1):
      MIPS: BMIPS: Fix gisb-arb compatible string for 7435

Gabriel Somlo (1):
      firmware: qemu_fw_cfg.c: hold ACPI global lock during device access

Geert Uytterhoeven (1):
      spi: rockchip: Spelling s/divsor/divisor/

Giuseppe CAVALLARO (1):
      stmmac: fix adjust link call in case of a switch is attached

Govindraj Raja (1):
      pinctrl: pistachio: fix mfio84-89 function description and pinmux.

Greg Kroah-Hartman (6):
      Merge tag 'fixes-for-v4.6-rc2' of git://git.kernel.org/.../balbi/usb into usb-linus
      Merge tag 'iio-fixes-for-4.6a' of git://git.kernel.org/.../jic23/iio into usb-linus
      Merge tag 'iio-fixes-for-4.6b' of git://git.kernel.org/.../jic23/iio into staging-linus
      Revert "Staging: olpc_dcon: Remove obsolete driver"
      Merge tag 'fixes-for-v4.6-rc3' of git://git.kernel.org/.../balbi/usb into usb-linus
      Merge tag 'usb-serial-4.6-rc3' of git://git.kernel.org/.../johan/usb-serial into usb-linus

Grygorii Strashko (2):
      usb: gadget: udc-core: remove manual dma configuration
      usb: dwc3: keystone: drop dma_mask configuration

Guenter Roeck (2):
      gpiolib: Do not use devm functions when registering gpio chip
      gpiolib: Defer gpio device setup until after gpiolib initialization

Guoqing Jiang (1):
      md/bitmap: clear bitmap if bitmap_create failed

Haishuang Yan (2):
      ipv4: l2tp: fix a potential issue in l2tp_ip_recv
      ipv6: l2tp: fix a potential issue in l2tp_ip6_recv

Hannes Reinecke (1):
      scsi: Do not attach VPD to devices that don't support it

Hans de Goede (1):
      pinctrl: sunxi: Fix A33 external interrupts not working

Hariprasad Shenai (1):
      cxgb4: Add pci device id for chelsio t520-cr adapter

Harvey Hunt (1):
      MIPS: ci20: Enable NAND and UBIFS support in defconfig.

Heikki Krogerus (1):
      usb: dwc3: pci: add ID for one more Intel Broxton platform

Heiko Stübner (1):
      spi: fix possible deadlock between internal bus locks and bus_lock_flag

Helge Deller (5):
      parisc: Handle R_PARISC_PCREL32 relocations in kernel modules
      parisc: Avoid function pointers for kernel exception routines
      parisc: Fix kernel crash with reversed copy_from_user()
      parisc: Unbreak handling exceptions from kernel modules
      parisc: Update comment regarding relative extable support

Ignat Korchagin (1):
      USB: usbip: fix potential out-of-bounds write

Ilan Peer (1):
      mac80211: Fix BW upgrade for TDLS peers

Irina Tirdea (4):
      iio: fix config watermark initial value
      iio: accel: bmc150: fix endianness when reading axes
      iio: gyro: bmg160: fix endianness when reading axes
      iio: gyro: bmg160: fix buffer read values

Jaegeuk Kim (2):
      f2fs: cover large section in sanity check of super
      f2fs crypto: fix corrupted symlink in encrypted case

Jakub Sitnicki (1):
      ipv6: Count in extension headers in skb->network_header

James Bottomley (1):
      Merge branch 'fixes-base' into fixes

James Hogan (1):
      MIPS: cpu_name_string: Use raw_smp_processor_id().

James Morse (1):
      arm64: KVM: Register CPU notifiers when the kernel runs at HYP

Jan Kara (3):
      quota: Handle Q_GETNEXTQUOTA when quota is disabled
      ocfs2: Fix Q_GETNEXTQUOTA for filesystem without quotas
      ext4: retry block allocation for failed DIO and DAX writes

Jani Nikula (7):
      drm/i915: use for_each_port_masked in bxt phy init for clarity
      drm/i915/dsi: refer to gpio index instead of gpio to avoid confusion
      drm/i915/dsi: add support for DSI sequence block v2 gpio element
      drm/i915/chv: add more IOSF port definitions
      drm/i915/dsi: clean up vlv gpio table and definitions
      drm/i915/dsi: abstract VLV gpio element execution to a separate function
      drm/i915/dsi: use a temp variable for referencing the gpio table

Jason Wang (1):
      tuntap: restore default qdisc

Jeff Mahoney (1):
      mac80211: fix "warning: ‘target_metric’ may be used uninitialized"

Jesse Brandeburg (1):
      i40e: fix errant PCIe bandwidth message

Jiebing Li (1):
      usb: dwc3: gadget: release spin lock during gadget resume

Jiri Benc (1):
      MAINTAINERS: intel-wired-lan list is moderated

Joe Perches (1):
      orangefs: Add KERN_<LEVEL> to gossip_<level> macros

Joerg Roedel (1):
      iommu: Don't overwrite domain pointer when there is no default_domain

Johannes Berg (1):
      mac80211: properly deal with station hashtable insert errors

John Keeping (1):
      iommu/rockchip: Fix "is stall active" check

John Youn (2):
      usb: gadget: composite: Access SSP Dev Cap fields properly
      usb: ch9: Fix SSP Device Cap wFunctionalitySupport type

Joonas Lahtinen (6):
      drm/i915: Rename GGTT init functions
      drm/i915: Refer to GGTT {,VM} consistently
      Revert "drm/i915: Fix races on fbdev"
      drm/i915: Use i915_vm_to_ppgtt instead of manual container_of
      drm/i915: Do not WARN_ON in i915_vm_to_ppgtt
      drm/i915: Do not use {HAS_*, IS_*, INTEL_INFO}(dev_priv->dev)

Jorgen Hansen (1):
      VSOCK: Detach QP check should filter out non matching QPs.

Josef Bacik (1):
      Btrfs: don't use src fd for printk

Josh Boyer (1):
      USB: serial: ftdi_sio: Add support for ICP DAS I-756xU devices

Kirill A. Shutemov (3):
      mm, fs: get rid of PAGE_CACHE_* and page_cache_{get,release} macros
      mm, fs: remove remaining PAGE_CACHE_* and page_cache_{get,release} usage
      mm: drop PAGE_CACHE_* and page_cache_{get,release} definition

Knut Wohlrab (1):
      spi: imx: only do necessary changes to ECSPIx_CONFIGREG

Konrad Rzeszutek Wilk (2):
      Merge tag 'v4.6-rc1' into for-linus-4.6
      MAINTAINERS: xen: Konrad to step down and Juergen to pick up

Krzysztof Kozlowski (3):
      iio: adc: Fix build error of missing devm_ioremap_resource on UM
      MAINTAINERS: pinctrl: samsung: Add two new maintainers
      PM / runtime: Document steps for device removal

Kumar, Mahesh (1):
      drm/i915/skl+: Use plane size for relative data rate calculation

Len Brown (9):
      intel_idle: Add SKX support
      intel_idle: Add KBL support
      tools/power turbostat: SGX state should print only if --debug
      tools/power turbostat: print IRTL MSRs
      tools/power turbostat: initial BXT support
      tools/power turbostat: decode BXT TSC frequency via CPUID
      tools/power turbostat: initial SKX support
      tools/power turbostat: initial KBL support
      tools/power turbostat: work around RC6 counter wrap

Leo Liu (2):
      drm/amdgpu: save and restore UVD context with suspend and resume
      drm/amdgpu: save and restore the firwmware cache part when suspend resume

Linus Torvalds (35):
      Merge branch 'PAGE_CACHE_SIZE-removal'
      gma500: remove annoying deprecation warning
      Merge tag 'f2fs-for-linus' of git://git.kernel.org/.../jaegeuk/f2fs
      Merge branch 'for_linus' of git://git.kernel.org/.../jack/linux-fs
      Merge tag 'for-linus-4.6-rc2-tag' of git://git.kernel.org/.../xen/tip
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge tag 'linux-kselftest-4.6-rc3' of git://git.kernel.org/.../shuah/linux-kselftest
      Merge tag 'media/v4.6-3' of git://git.kernel.org/.../mchehab/linux-media
      Merge tag 'pinctrl-v4.6-2' of git://git.kernel.org/.../linusw/linux-pinctrl
      Merge tag 'spi-fix-v4.6-rc2' of git://git.kernel.org/.../broonie/spi
      Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'for-linus' of git://git.kernel.org/.../dledford/rdma
      x86: remove the kernel code/data/bss resources from /proc/iomem
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
      Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
      Merge tag 'ext4_for_linus_stable' of git://git.kernel.org/.../tytso/ext4
      Merge tag 'iommu-fixes-v4.6-rc2' of git://git.kernel.org/.../joro/iommu
      Merge tag 'for-linus-4.6-ofs1' of git://git.kernel.org/.../hubcap/linux
      Merge branch 'for-linus-4.6' of git://git.kernel.org/.../mason/linux-btrfs
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'pm+acpi-4.6-rc3' of git://git.kernel.org/.../rafael/linux-pm
      Merge tag 'md/4.6-rc2-fix' of git://git.kernel.org/.../shli/md
      Merge tag 'scsi-fixes' of git://git.kernel.org/.../jejb/scsi
      Merge tag 'staging-4.6-rc3' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'usb-4.6-rc3' of git://git.kernel.org/.../gregkh/usb
      Merge tag 'tty-4.6-rc3' of git://git.kernel.org/.../gregkh/tty
      Merge tag 'gpio-v4.6-3' of git://git.kernel.org/.../linusw/linux-gpio
      Merge branch 'libnvdimm-fixes' of git://git.kernel.org/.../nvdimm/nvdimm
      Merge branch 'parisc-4.6-3' of git://git.kernel.org/.../deller/parisc-linux
      Revert "ext4: allow readdir()'s of large empty directories to be interrupted"
      Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
      Merge tag 'mmc-v4.6-rc1' of git://git.linaro.org/people/ulf.hansson/mmc
      Merge branch 'fixes' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      Linux 4.6-rc3

Linus Walleij (2):
      pinctrl: nomadik: fix pull debug print inversion
      Revert "Revert "pinctrl: lantiq: Implement gpio_chip.to_irq""

Liu Bo (1):
      Btrfs: fix invalid reference in replace_path

Liu Ying (4):
      gpu: ipu-v3: ipu-dmfc: Protect function ipu_dmfc_init_channel() with mutex
      gpu: ipu-v3: ipu-dmfc: Make function ipu_dmfc_init_channel() return void
      gpu: ipu-v3: ipu-dmfc: Rename ipu_dmfc_init_channel to ipu_dmfc_config_wait4eot
      drm/imx: ipuv3-plane: Configure DMFC wait4eot bit after slots are determined

Luis de Bethencourt (2):
      mac80211: add doc for RX_FLAG_DUP_VALIDATED flag
      mac80211: remove description of dropped member

Luiz Capitulino (1):
      kvm: x86: make lapic hrtimer pinned

Maarten Lankhorst (7):
      drm/i915: Add locking to pll updates, v3.
      drm/i915: Pass crtc_state to color management functions.
      drm/i915: Do not check crtc_state->active in intel_color_load_luts.
      drm/i915: Update color management during vblank evasion.
      drm/i915: Make modeset state verifier take crtc as argument.
      drm/i915: Move modeset state verifier calls.
      drm/i915: Rename hw state checker to hw state verifier.

Maciej W. Rozycki (2):
      MIPS: traps: Correct the SIGTRAP debug ABI in `do_watch' and `do_trap_or_bp'
      MIPS: traps.c: Verify the ISA for microMIPS RDHWR emulation

Manoj N. Kumar (2):
      cxlflash: Fix regression issue with re-ordering patch
      cxlflash: Move to exponential back-off when cmd_room is not available

Manuel Lauss (1):
      pcmcia: db1xxx_ss: fix last irq_to_gpio user

Marcelo Ricardo Leitner (2):
      sctp: flush if we can't fit another DATA chunk
      sctp: use list_* in sctp_list_dequeue

Mark Brown (3):
      Merge remote-tracking branch 'spi/fix/core' into spi-linus
      Merge remote-tracking branch 'spi/fix/imx' into spi-linus
      Merge remote-tracking branches 'spi/fix/omap2' and 'spi/fix/rockchip' into spi-linus

Mark Fasheh (2):
      btrfs: handle non-fatal errors in btrfs_qgroup_inherit()
      btrfs: Add qgroup tracing

Markus Böhme (1):
      staging/rdma/hfi1: select CRC32

Martin Brandenburg (3):
      orangefs: clean up truncate ctime and mtime setting
      orangefs: strncpy -> strscpy
      orangefs: remove unused variable

Martin K. Petersen (1):
      sd: Fix excessive capacity printing on devices with blocks bigger than 512 bytes

Martyn Welch (1):
      USB: serial: cp210x: Adding GE Healthcare Device ID

Mathias Nyman (1):
      usb: fix regression in SuperSpeed endpoint descriptor parsing

Matt Ranostay (2):
      iio: light: apds9960: correct FIFO check condition
      iio: health: max30100: correct FIFO check condition

Matt Roper (1):
      drm/i915/bxt: Set max cdclk frequency properly

Matthew Auld (1):
      drm/i915: BUG_ON when ggtt_view is NULL

Matthew McClintock (3):
      pinctrl: qcom: ipq4019: set ngpios to correct value
      pinctrl: qcom: ipq4019: fix the function enum for gpio mode
      pinctrl: qcom: ipq4019: fix register offsets

Mauro Carvalho Chehab (5):
      [media] au0828: disable tuner links and cache tuner/decoder
      [media] v4l2-mc: cleanup a warning
      [media] au0828: Fix dev_state handling
      [media] Revert "[media] sound/usb: Use Media Controller API to share media resources"
      [media] Revert "[media] media: au0828 change to use Managed Media Controller API"

Michael S. Tsirkin (3):
      qemu_fw_cfg: don't leak kobj on init error
      virtio: virtio 1.0 cs04 spec compliance for reset
      MAINTAINERS: add entry for QEMU

Michel Dänzer (3):
      drm/radeon: Set vblank_disable_allowed = true
      drm/amdgpu: Set vblank_disable_allowed = true
      drm/radeon: Only call drm_vblank_on/off between drm_vblank_init/cleanup

Mickaël Salaün (2):
      selftest/seccomp: Fix the flag name SECCOMP_FILTER_FLAG_TSYNC
      selftest/seccomp: Fix the seccomp(2) signature

Mike Marshall (2):
      Orangefs: xattr.c cleanup
      Orangefs: optimize boilerplate code.

Miklos Szeredi (4):
      fs: add file_dentry()
      nfs: use file_dentry()
      ext4: use dget_parent() in ext4_file_open()
      ext4: use file_dentry()

Naveen N. Rao (7):
      samples/bpf: Fix build breakage with map_perf_test_user.c
      samples/bpf: Use llc in PATH, rather than a hardcoded value
      samples/bpf: Enable powerpc support
      lib/test_bpf: Fix JMP_JSET tests
      lib/test_bpf: Add tests for unsigned BPF_JGT
      lib/test_bpf: Add test to check for result of 32-bit add that overflows
      lib/test_bpf: Add additional BPF_ADD tests

Nicolas Pitre (1):
      ARM: 8550/1: protect idiv patching against undefined gcc behavior

Oliver Neukum (3):
      USB: mct_u232: add sanity checking in probe
      USB: cypress_m8: add endpoint sanity check
      USB: digi_acceleport: do sanity checking for the number of ports

Paolo Bonzini (4):
      Merge tag 'kvm-arm-for-4.6-rc2' of git://git.kernel.org/.../kvmarm/kvmarm into HEAD
      KVM: Hyper-V: do not do hypercall userspace exits if SynIC is disabled
      KVM: x86: reduce default value of halt_poll_ns parameter
      compiler-gcc: disable -ftracer for __noclone functions

Paul Burton (3):
      MIPS: Fix MSA ld unaligned failure cases
      MIPS: tlb-r4k: panic if the MMU doesn't support PAGE_SIZE
      MIPS: Bail on unsupported module relocs

Peter Hurley (2):
      tty: Fix UML console breakage
      tty: Fix merge of "tty: Refactor tty_open()"

Philipp Zabel (3):
      gpu: ipu-cpmem: modify ipu_cpmem_set_yuv_planar_full for better control
      drm/imx: ipuv3-plane: Add more thorough checks for plane parameter limitations
      drm/imx: ipuv3-plane: fix planar YUV 4:2:0 support

Przemek Rudy (1):
      usb: dwc2: do not override forced dr_mode in gadget setup

Qais Yousef (1):
      MIPS: Fix broken malta qemu

Qi Zheng (1):
      pinctrl: intel: implement gpio_irq_enable

Qipeng Zha (1):
      pinctrl: intel: make the high level interrupt working

Qu Wenruo (1):
      btrfs: Output more info for enospc_debug mount option

Rafael J. Wysocki (4):
      intel_pstate: Do not set utilization update hook too early
      intel_pstate: Avoid extra invocation of intel_pstate_sample()
      Merge branches 'pm-cpufreq', 'pm-cpuidle' and 'acpi-cppc'
      Merge branches 'pm-core', 'powercap' and 'pm-tools'

Ralf Baechle (2):
      MIPS: Wire up preadv2 and pwrite2 syscalls.
      FIRMWARE: Broadcom: Fix grammar of warning messages in bcm47xx_sprom.c.

Ramalingam C (2):
      drm/i915: Sharing the pixel_format_from_vbt to whole i915
      drm/i915/BXT: Get pipe conf from the port registers

Rex Zhu (9):
      drm/amd/powerplay: fix segment fault issue in multi-display case.
      drm/amdgpu: add an cgs interface to notify amdgpu the dpm state.
      drm/amdgpu: Not support disable dpm in powerplay.
      drm/amd/powerplay: notify amdgpu whether dpm is enabled or not.
      drm/amdgpu: check dpm state before pm system fs initialized.
      drm/amd/powerplay: add new Fiji function for not setting same ps.
      drm/amd/powerplay: Need to change boot to performance state in resume.
      drm/amd/powerplay: fix issue that resume back, dpm can't work on FIJI.
      drm/amd/powerplay: add uvd/vce dpm enabling flag default.

Richard Cochran (10):
      intel_idle: remove useless return from void function.
      intel_idle: Fix a helper function's return value.
      intel_idle: Remove redundant initialization calls.
      intel_idle: Fix deallocation order on the driver exit path.
      intel_idle: Fix dangling registration on error path.
      intel_idle: Avoid a double free of the per-CPU data.
      intel_idle: Setup the timer broadcast only on successful driver load.
      intel_idle: Don't overreact to a cpuidle registration failure.
      intel_idle: Propagate hot plug errors.
      intel_idle: Clean up all registered devices on exit.

Richard Weinberger (1):
      iio: imu: Fix inv_mpu6050 dependencies

Robert Jarzmik (1):
      gpio: pxa: fix legacy non pinctrl aware builds

Robin Murphy (1):
      iommu/dma: Restore scatterlist offsets correctly

Rodrigo Vivi (1):
      drm/i915/kbl: Remove preliminary_hw_support protection from KBL.

Roopa Prabhu (1):
      mpls: find_outdev: check for err ptr in addition to NULL check

Russell King (2):
      ARM: SMP enable of cache maintanence broadcast
      ARM: wire up preadv2 and pwritev2 syscalls

Sascha Hauer (1):
      spi: imx: Fix possible NULL pointer deref

Shanker Donthineni (1):
      mailbox: pcc: Don't access an unmapped memory address space

Shaohua Li (1):
      MD: add rdev reference for super write

Shawn Lin (1):
      spi: rockchip: fix probe deferral handling

Shuah Khan (2):
      [media] media: au0828 fix to clear enable/disable/change source handlers
      [media] au0828: fix au0828_v4l2_close() dev_state race condition

Shubhangi Shrivastava (7):
      drm/i915: Splitting intel_dp_detect
      drm/i915: Cleaning up intel_dp_hpd_pulse
      drm/i915: Reorganizing intel_dp_check_link_status
      drm/i915: Read sink_count dpcd always
      drm/i915: force full detect on sink count change
      drm/i915: Update VBT fields for child devices
      drm/i915: Set invert bit for hpd based on VBT

Shuoran Liu (1):
      f2fs: retrieve IO write stat from the right place

Srinivas Pandruvada (3):
      cpufreq: intel_pstate: fix inconsistency in setting policy limits
      cpufreq: intel_pstate: Documenation for structures
      powercap: intel_rapl: Add missing Haswell model

Stefan Eichenberger (2):
      iio: adc: max1363: add missing adc to max1363_id
      iio: adc: max1363: correct reference voltage

Stefan Hajnoczi (1):
      virtio: add VIRTIO_CONFIG_S_NEEDS_RESET device status bit

Stefano Stabellini (1):
      Xen on ARM and ARM64: update MAINTAINERS info

Strashko, Grygorii (1):
      PM / wakeirq: fix wakeirq setting after wakup re-configuration from sysfs

Suzuki K Poulose (1):
      arm64: kvm: 4.6-rc1: Fix VTCR_EL2 VS setting

Thadeu Lima de Souza Cascardo (1):
      ip6_tunnel: set rtnl_link_ops before calling register_netdevice

Theodore Ts'o (7):
      ext4: check if in-inode xattr is corrupted in ext4_expand_extra_isize_ea()
      ext4 crypto: don't let data integrity writebacks fail with ENOMEM
      ext4 crypto: use dget_parent() in ext4_d_revalidate()
      ext4: allow readdir()'s of large empty directories to be interrupted
      ext4: add lockdep annotations for i_data_sem
      ext4: avoid calling dquot_get_next_id() if quota is not enabled
      ext4: ignore quota mount options if the quota feature is enabled

Tim Gore (1):
      drm/i915: implement WaClearTdlStateAckDirtyBits

Tvrtko Ursulin (1):
      drm/i915: Move execlists irq handler to a bottom half

Vandana Kannan (1):
      drm/i915: BXT DDI PHY sequence BUN

Ville Syrjälä (10):
      drm/i915: Disable FDI RX before DDI_BUF_CTL
      drm/i915: Throw out BUGs from DPLL/PCH functions
      drm/i915: Make {vlv,chv}_{disable,update}_pll() more similar
      drm/i915: Implement WaPixelRepeatModeFixForC0:chv
      drm/i915: Add a local pipe variable to vlv_enable_pll()
      drm/i915: assert_panel_unlocked() in chv_enable_pll()
      drm/i915: Remove the "three times for luck" trick from vlv_enable_pll()
      drm/i915: Fix plane init failure paths
      drm/i915: Use GPLL ref clock to calculate GPU freqs on VLV/CHV
      drm/i915: Set GPU freq to idle_freq initially

Viresh Kumar (1):
      cpufreq: dt: Drop stale comment

Vladimir Zapolskiy (1):
      pinctrl: freescale: imx: fix bogus check of of_iomap() return value

WANG Cong (1):
      net_sched: fix a memory leak in tc action

Wei Fang (2):
      md:raid1: fix a dead loop when read from a WriteMostly disk
      md: fix a trivial typo in comments

Weijie Gao (1):
      MIPS: ath79: Fix the ar724x clock calculation

Wolfram Sang (6):
      pinctrl: sh-pfc: only use dummy states for non-DT platforms
      i2c: prevent endless uevent loop with CONFIG_I2C_DEBUG_CORE
      i2c: mux: demux-pinctrl: Update docs to new sysfs-attributes
      i2c: jz4780: prevent potential division by zero
      Revert "i2c: jz4780: prevent potential division by zero"
      i2c: jz4780: really prevent potential division by zero

Yauhen Kharuzhy (1):
      btrfs: Reset IO error counters before start of device replacing

Yong Li (1):
      gpio: pca953x: Use correct u16 value for register word write

Yoshihiro Shimoda (3):
      usb: renesas_usbhs: avoid NULL pointer derefernce in usbhsf_pkt_handler()
      usb: renesas_usbhs: disable TX IRQ before starting TX DMAC transfer
      usb: renesas_usbhs: fix to avoid using a disabled ep in usbhsg_queue_done()

Yu Zhao (1):
      kvm: set page dirty only if page has been writable

Yuki Shibuya (1):
      KVM: x86: Inject pending interrupt even if pending nmi exist

kbuild test robot (1):
      Orangefs: fix ifnullfree.cocci warnings

shamir rabinovitch (2):
      RDS: memory allocated must be align to 8
      RDS: fix congestion map corruption for PAGE_SIZE > 4k

stephen hemminger (1):
      bridge, netem: mark mailing lists as moderated

 .../ABI/testing/sysfs-platform-i2c-demux-pinctrl   |   29 +-
 Documentation/DocBook/gpu.tmpl                     |    8 +-
 .../devicetree/bindings/clock/qca,ath79-pll.txt    |    6 +-
 .../bindings/pinctrl/img,pistachio-pinctrl.txt     |   12 +-
 Documentation/filesystems/cramfs.txt               |    2 +-
 Documentation/filesystems/tmpfs.txt                |    2 +-
 Documentation/filesystems/vfs.txt                  |    4 +-
 Documentation/power/runtime_pm.txt                 |    4 +
 MAINTAINERS                                        |   33 +-
 Makefile                                           |    2 +-
 arch/arc/mm/cache.c                                |    2 +-
 arch/arm/include/asm/unistd.h                      |    2 +-
 arch/arm/include/uapi/asm/unistd.h                 |    2 +
 arch/arm/kernel/calls.S                            |    4 +-
 arch/arm/kernel/setup.c                            |    2 +
 arch/arm/kvm/arm.c                                 |   52 +-
 arch/arm/mm/flush.c                                |    4 +-
 arch/arm/mm/proc-v7.S                              |   10 +-
 arch/arm64/include/asm/kvm_arm.h                   |    4 +-
 arch/arm64/include/asm/sysreg.h                    |    3 +
 arch/arm64/kvm/hyp/s2-setup.c                      |    6 +-
 arch/mips/alchemy/common/dbdma.c                   |    4 +-
 arch/mips/alchemy/devboards/db1000.c               |   18 +-
 arch/mips/alchemy/devboards/db1550.c               |    4 +-
 arch/mips/ath79/clock.c                            |   44 +-
 arch/mips/bcm47xx/sprom.c                          |    4 +-
 arch/mips/boot/compressed/Makefile                 |    7 +-
 arch/mips/boot/dts/brcm/bcm7435.dtsi               |    2 +-
 arch/mips/boot/dts/qca/ar9132.dtsi                 |    2 +-
 arch/mips/boot/dts/qca/ar9132_tl_wr1043nd_v1.dts   |    2 +-
 .../executive/cvmx-interrupt-decodes.c             |   14 +-
 arch/mips/cavium-octeon/executive/cvmx-pko.c       |    2 +-
 arch/mips/cavium-octeon/smp.c                      |    2 +-
 arch/mips/configs/ci20_defconfig                   |   14 +-
 arch/mips/dec/int-handler.S                        |    2 +-
 arch/mips/fw/arc/memory.c                          |    2 +-
 arch/mips/include/asm/cpu-info.h                   |    2 +-
 .../asm/mach-cavium-octeon/kernel-entry-init.h     |    2 +-
 .../include/asm/mach-generic/kernel-entry-init.h   |    2 +-
 arch/mips/include/asm/mach-ip27/irq.h              |    2 +-
 .../mips/include/asm/mach-ip27/kernel-entry-init.h |    2 +-
 arch/mips/include/asm/mach-jz4740/gpio.h           |    2 +-
 arch/mips/include/asm/mips-cm.h                    |    2 +-
 arch/mips/include/asm/mips-r2-to-r6-emul.h         |    2 +-
 arch/mips/include/asm/octeon/cvmx-config.h         |    2 +-
 arch/mips/include/asm/octeon/cvmx.h                |    2 +-
 arch/mips/include/asm/pci/bridge.h                 |   18 +-
 arch/mips/include/asm/sgi/hpc3.h                   |    2 +-
 arch/mips/include/asm/sgiarcs.h                    |    4 +-
 arch/mips/include/asm/sn/ioc3.h                    |    2 +-
 arch/mips/include/asm/sn/sn0/hubio.h               |    2 +-
 arch/mips/include/asm/uaccess.h                    |    2 +-
 arch/mips/include/uapi/asm/unistd.h                |   18 +-
 arch/mips/kernel/mips-cm.c                         |    2 +-
 arch/mips/kernel/mips-r2-to-r6-emul.c              |   12 +-
 arch/mips/kernel/module-rela.c                     |   19 +-
 arch/mips/kernel/module.c                          |   19 +-
 arch/mips/kernel/perf_event_mipsxx.c               |    2 +-
 arch/mips/kernel/pm-cps.c                          |    2 +-
 arch/mips/kernel/process.c                         |    2 +-
 arch/mips/kernel/scall32-o32.S                     |    2 +
 arch/mips/kernel/scall64-64.S                      |    2 +
 arch/mips/kernel/scall64-n32.S                     |    2 +
 arch/mips/kernel/scall64-o32.S                     |    2 +
 arch/mips/kernel/smp.c                             |   12 +
 arch/mips/kernel/traps.c                           |   46 +-
 arch/mips/kernel/unaligned.c                       |   51 +-
 arch/mips/kvm/tlb.c                                |    2 +-
 arch/mips/kvm/trap_emul.c                          |    2 +-
 arch/mips/math-emu/ieee754dp.c                     |    6 +-
 arch/mips/math-emu/ieee754sp.c                     |    6 +-
 arch/mips/mm/sc-ip22.c                             |    2 +-
 arch/mips/mm/tlb-r4k.c                             |    5 +
 arch/mips/mm/tlbex.c                               |    2 +-
 arch/mips/sgi-ip27/ip27-memory.c                   |    2 +-
 arch/parisc/include/asm/uaccess.h                  |   11 +-
 arch/parisc/kernel/asm-offsets.c                   |    1 +
 arch/parisc/kernel/cache.c                         |    2 +-
 arch/parisc/kernel/module.c                        |    8 +
 arch/parisc/kernel/parisc_ksyms.c                  |   10 +-
 arch/parisc/kernel/traps.c                         |    3 +
 arch/parisc/lib/fixup.S                            |    6 +
 arch/parisc/mm/fault.c                             |    1 +
 arch/parisc/mm/init.c                              |    2 +-
 arch/powerpc/platforms/cell/spufs/inode.c          |    4 +-
 arch/s390/hypfs/inode.c                            |    4 +-
 arch/s390/mm/gmap.c                                |    4 +-
 arch/x86/include/asm/kvm_host.h                    |    2 +-
 arch/x86/include/asm/msr-index.h                   |    8 +
 arch/x86/kernel/setup.c                            |   37 -
 arch/x86/kvm/hyperv.c                              |    5 +
 arch/x86/kvm/lapic.c                               |    8 +-
 arch/x86/kvm/mmu.c                                 |   12 +-
 arch/x86/kvm/x86.c                                 |   20 +-
 arch/x86/xen/apic.c                                |   12 +-
 arch/x86/xen/smp.c                                 |    2 +
 block/bio.c                                        |   12 +-
 block/blk-core.c                                   |    2 +-
 block/blk-settings.c                               |   12 +-
 block/blk-sysfs.c                                  |    8 +-
 block/cfq-iosched.c                                |    2 +-
 block/compat_ioctl.c                               |    4 +-
 block/ioctl.c                                      |    4 +-
 block/partition-generic.c                          |    8 +-
 drivers/base/power/wakeup.c                        |    2 +
 drivers/block/aoe/aoeblk.c                         |    2 +-
 drivers/block/brd.c                                |    2 +-
 drivers/block/drbd/drbd_int.h                      |    4 +-
 drivers/block/drbd/drbd_nl.c                       |    2 +-
 drivers/block/rbd.c                                |    6 +-
 drivers/cpufreq/cpufreq-dt.c                       |    3 -
 drivers/cpufreq/intel_pstate.c                     |  206 +-
 drivers/firmware/qemu_fw_cfg.c                     |   24 +-
 drivers/gpio/gpio-pca953x.c                        |    3 +-
 drivers/gpio/gpio-pxa.c                            |    4 +-
 drivers/gpio/gpiolib.c                             |  133 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu.h                |    1 +
 drivers/gpu/drm/amd/amdgpu/amdgpu_cgs.c            |   24 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_display.c        |    2 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_fence.c          |    8 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_irq.c            |    2 +
 drivers/gpu/drm/amd/amdgpu/amdgpu_kms.c            |    1 +
 drivers/gpu/drm/amd/amdgpu/amdgpu_object.c         |   15 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_powerplay.c      |   10 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_ttm.c            |    2 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_uvd.c            |   58 +-
 drivers/gpu/drm/amd/amdgpu/gmc_v7_0.c              |   16 +-
 drivers/gpu/drm/amd/amdgpu/gmc_v8_0.c              |   23 +-
 drivers/gpu/drm/amd/amdgpu/uvd_v4_2.c              |    4 +-
 drivers/gpu/drm/amd/amdgpu/uvd_v5_0.c              |    4 +-
 drivers/gpu/drm/amd/amdgpu/uvd_v6_0.c              |    7 +-
 drivers/gpu/drm/amd/include/cgs_common.h           |    8 +
 .../drm/amd/powerplay/eventmgr/eventactionchains.c |    4 +-
 drivers/gpu/drm/amd/powerplay/hwmgr/fiji_hwmgr.c   |   69 +
 .../gpu/drm/amd/powerplay/hwmgr/hardwaremanager.c  |   16 +-
 drivers/gpu/drm/arm/hdlcd_drv.c                    |   14 +-
 drivers/gpu/drm/armada/armada_gem.c                |    4 +-
 drivers/gpu/drm/drm_gem.c                          |    4 +-
 drivers/gpu/drm/gma500/cdv_intel_dp.c              |    2 +-
 drivers/gpu/drm/i915/Kconfig                       |    6 +
 drivers/gpu/drm/i915/Kconfig.debug                 |   12 +
 drivers/gpu/drm/i915/Makefile                      |    2 +
 drivers/gpu/drm/i915/i915_cmd_parser.c             |  211 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  331 ++-
 drivers/gpu/drm/i915/i915_dma.c                    |  483 ++-
 drivers/gpu/drm/i915/i915_drv.c                    |   40 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  389 +--
 drivers/gpu/drm/i915/i915_gem.c                    |  553 ++--
 drivers/gpu/drm/i915/i915_gem_context.c            |  174 +-
 drivers/gpu/drm/i915/i915_gem_debug.c              |   16 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |   53 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  144 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  558 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   28 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |   12 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h       |    2 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           |   92 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   98 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   25 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  210 +-
 drivers/gpu/drm/i915/i915_guc_reg.h                |   11 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |   45 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  352 ++-
 drivers/gpu/drm/i915/i915_params.c                 |    9 +
 drivers/gpu/drm/i915/i915_params.h                 |    2 +
 drivers/gpu/drm/i915/i915_reg.h                    |  202 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   10 +
 drivers/gpu/drm/i915/i915_trace.h                  |   52 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |   36 +-
 drivers/gpu/drm/i915/intel_atomic.c                |    5 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |    4 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   18 +-
 drivers/gpu/drm/i915/intel_bios.c                  |  283 +-
 drivers/gpu/drm/i915/intel_bios.h                  |  861 +-----
 drivers/gpu/drm/i915/intel_color.c                 |  553 ++++
 drivers/gpu/drm/i915/intel_crt.c                   |   40 +-
 drivers/gpu/drm/i915/intel_csr.c                   |   86 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 1265 +-------
 drivers/gpu/drm/i915/intel_display.c               | 3066 ++++++++++----------
 drivers/gpu/drm/i915/intel_dp.c                    |  438 ++-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   10 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c              | 1794 ++++++++++++
 drivers/gpu/drm/i915/intel_dpll_mgr.h              |  164 ++
 drivers/gpu/drm/i915/intel_drv.h                   |  175 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  194 +-
 drivers/gpu/drm/i915/intel_dsi.h                   |   16 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c         |  177 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c               |  126 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |    5 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   20 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |   10 +-
 drivers/gpu/drm/i915/intel_guc_loader.c            |   73 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |    5 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |    6 +-
 drivers/gpu/drm/i915/intel_lrc.c                   | 1036 +++----
 drivers/gpu/drm/i915/intel_lrc.h                   |   15 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   70 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   14 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   70 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   52 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  547 ++--
 drivers/gpu/drm/i915/intel_psr.c                   |    8 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 1553 +++++-----
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  127 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  161 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   20 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   61 +-
 drivers/gpu/drm/i915/intel_tv.c                    |   58 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  182 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h              |  832 ++++++
 drivers/gpu/drm/imx/dw_hdmi-imx.c                  |   13 +-
 drivers/gpu/drm/imx/imx-drm-core.c                 |   10 -
 drivers/gpu/drm/imx/ipuv3-plane.c                  |  123 +-
 drivers/gpu/drm/imx/ipuv3-plane.h                  |    4 +
 drivers/gpu/drm/nouveau/include/nvkm/core/tegra.h  |    5 +
 drivers/gpu/drm/nouveau/nouveau_platform.c         |    7 +-
 drivers/gpu/drm/nouveau/nvkm/engine/device/tegra.c |   17 +
 drivers/gpu/drm/radeon/atombios_crtc.c             |    6 +-
 drivers/gpu/drm/radeon/radeon_irq_kms.c            |    2 +
 drivers/gpu/drm/radeon/radeon_legacy_crtc.c        |    6 +-
 drivers/gpu/drm/radeon/radeon_ttm.c                |    2 +-
 drivers/gpu/drm/ttm/ttm_tt.c                       |    4 +-
 drivers/gpu/drm/via/via_dmablit.c                  |    2 +-
 drivers/gpu/ipu-v3/ipu-cpmem.c                     |   79 +-
 drivers/gpu/ipu-v3/ipu-dmfc.c                      |    8 +-
 drivers/i2c/busses/i2c-jz4780.c                    |    7 +-
 drivers/i2c/i2c-core.c                             |   10 +-
 drivers/i2c/muxes/i2c-demux-pinctrl.c              |   39 +-
 drivers/idle/intel_idle.c                          |   97 +-
 drivers/iio/accel/bmc150-accel-core.c              |    7 +-
 drivers/iio/adc/Kconfig                            |    1 +
 drivers/iio/adc/max1363.c                          |   12 +-
 drivers/iio/gyro/bmg160_core.c                     |    9 +-
 drivers/iio/health/max30100.c                      |    3 +-
 drivers/iio/imu/inv_mpu6050/Kconfig                |    3 +-
 drivers/iio/industrialio-buffer.c                  |    1 +
 drivers/iio/light/apds9960.c                       |    3 +-
 drivers/iio/magnetometer/st_magn.h                 |    1 +
 drivers/infiniband/hw/i40iw/i40iw_cm.c             |   10 +-
 drivers/infiniband/hw/mlx5/mlx5_ib.h               |   18 +-
 drivers/infiniband/ulp/srpt/ib_srpt.c              |   55 +-
 drivers/infiniband/ulp/srpt/ib_srpt.h              |    2 +
 drivers/iommu/dma-iommu.c                          |    4 +-
 drivers/iommu/intel-iommu.c                        |    2 +-
 drivers/iommu/iommu.c                              |    3 +-
 drivers/iommu/rockchip-iommu.c                     |    8 +-
 drivers/mailbox/pcc.c                              |    4 +-
 drivers/md/bitmap.c                                |   21 +-
 drivers/md/md.c                                    |    5 +-
 drivers/md/raid1.c                                 |    2 +-
 drivers/media/usb/au0828/au0828-cards.c            |    4 -
 drivers/media/usb/au0828/au0828-core.c             |   52 +-
 drivers/media/usb/au0828/au0828-input.c            |    4 +-
 drivers/media/usb/au0828/au0828-video.c            |   63 +-
 drivers/media/usb/au0828/au0828.h                  |    9 +-
 drivers/media/v4l2-core/videobuf-dma-sg.c          |    2 +-
 drivers/misc/ibmasm/ibmasmfs.c                     |    4 +-
 drivers/misc/vmw_vmci/vmci_queue_pair.c            |    2 +-
 drivers/mmc/core/host.c                            |    6 +-
 drivers/mmc/host/sdhci-pci-core.c                  |   25 +
 drivers/mmc/host/sdhci-pci.h                       |    3 +
 drivers/mmc/host/sdhci-pxav3.c                     |   22 +
 drivers/mmc/host/sdhci.c                           |   39 +-
 drivers/mmc/host/sdhci.h                           |    4 +
 drivers/mmc/host/sh_mmcif.c                        |    2 +-
 drivers/mmc/host/tmio_mmc_dma.c                    |    4 +-
 drivers/mmc/host/tmio_mmc_pio.c                    |    2 +-
 drivers/mmc/host/usdhi6rol0.c                      |    2 +-
 drivers/mtd/devices/block2mtd.c                    |    6 +-
 drivers/mtd/nand/nandsim.c                         |    6 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_pci_id_tbl.h |    1 +
 drivers/net/ethernet/intel/e1000/e1000_main.c      |   21 +-
 drivers/net/ethernet/intel/i40e/i40e_main.c        |    1 +
 drivers/net/ethernet/stmicro/stmmac/stmmac_main.c  |   22 +-
 drivers/net/tun.c                                  |    4 +-
 drivers/nvdimm/btt.c                               |    2 +-
 drivers/nvdimm/bus.c                               |    2 +-
 drivers/nvdimm/core.c                              |   41 +-
 drivers/nvdimm/nd.h                                |    4 +-
 drivers/nvdimm/pfn_devs.c                          |    2 +-
 drivers/nvdimm/pmem.c                              |   38 +-
 drivers/oprofile/oprofilefs.c                      |    4 +-
 drivers/pcmcia/db1xxx_ss.c                         |   11 +-
 drivers/pinctrl/freescale/pinctrl-imx.c            |   17 +-
 drivers/pinctrl/intel/pinctrl-intel.c              |   35 +-
 drivers/pinctrl/nomadik/pinctrl-nomadik.c          |    2 +-
 drivers/pinctrl/pinctrl-pistachio.c                |   24 +-
 drivers/pinctrl/pinctrl-xway.c                     |   17 +
 drivers/pinctrl/qcom/pinctrl-ipq4019.c             |   14 +-
 drivers/pinctrl/sh-pfc/core.c                      |    4 +-
 drivers/pinctrl/sunxi/pinctrl-sun8i-a33.c          |    1 +
 drivers/pinctrl/sunxi/pinctrl-sunxi.c              |   17 +-
 drivers/pinctrl/sunxi/pinctrl-sunxi.h              |   21 +-
 drivers/powercap/intel_rapl.c                      |    1 +
 drivers/scsi/aacraid/linit.c                       |    3 +-
 drivers/scsi/cxlflash/main.c                       |  138 +-
 drivers/scsi/cxlflash/main.h                       |    5 +-
 drivers/scsi/device_handler/scsi_dh_alua.c         |    4 +-
 drivers/scsi/mpt3sas/mpt3sas_base.c                |   33 +-
 drivers/scsi/scsi.c                                |    3 +-
 drivers/scsi/scsi_sysfs.c                          |    8 +-
 drivers/scsi/sd.c                                  |   49 +-
 drivers/scsi/sd.h                                  |    7 +-
 drivers/scsi/st.c                                  |    4 +-
 drivers/spi/spi-imx.c                              |   16 +-
 drivers/spi/spi-omap2-mcspi.c                      |   62 +-
 drivers/spi/spi-rockchip.c                         |   16 +-
 drivers/spi/spi.c                                  |    4 +-
 drivers/staging/Kconfig                            |    2 +
 drivers/staging/Makefile                           |    1 +
 .../lustre/include/linux/libcfs/libcfs_private.h   |    2 +-
 .../lustre/include/linux/libcfs/linux/linux-mem.h  |    4 +-
 drivers/staging/lustre/include/linux/lnet/types.h  |    2 +-
 .../lustre/lnet/klnds/socklnd/socklnd_lib.c        |    2 +-
 drivers/staging/lustre/lnet/libcfs/debug.c         |    2 +-
 drivers/staging/lustre/lnet/libcfs/tracefile.c     |   16 +-
 drivers/staging/lustre/lnet/libcfs/tracefile.h     |    6 +-
 drivers/staging/lustre/lnet/lnet/lib-md.c          |    2 +-
 drivers/staging/lustre/lnet/lnet/lib-move.c        |    6 +-
 drivers/staging/lustre/lnet/lnet/lib-socket.c      |    4 +-
 drivers/staging/lustre/lnet/lnet/router.c          |    6 +-
 drivers/staging/lustre/lnet/selftest/brw_test.c    |   20 +-
 drivers/staging/lustre/lnet/selftest/conctl.c      |    4 +-
 drivers/staging/lustre/lnet/selftest/conrpc.c      |   10 +-
 drivers/staging/lustre/lnet/selftest/framework.c   |    2 +-
 drivers/staging/lustre/lnet/selftest/rpc.c         |    2 +-
 drivers/staging/lustre/lnet/selftest/selftest.h    |    6 +-
 .../lustre/include/linux/lustre_patchless_compat.h |    2 +-
 drivers/staging/lustre/lustre/include/lu_object.h  |    2 +-
 .../lustre/lustre/include/lustre/lustre_idl.h      |    6 +-
 drivers/staging/lustre/lustre/include/lustre_mdc.h |    4 +-
 drivers/staging/lustre/lustre/include/lustre_net.h |   10 +-
 drivers/staging/lustre/lustre/include/obd.h        |    4 +-
 .../staging/lustre/lustre/include/obd_support.h    |    2 +-
 drivers/staging/lustre/lustre/lclient/lcommon_cl.c |    4 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_lib.c      |   12 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_pool.c     |    2 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_request.c  |    2 +-
 drivers/staging/lustre/lustre/llite/dir.c          |   23 +-
 .../staging/lustre/lustre/llite/llite_internal.h   |    8 +-
 drivers/staging/lustre/lustre/llite/llite_lib.c    |    8 +-
 drivers/staging/lustre/lustre/llite/llite_mmap.c   |    8 +-
 drivers/staging/lustre/lustre/llite/lloop.c        |   12 +-
 drivers/staging/lustre/lustre/llite/lproc_llite.c  |   18 +-
 drivers/staging/lustre/lustre/llite/rw.c           |   24 +-
 drivers/staging/lustre/lustre/llite/rw26.c         |   28 +-
 drivers/staging/lustre/lustre/llite/vvp_io.c       |   10 +-
 drivers/staging/lustre/lustre/llite/vvp_page.c     |    8 +-
 drivers/staging/lustre/lustre/lmv/lmv_obd.c        |   12 +-
 drivers/staging/lustre/lustre/mdc/mdc_request.c    |    6 +-
 drivers/staging/lustre/lustre/mgc/mgc_request.c    |   22 +-
 drivers/staging/lustre/lustre/obdclass/cl_page.c   |    6 +-
 drivers/staging/lustre/lustre/obdclass/class_obd.c |    6 +-
 .../lustre/lustre/obdclass/linux/linux-obdo.c      |    5 +-
 .../lustre/lustre/obdclass/linux/linux-sysctl.c    |    6 +-
 drivers/staging/lustre/lustre/obdclass/lu_object.c |    6 +-
 .../staging/lustre/lustre/obdecho/echo_client.c    |   30 +-
 drivers/staging/lustre/lustre/osc/lproc_osc.c      |   16 +-
 drivers/staging/lustre/lustre/osc/osc_cache.c      |   44 +-
 drivers/staging/lustre/lustre/osc/osc_page.c       |    6 +-
 drivers/staging/lustre/lustre/osc/osc_request.c    |   26 +-
 drivers/staging/lustre/lustre/ptlrpc/client.c      |    6 +-
 drivers/staging/lustre/lustre/ptlrpc/import.c      |    2 +-
 .../staging/lustre/lustre/ptlrpc/lproc_ptlrpc.c    |    4 +-
 drivers/staging/lustre/lustre/ptlrpc/recover.c     |    2 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_bulk.c    |    2 +-
 drivers/staging/olpc_dcon/Kconfig                  |   35 +
 drivers/staging/olpc_dcon/Makefile                 |    6 +
 drivers/staging/olpc_dcon/TODO                     |    9 +
 drivers/staging/olpc_dcon/olpc_dcon.c              |  813 ++++++
 drivers/staging/olpc_dcon/olpc_dcon.h              |  111 +
 drivers/staging/olpc_dcon/olpc_dcon_xo_1.c         |  205 ++
 drivers/staging/olpc_dcon/olpc_dcon_xo_1_5.c       |  161 +
 drivers/staging/rdma/hfi1/Kconfig                  |    1 +
 drivers/tty/tty_io.c                               |    5 +-
 drivers/usb/core/config.c                          |   16 +-
 drivers/usb/dwc2/gadget.c                          |   23 +-
 drivers/usb/dwc3/core.c                            |   48 +-
 drivers/usb/dwc3/dwc3-keystone.c                   |    5 -
 drivers/usb/dwc3/dwc3-pci.c                        |    2 +
 drivers/usb/dwc3/gadget.c                          |   11 +-
 drivers/usb/gadget/composite.c                     |    8 +-
 drivers/usb/gadget/function/f_fs.c                 |    4 +-
 drivers/usb/gadget/function/f_midi.c               |   17 +-
 drivers/usb/gadget/legacy/inode.c                  |    4 +-
 drivers/usb/gadget/udc/atmel_usba_udc.c            |   14 -
 drivers/usb/gadget/udc/udc-core.c                  |    6 -
 drivers/usb/phy/phy-qcom-8x16-usb.c                |   72 +-
 drivers/usb/renesas_usbhs/fifo.c                   |    4 +-
 drivers/usb/renesas_usbhs/mod_gadget.c             |    6 +-
 drivers/usb/serial/cp210x.c                        |    1 +
 drivers/usb/serial/cypress_m8.c                    |   11 +-
 drivers/usb/serial/digi_acceleport.c               |   19 +
 drivers/usb/serial/ftdi_sio.c                      |    4 +
 drivers/usb/serial/ftdi_sio_ids.h                  |    8 +
 drivers/usb/serial/mct_u232.c                      |    9 +-
 drivers/usb/serial/option.c                        |    2 +
 drivers/usb/storage/scsiglue.c                     |    2 +-
 drivers/usb/usbip/usbip_common.c                   |   11 +
 drivers/video/fbdev/pvr2fb.c                       |    2 +-
 drivers/virtio/virtio_pci_modern.c                 |   11 +-
 drivers/xen/events/events_base.c                   |   28 +-
 fs/9p/vfs_addr.c                                   |   18 +-
 fs/9p/vfs_file.c                                   |    4 +-
 fs/9p/vfs_super.c                                  |    2 +-
 fs/affs/file.c                                     |   26 +-
 fs/afs/dir.c                                       |    2 +-
 fs/afs/file.c                                      |    4 +-
 fs/afs/mntpt.c                                     |    6 +-
 fs/afs/super.c                                     |    4 +-
 fs/afs/write.c                                     |   26 +-
 fs/binfmt_elf.c                                    |    2 +-
 fs/binfmt_elf_fdpic.c                              |    2 +-
 fs/block_dev.c                                     |    4 +-
 fs/btrfs/check-integrity.c                         |   64 +-
 fs/btrfs/compression.c                             |   84 +-
 fs/btrfs/ctree.c                                   |   12 +-
 fs/btrfs/dev-replace.c                             |    2 +
 fs/btrfs/disk-io.c                                 |   14 +-
 fs/btrfs/extent-tree.c                             |   25 +-
 fs/btrfs/extent_io.c                               |  266 +-
 fs/btrfs/extent_io.h                               |    6 +-
 fs/btrfs/file-item.c                               |    4 +-
 fs/btrfs/file.c                                    |   51 +-
 fs/btrfs/free-space-cache.c                        |   30 +-
 fs/btrfs/inode-map.c                               |   10 +-
 fs/btrfs/inode.c                                   |  104 +-
 fs/btrfs/ioctl.c                                   |   86 +-
 fs/btrfs/lzo.c                                     |   32 +-
 fs/btrfs/qgroup.c                                  |   63 +-
 fs/btrfs/raid56.c                                  |   28 +-
 fs/btrfs/reada.c                                   |   30 +-
 fs/btrfs/relocation.c                              |   17 +-
 fs/btrfs/scrub.c                                   |   24 +-
 fs/btrfs/send.c                                    |   16 +-
 fs/btrfs/struct-funcs.c                            |    4 +-
 fs/btrfs/tests/extent-io-tests.c                   |   44 +-
 fs/btrfs/tests/free-space-tests.c                  |    2 +-
 fs/btrfs/tree-log.c                                |  137 +
 fs/btrfs/volumes.c                                 |   14 +-
 fs/btrfs/zlib.c                                    |   38 +-
 fs/buffer.c                                        |  100 +-
 fs/cachefiles/rdwr.c                               |   38 +-
 fs/ceph/addr.c                                     |  114 +-
 fs/ceph/caps.c                                     |    2 +-
 fs/ceph/dir.c                                      |    4 +-
 fs/ceph/file.c                                     |   32 +-
 fs/ceph/inode.c                                    |    6 +-
 fs/ceph/mds_client.c                               |    2 +-
 fs/ceph/mds_client.h                               |    2 +-
 fs/ceph/super.c                                    |    8 +-
 fs/cifs/cifsfs.c                                   |    2 +-
 fs/cifs/cifsglob.h                                 |    4 +-
 fs/cifs/cifssmb.c                                  |   16 +-
 fs/cifs/connect.c                                  |    2 +-
 fs/cifs/file.c                                     |   96 +-
 fs/cifs/inode.c                                    |   10 +-
 fs/configfs/mount.c                                |    4 +-
 fs/cramfs/README                                   |   26 +-
 fs/cramfs/inode.c                                  |   32 +-
 fs/crypto/crypto.c                                 |    8 +-
 fs/dax.c                                           |   34 +-
 fs/dcache.c                                        |    5 +-
 fs/direct-io.c                                     |   26 +-
 fs/dlm/lowcomms.c                                  |    8 +-
 fs/ecryptfs/crypto.c                               |   22 +-
 fs/ecryptfs/inode.c                                |    8 +-
 fs/ecryptfs/keystore.c                             |    2 +-
 fs/ecryptfs/main.c                                 |    8 +-
 fs/ecryptfs/mmap.c                                 |   44 +-
 fs/ecryptfs/read_write.c                           |   14 +-
 fs/efivarfs/super.c                                |    4 +-
 fs/exofs/dir.c                                     |   30 +-
 fs/exofs/inode.c                                   |   34 +-
 fs/exofs/namei.c                                   |    4 +-
 fs/ext2/dir.c                                      |   36 +-
 fs/ext2/namei.c                                    |    6 +-
 fs/ext4/crypto.c                                   |   57 +-
 fs/ext4/dir.c                                      |    4 +-
 fs/ext4/ext4.h                                     |   33 +-
 fs/ext4/file.c                                     |   16 +-
 fs/ext4/inline.c                                   |   18 +-
 fs/ext4/inode.c                                    |  176 +-
 fs/ext4/mballoc.c                                  |   40 +-
 fs/ext4/move_extent.c                              |   27 +-
 fs/ext4/page-io.c                                  |   18 +-
 fs/ext4/readpage.c                                 |   14 +-
 fs/ext4/super.c                                    |   65 +-
 fs/ext4/symlink.c                                  |    4 +-
 fs/ext4/xattr.c                                    |   32 +-
 fs/f2fs/data.c                                     |   52 +-
 fs/f2fs/debug.c                                    |    6 +-
 fs/f2fs/dir.c                                      |    4 +-
 fs/f2fs/f2fs.h                                     |    2 +-
 fs/f2fs/file.c                                     |   74 +-
 fs/f2fs/inline.c                                   |   10 +-
 fs/f2fs/namei.c                                    |   16 +-
 fs/f2fs/node.c                                     |   10 +-
 fs/f2fs/recovery.c                                 |    2 +-
 fs/f2fs/segment.c                                  |   16 +-
 fs/f2fs/super.c                                    |  108 +-
 fs/freevxfs/vxfs_immed.c                           |    4 +-
 fs/freevxfs/vxfs_lookup.c                          |   12 +-
 fs/freevxfs/vxfs_subr.c                            |    2 +-
 fs/fs-writeback.c                                  |    2 +-
 fs/fscache/page.c                                  |   10 +-
 fs/fuse/dev.c                                      |   26 +-
 fs/fuse/file.c                                     |   72 +-
 fs/fuse/inode.c                                    |   16 +-
 fs/gfs2/aops.c                                     |   44 +-
 fs/gfs2/bmap.c                                     |   12 +-
 fs/gfs2/file.c                                     |   16 +-
 fs/gfs2/meta_io.c                                  |    4 +-
 fs/gfs2/quota.c                                    |   14 +-
 fs/gfs2/rgrp.c                                     |    5 +-
 fs/hfs/bnode.c                                     |   12 +-
 fs/hfs/btree.c                                     |   20 +-
 fs/hfs/inode.c                                     |    8 +-
 fs/hfsplus/bitmap.c                                |    2 +-
 fs/hfsplus/bnode.c                                 |   90 +-
 fs/hfsplus/btree.c                                 |   22 +-
 fs/hfsplus/inode.c                                 |    8 +-
 fs/hfsplus/super.c                                 |    2 +-
 fs/hfsplus/xattr.c                                 |    6 +-
 fs/hostfs/hostfs_kern.c                            |   18 +-
 fs/hugetlbfs/inode.c                               |   10 +-
 fs/isofs/compress.c                                |   36 +-
 fs/isofs/inode.c                                   |    2 +-
 fs/jbd2/commit.c                                   |    4 +-
 fs/jbd2/journal.c                                  |    2 +-
 fs/jbd2/transaction.c                              |    4 +-
 fs/jffs2/debug.c                                   |    8 +-
 fs/jffs2/file.c                                    |   23 +-
 fs/jffs2/fs.c                                      |    8 +-
 fs/jffs2/gc.c                                      |    8 +-
 fs/jffs2/nodelist.c                                |    8 +-
 fs/jffs2/write.c                                   |    7 +-
 fs/jfs/jfs_metapage.c                              |   42 +-
 fs/jfs/jfs_metapage.h                              |    4 +-
 fs/jfs/super.c                                     |    2 +-
 fs/kernfs/mount.c                                  |    4 +-
 fs/libfs.c                                         |   24 +-
 fs/logfs/dev_bdev.c                                |    2 +-
 fs/logfs/dev_mtd.c                                 |   10 +-
 fs/logfs/dir.c                                     |   12 +-
 fs/logfs/file.c                                    |   26 +-
 fs/logfs/readwrite.c                               |   20 +-
 fs/logfs/segment.c                                 |   28 +-
 fs/logfs/super.c                                   |   16 +-
 fs/minix/dir.c                                     |   18 +-
 fs/minix/namei.c                                   |    4 +-
 fs/mpage.c                                         |   22 +-
 fs/ncpfs/dir.c                                     |   10 +-
 fs/ncpfs/ncplib_kernel.h                           |    2 +-
 fs/nfs/blocklayout/blocklayout.c                   |   24 +-
 fs/nfs/blocklayout/blocklayout.h                   |    4 +-
 fs/nfs/client.c                                    |    8 +-
 fs/nfs/dir.c                                       |   10 +-
 fs/nfs/direct.c                                    |    8 +-
 fs/nfs/file.c                                      |   20 +-
 fs/nfs/inode.c                                     |    2 +-
 fs/nfs/internal.h                                  |    6 +-
 fs/nfs/nfs4file.c                                  |    4 +-
 fs/nfs/nfs4xdr.c                                   |    2 +-
 fs/nfs/objlayout/objio_osd.c                       |    2 +-
 fs/nfs/pagelist.c                                  |    6 +-
 fs/nfs/pnfs.c                                      |    6 +-
 fs/nfs/read.c                                      |   16 +-
 fs/nfs/write.c                                     |    4 +-
 fs/nilfs2/bmap.c                                   |    2 +-
 fs/nilfs2/btnode.c                                 |   10 +-
 fs/nilfs2/dir.c                                    |   32 +-
 fs/nilfs2/gcinode.c                                |    2 +-
 fs/nilfs2/inode.c                                  |    4 +-
 fs/nilfs2/mdt.c                                    |   14 +-
 fs/nilfs2/namei.c                                  |    4 +-
 fs/nilfs2/page.c                                   |   18 +-
 fs/nilfs2/recovery.c                               |    4 +-
 fs/nilfs2/segment.c                                |    2 +-
 fs/ntfs/aops.c                                     |   50 +-
 fs/ntfs/aops.h                                     |    4 +-
 fs/ntfs/attrib.c                                   |   28 +-
 fs/ntfs/bitmap.c                                   |   10 +-
 fs/ntfs/compress.c                                 |   77 +-
 fs/ntfs/dir.c                                      |   56 +-
 fs/ntfs/file.c                                     |   56 +-
 fs/ntfs/index.c                                    |   14 +-
 fs/ntfs/inode.c                                    |   12 +-
 fs/ntfs/lcnalloc.c                                 |    6 +-
 fs/ntfs/logfile.c                                  |   16 +-
 fs/ntfs/mft.c                                      |   34 +-
 fs/ntfs/ntfs.h                                     |    2 +-
 fs/ntfs/super.c                                    |   72 +-
 fs/ocfs2/alloc.c                                   |   28 +-
 fs/ocfs2/aops.c                                    |   50 +-
 fs/ocfs2/cluster/heartbeat.c                       |   10 +-
 fs/ocfs2/dlmfs/dlmfs.c                             |    4 +-
 fs/ocfs2/file.c                                    |   14 +-
 fs/ocfs2/mmap.c                                    |    6 +-
 fs/ocfs2/ocfs2.h                                   |   20 +-
 fs/ocfs2/quota_global.c                            |   11 +-
 fs/ocfs2/refcounttree.c                            |   24 +-
 fs/ocfs2/super.c                                   |    4 +-
 fs/orangefs/dir.c                                  |    4 +-
 fs/orangefs/inode.c                                |   26 +-
 fs/orangefs/orangefs-bufmap.c                      |    4 +-
 fs/orangefs/orangefs-debugfs.c                     |    3 +-
 fs/orangefs/orangefs-utils.c                       |    8 +-
 fs/orangefs/protocol.h                             |   33 +-
 fs/orangefs/xattr.c                                |   19 +-
 fs/overlayfs/super.c                               |   33 +
 fs/pipe.c                                          |    6 +-
 fs/proc/task_mmu.c                                 |    2 +-
 fs/proc/vmcore.c                                   |    4 +-
 fs/pstore/inode.c                                  |    4 +-
 fs/qnx6/dir.c                                      |   16 +-
 fs/qnx6/inode.c                                    |    4 +-
 fs/qnx6/qnx6.h                                     |    2 +-
 fs/quota/dquot.c                                   |   13 +-
 fs/ramfs/inode.c                                   |    4 +-
 fs/reiserfs/file.c                                 |    4 +-
 fs/reiserfs/inode.c                                |   44 +-
 fs/reiserfs/ioctl.c                                |    4 +-
 fs/reiserfs/journal.c                              |    6 +-
 fs/reiserfs/stree.c                                |    4 +-
 fs/reiserfs/tail_conversion.c                      |    4 +-
 fs/reiserfs/xattr.c                                |   18 +-
 fs/splice.c                                        |   32 +-
 fs/squashfs/block.c                                |    4 +-
 fs/squashfs/cache.c                                |   18 +-
 fs/squashfs/decompressor.c                         |    2 +-
 fs/squashfs/file.c                                 |   24 +-
 fs/squashfs/file_direct.c                          |   22 +-
 fs/squashfs/lz4_wrapper.c                          |    8 +-
 fs/squashfs/lzo_wrapper.c                          |    8 +-
 fs/squashfs/page_actor.c                           |    4 +-
 fs/squashfs/page_actor.h                           |    2 +-
 fs/squashfs/super.c                                |    2 +-
 fs/squashfs/symlink.c                              |    6 +-
 fs/squashfs/xz_wrapper.c                           |    4 +-
 fs/squashfs/zlib_wrapper.c                         |    4 +-
 fs/sync.c                                          |    4 +-
 fs/sysv/dir.c                                      |   18 +-
 fs/sysv/namei.c                                    |    4 +-
 fs/ubifs/file.c                                    |   54 +-
 fs/ubifs/super.c                                   |    6 +-
 fs/ubifs/ubifs.h                                   |    4 +-
 fs/udf/file.c                                      |    6 +-
 fs/udf/inode.c                                     |    4 +-
 fs/ufs/balloc.c                                    |    6 +-
 fs/ufs/dir.c                                       |   32 +-
 fs/ufs/inode.c                                     |    4 +-
 fs/ufs/namei.c                                     |    6 +-
 fs/ufs/util.c                                      |    4 +-
 fs/ufs/util.h                                      |    2 +-
 fs/xfs/libxfs/xfs_bmap.c                           |    4 +-
 fs/xfs/xfs_aops.c                                  |   22 +-
 fs/xfs/xfs_bmap_util.c                             |    4 +-
 fs/xfs/xfs_file.c                                  |   12 +-
 fs/xfs/xfs_linux.h                                 |    2 +-
 fs/xfs/xfs_mount.c                                 |    2 +-
 fs/xfs/xfs_mount.h                                 |    4 +-
 fs/xfs/xfs_pnfs.c                                  |    4 +-
 fs/xfs/xfs_super.c                                 |    8 +-
 include/drm/drm_mem_util.h                         |   19 +
 include/drm/ttm/ttm_bo_api.h                       |    2 +-
 include/linux/backing-dev-defs.h                   |    2 +-
 include/linux/bio.h                                |    2 +-
 include/linux/blkdev.h                             |    2 +-
 include/linux/buffer_head.h                        |    4 +-
 include/linux/ceph/libceph.h                       |    4 +-
 include/linux/compiler-gcc.h                       |    2 +-
 include/linux/dcache.h                             |   10 +
 include/linux/f2fs_fs.h                            |    4 +-
 include/linux/fs.h                                 |   14 +-
 include/linux/iommu.h                              |    2 -
 include/linux/mm.h                                 |    2 +-
 include/linux/mm_types.h                           |    2 +-
 include/linux/netdevice.h                          |    5 +-
 include/linux/nfs_page.h                           |    6 +-
 include/linux/nilfs2_fs.h                          |    4 +-
 include/linux/pagemap.h                            |   32 +-
 include/linux/sunrpc/svc.h                         |    2 +-
 include/linux/swap.h                               |    4 +-
 include/linux/vmalloc.h                            |    4 +
 include/net/act_api.h                              |    1 +
 include/net/mac80211.h                             |    2 +
 include/net/sctp/sctp.h                            |    6 +-
 include/scsi/scsi_device.h                         |   25 +
 include/trace/events/btrfs.h                       |   89 +-
 include/uapi/linux/usb/ch9.h                       |    2 +-
 include/uapi/linux/virtio_config.h                 |    2 +
 include/video/imx-ipu-v3.h                         |    7 +-
 ipc/mqueue.c                                       |    4 +-
 kernel/events/uprobes.c                            |    8 +-
 lib/test_bpf.c                                     |  229 +-
 mm/fadvise.c                                       |    8 +-
 mm/filemap.c                                       |  126 +-
 mm/gup.c                                           |    2 +-
 mm/hugetlb.c                                       |    8 +-
 mm/madvise.c                                       |    6 +-
 mm/memory-failure.c                                |    2 +-
 mm/memory.c                                        |   55 +-
 mm/mincore.c                                       |    8 +-
 mm/nommu.c                                         |    2 +-
 mm/page-writeback.c                                |   12 +-
 mm/page_io.c                                       |    2 +-
 mm/readahead.c                                     |   20 +-
 mm/rmap.c                                          |    2 +-
 mm/shmem.c                                         |  130 +-
 mm/swap.c                                          |   14 +-
 mm/swap_state.c                                    |   12 +-
 mm/swapfile.c                                      |   12 +-
 mm/truncate.c                                      |   40 +-
 mm/userfaultfd.c                                   |    4 +-
 mm/vmalloc.c                                       |   27 +
 mm/zswap.c                                         |    4 +-
 net/ceph/messenger.c                               |    6 +-
 net/ceph/pagelist.c                                |    4 +-
 net/ceph/pagevec.c                                 |   30 +-
 net/core/dev.c                                     |    1 +
 net/core/netpoll.c                                 |    3 +-
 net/core/sock.c                                    |    9 +-
 net/ipv4/fou.c                                     |    6 +
 net/ipv4/gre_offload.c                             |    8 +
 net/ipv4/ip_gre.c                                  |   13 +-
 net/ipv6/ip6_output.c                              |    8 +-
 net/ipv6/ip6_tunnel.c                              |    2 +-
 net/l2tp/l2tp_ip.c                                 |    8 +-
 net/l2tp/l2tp_ip6.c                                |    8 +-
 net/mac80211/chan.c                                |    4 +-
 net/mac80211/ieee80211_i.h                         |    4 +
 net/mac80211/mesh_hwmp.c                           |    2 +-
 net/mac80211/sta_info.c                            |   14 +-
 net/mac80211/sta_info.h                            |    1 -
 net/mac80211/tdls.c                                |   43 +-
 net/mac80211/tx.c                                  |   13 +-
 net/mac80211/vht.c                                 |   30 +-
 net/mpls/af_mpls.c                                 |    3 +
 net/packet/af_packet.c                             |    2 +-
 net/rds/ib_recv.c                                  |    2 +-
 net/rds/page.c                                     |    4 +-
 net/sctp/output.c                                  |    3 +-
 net/sunrpc/auth_gss/auth_gss.c                     |    8 +-
 net/sunrpc/auth_gss/gss_krb5_crypto.c              |    2 +-
 net/sunrpc/auth_gss/gss_krb5_wrap.c                |    4 +-
 net/sunrpc/cache.c                                 |    4 +-
 net/sunrpc/rpc_pipe.c                              |    4 +-
 net/sunrpc/socklib.c                               |    6 +-
 net/sunrpc/xdr.c                                   |   50 +-
 net/vmw_vsock/vmci_transport.c                     |    4 +-
 samples/bpf/Makefile                               |   12 +-
 samples/bpf/bpf_helpers.h                          |   26 +
 samples/bpf/map_perf_test_user.c                   |    1 +
 samples/bpf/spintest_kern.c                        |    2 +-
 samples/bpf/tracex2_kern.c                         |    4 +-
 samples/bpf/tracex4_kern.c                         |    2 +-
 sound/usb/Kconfig                                  |    4 -
 sound/usb/Makefile                                 |    2 -
 sound/usb/card.c                                   |   14 -
 sound/usb/card.h                                   |    3 -
 sound/usb/media.c                                  |  318 --
 sound/usb/media.h                                  |   72 -
 sound/usb/mixer.h                                  |    3 -
 sound/usb/pcm.c                                    |   28 +-
 sound/usb/quirks-table.h                           |    1 -
 sound/usb/stream.c                                 |    2 -
 sound/usb/usbaudio.h                               |    6 -
 tools/power/x86/turbostat/turbostat.c              |  117 +-
 tools/testing/selftests/seccomp/seccomp_bpf.c      |   22 +-
 770 files changed, 18018 insertions(+), 12655 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/Kconfig.debug
 create mode 100644 drivers/gpu/drm/i915/intel_color.c
 create mode 100644 drivers/gpu/drm/i915/intel_dpll_mgr.c
 create mode 100644 drivers/gpu/drm/i915/intel_dpll_mgr.h
 create mode 100644 drivers/gpu/drm/i915/intel_vbt_defs.h
 create mode 100644 drivers/staging/olpc_dcon/Kconfig
 create mode 100644 drivers/staging/olpc_dcon/Makefile
 create mode 100644 drivers/staging/olpc_dcon/TODO
 create mode 100644 drivers/staging/olpc_dcon/olpc_dcon.c
 create mode 100644 drivers/staging/olpc_dcon/olpc_dcon.h
 create mode 100644 drivers/staging/olpc_dcon/olpc_dcon_xo_1.c
 create mode 100644 drivers/staging/olpc_dcon/olpc_dcon_xo_1_5.c
 delete mode 100644 sound/usb/media.c
 delete mode 100644 sound/usb/media.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-04-01 13:42 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-04-01 13:42 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-03-30:
- VBT code refactor for a clean split between parsing&using of firmware
  information (Jani)
- untangle the pll computation code, and splitting up the monster
  i9xx_crtc_compute_clocks (Ander)
- dsi support for bxt (Jani, Shashank Sharma and others)
- color manager (i.e. de-gamma, color conversion matrix & gamma support) from
  Lionel Landwerlin
- Vulkan hsw support in the command parser (Jordan Justen)
- large-scale renaming of intel_engine_cs variables/parameters to avoid the epic
  ring vs. engine confusion introduced in gen8 (Tvrtko Ursulin)
- few atomic patches from Maarten&Matt, big one is two-stage wm programming on ilk-bdw
- refactor driver load and add infrastructure to inject load failures for
  testing, from Imre
- various small things all over
drm-intel-next-2016-03-14:
- two-stage wm updates for ilk-style platforms (Matt)
- more wm work and fixes from Maarten&Ville
- more work on rotated framebuffers to prep for rotated nv12 (Ville)
- more dc fixes (Imre)
- various execlist patches from Tvrtko
- various clock cleanups for gmch from Ville
- extract intel_dpll_mgr.c and refactor shared dpll code (Ander)

Note 2 cycle's worth of patches, so you can't just take the pull tag
notes.

Cheers, Daniel


The following changes since commit 86d65b7e7a0c927d07d18605c276d0f142438ead:

  nouveau: fix nv40_perfctr_next() cleanup regression (2016-03-16 15:08:43 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-03-30

for you to fetch changes up to 68d4aee9d1f271fe06e904cb99a10cf8479d3d2e:

  drm/i915: Update DRIVER_DATE to 20160330 (2016-03-30 09:33:11 +0200)

----------------------------------------------------------------
- VBT code refactor for a clean split between parsing&using of firmware
  information (Jani)
- untangle the pll computation code, and splitting up the monster
  i9xx_crtc_compute_clocks (Ander)
- dsi support for bxt (Jani, Shashank Sharma and others)
- color manager (i.e. de-gamma, color conversion matrix & gamma support) from
  Lionel Landwerlin
- Vulkan hsw support in the command parser (Jordan Justen)
- large-scale renaming of intel_engine_cs variables/parameters to avoid the epic
  ring vs. engine confusion introduced in gen8 (Tvrtko Ursulin)
- few atomic patches from Maarten&Matt, big one is two-stage wm programming on ilk-bdw
- refactor driver load and add infrastructure to inject load failures for
  testing, from Imre
- various small things all over

----------------------------------------------------------------
Alex Dai (1):
      drm/i915/guc: Support GuC SKL v6.1

Ander Conselvan de Oliveira (29):
      drm/i915: Move shared dpll code to a new file
      drm/i915: Move ddi shared dpll code to intel_dpll_mgr.c
      drm/i915: Split intel_get_shared_dpll() into smaller functions
      drm/i915: Store a direct pointer to shared dpll in intel_crtc_state
      drm/i915: Move shared dpll struct definitions to separate header file
      drm/i915: Move shared dpll function prototypes to intel_dpll_mgr.h
      drm/i915: Use a table to initilize shared dplls
      drm/i915: Refactor platform specifics out of intel_get_shared_dpll()
      drm/i915: Move HSW/BDW pll selection logic to intel_dpll_mgr.c
      drm/i915: Move SKL/KLB pll selection logic to intel_dpll_mgr.c
      drm/i915: Move BXT pll configuration logic to intel_dpll_mgr.c
      drm/i915: Manage HSW/BDW LCPLLs with the shared dpll interface
      drm/i915: Make SKL/KBL DPLL0 managed by the shared dpll code
      drm/i915: Wait for vblank in i9xx_disable_crtc() for gen 2 only
      drm/i915: Remove checks for cloned config with LVDS in dpll code
      drm/i915: Merge ironlake_get_refclk() into its only caller
      drm/i915: Fold intel_ironlake_limit() into clock computation function
      drm/i915: Call g4x_find_best_dpll() directly from ILK+ code
      drm/i915: Simplify ironlake reduced clock logic a bit
      drm/i915: Don't calculate a new clock in ILK+ code if it is already set
      drm/i915: Remove PCH type checks from ironlake_crtc_compute_clock()
      drm/i915: Simplify ironlake_crtc_compute_clock() CPU eDP case
      drm/i915: Pass crtc_state->dpll directly to ->find_dpll()
      drm/i915: Move fp divisor calculation into ironlake_compute_dpll()
      drm/i915: Merge ironlake_compute_clocks() and ironlake_crtc_compute_clock()
      drm/i915: Split CHV and VLV specific crtc_compute_clock() hooks
      drm/i915: Split i8xx_crtc_compute_clock()
      drm/i915: Split g4x_crtc_compute_clock()
      drm/i915: Split PNV version of crtc_compute_clock()

Chris Wilson (3):
      drm/i915/csr: Allow matching unknown HW steppings with generic firmware
      drm/i915: Codify our assumption that the Global GTT is <= 4GiB
      drm/i915: Tidy aliasing_gtt_bind_vma()

Daniel Vetter (3):
      drm/i915: Update DRIVER_DATE to 20160314
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20160330

Dave Gordon (2):
      drm/i915: introduce for_each_engine_id()
      drm/i915: replace for_each_engine()

Deepak M (1):
      drm/i915/bxt: Additional MIPI clock divider form B0 stepping onwards

Eric Engestrom (2):
      drm/i915: remove left over dead code
      drm/i915: remove dead code

Imre Deak (29):
      drm/i915: Add missing NULL check before calling initial_watermarks
      drm/i915/skl: Fix power domain suspend sequence
      drm/i915/gen9: Sanitize handling of allowed DC states
      drm/i915/gen9: Disable DC states if power well support is disabled
      drm/i915/gen9: Remove state asserts when disabling DC states
      drm/i915/gen9: Fix DMC firmware initialization
      drm/i915/bxt: Fix off-by-one error in Broxton PLL IDs
      Fix MCHBAR cleanup on the driver init error path
      drm/i915: Move load time PCH detect, DPIO, power domain SW init earlier
      drm/i915: Move load time IRQ SW init earlier
      drm/i915: Move load time init of display/audio hooks earlier
      drm/i915: Move load time init of clock gating hooks earlier
      drm/i915: Move load time runtime device info init earlier
      drm/i915: Move load time gem_load_init earlier
      drm/i915: Move load time runtime PM get later
      drm/i915: Move load time shrinker registration later
      drm/i915: Move load time audio component registration earlier
      drm/i915: Move unload time display power domain uninit later
      drm/i915: Move unload time GTT, MSI IRQ cleanup later
      drm/i915: Move unload time opregion unregistration earlier
      drm/i915: Split out load time early initialization
      drm/i915: Split out load time MMIO initialization
      drm/i915: Split out load time HW initialization
      drm/i915: Split out load time interface registration
      drm/i915: Fix power domain HW state cleanup on error path
      drm/i915: Add fault injection support
      drm/i915: Tune down init error message due to failure injection
      drm/i915: Make __i915_printk debug output behave the same as DRM_DEBUG_DRIVER
      drm/i915/bxt: Fix DSI HW state readout

Jani Nikula (25):
      drm/i915/bxt: add missing DSI power domain to power well 1
      drm/i915/dsi: lose the loose 666 format name in favor of packed
      drm/i915/dsi: start using enum mipi_dsi_pixel_format
      drm/i915: add for_each_port_masked macro
      drm/i915: make transcoder_name return a string
      drm/i915/dsi: refactor dsi get hw state readout
      drm/i915/bxt: fix dsi hw state pipe readout
      drm/i915: move VBT based TV presence check to intel_bios.c
      drm/i915: move VBT based LVDS presence check to intel_bios.c
      drm/i915: move VBT based eDP port check to intel_bios.c
      drm/i915: move VBT based DSI presence check to intel_bios.c
      drm/i915/panel: setup pwm backlight based on connector type
      drm/i915/bios: drop has_mipi in favor of intel_bios_is_dsi_present
      drm/i915: fix sparse warning for using false as NULL
      drm/i915: hide away VBT private data in a separate header
      drm/i915: split get/set pipe timings to timings and src size
      drm/i915: split set pipeconf to pipeconf, pipemisc, pipe_gamma
      drm/i915: abstract get config for cpu transcoder
      drm/i915/bxt: add dsi transcoders
      drm/i915/dsi: use the BIT macro for clarity
      drm/i915/bxt: allow dsi on any pipe
      drm/i915: use a substruct in vbt data for edp
      drm/i915: move edp low vswing config to vbt data
      drm/i915: move sdvo mappings to vbt data
      drm/i915: remove unused dev_priv->render_reclock_avail

Jesse Barnes (1):
      drm/i915: add another virtual PCH bridge for passthrough support

Joonas Lahtinen (3):
      drm/i915/gtt: Reference mappable_end variable from pointer
      drm/i915: Rename dev_priv->gtt to dev_priv->ggtt
      drm/i915/gtt: Clean up GGTT probing code

Jordan Justen (5):
      drm/i915: Add TIMESTAMP to register whitelist
      drm/i915: Use an array of register tables in command parser
      drm/i915: Move Haswell registers to separate whitelist table
      drm/i915: Add Haswell CS GPR registers to whitelist
      drm/i915: Bump command parser version for new whitelisted registers

Lionel Landwerlin (4):
      drm/i915: Extract out gamma table and CSC to their own file
      drm/i915: Do not read GAMMA_MODE register
      drm/i915: Implement color management on bdw/skl/bxt/kbl
      drm/i915: Implement color management on chv

Lukas Wunner (1):
      drm/i915: Fix races on fbdev

Lyude (2):
      drm/i915: Fix race condition in intel_dp_destroy_mst_connector()
      drm/i915: Call intel_dp_mst_resume() before resuming displays

Maarten Lankhorst (13):
      drm/i915: Handle -EDEADLK in drm_atomic_commit from load-detect.
      drm/i915: Do not return unknown status when load detection is tested.
      drm/i915: Handle invalid ilk pipe watermarks correctly.
      drm/i915: Allow preservation of watermarks, v2.
      drm/i915: Only recalculate wm's for planes part of the state, v2.
      drm/i915: Only use sanitized values for ILK watermarks
      drm/i915: Update state before setting watermarks, v2.
      drm/i915: Remove some post-commit members from intel_crtc->atomic, v3.
      drm/i915: Nuke fbc members from intel_crtc->atomic, v4.
      drm/i915: Use a crtc mask instead of a refcount for dpll functions, v2.
      drm/i915: Perform dpll commit first, v2.
      drm/i915: Move pll power state to crtc power domains.
      drm/i915: Remove vblank wait from hsw_enable_ips, v2.

Matt Roper (2):
      drm/i915: Add two-stage ILK-style watermark programming (v11)
      drm/i915: Wait until after wm optimization to drop runtime PM reference

Mika Kuoppala (3):
      drm/i915/hangcheck: Prevent long walks across full-ppgtt
      drm/i915: Modify reset func to handle per engine resets
      drm/i915: Fix use after free when printing load failure

Nathan Schulte (1):
      drm/i915: add module param "enable_dp_mst"

Sagar Arun Kamble (1):
      drm/i915: Hold RPM reference while setting freq limits through sysfs

Shashank Sharma (1):
      drm/i915/bxt: Initialize MIPI DSI for BXT

Takashi Iwai (1):
      drm/i915: Fix bogus dig_port_map[] assignment for pre-HSW

Tim Gore (1):
      drm/i915/gen9: add WaClearFlowControlGpgpuContextSave

Tomas Elf (2):
      drm/i915: Generalise common GPU engine reset request/unrequest code
      drm/i915/tdr: Initialize hangcheck struct for each engine

Tvrtko Ursulin (15):
      drm/i915: Execlists small cleanups and micro-optimisations
      drm/i915: Avoid snooping with userptr where not supported
      drm/i915: Add wait_for_us
      drm/i915/lrc: Do not wait atomically when stopping engines
      drm/i915: Kconfig for extra driver debugging
      drm/i915: Do not lie about atomic timeout granularity
      drm/i915: Do not wait atomically for display clocks
      drm/i915: Rename local struct intel_engine_cs variables
      drm/i915: Rename intel_engine_cs function parameters
      drm/i915: Rename intel_engine_cs struct members
      drm/i915: More intel_engine_cs renaming
      drm/i915: More renaming of rings to engines
      drm/i915: Remove unused variable in i915_gem_request_add_to_client
      drm/i915: Use shorter route to dev_private where possible
      drm/i915: Move CSB MMIO reads out of the execlists lock

Ville Syrjälä (27):
      drm/i915: Account for the size of the chroma plane for the rotated gtt view
      drm/i915: s/tile_width/tile_width_bytes/
      drm/i915: Pass 90/270 vs. 0/180 rotation info for intel_gen4_compute_page_offset()
      drm/i915: Support for extra alignment for tiled surfaces
      drm/i915: Don't pass plane+plane_state to intel_pin_and_fence_fb_obj()
      drm/i915: Pass drm_frambuffer to intel_compute_page_offset()
      drm/i915: Reorganize intel_rotation_info
      drm/i915: Move the NULL sg handling out from rotate_pages()
      drm/i915: Embed rotation_info under intel_framebuffer
      drm/i915: Dump ddi_pll_sel in hex instead of decimal on HSW/BDW
      drm/i915: Move the encoder vs. FDI dotclock check out from encoder .get_config()
      drm/i915: Remove the SPLL==270Mhz assumption from intel_fdi_link_freq()
      drm/i915: Make the LPT iclkip 20MHz case more generic
      drm/i915: Read out VGA dotclock properly on LPT
      drm/i915: Try to fix CRT port clock limits
      drm/i915: Store rawclk_freq in dev_priv
      drm/i915: Rename s/i9xx/g4x/ in DP code
      drm/i915: Use g4x_get_aux_clock_divider() for VLV/CHV
      drm/i915: Read out hrawclk from CCK on vlv/chv
      drm/i915: Clean up .get_aux_clock_divider() functions
      drm/i915: Use DIV_ROUND_CLOSEST for PWM calculations
      drm/i915: Actually retry with bit-banging after GMBUS timeout
      Revert "drm/i915: Enable PSR by default on Valleyview and Cherryview."
      drm/i915: Pass the correct crtc state to .update_plane()
      drm/i915: Fix watermarks for VLV/CHV
      drm/i915: Wait for vblank after cxsr disable in pre_plane_update
      drm/i915: s/crtc_state/old_crtc_state/ in intel_atomic_commit()

arun.siluvery@linux.intel.com (2):
      drm/i915/error: Capture WA ctx batch in error state
      drm/i915/tdr: Prepare error handler to accept mask of hung engines

 Documentation/DocBook/gpu.tmpl               |    8 +-
 drivers/gpu/drm/i915/Kconfig                 |    6 +
 drivers/gpu/drm/i915/Kconfig.debug           |   12 +
 drivers/gpu/drm/i915/Makefile                |    2 +
 drivers/gpu/drm/i915/i915_cmd_parser.c       |  211 ++-
 drivers/gpu/drm/i915/i915_debugfs.c          |  287 +--
 drivers/gpu/drm/i915/i915_dma.c              |  480 +++--
 drivers/gpu/drm/i915/i915_drv.c              |   34 +-
 drivers/gpu/drm/i915/i915_drv.h              |  311 ++-
 drivers/gpu/drm/i915/i915_gem.c              |  410 ++--
 drivers/gpu/drm/i915/i915_gem_context.c      |  174 +-
 drivers/gpu/drm/i915/i915_gem_debug.c        |   16 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  130 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c          |  383 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h          |   19 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c |   12 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h |    2 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c       |   50 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c      |    7 +
 drivers/gpu/drm/i915/i915_gpu_error.c        |  197 +-
 drivers/gpu/drm/i915/i915_guc_submission.c   |   45 +-
 drivers/gpu/drm/i915/i915_irq.c              |  280 ++-
 drivers/gpu/drm/i915/i915_params.c           |    9 +
 drivers/gpu/drm/i915/i915_params.h           |    2 +
 drivers/gpu/drm/i915/i915_reg.h              |  173 +-
 drivers/gpu/drm/i915/i915_sysfs.c            |   10 +
 drivers/gpu/drm/i915/i915_trace.h            |   52 +-
 drivers/gpu/drm/i915/i915_vgpu.c             |   14 +-
 drivers/gpu/drm/i915/intel_atomic.c          |    5 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c    |    4 +-
 drivers/gpu/drm/i915/intel_audio.c           |   16 +-
 drivers/gpu/drm/i915/intel_bios.c            |  224 ++-
 drivers/gpu/drm/i915/intel_bios.h            |  861 +--------
 drivers/gpu/drm/i915/intel_color.c           |  556 ++++++
 drivers/gpu/drm/i915/intel_crt.c             |   40 +-
 drivers/gpu/drm/i915/intel_csr.c             |   86 +-
 drivers/gpu/drm/i915/intel_ddi.c             | 1218 +-----------
 drivers/gpu/drm/i915/intel_display.c         | 2616 +++++++++++++-------------
 drivers/gpu/drm/i915/intel_dp.c              |  189 +-
 drivers/gpu/drm/i915/intel_dp_mst.c          |   10 +-
 drivers/gpu/drm/i915/intel_dpll_mgr.c        | 1773 +++++++++++++++++
 drivers/gpu/drm/i915/intel_dpll_mgr.h        |  164 ++
 drivers/gpu/drm/i915/intel_drv.h             |  171 +-
 drivers/gpu/drm/i915/intel_dsi.c             |  116 +-
 drivers/gpu/drm/i915/intel_dsi.h             |   15 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c   |   33 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c         |  126 +-
 drivers/gpu/drm/i915/intel_fbc.c             |    4 +-
 drivers/gpu/drm/i915/intel_fbdev.c           |   19 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c   |    6 +-
 drivers/gpu/drm/i915/intel_guc_loader.c      |   22 +-
 drivers/gpu/drm/i915/intel_hdmi.c            |    3 -
 drivers/gpu/drm/i915/intel_lrc.c             |  998 +++++-----
 drivers/gpu/drm/i915/intel_lrc.h             |   16 +-
 drivers/gpu/drm/i915/intel_lvds.c            |   65 +-
 drivers/gpu/drm/i915/intel_mocs.c            |   14 +-
 drivers/gpu/drm/i915/intel_overlay.c         |   64 +-
 drivers/gpu/drm/i915/intel_panel.c           |   52 +-
 drivers/gpu/drm/i915/intel_pm.c              |  398 ++--
 drivers/gpu/drm/i915/intel_psr.c             |    6 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c      | 1449 +++++++-------
 drivers/gpu/drm/i915/intel_ringbuffer.h      |  106 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c      |  149 +-
 drivers/gpu/drm/i915/intel_sdvo.c            |   20 +-
 drivers/gpu/drm/i915/intel_sprite.c          |   27 +-
 drivers/gpu/drm/i915/intel_tv.c              |   58 +-
 drivers/gpu/drm/i915/intel_uncore.c          |  141 +-
 drivers/gpu/drm/i915/intel_vbt_defs.h        |  826 ++++++++
 68 files changed, 9000 insertions(+), 7002 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/Kconfig.debug
 create mode 100644 drivers/gpu/drm/i915/intel_color.c
 create mode 100644 drivers/gpu/drm/i915/intel_dpll_mgr.c
 create mode 100644 drivers/gpu/drm/i915/intel_dpll_mgr.h
 create mode 100644 drivers/gpu/drm/i915/intel_vbt_defs.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-03-04 16:50 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-03-04 16:50 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Final feature pull for 4.6, with this it's Jani's turn again.

drm-intel-next-2016-02-29:
- fbc by default on hsw&bdw, thanks to great work by Paulo!
- psr by default hsw,bdw,vlv&chv, thanks to great work by Rodrigo!
- fixes to hw state readout vs. rpm issues (Imre)
- dc3 fixes&improvements (Mika), this and above already cherr-pick to -fixes
- first part of locking fixes from Tvrtko
- proper atomic code for load detect (Maarten)
- more rpm fixes from Ville
- more atomic work from Maarten

Cheers, Daniel


The following changes since commit 59bbf84d8958a6e6cf579c8ddcca88e3f3924dbd:

  drm/i915: Update DRIVER_DATE to 20160214 (2016-02-14 22:40:52 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-02-29

for you to fetch changes up to 5790ff742b1feee62f60a95f4caf78827f656f58:

  drm/i915: Update DRIVER_DATE to 20160229 (2016-02-29 09:59:07 +0100)

----------------------------------------------------------------
- fbc by default on hsw&bdw, thanks to great work by Paulo!
- psr by default hsw,bdw,vlv&chv, thanks to great work by Rodrigo!
- fixes to hw state readout vs. rpm issues (Imre)
- dc3 fixes&improvements (Mika), this and above already cherr-pick to -fixes
- first part of locking fixes from Tvrtko
- proper atomic code for load detect (Maarten)
- more rpm fixes from Ville
- more atomic work from Maarten

----------------------------------------------------------------
Alan (1):
      i915: cast before shifting in i915_pte_count

Ben Widawsky (1):
      drm/i915: Check for get_pages instead of shmem (filp)

Chris Wilson (7):
      drm/i915: Don't ERROR for an expected intel_rcs_ctx_init() interruption
      drm/i915: Reject invalid-pad for context-destroy and -create ioctls
      drm/i915: Add an optional selection from i915 of CONFIG_MMU_NOTIFIER
      drm/i915: Balance assert_rpm_wakelock_held() for !IS_ENABLED(CONFIG_PM)
      drm/i915: Rename vma->*_list to *_link for consistency
      drm/i915: Reduce the pointer dance of i915_is_ggtt()
      drm/i915: Execlists cannot pin a context without the object

Daniel Vetter (2):
      Revert "drm/i915: fix context/engine cleanup order"
      drm/i915: Update DRIVER_DATE to 20160229

Deepak M (1):
      drm/i915/dsi: Using the bpp value wrt the pixel format

Imre Deak (13):
      drm/i915: Add helper to get a display power ref if it was already enabled
      drm/i915: Ensure the HW is powered during display pipe HW readout
      drm/i915/ibx: Ensure the HW is powered during PLL HW readout
      drm/i915: Ensure the HW is powered when disabling VGA
      drm/i915: Ensure the HW is powered during HW access in assert_pipe
      drm/i915/crt: Ensure the HW is powered during HW state readout
      drm/i915/ddi: Ensure the HW is powered during HW state readout
      drm/i915: Ensure the HW is powered when accessing the CRC HW block
      drm/i915/dp: Ensure the HW is powered during HW state readout
      drm/i915/dsi: Ensure the HW is powered during HW state readout
      drm/i915/hdmi: Ensure the HW is powered during HW state readout
      drm/i915/lvds: Ensure the HW is powered during HW state readout
      drm/i915/skl: Ensure HW is powered during DDB HW state readout

Jani Nikula (2):
      drm/i915: drop write perm from module params which don't support changing
      drm/i915: drop unused i915.disable_vtd_wa module parameter

Maarten Lankhorst (13):
      drm/i915: Clear shared dpll based on old state, v2.
      drm/i915: Use atomic helpers for suspend, v2.
      drm/i915: Fix some minor issues with atomic cdclk.
      drm/i915: Lock mode_config.mutex in intel_display_resume.
      drm/i915: Use atomic state to obtain load detection crtc, v3.
      drm/i915: Use atomic state for load detect in crt.
      drm/i915: Use atomic state in tv load detection.
      drm/i915: Use correct dpms for intel_enable_crt.
      drm/i915: Use atomic state in intel_fb_initial_config.
      drm/i915: Pass crtc state to modeset_get_crtc_power_domains.
      drm/i915: Unify power domain handling.
      drm/i915: Kill off intel_crtc->atomic.wait_vblank, v6.
      drm/i915: Remove update_sprite_watermarks.

Michel Thierry (2):
      drm/i915/gen9: Set value of Indirect Context Offset based on gen version
      drm/i915/lrc: Only set RS ctx enable in ctx control reg if there is a RS

Mika Kuoppala (3):
      drm/i915/gen9: Verify and enforce dc6 state writes
      drm/i915/gen9: Extend dmc debug mask to include cores
      drm/i915/gen9: Write dc state debugmask bits only once

Patrik Jakobsson (1):
      drm/i915/gen9: Check for DC state mismatch

Paulo Zanoni (1):
      drm/i915/fbc: enable FBC by default on HSW and BDW

Rodrigo Vivi (3):
      drm/i915: Change i915.enable_psr parameter to use per platform default.
      drm/i915: Enable PSR by default on Valleyview and Cherryview.
      drm/i915: Enable PSR by default on Haswell and Broadwell.

Tvrtko Ursulin (5):
      drm/i915: Use appropriate spinlock flavour
      drm/i915: GEM operations need to be done under the big lock
      drm/i915: Fix struct mutex vs. RPS lock inversion
      drm/i915/guc: Do not wait for firmware load atomically
      drm/i915/ilk: Move register read under spinlock

Uma Shankar (1):
      drm/i915/bxt: Remove DSP CLK_GATE programming for BXT

Ville Syrjälä (7):
      drm/i915: Fix hpd live status bits for g4x
      drm/i915: Add missing 'else' to intel_digital_port_connected()
      drm/i915: Extract intel_encoder_has_connectors()
      drm/i915: Skip PIPESTAT reads from irq handler on VLV/CHV when power well is down
      drm/i915: synchronize_irq() before turning off disp2d power well on VLV/CHV
      drm/i915: Make sure pipe interrupts are processed before turning off power well on BDW+
      drm/i915: Add for_each_pipe_masked()

 drivers/gpu/drm/i915/Kconfig               |  11 +
 drivers/gpu/drm/i915/i915_debugfs.c        |  56 ++-
 drivers/gpu/drm/i915/i915_dma.c            |   4 +-
 drivers/gpu/drm/i915/i915_drv.c            |   8 -
 drivers/gpu/drm/i915/i915_drv.h            |  16 +-
 drivers/gpu/drm/i915/i915_gem.c            |  99 +++--
 drivers/gpu/drm/i915/i915_gem_context.c    |   8 +-
 drivers/gpu/drm/i915/i915_gem_evict.c      |   6 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   5 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  22 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  11 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c   |   4 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |   4 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c    |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |   8 +-
 drivers/gpu/drm/i915/i915_irq.c            |  37 +-
 drivers/gpu/drm/i915/i915_params.c         |  17 +-
 drivers/gpu/drm/i915/i915_params.h         |   1 -
 drivers/gpu/drm/i915/i915_reg.h            |  16 +-
 drivers/gpu/drm/i915/i915_trace.h          |  27 +-
 drivers/gpu/drm/i915/intel_atomic.c        |   1 +
 drivers/gpu/drm/i915/intel_crt.c           |  23 +-
 drivers/gpu/drm/i915/intel_csr.c           |  10 +-
 drivers/gpu/drm/i915/intel_ddi.c           | 112 ++++--
 drivers/gpu/drm/i915/intel_display.c       | 622 +++++++++++++++--------------
 drivers/gpu/drm/i915/intel_dp.c            |  34 +-
 drivers/gpu/drm/i915/intel_drv.h           |  14 +-
 drivers/gpu/drm/i915/intel_dsi.c           |  29 +-
 drivers/gpu/drm/i915/intel_dsi.h           |   2 +
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c |   5 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c       |   2 +-
 drivers/gpu/drm/i915/intel_fbc.c           |   4 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |  17 +-
 drivers/gpu/drm/i915/intel_guc_loader.c    |   6 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  14 +-
 drivers/gpu/drm/i915/intel_lrc.c           |  33 +-
 drivers/gpu/drm/i915/intel_lvds.c          |  14 +-
 drivers/gpu/drm/i915/intel_pm.c            |  22 +-
 drivers/gpu/drm/i915/intel_psr.c           |   9 +
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   4 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    | 190 ++++++++-
 drivers/gpu/drm/i915/intel_tv.c            |  11 +-
 42 files changed, 930 insertions(+), 610 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-02-29  8:27 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-02-29  8:27 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2016-02-14:
- lots and lots of fbc work from Paulo
- max pixel clock checks from Mika Kahola
- prep work for nv12 offset handling from Ville
- piles of small fixes and refactorings all around

I've forgotten to send you this one before I left for vacation, oops. So
there'll be another/final i915 feature pull in a few days still.

Cheers, Daniel


The following changes since commit 382ab95d1af85381d8a5dff09b16a80c7e492534:

  drm/msm: remove unused variable (2016-02-11 11:48:39 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-02-14

for you to fetch changes up to 59bbf84d8958a6e6cf579c8ddcca88e3f3924dbd:

  drm/i915: Update DRIVER_DATE to 20160214 (2016-02-14 22:40:52 +0100)

----------------------------------------------------------------
- lots and lots of fbc work from Paulo
- max pixel clock checks from Mika Kahola
- prep work for nv12 offset handling from Ville
- piles of small fixes and refactorings all around

----------------------------------------------------------------
Abhay Kumar (1):
      drm/i915: edp resume/On time optimization.

Alex Dai (1):
      drm/i915/guc: Decouple GuC engine id from ring id

Andreas Ziegler (1):
      drm/i915: Remove select to deleted STOP_MACHINE from Kconfig

Arun Siluvery (9):
      drm/i915/gen9: Add framework to whitelist specific GPU registers
      drm/i915/gen9: Add GEN8_CS_CHICKEN1 to HW whitelist
      drm/i915/gen9: Add HDC_CHICKEN1 to HW whitelist
      drm/i915/bxt: Add GEN9_CS_DEBUG_MODE1 to HW whitelist
      drm/i915/bxt: Add GEN8_L3SQCREG4 to HW whitelist
      drm/i915/skl: Add GEN8_L3SQCREG4 to HW whitelist
      drm/i915/skl: Enable Per context Preemption granularity control
      drm/i915/gen9: Add WaOCLCoherentLineFlush
      drm/i915: Capture PCI revision and subsytem details in error state

Chris Wilson (2):
      drm/i915: Improve handling of overlapping objects
      drm/i915: Allow i915_gem_object_get_page() on userptr as well

Daniel Vetter (6):
      Revert "drm/i915: Fix context/engine cleanup order"
      agp/intel-gtt: Don't leak the scratch page
      drm/i915: Stop depending upon CONFIG_AGP_INTEL
      agp/intel-gtt: Only register fake agp driver for gen1
      Merge tag 'topic/drm-misc-2016-02-12' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20160214

Daniele Ceraolo Spurio (1):
      drm/i915: check that rpm ref is held when accessing ringbuf in stolen mem

Dave Gordon (1):
      Fix pointer tests in error-handling paths

Deepak M (1):
      drm/i915: Extend gpio read/write to other cores

Gabriel Feceoru (1):
      drm/i915: Handle PipeC fused off on IVB/HSW/BDW

Gerd Hoffmann (1):
      drm/i915: refine qemu south bridge detection

Imre Deak (12):
      drm/i915: Sanitize DMC/CSR ucode cleanup code
      drm/i915: Sanitize i915_get_bridge_dev() error path
      drm/i915: Sanitize GEM shrinker init and clean-up
      drm/i915: Sanitize i915_gem_load() init and clean-up
      drm/i915: Move allocation of various workqueues earlier during init
      drm/i915: Move MCHBAR setup earlier during init
      drm/i915: Move stolen memory initialization earlier during loading
      drm/i915/bxt: update list of PCIIDs
      drm/i915: Sanity check DP AUX message buffer and size
      drm/i915/chv: Fix error path in GPU freq helpers
      drm/i915: Add debug info for failed MSI enabling
      drm/i915: Properly terminate KMS mode name string during tv init

Jani Nikula (7):
      drm/i915/dsi: defend gpio table against out of bounds access
      drm/i915/dsi: don't pass arbitrary data to sideband
      drm/i915: put the IOSF port defines in numerical order
      drm/i915/vlv: drop unused vlv_gps_core_read/write functions
      drm/i915/dsi: skip gpio element execution when not supported
      drm/i915/dp: abstract training pattern selection
      drm/i915/dp: reduce missing TPS3 support errors to debug logging

Lyude (3):
      drm/i915/skl: Don't skip mst encoders in skl_ddi_pll_select()
      drm/i915/skl: Explicitly check for eDP in skl_ddi_pll_select()
      drm/i915/skl: Fix typo in DPLL_CFGCR1 definition

Maarten Lankhorst (3):
      drm/i915: Remove intel_crtc->atomic.disable_ips.
      drm/i915: Remove atomic.pre_disable_primary.
      drm/i915: Do not disable cxsr when crtc is disabled.

Mat Martineau (2):
      drm/i915: Fix file permissions
      drm/i915/skl: Fix DMC load on Skylake J0 and K0

Matt Roper (3):
      drm/i915/bxt: Don't save/restore eDP panel power during suspend (v3)
      drm/i915: Check DDI max lanes after applying BXT workaround
      drm/i915: Pretend cursor is always on for ILK-style WM calculations (v2)

Michał Winiarski (1):
      drm/i915/skl: Add missing SKL ids

Mika Kahola (7):
      drm/i915: Skip DDI PLL selection for DSI
      drm/i915: DisplayPort pixel clock check
      drm/i915: HDMI pixel clock check
      drm/i915: DisplayPort-MST pixel clock check
      drm/i915: SDVO pixel clock check
      drm/i915: CRT pixel clock check
      drm/i915: TV pixel clock check

Nick Hoath (2):
      drm/i915: Fix context/engine cleanup order
      drm/i915: fix context/engine cleanup order

Patrik Jakobsson (1):
      drm/i915/skl/kbl: Add support for pipe fusing

Paulo Zanoni (28):
      drm/i915/fbc: wait for a vblank instead of 50ms when enabling
      drm/i915/fbc: extract intel_fbc_can_activate()
      drm/i915/fbc: extract intel_fbc_can_enable()
      drm/i915/fbc: introduce struct intel_fbc_reg_params
      drm/i915/fbc: replace frequent dev_priv->fbc.x with fbc->x
      drm/i915/fbc: don't use the frontbuffer tracking subsystem for flips
      drm/i915/fbc: don't flush for operations on the wrong frontbuffer
      drm/i915/fbc: unconditionally update FBC during atomic commits
      drm/i915/fbc: introduce struct intel_fbc_state_cache
      drm/i915/fbc: split intel_fbc_update into pre and post update
      drm/i915/fbc: fix the FBC state checking code
      drm/i915/fbc: unexport intel_fbc_deactivate
      drm/i915/fbc: rename the FBC disable functions
      drm/i915/fbc: make sure we cancel the work function at fbc_disable
      drm/i915/fbc: rewrite the multiple_pipes_ok() code for locking
      drm/i915: simplify struct drm_device access at intel_atomic_check()
      drm/i915/fbc: choose the new FBC CRTC during atomic check
      drm/i915/fbc: move intel_fbc_{enable, disable} call one level up
      drm/i915/fbc: make FBC work with fastboot
      drm/i915/fbc: don't try to deactivate FBC if it's not enabled
      drm/i915/fbc: don't print no_fbc_reason to dmesg
      drm/i915/fbc: don't store the fb_id on reg_params
      drm/i915/fbc: call intel_fbc_pre_update earlier during page flips
      drm/i915/fbc: don't store/check a pointer to the FB
      drm/i915/fbc: refactor some small functions called only once
      drm/i915: don't deactivate FBC at skylake_disable_primary_plane
      drm/i915/fbc: unexport the HW level activation functions
      drm/i915/fbc: set fbc->active from the new activation functions

Ramalingam C (1):
      drm/i915/dsi: Configure DSI after enabling DSI pll

Rasmus Villemoes (1):
      drm/i915: fix error path in intel_setup_gmbus()

Rodrigo Vivi (4):
      drm/i915: Sink CRC: tune down error message at stop to debug_kms.
      drm/i915: PSR simplify port and link standby checks.
      drm/i915: Add PSR main link standby support back
      drm/i915: Instrument PSR parameter for debuging with link standby x link off.

Sagar Arun Kamble (1):
      drm/i915/bxt: Check BIOS RC6 setup before enabling RC6

Tim Gore (1):
      drm/i915: implement WaIncreaseDefaultTLBEntries

Tvrtko Ursulin (6):
      drm/i915: More use of the cached LRC state
      drm/i915: Fix VCS ring selection after uapi decoupling
      drm/i915: Make LRC (un)pinning work on context and engine
      drm/i915: Make LRC pinning own a reference to the context
      drm/i915: Extract context unpinning to its own function
      drm/i915: Fix premature LRC unpin in GuC mode

Ville Syrjälä (6):
      drm/i915: Rename the rotated gtt view member to 'rotated'
      drm/i915: Pass stride to rotate_pages()
      drm/i915: Pass rotation_info to intel_rotate_fb_obj_pages()
      drm/i915: Make display gtt offsets u32
      drm/i915: Standardize on 'cpp' for bytes per pixel
      drm/i915: Fix intel_tile_width() parameters

 drivers/char/agp/intel-gtt.c                  |  32 +-
 drivers/gpu/drm/i915/Kconfig                  |   2 -
 drivers/gpu/drm/i915/i915_debugfs.c           |  31 +-
 drivers/gpu/drm/i915/i915_dma.c               | 262 ++++---
 drivers/gpu/drm/i915/i915_drv.h               |  81 ++-
 drivers/gpu/drm/i915/i915_gem.c               |  41 +-
 drivers/gpu/drm/i915/i915_gem_context.c       |  34 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |   3 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |  50 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h           |   4 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c      |  16 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c        |   3 +
 drivers/gpu/drm/i915/i915_gem_userptr.c       | 184 ++---
 drivers/gpu/drm/i915/i915_gpu_error.c         |   4 +
 drivers/gpu/drm/i915/i915_guc_submission.c    |  65 +-
 drivers/gpu/drm/i915/i915_params.c            |   3 +-
 drivers/gpu/drm/i915/i915_reg.h               |  48 +-
 drivers/gpu/drm/i915/i915_suspend.c           |   6 +-
 drivers/gpu/drm/i915/intel_crt.c              |   4 +
 drivers/gpu/drm/i915/intel_csr.c              |   3 +-
 drivers/gpu/drm/i915/intel_ddi.c              |  11 +-
 drivers/gpu/drm/i915/intel_display.c          | 164 ++---
 drivers/gpu/drm/i915/intel_dp.c               |  29 +-
 drivers/gpu/drm/i915/intel_dp_link_training.c |  45 +-
 drivers/gpu/drm/i915/intel_dp_mst.c           |   5 +
 drivers/gpu/drm/i915/intel_drv.h              |  35 +-
 drivers/gpu/drm/i915/intel_dsi.c              |   2 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c    |  26 +-
 drivers/gpu/drm/i915/intel_fbc.c              | 950 +++++++++++++++-----------
 drivers/gpu/drm/i915/intel_guc.h              |   6 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h         |  17 +-
 drivers/gpu/drm/i915/intel_hdmi.c             |   8 +
 drivers/gpu/drm/i915/intel_i2c.c              |   2 +-
 drivers/gpu/drm/i915/intel_lrc.c              |  78 ++-
 drivers/gpu/drm/i915/intel_lrc.h              |   3 +-
 drivers/gpu/drm/i915/intel_pm.c               | 195 ++++--
 drivers/gpu/drm/i915/intel_psr.c              |  54 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c       |  64 ++
 drivers/gpu/drm/i915/intel_ringbuffer.h       |   1 +
 drivers/gpu/drm/i915/intel_sdvo.c             |   4 +
 drivers/gpu/drm/i915/intel_sideband.c         |  23 +-
 drivers/gpu/drm/i915/intel_sprite.c           |  40 +-
 drivers/gpu/drm/i915/intel_tv.c               |   5 +
 drivers/gpu/drm/i915/intel_uncore.c           |   2 +
 include/drm/i915_pciids.h                     |   6 +-
 include/uapi/drm/i915_drm.h                   |  10 +-
 46 files changed, 1568 insertions(+), 1093 deletions(-)
 mode change 100755 => 100644 drivers/gpu/drm/i915/intel_hdmi.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2016-02-08  9:26 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2016-02-08  9:26 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Back from lca, time to start the 4.6 release train! I'll follow up with
some drm-misc pull, too.

drm-intel-next-2016-01-24:
- support for v3 vbt dsi blocks (Jani)
- improve mmio debug checks (Mika Kuoppala)
- reorg the ddi port translation table entries and related code (Ville)
- reorg gen8 interrupt handling for future platforms (Tvrtko)
- refactor tile width/height computations for framebuffers (Ville)
- kerneldoc integration for intel_pm.c (Jani)
- move default context from engines to device-global dev_priv (Dave Gordon)
- make seqno/irq ordering coherent with execlist (Chris)
- decouple internal engine number from UABI (Chris&Tvrtko)
- tons of small fixes all over, as usual
drm-intel-next-2016-01-11:
- GuC ADS support (Alex Dai)
- support for v3 of the vbt mipi/dsi panel sequence (Jani Nikula)
- more prep work for atomic watermarks (Matt Roper)
- clean up cursor handling and align more with other planes (Maarten)
- improvements to the unclaimed mmio debug code (Mika Kuoppalla)
- various improvements, w/a, updated translation tables, ...

Cheers, Daniel


The following changes since commit 1df59b8497f47495e873c23abd6d3d290c730505:

  Merge tag 'drm-intel-next-fixes-2016-01-14' of git://anongit.freedesktop.org/drm-intel into drm-next (2016-01-18 07:02:19 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2016-01-24

for you to fetch changes up to 947eaebc318d63ada82901cea86c586ac3d854f0:

  drm/i915: Update DRIVER_DATE to 20160124 (2016-01-24 22:49:17 +0100)

----------------------------------------------------------------
- support for v3 vbt dsi blocks (Jani)
- improve mmio debug checks (Mika Kuoppala)
- reorg the ddi port translation table entries and related code (Ville)
- reorg gen8 interrupt handling for future platforms (Tvrtko)
- refactor tile width/height computations for framebuffers (Ville)
- kerneldoc integration for intel_pm.c (Jani)
- move default context from engines to device-global dev_priv (Dave Gordon)
- make seqno/irq ordering coherent with execlist (Chris)
- decouple internal engine number from UABI (Chris&Tvrtko)
- tons of small fixes all over, as usual

----------------------------------------------------------------
Alex Dai (6):
      drm/i915/guc: Move GuC wq_check_space to alloc_request_extras
      drm/i915/guc: Add GuC ADS (Addition Data Structure) - allocation
      drm/i915/guc: Add GuC ADS - scheduler policies
      drm/i915/guc: Add GuC ADS - MMIO reg state
      drm/i915/guc: Add GuC ADS - enabling ADS
      drm/i915/guc: Fix a memory leak where guc->execbuf_client is not freed

Ankitprasad Sharma (1):
      drm/i915: Allow use of get_dma_address for stolen backed objects

Arun Siluvery (1):
      drm/i915/gen9: Correct max save/restore register count during gpu reset with GuC

Ben Widawsky (5):
      drm/i915: Limit VF cache invalidate workaround usage to gen9
      drm/i915: Fix whitespace (trivial)
      drm/i915: Cleanup some of the CSB handling
      drm/i915: Change WARN to ERROR in CSB count
      drm/i915: Extract CSB status read

Boyer, Wayne (1):
      drm/i915: Don't warn if the workaround list is empty part 2.

Chris Wilson (7):
      drm/i915: Move Braswell stop_machine GGTT insertion workaround
      mm: Export nr_swap_pages
      drm/i915: Disable shrinker for non-swapped backed objects
      drm/i915: Restore inhibiting the load of the default context
      drm/i915: Demote user facing DMC firmware load failure message
      drm/i915: Use ordered seqno write interrupt generation on gen8+ execlists
      drm/i915: Seal busy-ioctl uABI and prevent leaking of internal ids

Daniel Vetter (5):
      drm/i915: Tune down rpm wakelock debug checks
      drm/i915: Update DRIVER_DATE to 20160111
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued
      drm/i915: Tune down "GT register while GT waking disabled" message
      drm/i915: Update DRIVER_DATE to 20160124

Dave Gordon (5):
      drm/i915/guc: Expose (intel)_lr_context_size()
      drm/i915: add kerneldoc for intel_lr_context_size()
      drm/i915: simplify allocation of driver-internal requests
      drm/i915: abolish separate per-ring default_context pointers
      drm/i915: tidy up a few leftovers

Francisco Jerez (1):
      drm/i915: Make sure DC writes are coherent on flush.

Gary Wang (2):
      drm/i915: Correct max delay for HDMI hotplug live status checking
      drm/i915: increase the tries for HDMI hotplug live status checking

Geliang Tang (2):
      drm/i915: use kobj_to_dev()
      drm/i915: use hlist_for_each_entry

Insu Yun (1):
      i915: correctly handling failed allocation

Jani Nikula (25):
      drm/i915/bios: add proper documentation for the Video BIOS Table (VBT)
      drm/i915/bios: fix header define name for intel_bios.h
      drm/i915/bios: split the MIPI DSI VBT block parsing to two
      drm/i915/bios: have get_blocksize() support MIPI sequence block v3+
      drm/i915/bios: abstract finding the panel sequence block
      drm/i915/bios: rewrite sequence block parsing
      drm/i915/dsi: be defensive about out of bounds sequence id
      drm/i915/dsi: be defensive about out of bounds operation byte
      drm/i915: shut up gen8+ SDE irq dmesg noise, again
      drm/i915/dsi: abstract get pclk platform differences
      drm/i915/dsi: remove unused dsi_rr_formula()
      drm/i915/bios: interpret the i2c element
      drm/i915/bios: add sequences for MIPI sequence block v2
      drm/i915: skip the i2c element in the generic VBT DSI driver
      drm/i915/bios: add defines for v3 sequence block
      drm/i915/bios: add support for MIPI sequence block v3
      drm/i915/dsi: skip unknown elements for sequence block v3+
      drm/i915/dsi: reduce tedious repetition
      drm/i915/dsi: add debug printing of the new sequence block names
      drm/i915: add onoff utility function
      drm/i915/bios: Fix the sequence size calculations for MIPI seq v3
      drm/i915/dp: fall back to 18 bpp when sink capability is unknown
      drm/i915/sdvo: revert bogus kernel-doc comments to normal comments
      drm/i915: turn some bogus kernel-doc comments to normal comments
      drm/i915: add DOC: headline to RC6 kernel-doc

Joonas Lahtinen (4):
      drm/i915: Decouple struct i915_params i915 into i915_params.h
      drm/i915: Reorder i915_params struct.
      drm/i915: Simplify _STATE_ debug macros
      drm/i915: Compile-time concatenate WARN_ON macro strings

Lukas Wunner (1):
      drm/i915: Remove obsolete code from intelfb_alloc()

Lyude (1):
      drm/i915: intel_hpd_init(): Fix suspend/resume reprobing

Maarten Lankhorst (13):
      drm/i915/skl: Do not allow scaling when crtc is disabled.
      drm/i915: Do not acquire crtc state to check clock during modeset, v4.
      drm/i915: Keep track of the cdclk as if all crtc's were active.
      drm/i915: Calculate visibility in check_plane correctly regardless of dpms.
      drm/i915: Allow fuzzy matching in intel_compare_link_m_n
      drm/i915: Use passed plane state for sprite planes, v4.
      drm/i915: Do not use commit_plane for sprite planes.
      drm/i915: Remove some visibility checks from intel_crtc_update_cursor.
      drm/i915: Make disable_cursor_plane similar to commit_cursor_plane.
      drm/i915: Use the plane state for cursor updates.
      drm/i915: Use plane state for primary plane updates.
      drm/i915: Remove commit_plane function pointer.
      drm/i915: Widen return value for reservation_object_wait_timeout_rcu to long.

Matt Roper (7):
      drm/i915: Setup clipped src/dest coordinates during FB reconstruction (v2)
      drm/i915: Convert hsw_compute_linetime_wm to use in-flight state
      drm/i915: Add extra paranoia to ILK watermark calculations
      drm/i915: Sanitize watermarks after hardware state readout (v4)
      drm/i915: Add two-stage ILK-style watermark programming (v10)
      drm/i915: Handle error paths during watermark sanitization properly (v3)
      Revert "drm/i915: Add two-stage ILK-style watermark programming (v10)"

Michał Winiarski (1):
      drm/i915: Avoid writing relocs with addresses in non-canonical form

Michel Thierry (2):
      drm/i915/kbl: Enable PW1 and Misc I/O power wells
      drm/i915/gen9: Set PIN_ZONE_4G end to 4GB - 1 page

Mika Kuoppala (11):
      drm/i915: Apply broader WaRsDisableCoarsePowerGating for guc also
      drm/i915: Inspect subunit states on hangcheck
      drm/i915: Consolidate unclaimed mmio detection
      drm/i915: Introduce intel_uncore_unclaimed_mmio
      drm/i915: Detect and clear unclaimed access on resume
      drm/i915: Do one shot unclaimed mmio detection less frequently
      drm/i915: Streamline unclaimed reg debug trace
      drm/i915: Add non claimed mmio checking for vlv/chv
      drm/i915: Enable mmio_debug for vlv/chv
      drm/i915: Arm the unclaimed mmio debugs on suspend path
      drm/i915: Limit the auto arming of mmio debugs on vlv/chv

Rodrigo Vivi (4):
      drm/i915: Update Skylake DDI translation table for HDMI.
      drm/i915: Update Skylake DDI translation table for DP.
      drm/i915: Cleaning up DDI translation tables
      drm/i915/kbl: Adding missing IS_KABYLAKE checks.

Tvrtko Ursulin (12):
      drm/i915/bdw+: Replace list_del+list_add_tail with list_move_tail
      drm/i915/gen8: Tidy display interrupt processing
      drm/i915/gen8: Factor out display interrupt handling
      drm/i915: Extract vfunc setup from logical ring initializers
      drm/i915: Compact logical ring interrupt initialization
      drm/i915: Fix bsd2 ring name
      drm/i915: Only grab timestamps when needed
      drm/i915: Do not call API requiring struct_mutex where it is not available
      drm/i915: Cache ringbuffer GTT VMA
      drm/i915: Cache LRC state page in the context
      drm/i915: Do not put big intel_crtc_state on the stack
      drm/i915: Decouple execbuf uAPI from internal implementation

Ville Syrjälä (31):
      drm/i915: Workaround CHV pipe C cursor fail
      drm/i915: Unbreak check_digital_port_conflicts()
      drm/i915: Pass the correct encoder to intel_ddi_clk_select() with MST
      drm/i915: Check max number of lanes when registering DDI ports
      drm/i915: Store max lane count in intel_digital_port
      drm/i915: Remove pointless 'ddi_translations' local variable
      drm/i915: Eliminate duplicated skl_get_buf_trans_dp()
      drm/i915: Pass around dev_priv for ddi buffer programming
      drm/i915: Reject >9 ddi translation entried if port != A/E on SKL
      drm/i915: Kill intel_prepare_ddi()
      drm/i915: Cleanup phys status page too
      drm/i915: Wait for pipe to start before sampling vblank timestamps on gen2
      drm/i915: Allow 27 bytes child_dev for VBT <109
      drm/i915: Expect child dev size of 22 bytes for VBT < 106
      drm/i915: Use MI_BATCH_BUFFER_START on 830/845
      drm/i915: Only complain about n_edp_entries with eDP ports
      drm/i915: Pass modifier instead of tiling_mode to gen4_compute_page_offset()
      drm/i915: Factor out intel_tile_width()
      drm/i915: Redo intel_tile_height() as intel_tile_size() / intel_tile_width()
      drm/i915: change intel_fill_fb_ggtt_view() to use the real tile size
      drm/i915: Use intel_tile_{size,width,height}() in intel_gen4_compute_page_offset()
      drm/i915: s/intel_gen4_compute_page_offset/intel_compute_tile_offset/
      drm/i915: Refactor intel_surf_alignment()
      drm/i915: Start WM computation from scratch on ILK-BDW
      drm/i915: Use the active wm config for merging on ILK-BDW
      drm/i915: Don't leak framebuffer_references if drm_framebuffer_init() fails
      drm/i915: Set i915_ggtt_view_normal type explicitly
      drm/i915: Pass the dma_addr_t array as const to rotate_pages()
      drm/i915: Don't reject primary plane windowing with color keying enabled on SKL+
      drm/i915: skl_update_scaler() wants a rotation bitmask instead of bit number
      drm/i915: Fix NULL plane->fb oops on SKL

 Documentation/DocBook/gpu.tmpl             |    6 +
 drivers/gpu/drm/i915/i915_debugfs.c        |   56 +-
 drivers/gpu/drm/i915/i915_drv.c            |   15 +-
 drivers/gpu/drm/i915/i915_drv.h            |  108 ++-
 drivers/gpu/drm/i915/i915_gem.c            |   95 ++-
 drivers/gpu/drm/i915/i915_gem_context.c    |   29 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  163 +++--
 drivers/gpu/drm/i915/i915_gem_gtt.c        |    8 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h        |    1 -
 drivers/gpu/drm/i915/i915_gem_shrinker.c   |   60 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |    3 +
 drivers/gpu/drm/i915/i915_gpu_error.c      |    2 +-
 drivers/gpu/drm/i915/i915_guc_reg.h        |    1 +
 drivers/gpu/drm/i915/i915_guc_submission.c |  146 +++-
 drivers/gpu/drm/i915/i915_irq.c            |  254 ++++---
 drivers/gpu/drm/i915/i915_params.c         |    1 +
 drivers/gpu/drm/i915/i915_params.h         |   68 ++
 drivers/gpu/drm/i915/i915_reg.h            |    5 +
 drivers/gpu/drm/i915/i915_sysfs.c          |    8 +-
 drivers/gpu/drm/i915/intel_atomic.c        |    2 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c  |   14 +-
 drivers/gpu/drm/i915/intel_bios.c          |  412 ++++++-----
 drivers/gpu/drm/i915/intel_bios.h          |   60 +-
 drivers/gpu/drm/i915/intel_csr.c           |   14 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  291 ++++----
 drivers/gpu/drm/i915/intel_display.c       | 1090 ++++++++++++++++------------
 drivers/gpu/drm/i915/intel_dp.c            |   30 +-
 drivers/gpu/drm/i915/intel_dp_mst.c        |    4 +-
 drivers/gpu/drm/i915/intel_drv.h           |   51 +-
 drivers/gpu/drm/i915/intel_dsi.c           |    9 +-
 drivers/gpu/drm/i915/intel_dsi.h           |    3 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c |  153 ++--
 drivers/gpu/drm/i915/intel_dsi_pll.c       |   93 +--
 drivers/gpu/drm/i915/intel_fbdev.c         |    4 +-
 drivers/gpu/drm/i915/intel_guc.h           |    4 +
 drivers/gpu/drm/i915/intel_guc_fwif.h      |  113 ++-
 drivers/gpu/drm/i915/intel_guc_loader.c    |   13 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |    8 +-
 drivers/gpu/drm/i915/intel_lrc.c           |  563 +++++++-------
 drivers/gpu/drm/i915/intel_lrc.h           |   23 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   24 +-
 drivers/gpu/drm/i915/intel_pm.c            |   93 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   40 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   19 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    |   31 +-
 drivers/gpu/drm/i915/intel_sdvo_regs.h     |   76 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  161 ++--
 drivers/gpu/drm/i915/intel_uncore.c        |  139 ++--
 include/uapi/drm/i915_drm.h                |   33 +-
 mm/swapfile.c                              |    6 +
 50 files changed, 2738 insertions(+), 1867 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_params.h
 mode change 100644 => 100755 drivers/gpu/drm/i915/intel_hdmi.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
https://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2015-12-22 14:05 ` Daniel Vetter
@ 2015-12-22 14:31   ` Chris Wilson
  0 siblings, 0 replies; 265+ messages in thread
From: Chris Wilson @ 2015-12-22 14:31 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Tue, Dec 22, 2015 at 03:05:14PM +0100, Daniel Vetter wrote:
> On Tue, Dec 22, 2015 at 11:37:18AM +0100, Daniel Vetter wrote:
> > Hi Dave,
> > 
> > Final 4.5 feature pull for drm/i915!
> > 
> > drm-intel-next-2015-12-18:
> > - fix atomic watermark recomputation logic (Maarten)
> > - modeset sequence fixes for LPT (Ville)
> > - more kbl enabling&prep work (Rodrigo, Wayne)
> > - first bits for mst audio
> > - page dirty tracking fixes from Dave Gordon
> > - new get_eld hook from Takashi, also included in the sound tree
> > - fixup cursor handling when placed at address 0 (Ville)
> > - refactor VBT parsing code (Jani)
> > - rpm wakelock debug infrastructure ( Imre)
> > - fbdev is pinned again (Chris)
> > - tune the busywait logic to avoid wasting cpu cycles (Chris)
> > 
> > Two small caveats as a heads up:
> > - the runtime pm wakelock debug stuff catches a few bugs. rpm is disabled
> >   by default, but lots enable it (e.g. powertop does), and we iirc have
> >   fixes floating for most. If we can't squeeze them all in for 4.5 because
> >   too big or late we can just tune down the dmesg noise since the
> >   uncovered bugs are all as old as rpm support.
> > - softpin is still thrashing around: Chris complains that the ABI can't be
> >   used of anything else than beignet, but I think that's ok since easy to
> >   remedy and softpin was done primarily for buffered svm opencl mode. And
> >   then there's some confusion around canonical 48bit addresses that I
> >   don't fully understand myself. I expect Tvrtko to handle this before
> >   your merge window pull goes out.
> 
> So just with Tvrtko and the canonical address is something
> userspace/beignet will never hit under legitimate usage. So it's just a
> bit of closing a corner-case, and the patch+testcase is ready except for
> bit of final polish and unfortunately people going on holidays already.

Nope, it was reported in the wild and it imposes an ABI constraint on
the execobject.offsets.
-Chris

-- 
Chris Wilson, Intel Open Source Technology Centre
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2015-12-22 10:37 Daniel Vetter
@ 2015-12-22 14:05 ` Daniel Vetter
  2015-12-22 14:31   ` Chris Wilson
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2015-12-22 14:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Tue, Dec 22, 2015 at 11:37:18AM +0100, Daniel Vetter wrote:
> Hi Dave,
> 
> Final 4.5 feature pull for drm/i915!
> 
> drm-intel-next-2015-12-18:
> - fix atomic watermark recomputation logic (Maarten)
> - modeset sequence fixes for LPT (Ville)
> - more kbl enabling&prep work (Rodrigo, Wayne)
> - first bits for mst audio
> - page dirty tracking fixes from Dave Gordon
> - new get_eld hook from Takashi, also included in the sound tree
> - fixup cursor handling when placed at address 0 (Ville)
> - refactor VBT parsing code (Jani)
> - rpm wakelock debug infrastructure ( Imre)
> - fbdev is pinned again (Chris)
> - tune the busywait logic to avoid wasting cpu cycles (Chris)
> 
> Two small caveats as a heads up:
> - the runtime pm wakelock debug stuff catches a few bugs. rpm is disabled
>   by default, but lots enable it (e.g. powertop does), and we iirc have
>   fixes floating for most. If we can't squeeze them all in for 4.5 because
>   too big or late we can just tune down the dmesg noise since the
>   uncovered bugs are all as old as rpm support.
> - softpin is still thrashing around: Chris complains that the ABI can't be
>   used of anything else than beignet, but I think that's ok since easy to
>   remedy and softpin was done primarily for buffered svm opencl mode. And
>   then there's some confusion around canonical 48bit addresses that I
>   don't fully understand myself. I expect Tvrtko to handle this before
>   your merge window pull goes out.

So just with Tvrtko and the canonical address is something
userspace/beignet will never hit under legitimate usage. So it's just a
bit of closing a corner-case, and the patch+testcase is ready except for
bit of final polish and unfortunately people going on holidays already.

Summary: I think that part is ok too, and we should have the final bits as
soon as folks return next year.

Cheers, Daniel

> 
> Looking at -nightly I don't see a conflict with drm-next (but there's some
> with Linus' tree).
> 
> I'll also send out another drm-misc before I go on vacations, there's 1-2
> patches in there after the last pull.
> 
> Cheers, Daniel
> 
> 
> The following changes since commit e876b41ab074561d65f213bf5e0fc68cf5bc7380:
> 
>   Back merge tag 'v4.4-rc4' into drm-next (2015-12-08 11:04:26 +1000)
> 
> are available in the git repository at:
> 
>   git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-12-18
> 
> for you to fetch changes up to 7447a2b221cd4df3960e82478a4ee29312589611:
> 
>   drm/i915: Update DRIVER_DATE to 20151218 (2015-12-18 20:26:17 +0100)
> 
> ----------------------------------------------------------------
> - fix atomic watermark recomputation logic (Maarten)
> - modeset sequence fixes for LPT (Ville)
> - more kbl enabling&prep work (Rodrigo, Wayne)
> - first bits for mst audio
> - page dirty tracking fixes from Dave Gordon
> - new get_eld hook from Takashi, also included in the sound tree
> - fixup cursor handling when placed at address 0 (Ville)
> - refactor VBT parsing code (Jani)
> - rpm wakelock debug infrastructure ( Imre)
> - fbdev is pinned again (Chris)
> - tune the busywait logic to avoid wasting cpu cycles (Chris)
> 
> ----------------------------------------------------------------
> Chris Wilson (6):
>       drm/i915: Add soft-pinning API for execbuffer
>       drm/i915: Set the map-and-fenceable flag for preallocated objects
>       drm/i915: Pin the ifbdev for the info->system_base GGTT mmapping
>       drm/i915: Break busywaiting for requests on pending signals
>       drm/i915: Limit the busy wait on requests to 5us not 10ms!
>       drm/i915: Only spin whilst waiting on the current request
> 
> Daniel Vetter (3):
>       Merge tag 'drm-i915-get-eld' of tiwai/sound into drm-intel-next-queued
>       drm/i915: mdelay(10) considered harmful
>       drm/i915: Update DRIVER_DATE to 20151218
> 
> Dave Gordon (4):
>       drm/i915: eliminate 'temp' in gen8_for_each_{pdd, pdpe, pml4e} macros
>       drm/i915: intel_ring_initialized() must be simple and inline
>       drm/i915: mark GEM object pages dirty when mapped & written by the CPU
>       drm/i915: mark a newly-created GEM object dirty when filled with data
> 
> Deepak M (3):
>       drm/i915: add VBT address and size fields to ASLE mailbox struct
>       drm/i915: dual link pipe selection for bxt
>       drm/i915: Add Intel opregion mailbox 5 structure
> 
> Imre Deak (12):
>       drm/i915: vlv: clamp minimum RPS frequency to what Punit allows
>       drm/i915: clarify comment about mandatory RPM put/get during driver load/unload
>       drm/i915: refactor RPM disabling due to RC6 being disabled
>       drm/i915: get a permanent RPM reference on platforms w/o RPM support
>       drm/i915: remove HAS_RUNTIME_PM check from RPM get/put/assert helpers
>       drm/i915: add assert_rpm_wakelock_held helper
>       drm/i915: use assert_rpm_wakelock_held instead of opencoding it
>       drm/i915: add support for checking if we hold an RPM reference
>       drm/i915: check that we hold an RPM wakelock ref before we put it
>       drm/i915: add support for checking RPM atomic sections
>       drm/i915: check that we are in an RPM atomic section in GGTT PTE updaters
>       drm/i915: don't enable autosuspend on platforms without RPM support
> 
> Jani Nikula (16):
>       drm/i915: move "no VBT in opregion" quirk to intel_opregion_setup()
>       drm/i915/bios: have functions return vbt, not bdb, header pointer
>       drm/i915/bios: move debug logging about VBT source to intel_parse_bios()
>       drm/i915/bios: rename intel_parse_bios to intel_bios_init
>       drm/i915: refactor VBT validation
>       drm/i915/opregion: make VBT size limit more strict
>       drm/i915/opregion: make VBT pointer a const
>       drm/i915: don't use a temp buffer for opregion debugfs file
>       drm/i915/debugfs: add a separate debugfs file for VBT
>       drm/i915: prefer for_each_intel_* macros for iteration
>       drm/i915: move drmP.h include to i915_drv.h
>       drm/i915/bios: fix format string of the VBT signature logging
>       drm/i915/bios: prefer using dev_priv over dev pointer
>       drm/i915/bios: reduce indent in parse_general_features
>       drm/i915/opregion: handle VBT sizes bigger than 6 KB
>       drm/i915/backlight: prefer dev_priv over dev pointer
> 
> Joonas Lahtinen (1):
>       drm/i915: Cancel hangcheck before GPU is suspended
> 
> Julia Lawall (1):
>       drm/i915: constify intel_dvo_dev_ops structures
> 
> Libin Yang (2):
>       dp/mst: add SDP stream support
>       drm/i915: start adding dp mst audio
> 
> Maarten Lankhorst (5):
>       drm/i915: Move disable_cxsr to the crtc_state.
>       drm/i915: Calculate watermark related members in the crtc_state, v4.
>       drm/i915/skl: Update watermarks before the crtc is disabled.
>       drm/i915: Remove double wait_for_vblank on broadwell.
>       drm/i915: Do a better job at disabling primary plane in the noatomic case.
> 
> Matt Roper (1):
>       drm/i915: Disable primary plane if we fail to reconstruct BIOS fb (v2)
> 
> Mika Kuoppala (3):
>       drm/i915/skl: Disable coarse power gating up until F0
>       drm/i915/skl: Double RC6 WRL always on
>       drm/i915/skl: Default to noncoherent access up to F0
> 
> Rodrigo Vivi (6):
>       drm/i915: Fix idle_frames counter.
>       drm/i915/kbl: Use propper ddi buffer translation table for Kabylake ULT and ULX.
>       drm/i915/kbl: drm/i915: Avoid GuC loading for now on Kabylake.
>       drm/i915/kbl: Fix DMC load on Kabylake.
>       drm/i915: Fix random aux transactions failures.
>       drm/i915: PSR also doesn't have link_entry_time on SKL.
> 
> Sudip Mukherjee (1):
>       drm/i915: check for return value
> 
> Takashi Iwai (2):
>       drm/i915: Add get_eld audio component
>       drm/i915: Add reverse mapping between port and intel_encoder
> 
> Tomas Elf (1):
>       drm/i915: Update to post-reset execlist queue clean-up
> 
> Ville Syrjälä (9):
>       drm/i915: Disable CLKOUT_DP bending on LPT/WPT as needed
>       drm/i915: Round to closest when computing the VGA dotclock for LPT-H
>       drm/i915: Disable FDI after the CRT port on LPT-H
>       drm/i915: Refactor LPT-H VGA dotclock disabling
>       drm/i915: Disable LPT-H VGA dotclock during crtc disable
>       drm/i915: Leave FDI running after failed link training on LPT-H
>       drm/i915: Kill intel_crtc->cursor_bo
>       drm/i915: Drop the broken cursor base==0 special casing
>       drm/i915: Fix AVI/HDMI/SPD infoframes on HSW+
> 
> Wayne Boyer (5):
>       drm/i915: Separate cherryview from valleyview
>       drm/i915: Use HAS_PCH_SPLIT to determine correct devices
>       drm/i915: Remove VLV A0 hack
>       drm/i915: Only set gem object L3 cache level for IVB devices
>       drm/i915: Remove BUG_ON call in vlv_enable_pll
> 
> Zeng Zhaoxiu (1):
>       i915: Replace "hweight8(dev_priv->info.subslice_7eu[i]) != 1" with "!is_power_of_2(dev_priv->info.subslice_7eu[i])"
> 
>  drivers/gpu/drm/drm_dp_mst_topology.c        |  38 +-
>  drivers/gpu/drm/i915/dvo.h                   |  12 +-
>  drivers/gpu/drm/i915/dvo_ch7017.c            |   2 +-
>  drivers/gpu/drm/i915/dvo_ch7xxx.c            |   2 +-
>  drivers/gpu/drm/i915/dvo_ivch.c              |   2 +-
>  drivers/gpu/drm/i915/dvo_ns2501.c            |   2 +-
>  drivers/gpu/drm/i915/dvo_sil164.c            |   2 +-
>  drivers/gpu/drm/i915/dvo_tfp410.c            |   2 +-
>  drivers/gpu/drm/i915/i915_debugfs.c          | 233 +++++-----
>  drivers/gpu/drm/i915/i915_dma.c              |  23 +-
>  drivers/gpu/drm/i915/i915_drv.c              | 249 ++++++-----
>  drivers/gpu/drm/i915/i915_drv.h              | 142 +++++--
>  drivers/gpu/drm/i915/i915_gem.c              | 218 +++++++---
>  drivers/gpu/drm/i915/i915_gem_context.c      |  11 +-
>  drivers/gpu/drm/i915/i915_gem_evict.c        |  39 ++
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  24 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c          |  76 +++-
>  drivers/gpu/drm/i915/i915_gem_gtt.h          |  49 +--
>  drivers/gpu/drm/i915/i915_gem_render_state.c |   2 +-
>  drivers/gpu/drm/i915/i915_gem_stolen.c       |   1 +
>  drivers/gpu/drm/i915/i915_guc_submission.c   |  35 +-
>  drivers/gpu/drm/i915/i915_irq.c              | 200 ++++++---
>  drivers/gpu/drm/i915/i915_reg.h              |  16 +-
>  drivers/gpu/drm/i915/i915_suspend.c          |   4 +-
>  drivers/gpu/drm/i915/i915_sysfs.c            |  10 +-
>  drivers/gpu/drm/i915/intel_atomic.c          |   2 +
>  drivers/gpu/drm/i915/intel_audio.c           |  95 +++--
>  drivers/gpu/drm/i915/intel_bios.c            | 168 ++++----
>  drivers/gpu/drm/i915/intel_bios.h            |   4 -
>  drivers/gpu/drm/i915/intel_crt.c             |  35 +-
>  drivers/gpu/drm/i915/intel_csr.c             |  13 +-
>  drivers/gpu/drm/i915/intel_ddi.c             |  57 ++-
>  drivers/gpu/drm/i915/intel_display.c         | 465 ++++++++++++--------
>  drivers/gpu/drm/i915/intel_dp.c              |  98 +++--
>  drivers/gpu/drm/i915/intel_dp_mst.c          |  22 +
>  drivers/gpu/drm/i915/intel_drv.h             | 106 ++++-
>  drivers/gpu/drm/i915/intel_dsi.c             |  53 +--
>  drivers/gpu/drm/i915/intel_dsi_pll.c         |   6 +-
>  drivers/gpu/drm/i915/intel_fbc.c             | 614 +++++++++++++++------------
>  drivers/gpu/drm/i915/intel_fbdev.c           |  20 +-
>  drivers/gpu/drm/i915/intel_fifo_underrun.c   |  16 +-
>  drivers/gpu/drm/i915/intel_guc.h             |   4 -
>  drivers/gpu/drm/i915/intel_hdmi.c            |  65 ++-
>  drivers/gpu/drm/i915/intel_hotplug.c         |   2 +-
>  drivers/gpu/drm/i915/intel_i2c.c             |  53 ++-
>  drivers/gpu/drm/i915/intel_lrc.c             |  28 +-
>  drivers/gpu/drm/i915/intel_opregion.c        |  77 +++-
>  drivers/gpu/drm/i915/intel_panel.c           | 201 ++++-----
>  drivers/gpu/drm/i915/intel_pm.c              |  57 ++-
>  drivers/gpu/drm/i915/intel_psr.c             |  67 ++-
>  drivers/gpu/drm/i915/intel_ringbuffer.c      |  52 +--
>  drivers/gpu/drm/i915/intel_ringbuffer.h      |   6 +-
>  drivers/gpu/drm/i915/intel_runtime_pm.c      | 147 +++++--
>  drivers/gpu/drm/i915/intel_sprite.c          |   4 +-
>  drivers/gpu/drm/i915/intel_uncore.c          |  27 +-
>  include/drm/drm_dp_mst_helper.h              |   7 +-
>  include/drm/i915_component.h                 |  14 +
>  include/drm/i915_pciids.h                    |  13 +-
>  include/uapi/drm/i915_drm.h                  |  12 +-
>  59 files changed, 2427 insertions(+), 1577 deletions(-)
> 
> -- 
> Daniel Vetter
> Software Engineer, Intel Corporation
> http://blog.ffwll.ch

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-12-22 10:37 Daniel Vetter
  2015-12-22 14:05 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2015-12-22 10:37 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Final 4.5 feature pull for drm/i915!

drm-intel-next-2015-12-18:
- fix atomic watermark recomputation logic (Maarten)
- modeset sequence fixes for LPT (Ville)
- more kbl enabling&prep work (Rodrigo, Wayne)
- first bits for mst audio
- page dirty tracking fixes from Dave Gordon
- new get_eld hook from Takashi, also included in the sound tree
- fixup cursor handling when placed at address 0 (Ville)
- refactor VBT parsing code (Jani)
- rpm wakelock debug infrastructure ( Imre)
- fbdev is pinned again (Chris)
- tune the busywait logic to avoid wasting cpu cycles (Chris)

Two small caveats as a heads up:
- the runtime pm wakelock debug stuff catches a few bugs. rpm is disabled
  by default, but lots enable it (e.g. powertop does), and we iirc have
  fixes floating for most. If we can't squeeze them all in for 4.5 because
  too big or late we can just tune down the dmesg noise since the
  uncovered bugs are all as old as rpm support.
- softpin is still thrashing around: Chris complains that the ABI can't be
  used of anything else than beignet, but I think that's ok since easy to
  remedy and softpin was done primarily for buffered svm opencl mode. And
  then there's some confusion around canonical 48bit addresses that I
  don't fully understand myself. I expect Tvrtko to handle this before
  your merge window pull goes out.

Looking at -nightly I don't see a conflict with drm-next (but there's some
with Linus' tree).

I'll also send out another drm-misc before I go on vacations, there's 1-2
patches in there after the last pull.

Cheers, Daniel


The following changes since commit e876b41ab074561d65f213bf5e0fc68cf5bc7380:

  Back merge tag 'v4.4-rc4' into drm-next (2015-12-08 11:04:26 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-12-18

for you to fetch changes up to 7447a2b221cd4df3960e82478a4ee29312589611:

  drm/i915: Update DRIVER_DATE to 20151218 (2015-12-18 20:26:17 +0100)

----------------------------------------------------------------
- fix atomic watermark recomputation logic (Maarten)
- modeset sequence fixes for LPT (Ville)
- more kbl enabling&prep work (Rodrigo, Wayne)
- first bits for mst audio
- page dirty tracking fixes from Dave Gordon
- new get_eld hook from Takashi, also included in the sound tree
- fixup cursor handling when placed at address 0 (Ville)
- refactor VBT parsing code (Jani)
- rpm wakelock debug infrastructure ( Imre)
- fbdev is pinned again (Chris)
- tune the busywait logic to avoid wasting cpu cycles (Chris)

----------------------------------------------------------------
Chris Wilson (6):
      drm/i915: Add soft-pinning API for execbuffer
      drm/i915: Set the map-and-fenceable flag for preallocated objects
      drm/i915: Pin the ifbdev for the info->system_base GGTT mmapping
      drm/i915: Break busywaiting for requests on pending signals
      drm/i915: Limit the busy wait on requests to 5us not 10ms!
      drm/i915: Only spin whilst waiting on the current request

Daniel Vetter (3):
      Merge tag 'drm-i915-get-eld' of tiwai/sound into drm-intel-next-queued
      drm/i915: mdelay(10) considered harmful
      drm/i915: Update DRIVER_DATE to 20151218

Dave Gordon (4):
      drm/i915: eliminate 'temp' in gen8_for_each_{pdd, pdpe, pml4e} macros
      drm/i915: intel_ring_initialized() must be simple and inline
      drm/i915: mark GEM object pages dirty when mapped & written by the CPU
      drm/i915: mark a newly-created GEM object dirty when filled with data

Deepak M (3):
      drm/i915: add VBT address and size fields to ASLE mailbox struct
      drm/i915: dual link pipe selection for bxt
      drm/i915: Add Intel opregion mailbox 5 structure

Imre Deak (12):
      drm/i915: vlv: clamp minimum RPS frequency to what Punit allows
      drm/i915: clarify comment about mandatory RPM put/get during driver load/unload
      drm/i915: refactor RPM disabling due to RC6 being disabled
      drm/i915: get a permanent RPM reference on platforms w/o RPM support
      drm/i915: remove HAS_RUNTIME_PM check from RPM get/put/assert helpers
      drm/i915: add assert_rpm_wakelock_held helper
      drm/i915: use assert_rpm_wakelock_held instead of opencoding it
      drm/i915: add support for checking if we hold an RPM reference
      drm/i915: check that we hold an RPM wakelock ref before we put it
      drm/i915: add support for checking RPM atomic sections
      drm/i915: check that we are in an RPM atomic section in GGTT PTE updaters
      drm/i915: don't enable autosuspend on platforms without RPM support

Jani Nikula (16):
      drm/i915: move "no VBT in opregion" quirk to intel_opregion_setup()
      drm/i915/bios: have functions return vbt, not bdb, header pointer
      drm/i915/bios: move debug logging about VBT source to intel_parse_bios()
      drm/i915/bios: rename intel_parse_bios to intel_bios_init
      drm/i915: refactor VBT validation
      drm/i915/opregion: make VBT size limit more strict
      drm/i915/opregion: make VBT pointer a const
      drm/i915: don't use a temp buffer for opregion debugfs file
      drm/i915/debugfs: add a separate debugfs file for VBT
      drm/i915: prefer for_each_intel_* macros for iteration
      drm/i915: move drmP.h include to i915_drv.h
      drm/i915/bios: fix format string of the VBT signature logging
      drm/i915/bios: prefer using dev_priv over dev pointer
      drm/i915/bios: reduce indent in parse_general_features
      drm/i915/opregion: handle VBT sizes bigger than 6 KB
      drm/i915/backlight: prefer dev_priv over dev pointer

Joonas Lahtinen (1):
      drm/i915: Cancel hangcheck before GPU is suspended

Julia Lawall (1):
      drm/i915: constify intel_dvo_dev_ops structures

Libin Yang (2):
      dp/mst: add SDP stream support
      drm/i915: start adding dp mst audio

Maarten Lankhorst (5):
      drm/i915: Move disable_cxsr to the crtc_state.
      drm/i915: Calculate watermark related members in the crtc_state, v4.
      drm/i915/skl: Update watermarks before the crtc is disabled.
      drm/i915: Remove double wait_for_vblank on broadwell.
      drm/i915: Do a better job at disabling primary plane in the noatomic case.

Matt Roper (1):
      drm/i915: Disable primary plane if we fail to reconstruct BIOS fb (v2)

Mika Kuoppala (3):
      drm/i915/skl: Disable coarse power gating up until F0
      drm/i915/skl: Double RC6 WRL always on
      drm/i915/skl: Default to noncoherent access up to F0

Rodrigo Vivi (6):
      drm/i915: Fix idle_frames counter.
      drm/i915/kbl: Use propper ddi buffer translation table for Kabylake ULT and ULX.
      drm/i915/kbl: drm/i915: Avoid GuC loading for now on Kabylake.
      drm/i915/kbl: Fix DMC load on Kabylake.
      drm/i915: Fix random aux transactions failures.
      drm/i915: PSR also doesn't have link_entry_time on SKL.

Sudip Mukherjee (1):
      drm/i915: check for return value

Takashi Iwai (2):
      drm/i915: Add get_eld audio component
      drm/i915: Add reverse mapping between port and intel_encoder

Tomas Elf (1):
      drm/i915: Update to post-reset execlist queue clean-up

Ville Syrjälä (9):
      drm/i915: Disable CLKOUT_DP bending on LPT/WPT as needed
      drm/i915: Round to closest when computing the VGA dotclock for LPT-H
      drm/i915: Disable FDI after the CRT port on LPT-H
      drm/i915: Refactor LPT-H VGA dotclock disabling
      drm/i915: Disable LPT-H VGA dotclock during crtc disable
      drm/i915: Leave FDI running after failed link training on LPT-H
      drm/i915: Kill intel_crtc->cursor_bo
      drm/i915: Drop the broken cursor base==0 special casing
      drm/i915: Fix AVI/HDMI/SPD infoframes on HSW+

Wayne Boyer (5):
      drm/i915: Separate cherryview from valleyview
      drm/i915: Use HAS_PCH_SPLIT to determine correct devices
      drm/i915: Remove VLV A0 hack
      drm/i915: Only set gem object L3 cache level for IVB devices
      drm/i915: Remove BUG_ON call in vlv_enable_pll

Zeng Zhaoxiu (1):
      i915: Replace "hweight8(dev_priv->info.subslice_7eu[i]) != 1" with "!is_power_of_2(dev_priv->info.subslice_7eu[i])"

 drivers/gpu/drm/drm_dp_mst_topology.c        |  38 +-
 drivers/gpu/drm/i915/dvo.h                   |  12 +-
 drivers/gpu/drm/i915/dvo_ch7017.c            |   2 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c            |   2 +-
 drivers/gpu/drm/i915/dvo_ivch.c              |   2 +-
 drivers/gpu/drm/i915/dvo_ns2501.c            |   2 +-
 drivers/gpu/drm/i915/dvo_sil164.c            |   2 +-
 drivers/gpu/drm/i915/dvo_tfp410.c            |   2 +-
 drivers/gpu/drm/i915/i915_debugfs.c          | 233 +++++-----
 drivers/gpu/drm/i915/i915_dma.c              |  23 +-
 drivers/gpu/drm/i915/i915_drv.c              | 249 ++++++-----
 drivers/gpu/drm/i915/i915_drv.h              | 142 +++++--
 drivers/gpu/drm/i915/i915_gem.c              | 218 +++++++---
 drivers/gpu/drm/i915/i915_gem_context.c      |  11 +-
 drivers/gpu/drm/i915/i915_gem_evict.c        |  39 ++
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  24 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c          |  76 +++-
 drivers/gpu/drm/i915/i915_gem_gtt.h          |  49 +--
 drivers/gpu/drm/i915/i915_gem_render_state.c |   2 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c       |   1 +
 drivers/gpu/drm/i915/i915_guc_submission.c   |  35 +-
 drivers/gpu/drm/i915/i915_irq.c              | 200 ++++++---
 drivers/gpu/drm/i915/i915_reg.h              |  16 +-
 drivers/gpu/drm/i915/i915_suspend.c          |   4 +-
 drivers/gpu/drm/i915/i915_sysfs.c            |  10 +-
 drivers/gpu/drm/i915/intel_atomic.c          |   2 +
 drivers/gpu/drm/i915/intel_audio.c           |  95 +++--
 drivers/gpu/drm/i915/intel_bios.c            | 168 ++++----
 drivers/gpu/drm/i915/intel_bios.h            |   4 -
 drivers/gpu/drm/i915/intel_crt.c             |  35 +-
 drivers/gpu/drm/i915/intel_csr.c             |  13 +-
 drivers/gpu/drm/i915/intel_ddi.c             |  57 ++-
 drivers/gpu/drm/i915/intel_display.c         | 465 ++++++++++++--------
 drivers/gpu/drm/i915/intel_dp.c              |  98 +++--
 drivers/gpu/drm/i915/intel_dp_mst.c          |  22 +
 drivers/gpu/drm/i915/intel_drv.h             | 106 ++++-
 drivers/gpu/drm/i915/intel_dsi.c             |  53 +--
 drivers/gpu/drm/i915/intel_dsi_pll.c         |   6 +-
 drivers/gpu/drm/i915/intel_fbc.c             | 614 +++++++++++++++------------
 drivers/gpu/drm/i915/intel_fbdev.c           |  20 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c   |  16 +-
 drivers/gpu/drm/i915/intel_guc.h             |   4 -
 drivers/gpu/drm/i915/intel_hdmi.c            |  65 ++-
 drivers/gpu/drm/i915/intel_hotplug.c         |   2 +-
 drivers/gpu/drm/i915/intel_i2c.c             |  53 ++-
 drivers/gpu/drm/i915/intel_lrc.c             |  28 +-
 drivers/gpu/drm/i915/intel_opregion.c        |  77 +++-
 drivers/gpu/drm/i915/intel_panel.c           | 201 ++++-----
 drivers/gpu/drm/i915/intel_pm.c              |  57 ++-
 drivers/gpu/drm/i915/intel_psr.c             |  67 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c      |  52 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h      |   6 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c      | 147 +++++--
 drivers/gpu/drm/i915/intel_sprite.c          |   4 +-
 drivers/gpu/drm/i915/intel_uncore.c          |  27 +-
 include/drm/drm_dp_mst_helper.h              |   7 +-
 include/drm/i915_component.h                 |  14 +
 include/drm/i915_pciids.h                    |  13 +-
 include/uapi/drm/i915_drm.h                  |  12 +-
 59 files changed, 2427 insertions(+), 1577 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-12-11 18:31 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-12-11 18:31 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-12-04-1:
This is the "fix igt basic test set issues" edition.
- more PSR fixes from Rodrigo, getting closer
- tons of fifo underrun fixes from Ville
- runtime pm fixes from Imre, Daniel Stone
- fix SDE interrupt handling properly (Jani Nikula)
- hsw/bdw fdi modeset sequence fixes (Ville)
- "don't register bad VGA connectors and fall over" fixes (Ville)
- more fbc fixes from Paulo
- and a grand total of exactly one feature item: Implement dma-buf/fence based
  cross-driver sync in the i915 pageflip path (Alex Goins)

For 4.4 there's going to be another sizeable drm-misc (will seend out
soonish), plus another round of drm-intel stuff.

Cheers, Daniel


The following changes since commit 92907cbbef8625bb3998d1eb385fc88f23c97a3f:

  Merge tag 'v4.4-rc2' into drm-intel-next-queued (2015-11-23 09:04:05 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-12-04-1

for you to fetch changes up to 03a97d825573de7b6ff1b44f257345efbff2161a:

  drm/i915: Update DRIVER_DATE to 20151204 (2015-12-04 21:56:02 +0100)

----------------------------------------------------------------
This is the "fix igt basic test set issues" edition.
- more PSR fixes from Rodrigo, getting closer
- tons of fifo underrun fixes from Ville
- runtime pm fixes from Imre, Daniel Stone
- fix SDE interrupt handling properly (Jani Nikula)
- hsw/bdw fdi modeset sequence fixes (Ville)
- "don't register bad VGA connectors and fall over" fixes (Ville)
- more fbc fixes from Paulo
- and a grand total of exactly one feature item: Implement dma-buf/fence based
  cross-driver sync in the i915 pageflip path (Alex Goins)

----------------------------------------------------------------
Alex Dai (1):
      drm/i915/guc: Clean up locks in GuC

Alex Goins (2):
      i915: wait for fence in mmio_flip_work_func
      i915: wait for fence in prepare_plane_fb

Chris Wilson (1):
      drm/i915: Fix RPS pointer passed from wait_ioctl to i915_wait_request

Daniel Stone (2):
      drm/i915/pm: Unstatic power_domain_str
      drm/i915/pm: Print offending domain in refcount failure

Daniel Vetter (5):
      drm/i915: fix fdi related fifo underruns on hsw
      Revert "drm/i915: Remove superfluous NULL check"
      drm/i915: Restore skl_gt3 device info
      Revert "drm/i915: Extend LRC pinning to cover GPU context writeback"
      drm/i915: Update DRIVER_DATE to 20151204

Deepak M (1):
      drm/i915: Correct the Ref clock value for BXT

Gerd Hoffmann (1):
      drm/i915: more virtual south bridge detection

Imre Deak (3):
      drm/i915/skl: enable PC9/10 power states during suspend-to-idle
      drm/i915/skl: re-enable power well support
      drm/i915/bxt: backlight clock gating workaround

Jani Nikula (10):
      drm/i915: remove duplicate definition of for_each_power_domain
      drm/i915: fix the SDE irq dmesg warnings properly
      Revert "drm/i915: shut up gen8+ SDE irq dmesg noise"
      drm/i915/dsi: merge pre_pll_enable hook to pre_enable
      drm/i915: remove pre_pll_enable hook from DDI/gen9+ crtc enable
      drm/i915: add has_dsi_encoder to crtc state
      drm/i915/bxt: add support for setting backlight freq from vbt
      drm/i915: use default 200 Hz backlight frequency
      drm/i915: simplify gmbus xfer error checks
      drm/i915: abstract i2c bit banging fallback in gmbus xfer

Maarten Lankhorst (1):
      drm/i915: Handle cdclk limits on broadwell.

Matt Roper (1):
      drm/i915/bxt: Disable power well support

Mika Kuoppala (1):
      drm/i915/skl: Add SKL GT4 PCI IDs

Namrta Salonie (1):
      drm/i915: Fix possible null dereference in framebuffer_info debugfs function

Nick Hoath (1):
      drm/i915: Extend LRC pinning to cover GPU context writeback

Paulo Zanoni (11):
      drm/i915: fix the CFB size check
      drm/i915: set dev_priv->fbc.crtc before scheduling the enable work
      drm/i915: pass the crtc as an argument to intel_fbc_update()
      drm/i915: introduce is_active/activate/deactivate to the FBC terminology
      drm/i915: introduce intel_fbc_{enable,disable}
      drm/i915: alloc/free the FBC CFB during enable/disable
      drm/i915: check for FBC planes in the same place as the pipes
      drm/i915: use a single intel_fbc_work struct
      drm/i915: kill fbc.uncompressed_size
      drm/i915: get rid of FBC {,de}activation messages
      drm/i915: only recompress FBC after flushing a drawing operation

Rodrigo Vivi (5):
      drm/i915: Remove duplicated dpcd write on hsw_psr_enable_sink.
      drm/i915: PSR: Let's rely more on frontbuffer tracking.
      drm/i915: PSR: Mask LPSP hw tracking back again.
      drm/i915: Remove PSR Perf Counter for SKL+
      drm/i915: Also disable PSR on Sink when disabling it on Source.

Takashi Iwai (1):
      drm/i915: Remove superfluous NULL check

Tvrtko Ursulin (1):
      drm/i915: Remove incorrect warning in context cleanup

Ville Syrjälä (20):
      drm/i915: Suppress spurious CPU FIFO underruns on ILK-IVB
      drm/i915: Disable CPU underruns around eDP port and vdd enable on ILK-IVB
      drm/i915: Check for underruns after crtc disable
      drm/i915: Don't register CRT connector when it's fused off
      drm/i915: Make ibx_{enable,disable}_display_interrupt() static inlines
      drm/i915: Make ironlake_{enable,disable}_display_irq() static inlines
      drm/i915: Introduce bdw_{update,enable,disable}_pipe_irq()
      drm/i915: Fix kerneldoc indent fails
      drm/i915: Don't use crtc->config when reading out infoframe state
      drm/i915: Use intel_pipe_will_have_type() in ironlake_crtc_compute_clock()
      drm/i915: Fix VBT backlight Hz to PWM conversion for PNV
      drm/i915: Fix vbt PWM max setup for CTG
      drm/i915: Add HAS_PCH_LPT_H()
      drm/i915: Kill duplicated PNV .get_display_clock_speed() assignment
      drm/i915: Round the AUX clock divider to closest on all platforms
      drm/i915: Use cached cdclk_freq for PWM calculations
      drm/i915: Don't register the CRT connector when it's fused off on LPT-H
      drm/i915: Don't register CRT connector when DDI E can't be used
      drm/i915: Check VBT for CRT port presence on HSW/BDW
      drm/i915: Add "missing" break to haswell_get_ddi_pll()

Wayne Boyer (1):
      drm/i915: Clean up device info structure definitions

 drivers/gpu/drm/i915/i915_debugfs.c        | 124 ++----
 drivers/gpu/drm/i915/i915_drv.c            | 186 ++++-----
 drivers/gpu/drm/i915/i915_drv.h            |  68 +++-
 drivers/gpu/drm/i915/i915_gem.c            |   2 +-
 drivers/gpu/drm/i915/i915_gem_context.c    |   2 -
 drivers/gpu/drm/i915/i915_guc_submission.c |  33 +-
 drivers/gpu/drm/i915/i915_irq.c            | 117 +++---
 drivers/gpu/drm/i915/i915_reg.h            |  10 +-
 drivers/gpu/drm/i915/intel_bios.c          |   5 +-
 drivers/gpu/drm/i915/intel_crt.c           |  33 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   2 +-
 drivers/gpu/drm/i915/intel_display.c       | 168 +++++---
 drivers/gpu/drm/i915/intel_dp.c            |  22 +-
 drivers/gpu/drm/i915/intel_drv.h           |  14 +-
 drivers/gpu/drm/i915/intel_dsi.c           |  24 +-
 drivers/gpu/drm/i915/intel_fbc.c           | 614 ++++++++++++++++-------------
 drivers/gpu/drm/i915/intel_fifo_underrun.c |  16 +-
 drivers/gpu/drm/i915/intel_guc.h           |   4 -
 drivers/gpu/drm/i915/intel_hdmi.c          |  47 ++-
 drivers/gpu/drm/i915/intel_i2c.c           |  51 +--
 drivers/gpu/drm/i915/intel_panel.c         |  41 +-
 drivers/gpu/drm/i915/intel_pm.c            |  10 +-
 drivers/gpu/drm/i915/intel_psr.c           |  38 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    |  76 +++-
 include/drm/i915_pciids.h                  |  13 +-
 25 files changed, 945 insertions(+), 775 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-11-26  8:24 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-11-26  8:24 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-11-20-rebased:
4 weeks because of my vacation, so a bit more:
- final bits of the typesafe register mmio functions (Ville)
- power domain fix for hdmi detection (Imre)
- tons of fixes and improvements to the psr code (Rodrigo)
- refactoring of the dp detection code (Ander)
- complete rework of the dmc loader and dc5/dc6 handling (Imre, Patrik and
  others)
- dp compliance improvements from Shubhangi Shrivastava
- stop_machine hack from Chris to fix corruptions when updating GTT ptes on bsw
- lots of fifo underrun fixes from Ville
- big pile of fbc fixes and improvements from Paulo
- fix fbdev failures paths (Tvrtko and Lukas Wunner)
- dp link training refactoring (Ander)
- interruptible prepare_plane for atomic (Maarten)
- basic kabylake support (Deepak&Rodrigo)
- don't leak ringspace on resets (Chris)
drm-intel-next-2015-10-23:
- 2nd attempt at atomic watermarks from Matt, but just prep for now
- fixes all over

I've slapped a backmerge on top because of some future stuff queued up.

Cheers, Daniel


The following changes since commit f20780f3e8feec0eebcf9fb41e1d90202fffaeff:

  Merge branch 'drm-sti-next-2015-11-03' of http://git.linaro.org/people/benjamin.gaignard/kernel into drm-next (2015-11-13 10:08:19 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-11-20-merged

for you to fetch changes up to 92907cbbef8625bb3998d1eb385fc88f23c97a3f:

  Merge tag 'v4.4-rc2' into drm-intel-next-queued (2015-11-23 09:04:05 +0100)

----------------------------------------------------------------
Aaro Koskinen (5):
      MIPS: vmlinux: discard .MIPS.abiflags
      MIPS: Add LATENCYTOP support
      MIPS: vmlinux: create a section for appended DTB
      MIPS: Octeon: Support APPENDED_DTB
      usb: phy: omap-otg: fix uninitialized pointer

Achiad Shochat (1):
      net/mlx5e: Use the right DMA free function on TX path

Adrian Hunter (8):
      mmc: mmc: Improve reliability of mmc_select_hs200()
      mmc: mmc: Fix HS setting in mmc_select_hs400()
      mmc: mmc: Move mmc_switch_status()
      mmc: mmc: Improve reliability of mmc_select_hs400()
      perf symbols: Rebuild rbtree when adjusting symbols for kcore
      perf symbols: Fix dso lookup by long name and missing buildids
      perf buildid-list: Requires ordered events
      perf inject: Also re-pipe lost_samples event

Al Viro (1):
      dax_io(): don't let non-error value escape via retval instead of EFAULT

Alban Bedel (3):
      MIPS: ath79: Fix the DDR control initialization on ar71xx and ar934x
      MIPS: ath79: Fix the size of the MISC INTC registers in ar9132.dtsi
      MIPS: ath79: Add a machine entry for booting OF machines

Aleksander Morgado (1):
      USB: serial: option: add support for Novatel MiFi USB620L

Alex Dai (1):
      drm/i915/guc: Add GuC css header parser

Alex Deucher (1):
      drm/radeon: unconditionally set sysfs_initialized

Alex Smith (3):
      MIPS: Initial implementation of a VDSO
      irqchip: irq-mips-gic: Provide function to map GIC user section
      MIPS: VDSO: Add implementations of gettimeofday() and clock_gettime()

Alex Williamson (3):
      vfio: Whitelist PCI bridges
      vfio/pci: Use kernel VPD access functions
      vfio: Include No-IOMMU mode

Alexandra Yates (1):
      i2c: i801: add Intel Lewisburg device IDs

Alexey Khoroshilov (1):
      sound: fix check for error condition of register_chrdev()

Alison Schofield (1):
      scsi: pmcraid: replace struct timeval with ktime_get_real_seconds()

Amanieu d'Antras (1):
      MIPS: Clean up compat_siginfo_t

Ander Conselvan de Oliveira (10):
      drm/i915: Don't pass *DP around to link training functions
      drm/i915: Split write of pattern to DP reg from intel_dp_set_link_train
      drm/i915 Call get_adjust_train() from clock recovery and channel eq
      drm/i915: Move register write into intel_dp_set_signal_levels()
      drm/i915: Move generic link training code to a separate file
      drm/i915: Create intel_dp->prepare_link_retrain() hook
      drm/i915: Make intel_dp_source_supports_hbr2() take an intel_dp pointer
      drm/i915: Fix SKL i_boost level
      drm/i915: Don't do edp panel detection in g4x_dp_detect()
      drm/i915: Remove platform specific *_dp_detect() functions

Andi Kleen (3):
      perf stat: Move sw clock metrics printout to stat-shadow
      perf stat: Use common printout function to avoid duplicated code
      perf annotate: Inform the user about objdump failures in --stdio

Andreas Gruenbacher (9):
      vfs: Check attribute names in posix acl xattr handers
      vfs: Fix the posix_acl_xattr_list return value
      ubifs: Remove unused security xattr handler
      hfsplus: Remove unused xattr handler list operations
      jffs2: Add missing capability check for listing trusted xattrs
      xattr handlers: Pass handler to operations instead of flags
      9p: xattr simplifications
      squashfs: xattr simplifications
      f2fs: xattr simplifications

Andrew Cooper (1):
      x86/cpu: Fix SMAP check in PVOPS environments

Andrew Lunn (2):
      phy: marvell: Add support for 88E1540 PHY
      net: phy: Use interrupts when available in NOLINK state

Andrey Ryabinin (1):
      kasan: fix kmemleak false-positive in kasan_module_alloc()

Andrzej Hajda (1):
      MIPS: Remove invalid check

Andy Shevchenko (1):
      x86/cpu/intel: Enable X86_FEATURE_NONSTOP_TSC_S3 for Merrifield

Animesh Manna (4):
      drm/i915/skl: Making DC6 entry is the last call in suspend flow.
      drm/i915/gen9: csr_init after runtime pm enable
      drm/i915/gen9: Use flush_work to synchronize with dmc loader
      drm/i915/skl: Removed assert for csr-fw-loading check during disabling dc6

Anthony Lineham (1):
      netfilter: Fix removal of GRE expectation entries created by PPTP

Aravind Gopalakrishnan (1):
      x86/AMD: Fix last level cache topology for AMD Fam17h systems

Ard Biesheuvel (3):
      arm64: mm: use correct mapping granularity under DEBUG_RODATA
      arm64: use non-global mappings for UEFI runtime regions
      arm64: crypto: reduce priority of core AES cipher

Arnaldo Carvalho de Melo (7):
      perf tools: Allow shuffling the build tests
      perf test: Do not be case sensitive when searching for matching tests
      perf session: Add missing newlines to some pr_err() calls
      tools include: Add compiler.h to list.h
      perf hists browser: Fix 'd' hotkey action to filter by DSO
      perf hists browser: The dso can be obtained from popup_action->ms.map->dso
      perf symbols: Allow forcing reading of non-root owned files by root

Arnd Bergmann (19):
      staging: iio: select IRQ_WORK for IIO_DUMMY_EVGEN
      ceph: fix message length computation
      ideapad-laptop: add support for Yoga 3 ESC key
      ideapad-laptop: include Yoga 3 1170 in add rfkill whitelist
      bnx2fc: reduce stack usage in __bnx2fc_enable
      netfilter: nfnetlink_log: work around uninitialized variable warning
      netfilter: fix xt_TEE and xt_TPROXY dependencies
      stmmac: avoid ipq806x constant overflow warning
      dmaengine: edma: fix build without CONFIG_OF
      dmaengine: at_xdmac: use %pad format string for dma_addr_t
      dmaengine: at_hdmac: use %pad format string for dma_addr_t
      drm/amdgpu: fix seq_printf format string
      hwmon: (scpi) add thermal-of dependency
      clocksource/fsl: Avoid harmless 64-bit warnings
      clocksource: Disallow drivers for ARCH_USES_GETTIMEOFFSET
      arm64: simplify dma_get_ops
      arm64: do not include ptrace.h from compat.h
      cpufreq: mediatek: fix build error
      serial: export fsl8250_handle_irq

Arun Siluvery (1):
      Revert "drm/i915: Initialize HWS page address after GPU reset"

Ashwin Chaugule (1):
      ACPI / CPPC: Use h/w reduced version of the PCCT structure

Aurelien Jarno (1):
      MIPS: idle: add case for CPU_5KE

Bart Van Assche (1):
      scsi: Fix a bdi reregistration race

Bartlomiej Zolnierkiewicz (15):
      MIPS: bigsur_defconfig: Convert to use libata PATA drivers
      MIPS: capcella_defconfig: Convert to use libata PATA drivers
      MIPS: e55_defconfig: Convert to use libata PATA drivers
      MIPS: fuloong2e_defconfig: Convert to use libata PATA drivers
      MIPS: lasat_defconfig: Convert to use libata PATA drivers
      MIPS: lemote2f_defconfig: Convert to use libata PATA drivers
      MIPS: malta_defconfig: Convert to use libata PATA drivers
      MIPS: malta_kvm_defconfig: Convert to use libata PATA drivers
      MIPS: malta_kvm_guest_defconfig: Convert to use libata PATA drivers
      MIPS: malta_qemu_32r6_defconfig: Convert to use libata PATA drivers
      MIPS: maltaaprp_defconfig: Convert to use libata PATA drivers
      MIPS: maltasmvp_eva_defconfig: Convert to use libata PATA drivers
      MIPS: maltaup_defconfig: Convert to use libata PATA drivers
      MIPS: maltaup_xpa_defconfig: Convert to use libata PATA drivers
      MIPS: mpc30x_defconfig: Convert to use libata PATA drivers

Ben Cartwright-Cox (1):
      raw: increment correct SNMP counters for ICMP messages

Ben McCauley (1):
      usb: dwc3: gadget: let us set lower max_speed

Benjamin Rood (9):
      pm80xx: configure PHY settings based on subsystem vendor ID
      pm80xx: add support for PMC Sierra 8070 and PMC Sierra 8072 SAS controllers
      pm80xx: add ATTO PCI IDs to pm8001_pci_table
      pm80xx: add support for ATTO devices during SAS address initiailization
      pm80xx: set PHY profiles for ATTO 12Gb SAS controllers
      pm80xx: do not examine registers for iButton feature if ATTO adapter
      pm80xx: wait a minimum of 500ms before issuing commands to SPCv
      pm80xx: avoid a panic if MSI(X) interrupts are disabled
      pm80xx: remove the SCSI host before detaching from SAS transport

Bhuvanchandra DV (1):
      vf610_adc: Fix internal temperature calculation

Bin Liu (2):
      usb: musb: fix tx fifo flush handling
      usb: musb: enable usb_dma parameter

Bjørn Mork (3):
      USB: qcserial: Fix support for HP lt4112 LTE/HSPA+ Gobi 4G Modem
      net/core: use netdev name in warning if no parent
      USB: option: add XS Stick W100-2 from 4G Systems

Boris Ostrovsky (1):
      x86/mm: Skip the hypervisor range when walking PGD

Borislav Petkov (1):
      x86/cpu: Call verify_cpu() after having entered long mode too

Brian King (1):
      SCSI: Increase REPORT_LUNS timeout

Brian Norris (2):
      mtd: jz4740_nand: fix build on jz4740 after removing gpio.h
      mtd: nand: fix shutdown/reboot for multi-chip systems

Calvin Owens (1):
      sg: Fix double-free when drives detach during SG_IO

Chad Dupuis (6):
      bnx2fc: Update copyright for 2015.
      bnx2fc: Remove 'NetXtreme II' from source files.
      bnx2fc: Set ELS transfer length correctly for middle path commands.
      bnx2fc: Fix FCP RSP residual parsing.
      bnx2fc: Remove explicit logouts.
      bnx2fc: Update version number to 2.9.6.

Chen Gang (1):
      tracing: #ifdef out uses of max trace when CONFIG_TRACER_MAX_TRACE is not set

Chris Bainbridge (2):
      ACPI / SMBus: Fix boot stalls / high CPU caused by reentrant code
      Revert "ACPI / SBS: Add 5 us delay to fix SBS hangs on MacBook"

Chris Lesiak (1):
      iio: si7020: Swap data byte order

Chris Metcalf (1):
      Documentation/SubmittingPatches: discuss In-Reply-To

Chris Wilson (5):
      drm/i915: Map the ringbuffer using WB on LLC machines
      drm/i915: Report context GTT size
      drm/i915: Add soft-pinning API for execbuffer
      drm/i915: Recover all available ringbuffer space following reset
      drm/i915: Serialise updates to GGTT with access through GGTT on Braswell

Christian Engelmayer (2):
      platform/chrome: cros_ec: Fix leak in sequence_store()
      platform/chrome: cros_ec: Fix possible leak in led_rgb_store()

Christian König (16):
      drm/amdgpu: remove fence trace points
      drm/amdgpu: use a timer for fence fallback
      drm/amdgpu: use fence_is_later() for vm_flush as well v2
      drm/amdgpu: use common fence for amdgpu_vm_fence
      drm/amdgpu: fix leaking the IBs on error
      drm/amdgpu: cleanup amdgpu_cs_parser handling
      drm/amdgpu: cleanup scheduler fence get/put dance
      drm/amdgpu: fix incorrect mutex usage v3
      drm/amdgpu: fix handling order in scheduler CS
      drm/amdgpu: wait interruptible when semaphores are disabled v2
      drm/amdgpu: fix typo in firmware name
      drm/amdgpu: cleanup scheduler command submission
      drm/amdgpu: remove unused VM manager field
      drm/amdgpu: cleanup VM coding style
      drm/amdgpu: move VM manager clean into the VM code again
      drm/amdgpu: keep the owner for VMIDs

Christoph Hellwig (27):
      configfs: add show and store methods to struct configfs_attribute
      usb-gadget: use per-attribute show and store methods
      usb-gadget/uvc: use per-attribute show and store methods
      usb-gadget/f_hid: use per-attribute show and store methods
      usb-gadget/f_acm: use per-attribute show and store methods
      usb-gadget/ether: use per-attribute show and store methods
      usb-gadget/f_loopback: use per-attribute show and store methods
      usb-gadget/f_midi: use per-attribute show and store methods
      usb-gadget/f_printer: use per-attribute show and store methods
      usb-gadget/f_sourcesink: use per-attribute show and store methods
      usb-gadget/f_mass_storage: use per-attribute show and store methods
      usb-gadget/f_uac1: use per-attribute show and store methods
      usb-gadget/f_uac2: use per-attribute show and store methods
      usb-gadget/f_obex: use per-attribute show and store methods
      usb-gadget/f_phonet: use per-attribute show and store methods
      usb-gadget/f_serial: use per-attribute show and store methods
      dlm: use per-attribute show and store methods
      spear13xx_pcie_gadget: use per-attribute show and store methods
      target: use per-attribute show and store methods
      netconsole: use per-attribute show and store methods
      ocfs2/cluster: move locking into attribute store methods
      ocfs2/cluster: use per-attribute show and store methods
      configfs: remove old API
      scsi: restart list search after unlock in scsi_remove_target
      scsi: use host wide tags by default
      mpt2sas: Use mpi headers from mpt3sas
      various: fix pci_set_dma_mask return value checking

Christoph Lameter (2):
      slub: create new ___slab_alloc function that can be called with irqs disabled
      slub: avoid irqoff/on in bulk allocation

Christophe JAILLET (1):
      TPM: Avoid reference to potentially freed memory

Chunming Zhou (7):
      drm/amdgpu: add kmem cache for amdgpu fence
      drm/amd: add kmem cache for sched fence
      drm/amdgpu: add command submission workflow tracepoint
      drm/amdgpu: update pd while updating vm as well
      drm/amdgpu: add lock for interval tree in vm
      drm/amdgpu: move bo_reserve out of amdgpu_vm_clear_bo
      drm/amdgpu: reserve/unreserve objects out of map/unmap operations

Colin Ian King (1):
      fjes: fix inconsistent indenting

Corey Minyard (2):
      ipmi: Start the timer and thread on internal msgs
      ipmi: Stop the timer immediately if idle

Damien Lespiau (4):
      drm/i915/skl: Store and print the DMC firmware version we load
      drm/i915/skl: Print the DMC firmware status in debugfs
      drm/i915/skl: Expose DC5/DC6 entry counts
      drm/i915: Make turning on/off PW1 and Misc I/O part of the init/fini sequences

Dan Carpenter (6):
      vfio/pci: make an array larger
      asus-wmi: fix error handling in store_sys_wmi()
      mptfusion: don't allow negative bytes in kbuf_alloc_2_sgl()
      dmaengine: edma: predecence bug in GET_NUM_QDMACH()
      drm/vc4: checking for NULL instead of IS_ERR
      ncpfs: don't allow negative timeouts

Dan Williams (9):
      MIPS: Fix PAGE_MASK definition
      tools/testing/nvdimm, acpica: fix flag rename build breakage
      libnvdimm, e820: fix numa node for e820-type-12 pmem ranges
      libnvdimm, pmem: fix size trim in pmem_direct_access()
      ALSA: pci: depend on ZONE_DMA
      dax: fix __dax_pmd_fault crash
      ext2, ext4: warn when mounting with dax enabled
      dax: disable pmd mappings
      block: protect rw_page against device teardown

Daniel Baluta (1):
      configfs: allow dynamic group creation

Daniel Borkmann (7):
      packet: do skb_probe_transport_header when we actually have data
      packet: always probe for transport header
      packet: only allow extra vlan len on ethernet devices
      packet: infer protocol from ethernet header if unset
      packet: fix tpacket_snd max frame len
      bpf, arm: start flushing icache range from header
      bpf, arm64: start flushing icache range from header

Daniel Vetter (21):
      drm/i915: Fix formatting for gen8_cs_irq_handler
      drm/i915: shut up gen8+ SDE irq dmesg noise
      drm/i915: Update DRIVER_DATE to 20151023
      Revert "drm/i915: Add soft-pinning API for execbuffer"
      drm/i915: Shut up GuC errors when it's disabled
      drm/i915: Don't complain about lack of ACPI video bios
      drm/i915: use correct power domain for csr loading
      drm/i915/gen9: move assert_csr_loaded into intel_rpm.c
      drm/i915/gen9: Remove csr.state, csr_lock and related code.
      drm/i915/gen9: Align line continuations in intel_csr.c.
      drm/i915/gen9: Simplify csr loading failure printing.
      drm/i915/gen9: Don't try to load garbage dmc firmware on resume
      drm/i915/gen9: Use dev_priv in csr functions
      drm/i915/gen9: extract parse_csr_fw
      drm/i915: Use request_firmware and our own async work
      drm/atomic-helper: Check encoder/crtc constraints
      drm/i915: Drop return value from intel_fill_fb_ggtt_view
      drm/i915: Stuff rotation params into view union
      drm/i915: Fix i915_ggtt_view_equal to handle rotation correctly
      drm/i915: Update DRIVER_DATE to 20151120
      Merge tag 'v4.4-rc2' into drm-intel-next-queued

Daniele Palmas (1):
      net: usb: cdc_ether: add Dell DW5580 as a mobile broadband adapter

Dave Airlie (5):
      Merge tag 'topic/drm-fixes-2015-11-11' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge branch 'drm-fixes-4.4' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge branch 'drm-vc4-fixes' of git://github.com/anholt/linux into drm-fixes
      Merge tag 'topic/drm-fixes-2015-11-19' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge tag 'drm-intel-fixes-2015-11-19' of git://anongit.freedesktop.org/drm-intel into drm-fixes

Dave Hansen (3):
      x86/mpx: Do proper get_user() when running 32-bit binaries on 64-bit kernels
      x86/mpx: Fix 32-bit address space calculation
      x86/fpu: Fix 32-bit signal frame handling

David Daney (1):
      MIPS: OCTEON: omit ELF NOTE segments

David Henningsson (1):
      drm/i915: Improve kernel-doc for i915_audio_component struct

David Herrmann (1):
      thinkpad_acpi: Don't yell on unsupported brightness interfaces

David Howells (1):
      X.509: Fix the time validation [ver #2]

David S. Miller (6):
      Merge git://git.kernel.org/.../pablo/nf
      Merge branch 'ipv6-route-fixes'
      Merge branch 'packet-fixes'
      Merge branch 'mellanox-net-fixes'
      Merge branch 'mv88e6060-fixes'
      Merge branch 'vlan-reorder'

David Woodhouse (1):
      USB: ti_usb_3410_5052: Add Honeywell HGI80 ID

Deepak S (3):
      drm/i915/kbl: Add Kabylake PCI ID
      drm/i915/kbl: Add Kabylake GT4 PCI ID
      drm/i915/kbl: Kabylake uses the same GMS values as Skylake

Dmitry Safonov (1):
      tracing: Remove unused ftrace_cpu_disabled per cpu variable

Dmitry Torokhov (2):
      Merge branch 'next' into for-linus
      PM / wakeirq: check that wake IRQ is valid before accepting it

Don Brace (15):
      hpsa: remove unused parameter hostno
      hpsa: stop zeroing reset_cmds_out and ioaccel_cmds_out during rescan
      hpsa: remove unused hpsa_tag_discard_error_bits
      hpsa: change devtype to unsigned
      hpsa: check for null arguments to dev_printk
      hpsa: fix null device issues
      hpsa: allow driver requested rescans
      hpsa: abandon rescans on memory alloaction failures.
      hpsa: correct transfer length for 6 byte read/write commands
      hpsa: fix hpsa_adjust_hpsa_scsi_table
      hpsa: correct check for non-disk devices
      hpsa: correct ioaccel2 sg chain len
      hpsa: enhance hpsa_get_device_id
      hpsa: enhance device messages
      hpsa: bump the driver version

Doron Tsur (1):
      net/mlx5e: Max mtu comparison fix

Douglas Anderson (2):
      usb: dwc2: host: Fix ahbcfg for rk3066
      usb: dwc2: host: Fix remote wakeup when not in DWC2_L2

Douglas Gilbert (1):
      usb: gadget: atmel_usba_udc: Expose correct device speed

Dragos Tatulea (1):
      net: switchdev: fix return code of fdb_dump stub

Eddie Kovsky (2):
      Documentation: Add note on sending files directly with Mutt
      Documentation: Add minimal Mutt config for using Gmail

Emilio López (2):
      sysfs: Support is_visible() on binary attributes
      platform/chrome: Support reading/writing the vboot context

Eran Ben Elisha (1):
      net/mlx4_core: Fix sleeping while holding spinlock at rem_slave_counters

Eric Anholt (2):
      drm/vc4: Fix some failure to track __iomem decorations on pointers.
      drm/vc4: Make sure that planes aren't scaled.

Eric Auger (11):
      VFIO: platform: clear IRQ_NOAUTOEN when de-assigning the IRQ
      vfio/type1: handle case where IOMMU does not support PAGE_SIZE size
      vfio: platform: introduce vfio-platform-base module
      vfio: platform: add capability to register a reset function
      vfio: platform: introduce module_vfio_reset_handler macro
      vfio: platform: reset: calxedaxgmac: add reset function registration
      vfio: platform: add compat in vfio_platform_device
      vfio: platform: use list of registered reset function
      vfio: platform: add dev_info on device reset
      vfio: platform: reset: calxedaxgmac: fix ioaddr leak
      VFIO: platform: reset: AMD xgbe reset module

Eric Dumazet (2):
      tcp: ensure proper barriers in lockless contexts
      ipvs: use skb_to_full_sk() helper

Filipe Manana (6):
      Btrfs: fix extent accounting for partial direct IO writes
      Btrfs: fix race waiting for qgroup rescan worker
      Btrfs: fix sleeping inside atomic context in qgroup rescan worker
      Btrfs: fix race leading to incorrect item deletion when dropping extents
      Btrfs: fix race leading to BUG_ON when running delalloc for nodatacow
      Btrfs: fix race when listing an inode's xattrs

Flora Cui (3):
      drm/amdgpu: update Fiji's mmPA_SC_RASTER_CONFIG value
      drm/amdgpu: update fiji_mgcg_cgcg_init table
      drm/amdgpu: update Fiji's tiling mode table

Florian Fainelli (2):
      MIPS: BMIPS: Enable GZIP ramdisk and timed printks
      MAINTAINERS: brcmnand: Add Broadcom internal mailing-list

Florian Westphal (1):
      netfilter: ingress: don't use nf_hook_list_active

Gabriel Krisman Bertazi (6):
      ipr: Add delay to ensure coherent dumps.
      ipr: Don't set NO_ULEN_CHK bit when resource is a vset.
      ipr: Inquiry IOA page 0xC4 during initialization.
      ipr: Issue Configure Cache Parameters command.
      ipr: Driver version 2.6.3.
      sd: Clear PS bit before Mode Select.

Geert Uytterhoeven (8):
      mmc: mediatek: Preinitialize delay_phase in get_best_delay()
      mmc: MMC_GOLDFISH should depend on HAS_DMA
      Input: parkbd - drop bogus __init from parkbd_allocate_serio()
      dmaengine: sh: usb-dmac: Fix crash on runtime suspend
      dmaengine: sh: usb-dmac: Fix pm_runtime_{enable,disable}() imbalance
      drivers: sh: Get rid of CONFIG_ARCH_SHMOBILE_MULTI
      FS-Cache: Add missing initialization of ret in cachefiles_write_page()
      MAINTAINERS: linux-cachefs@redhat.com is moderated for non-subscribers

Geliang Tang (1):
      ceph: fix a comment typo

Glen Lee (1):
      Revert "Staging: wilc1000: coreconfigurator: Drop unneeded wrapper functions"

Greg Kroah-Hartman (4):
      Merge tag 'fixes-for-v4.4-rc2' of git://git.kernel.org/.../balbi/usb into usb-linus
      Merge tag 'usb-ci-v4.4-rc2' of git://git.kernel.org/.../peter.chen/usb into usb-linus
      Merge tag 'iio-fixes-for-4.4a' of ssh://ra.kernel.org/.../jic23/iio into staging-linus
      Merge tag 'usb-serial-4.4-rc2' of git://git.kernel.org/.../johan/usb-serial into usb-linus

Gregory Fong (1):
      MIPS: BCM63XX: Use pr_* instead of printk

Grygorii Strashko (2):
      genirq/PM: Restore system wake up from chained interrupts
      i2c: fix wakeup irq parsing

Guenter Roeck (1):
      serial: etraxfs-uart: Fix crash

Hannes Frederic Sowa (4):
      af-unix: fix use-after-free with concurrent readers while splicing
      af_unix: don't append consumed skbs to sk_receive_queue
      rtnetlink: fix frame size warning in rtnl_fill_ifinfo
      af_unix: take receive queue lock while appending new skb

Hans de Goede (1):
      ideapad-laptop: Add Lenovo Yoga 900 to no_hw_rfkill dmi list

Hauke Mehrtens (17):
      MIPS: lantiq: add clk_round_rate()
      MIPS: lantiq: add clk_round_rate()
      MIPS: Lantiq: Add locking for PMU register and check status afterwards
      MIPS: Lantiq: Add support for setting PMU register on AR10 and GRX390
      MIPS: Lantiq: Rename CGU_SYS_VR9 register
      MIPS: Lantiq: Fix pp32 clock on vr9
      MIPS: Lantiq: Add clock detection for grx390 and ar10
      MIPS: Lantiq: Deactivate most of the devices by default
      MIPS: Lantiq: Add PMU bits for USB and SDIO devices
      MIPS: Lantiq: Add pmu bits for ar10 and grx390
      MIPS: Lantiq: Add support for gphy firmware loading for ar10 and grx390
      MIPS: Lantiq: Add SoC detection for ar10 and grx390
      MIPS: Lantiq: Add clock for mei driver
      MIPS: Lantiq: Add 1e103100.deu clock
      MIPS: Lantiq: Add misc clocks
      MIPS: Lantiq: Add support for xRX220 SoC
      MIPS: Lantiq: Fix check for return value of request_mem_region()

Heikki Krogerus (2):
      usb: dwc3: pci: add support for Intel Broxton SOC
      serial: 8250_mid: Add missing dependency

Heiko Carstens (4):
      s390/syscalls: remove system call number calculation
      s390: remove g5 elf platform support
      s390: wire up mlock2 system call
      s390: remove SALIPL loader

Helge Deller (9):
      parisc: Fix wrong comment regarding first pmd entry flags
      parisc: Drop definition of start_thread_som for HP-UX SOM binaries
      parisc: Drop unused MADV_xxxK_PAGES flags from asm/mman.h
      parisc: Add defines for Huge page support
      parisc: Initialize the fault vector earlier in the boot process.
      parisc: Increase initial kernel mapping to 32MB on 64bit kernel
      parisc: Use long branch to do_syscall_trace_exit
      parisc: Add Huge Page and HUGETLBFS support
      parisc: Map kernel text and data on huge pages

Hou Zhiqiang (1):
      i2c: imx: fix a compiling error

Huacai Chen (1):
      MIPS: Loongson: Cleanup CONFIG_LOONGSON_SUSPEND.

Huaitong Han (1):
      x86/fpu: Fix get_xsave_addr() behavior under virtualization

Huang Rui (1):
      perf/x86/intel/rapl: Remove the unused RAPL_EVENT_DESC() macro

Ido Schimmel (1):
      switchdev: bridge: Check return code is not EOPNOTSUPP

Ilya Dryomov (10):
      rbd: return -ENOMEM instead of pool id if rbd_dev_create() fails
      rbd: don't free rbd_dev outside of the release callback
      rbd: set device_type::release instead of device::release
      rbd: remove duplicate calls to rbd_dev_mapping_clear()
      libceph: introduce ceph_x_authorizer_cleanup()
      libceph: msg signing callouts don't need con argument
      libceph: drop authorizer check from cephx msg signing routines
      libceph: stop duplicating client fields in messenger
      libceph: add nocephx_sign_messages option
      libceph: clear msg->con in ceph_msg_release() only

Imre Deak (17):
      drm/i915/gen9: flush DMC fw loading work during system suspend
      drm/i915: get runtime PM reference around GEM set_caching IOCTL
      drm/i915: get runtime PM reference around GEM set_tiling IOCTL
      drm/i915: fix the power well ID for always on wells
      drm/i915: fix lookup_power_well for power wells without any domain
      drm/i915: rename intel_power_domains_resume to *_sync_hw
      drm/i915/skl: init/uninit display core as part of the HW power domain state
      drm/i915/skl: don't toggle PW1 and MISC power wells on-demand
      drm/i915/gen9: simplify DC toggling code
      drm/i915/skl: disable DC states before display core init/uninit
      drm/i915/skl: make sure LCPLL is disabled when uniniting CDCLK
      drm/i915/skl: remove redundant DDI/IRQ reinitialization during PW1 enabling
      drm/i915: fix handling of the disable_power_well module option
      drm/i915/ddi: fix intel_display_port_aux_power_domain() after HDMI detect
      drm/i915: add MISSING_CASE to a few port/aux power domain helpers
      drm/i915: take a power domain ref only when needed during HDMI detect
      drm/i915: take a power domain reference while checking the HDMI live status

Ingo Molnar (5):
      Merge tag 'perf-core-for-mingo-2' of git://git.kernel.org/.../acme/linux into perf/urgent
      Merge branch 'liblockdep-fixes' of git://git.kernel.org/.../sashal/linux into locking/urgent
      Merge tag 'perf-urgent-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      Merge tag 'perf-urgent-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      Merge tag 'perf-urgent-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent

Ioan-Adrian Ratiu (1):
      drm/i915/dma: enforce pr_<loglevel> consistency

Ioana Ciornei (1):
      libceph: evaluate osd_req_op_data() arguments only once

Ivan Vecera (2):
      be2net: remove unused local rsstable array
      be2net: replace hardcoded values with existing define

Jack Wang (3):
      isci: remove SCSI host before detaching from SAS transport
      aic94xx: remove SCSI host before detaching from SAS transport
      mvsas: remove SCSI host before detaching from SAS transport

Jaedon Shin (7):
      MIPS: BMIPS: Add I2C node for bcm7346
      MIPS: BMIPS: Add I2C node for bcm7358
      MIPS: BMIPS: Add I2C node for bcm7360
      MIPS: BMIPS: Add I2C node for bcm7362
      MIPS: BMIPS: Add SATA/PHY nodes for bcm7425
      MIPS: BMIPS: Add SATA/PHY nodes for bcm7346
      MIPS: BMIPS: Add SATA/PHY nodes for bcm7362

James Bottomley (1):
      Merge tag '4.4-scsi-mkp' into misc

James Hogan (2):
      MIPS: CDMM: Add builtin_mips_cdmm_driver() macro
      MIPS: Fix duplicate CP0_* definitions.

James Morris (1):
      Merge tag 'tpmdd-next-20151110' of https://github.com/jsakkine/linux-tpmdd into for-linus

James Morse (1):
      vfio/platform: store mapped memory in region, instead of an on-stack copy

Jan Kara (1):
      brd: Refuse improperly aligned discard requests

Jani Nikula (9):
      drm/i915: remove parens around revision ids
      drm/i915/bxt: add revision id for A1 stepping and use it
      drm/i915: add helpers for platform specific revision id range checks
      drm/i915: remove an extra level of indirection in PCI ID list
      drm/i915: fix indentation on skl stepping info
      drm/i915: constify bxt stepping info
      drm/i915: refactor stepping info retrieval
      drm/i915: quirk backlight present on Macbook 4, 1
      Revert "drm/i915: skip modeset if compatible for everyone."

Jarkko Nikula (1):
      platform/chrome: Fix i2c-designware adapter name

Jarkko Sakkinen (3):
      TPM: revert the list handling logic fixed in 398a1e7
      tpm: fix missing migratable flag in sealing functionality for TPM2
      tpm: fix compat 'ppi' link handling in tpm_chip_register()

Jason A. Donenfeld (1):
      ip_tunnel: disable preemption when updating per-cpu tstats

Jason J. Herne (1):
      mm: loosen MADV_NOHUGEPAGE to enable Qemu postcopy on s390

Jason Liu (1):
      dmaengine: imx-sdma: remove __init annotation on sdma_event_remap

Javier Martinez Canillas (5):
      Revert "platform/chrome: Don't make CHROME_PLATFORMS depends on X86 || ARM"
      platform/chrome: Make depends on MFD_CROS_EC instead CROS_EC_PROTO
      platform/chrome: cros_ec_lpc - Use existing function to check EC result
      platform/chrome: cros_ec_lpc - Add support for Google Pixel 2
      platform/chrome: cros_ec_dev - Add a platform device ID table

Jay Cornwall (1):
      drm/amdgpu: Fix default page access routing

Jean-Yves Faye (1):
      ipmi watchdog : add panic_wdt_timeout parameter

Jeff Layton (1):
      mm: fix up sparse warning in gfpflags_allow_blocking

Jens Axboe (3):
      MAINTAINERS: add reference to new linux-block list
      blk-mq: mark __blk_mq_complete_request() static
      block: don't hardcode blk_qc_t -> tag mask

Jerome Marchand (1):
      mm: vmalloc: don't remove inexistent guard hole in remove_vm_area()

Jesper Dangaard Brouer (6):
      slub: mark the dangling ifdef #else of CONFIG_SLUB_DEBUG
      slub: support for bulk free with SLUB freelists
      slub: optimize bulk slowpath free by detached freelist
      slub: fix kmem cgroup bug in kmem_cache_alloc_bulk
      slub: add missing kmem cgroup support to kmem_cache_free_bulk
      slab/slub: adjust kmem_cache_alloc_bulk API

Jiaxing Wang (1):
      tracing: Make tracing work when debugfs is not configured in

Jiri Kosina (1):
      HID: wacom: fixup quirks setup for WACOM_DEVICETYPE_PAD

Jiri Olsa (3):
      perf tools: Insert split maps correctly into origin group
      perf sched latency: Fix thread pid reuse issue
      perf stat: Make stat options global

Jiri Slaby (2):
      fcoe: use continue instead of goto+label
      usblp: do not set TASK_INTERRUPTIBLE before lock

Joerg Roedel (1):
      vfio: Fix bug in vfio_device_get_from_name()

Johannes Thumshirn (1):
      scsi: Export SCSI Inquiry data to sysfs

John Crispin (13):
      MIPS: ralink: Add support for mt7688
      MIPS: ralink: Unify SoC id handling
      MIPS: ralink: Fix usb issue during frequency scaling
      MIPS: ralink: Add tty detection
      MIPS: ralink: Fix invalid tick count
      MIPS: ralink: Remove check for CONFIG_PCI on non-PCI SoCs
      MIPS: ralink: Don't set pm_power_off
      MIPS: ralink: Put the pci bus into reset state before rebooting the SoC
      MIPS: ralink: Add missing clock on rt305x
      MIPS: lantiq: Return correct value for fpi clock on ar9
      MIPS: lantiq: Initialize the USB core on boot
      MIPS: lantiq: Force the crossbar to big endian
      MIPS: lantiq: Disable xbar fpi burst mode

John Soni Jose (2):
      be2iscsi: Fix updating the next pointer during WRB posting
      be2iscsi: Bump the driver version

Jonas Gorski (3):
      MIPS: Use USE_OF as the guard for appended dtb
      MIPS: Make the kernel arguments from dtb available
      MIPS: Make MIPS_CMDLINE_DTB default

Jozsef Kadlecsik (3):
      netfilter: ipset: Fix extension alignment
      netfilter: ipset: Fix hash:* type expiration
      netfilter: ipset: Fix hash type expire: release empty hash bucket block

Julia Lawall (7):
      rbd: drop null test before destroy functions
      MIPS: pci-rt3883: drop unneeded of_node_get
      ALSA: ctxfi: constify rsc ops structures
      net: cavium: liquidio: constify pci_error_handlers structures
      sfc: constify pci_error_handlers structures
      drm/vc4: fix platform_no_drv_owner.cocci warnings
      drm/vc4: fix itnull.cocci warnings

Junwei Zhang (1):
      drm/amdgpu: remove the unnecessary parameter adev for amdgpu_sa_bo_new()

Junxiao Bi (1):
      ocfs2: fix umask ignored issue

Jurgen Kramer (1):
      ALSA: usb: Add native DSD support for Aune X1S

Justin Maggard (1):
      btrfs: qgroup: exit the rescan worker during umount

K. Y. Srinivasan (2):
      storvsc: Don't set the SRB_FLAGS_QUEUE_ACTION_ENABLE flag
      scsi: storvsc: Fix a bug in the handling of SRB status flags

Kamal Dasu (1):
      MAINTAINERS: brcmnand: Add co-maintainer for Broadcom SoCs

Kamal Mostafa (3):
      tools: Actually install tmon in the install rule
      tools: Add a "make all" rule
      tools/net: Use include/uapi with __EXPORTED_HEADERS__

Kevin Barnett (6):
      hpsa: simplify check for device exposure
      hpsa: simplify update scsi devices
      hpsa: add function is_logical_device
      hpsa: refactor hpsa_figure_bus_target_lun
      hpsa: move scsi_add_device and scsi_remove_device calls to new function
      hpsa: add in sas transport class

Konrad Rzeszutek Wilk (1):
      libnvdimm: documentation clarifications

Krzysztof Mazur (1):
      x86/setup: Fix low identity map for >= 2GB kernel range

Kumar, Mahesh (1):
      drm/i915/skl: Correct other-pipe watermark update condition check (v2)

LABBE Corentin (3):
      usb: phy: phy-mxs-usb: fix a possible NULL dereference
      usb: chipidea: usbmisc_imx: fix a possible NULL dereference
      usb: chipidea: imx: fix a possible NULL dereference

Lars-Peter Clausen (4):
      iio: ad5064: Fix ad5629/ad5669 shift
      iio:ad7793: Fix ad7785 product ID
      i2c: Revert "i2c: xiic: Do not reset controller before every transfer"
      i2c: xiic: Prevent concurrent running of the IRQ handler and __xiic_start_xfer()

Laura Abbott (1):
      arm64: Fix R/O permissions in mark_rodata_ro

Laurent Vivier (2):
      ibmvscsi: display default value for max_id, max_lun and max_channel.
      ibmvscsi: set max_lun to 32

Len Brown (2):
      tools/power turbostat: use new name for MSR_PLATFORM_INFO
      x86: remove unused definition of MSR_NHM_PLATFORM_INFO

Li Jun (2):
      usb: chipidea: debug: disable usb irq while role switch
      usb: chipidea: otg: gadget module load and unload support

Li Yang (1):
      hwmon: (ina2xx) Fix build issue by selecting REGMAP_I2C

Linus Torvalds (57):
      Merge branch 'for-linus' of git://git.kernel.org/.../jmorris/linux-security
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge tag 'trace-v4.4-2' of git://git.kernel.org/.../rostedt/linux-trace
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge branch 'drm-next' of git://people.freedesktop.org/~airlied/linux
      Merge tag '4.4-additional' of git://git.lwn.net/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
      Merge branch 'for-linus-4.4' of git://git.kernel.org/.../mason/linux-btrfs
      Merge branch 'for-next' of git://git.samba.org/sfrench/cifs-2.6
      Merge tag 'vfio-v4.4-rc1' of git://github.com/awilliam/linux-vfio
      Merge branch 'libnvdimm-fixes' of git://git.kernel.org/.../nvdimm/nvdimm
      Merge branch 'for-linus-3' of git://git.kernel.org/.../viro/vfs
      Merge branch 'for-next' of git://git.kernel.org/.../nab/target-pending
      Merge tag 'scsi-misc' of git://git.kernel.org/.../jejb/scsi
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge tag 'platform-drivers-x86-v4.4-2' of git://git.infradead.org/users/dvhart/linux-platform-drivers-x86
      Merge tag 'chrome-platform-4.4' of git://git.kernel.org/.../olof/chrome-platform
      Merge tag 'arc-4.4-rc1-part2' of git://git.kernel.org/.../vgupta/arc
      Merge tag 'sound-fix-4.4-rc1' of git://git.kernel.org/.../tiwai/sound
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge branches 'irq-urgent-for-linus' and 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'locking-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Linux 4.4-rc1
      Merge branch 'linus' of git://git.kernel.org/.../herbert/crypto-2.6
      Merge branch 'for-linus' of git://git.kernel.org/.../viro/vfs
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'hwmon-for-linus-v4.4-rc2' of git://git.kernel.org/.../groeck/linux-staging
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge tag 'mmc-v4.4-rc1' of git://git.linaro.org/people/ulf.hansson/mmc
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/hid
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/livepatching
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge tag 'renesas-sh-drivers-for-v4.4' of git://git.kernel.org/.../horms/renesas
      Merge tag 'for-linus-4.4' of git://git.code.sf.net/p/openipmi/linux-ipmi
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'dmaengine-fix-4.4-rc2' of git://git.infradead.org/users/vkoul/slave-dma
      Merge tag 'powerpc-4.4-2' of git://git.kernel.org/.../powerpc/linux
      Merge tag 'pm+acpi-4.4-rc2' of git://git.kernel.org/.../rafael/linux-pm
      Merge branch 'libnvdimm-fixes' of git://git.kernel.org/.../nvdimm/nvdimm
      Merge tag '4.4-fix' of git://git.lwn.net/linux
      Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
      Merge tag 'for-linus-20151120' of git://git.infradead.org/linux-mtd
      Merge branch 'irq-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'akpm' (patches from Andrew)
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'parisc-4.4-2' of git://git.kernel.org/.../deller/parisc-linux
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge tag 'usb-4.4-rc2' of git://git.kernel.org/.../gregkh/usb
      Merge tag 'staging-4.4-rc2' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'tty-4.4-rc2' of git://git.kernel.org/.../gregkh/tty
      Merge branch 'akpm' (patches from Andrew)
      Linux 4.4-rc2

Lorenzo Pieralisi (1):
      arm64: kernel: pause/unpause function graph tracer in cpu_suspend()

Lu Baolu (1):
      usb: xhci: fix checking ep busy for CFC

Lu, Han (1):
      ALSA: hda/hdmi - apply Skylake fix-ups to Broxton display codec

Luca Porzio (1):
      mmc: remove bondage between REQ_META and reliable write

Luis de Bethencourt (1):
      char: ipmi: Move MODULE_DEVICE_TABLE() to follow struct

Lukas Wunner (6):
      drm/i915: On fb alloc failure, unref gem object where it gets refed
      drm/i915: Fix double unref in intelfb_alloc failure path
      drm/i915: Clean up LVDS register handling harder
      drm/i915: Fix oops caused by fbdev initialization failure
      async: export current_is_async()
      drm/i915: Tear down fbdev if initialization fails

Maarten Lankhorst (17):
      drm/i915: Make plane fb tracking work correctly, v2.
      drm/i915: Make prepare_plane_fb fully interruptible.
      drm/i915: Update legacy primary state outside the commit hook, v2.
      drm/i915: Only commit active planes when updating planes during reset.
      drm/i915: Only run commit when crtc is active, v2.
      drm/i915: Only call commit_planes when there are things to commit.
      drm/i915: Do not wait for flips in intel_crtc_disable_noatomic.
      drm/i915: Make wait_for_flips interruptible.
      drm/i915: Change locking for struct_mutex, v3.
      drm/i915: Wait for object idle without locks in atomic_commit, v2.
      drm/core: Set legacy_cursor_update in drm_atomic_helper_disable_plane.
      drm/core: Fix old_fb handling in drm_mode_atomic_ioctl.
      drm/atomic: add a drm_atomic_clean_old_fb helper.
      drm/core: Fix old_fb handling in restore_fbdev_mode_atomic.
      drm/core: Fix old_fb handling in pan_display_atomic.
      drm/i915: Clear intel_crtc->atomic before updating it.
      drm/i915: Consider SPLL as another shared pll, v2.

Mahesh Rajashekhara (9):
      aacraid: Fix for LD name and UID not exposed to OS
      aacraid: Add Power Management support
      aacraid: Change interrupt mode to MSI for Series 6
      aacraid: Enable 64bit write to controller register
      aacraid: Tune response path if IsFastPath bit set
      aacraid: Reset irq affinity hints
      aacraid: IOCTL fix
      aacraid: Use pci_enable_msix_range()
      aacraid: Update driver version

Marc Zyngier (3):
      irqchip/gic: Make sure all interrupts are deactivated at boot
      irqchip/gic: Clear enable bits before restoring them
      irqchip/gic: Add save/restore of the active state

Mark Yao (1):
      Revert "drm/rockchip: Convert the probe function to the generic drm_of_component_probe()"

Markus Elfring (1):
      ACPI-EC: Drop unnecessary check made before calling acpi_ec_delete_query()

Martin KaFai Lau (3):
      ipv6: Avoid creating RTF_CACHE from a rt that is not managed by fib6 tree
      ipv6: Check expire on DST_NOCACHE route
      ipv6: Check rt->dst.from for the DST_NOCACHE route

Martin Schwidefsky (2):
      s390/diag: add a s390 prefix to the diagnose trace point
      s390: avoid cache aliasing under z/VM and KVM

Martin Wilck (2):
      tpm_tis: free irq after probing
      tpm_tis: restore IRQ vector in IO memory after failed probing

Martin Zhang (1):
      net: use skb_clone to avoid alloc_pages failure.

Masami Hiramatsu (2):
      perf probe: Cleanup find_perf_probe_point_from_map to reduce redundancy
      perf probe: Fix memory leaking on failure by clearing all probe_trace_events

Masaru Nagai (2):
      ravb: Fix int mask value overwritten issue
      ravb: remove unhandle int cause

Mathias Nyman (1):
      xhci: Fix a race in usb2 LPM resume, blocking U3 for usb2 devices

Matt Roper (9):
      drm/i915/skl: Eliminate usage of pipe_wm_parameters from SKL-style WM (v4)
      drm/i915/ivb: Move WaCxSRDisabledForSpriteScaling w/a to atomic check
      drm/i915: Drop intel_update_sprite_watermarks
      drm/i915: Calculate pipe watermarks into CRTC state (v3)
      drm/i915: Calculate ILK-style watermarks during atomic check (v3)
      drm/i915: Don't set plane visible during HW readout if CRTC is off
      drm/i915: Calculate watermark configuration during atomic check (v2)
      drm/i915/bxt: Fix eDP panel fitting (v2)
      drm/i915/bxt: Force port A DDI to use 4 lanes

Mauro Carvalho Chehab (1):
      kernel-doc: Make it compatible with Perl versions below 5.12 again

Maxim Sheviakov (1):
      drm/radeon: fix quirk for MSI R7 370 Armor 2X

Michael Ellerman (1):
      powerpc: Wire up sys_mlock2()

Michael Hennerich (1):
      iio:ad5064: Make sure ad5064_i2c_write() returns 0 on success

Michael Petlan (1):
      perf annotate: Support full source file paths for srcline fix

Michael Welling (3):
      Input: tsc2005 - separate SPI and core functions
      Input: tsc200x-core - rename functions and variables
      Input: tsc2004 - add support for tsc2004

Michel Dänzer (3):
      drm/radeon: Disable uncacheable CPU mappings of GTT with RV6xx
      drm/radeon: Always disable RADEON_GEM_GTT_UC along with RADEON_GEM_GTT_WC
      drm/radeon: Only prompt for enabling PAT when we'd allow write-combining

Michel Thierry (1):
      drm/i915/gen8: Flip the 48b switch

Mika Kuoppala (7):
      drm/i915/skl: Refuse to load outdated dmc firmware
      drm/i915/bxt: Expose DC5 entry count
      drm/i915: Add csr programming registers to dmc debugfs entry
      drm/i915: Add dmc firmware load state and version to error state
      drm/i915: Avoid pointer arithmetic in calculating plane surface offset
      drm/i915: Fix GT frequency rounding
      drm/i915: Fix gpu frequency change tracing

Mike Kravetz (1):
      mm/hugetlbfs: fix bugs in fallocate hole punch of areas with holes

Moritz Fischer (1):
      MAINTAINERS: add Moritz as reviewer for FPGA Manager Framework

Måns Rullgård (2):
      net: phy: at803x: support interrupt on 8030 and 8035
      net: phy: vitesse: add support for VSC8601

Namhyung Kim (2):
      tools lib bpf: Fix compiler warning on CentOS 6
      x86/ftrace: Add comment on static function tracing

Namrta Salonie (1):
      drm/i915 : Fix to remove unnecsessary checks in postclose function.

Naoya Horiguchi (1):
      tools/vm/page-types.c: support KPF_IDLE

Neil Armstrong (6):
      net: dsa: mv88e6060: remove poll_link callback
      net: dsa: mv88e6060: use the correct InitReady bit
      net: dsa: mv88e6060: use the correct MaxFrameSize bit
      net: dsa: mv88e6060: use the correct bit shift for mac0
      net: dsa: mv88e6060: add register defines header file
      net: dsa: mv88e6060: replace magic values with register defines

Neil Horman (1):
      snmp: Remove duplicate OUTMCAST stat increment

NeilBrown (1):
      Remove email address from Documentation/filesystems/overlayfs.txt

Nick Hoath (2):
      drm/i195: Rename gt_irq_handler variable
      drm/i915: Break out common code from gen8_gt_irq_handler

Nikolay Aleksandrov (3):
      net: fix feature changes on devices without ndo_set_features
      net: fix __netdev_update_features return on ndo_set_features failure
      net/core: revert "net: fix __netdev_update_features return.." and add comment

Noa Osherovich (1):
      net/mlx4_core: Avoid returning success in case of an error flow

OGAWA Hirofumi (1):
      fat: fix fake_offset handling on error path

Ondrej Zary (2):
      dl2k: Add support for IP1000A-based cards
      ipg: Remove ipg driver

Or Gerlitz (1):
      MAINTAINERS: Update Mellanox's Eth NIC driver entries

Pablo Neira Ayuso (4):
      netfilter: ingress: fix wrong input interface on hook
      Merge branch 'master' of git://blackhole.kfki.hu/nf
      net: add __netdev_alloc_pcpu_stats() to indicate gfp flags
      netfilter: nf_tables: add clone interface to expression operations

Patrik Jakobsson (9):
      drm/i915: Don't trust CSR program memory contents
      drm/i915/gen9: Always set mask memory up when enabling DC5 or DC6
      drm/i915: Remove distinction between DDI 2 vs 4 lanes
      drm/i915: Add a modeset power domain
      drm/i915: Do not warn on PG2 enabled in gen9_disable_dc5()
      drm/i915: Explain usage of power well IDs vs bit groups
      drm/i915/gen9: Turn DC handling into a power well
      drm/i915/gen9: Add boot parameter for disabling DC6
      drm/i915/skl: Remove unused suspend and resume callbacks

Paul Burton (34):
      MIPS: Allow compact branch policy to be changed
      MIPS: Introduce API for enabling & disabling L2 prefetch
      MIPS: Enable L2 prefetching for CM >= 2.5
      MIPS: Declare mips_debugfs_dir in a header
      MIPS: Allow L2 prefetch to be configured via debugfs
      MIPS: traps: tidy up ebase calculation
      MIPS: Allow 24Hz timer frequency
      MIPS: Clarify mips_cm_is64 documentation
      MIPS: Don't read GCRs when a CM is not present
      MIPS: Avoid buffer overrun in mips_cm_error_report
      MIPS: Allow read64 GCR accessors to work on MIPS32 kernels
      MIPS: Always read full 64 bit CM error GCRs for CM3
      MIPS: CPS: Set Status.BEV bit during early boot
      MIPS: CPS: Set Status.KX on entry for MIPS64 kernels
      MIPS: CPS: Early debug using an ns16550-compatible UART
      MIPS: CPS: Read CM GCR base from cop0
      MIPS: CPS: Skip Config1 presence check
      MIPS: CPS: Warn if a core doesn't start
      MIPS: CM: Fix GCR_Cx_CONFIG PVPE mask
      MIPS: CM: Introduce core-other locking functions
      MIPS: CM: make use of mips_cm_{lock,unlock}_other
      MIPS: CM, CPC: Ensure core-other GCRs reflect the correct core
      MIPS: Always use r4k_wait_irqoff for MIPSr6
      MIPS: tlbex: Stop open-coding build_convert_pte_to_entrylo
      MIPS: tlbex: Remove some RIXI redundancy
      MIPS: tlbex: Share MIPS32 32 bit phys & MIPS64 64 bit phys code
      MIPS: Tidy EntryLo bit definitions, add PFN
      MIPS: tlbex: Avoid placing software PTE bits in Entry* PFN fields
      MIPS: Allow RIXI for 32-bit kernels on MIPS64
      MIPS: Malta: Split obj-y entries across lines
      MIPS: Malta: Remove fw_memblock_t abstraction
      MIPS: Malta: Setup RAM regions via DT
      MIPS: Malta: Register UP SMP ops if all else fails
      MIPS: Extend hardware table walking support to MIPS64

Paul E. McKenney (1):
      perf: Disable IRQs across RCU RS CS that acquires scheduler lock

Paulo Zanoni (13):
      drm/i915: change no_fbc_reason from enum to string
      drm/i915: remove newline from a no_fbc_reason message
      drm/i915: rename intel_fbc_nuke to intel_fbc_recompress
      drm/i915: extract fbc_on_pipe_a_only()
      drm/i915: remove unnecessary check for crtc->primary->fb
      drm/i915: extract crtc_is_valid() on the FBC code
      drm/i915: use struct intel_crtc *crtc at __intel_fbc_update()
      drm/i915: fix the __intel_fbc_update() comments
      drm/i915: don't disable_fbc() if FBC is already disabled
      drm/i915: refactor FBC deactivation at init
      drm/i915: remove too-frequent FBC debug message
      drm/i915: clarify that checking the FB stride for CFB is intentional
      drm/i915: remove in_dbg_master check from intel_fbc.c

Pavel Fedin (3):
      net: thunder: Fix crash upon shutdown after failed probe
      net: smsc911x: Reset PHY during initialization
      net: thunder: Check for driver data in nicvf_remove()

Peter Chen (4):
      usb: gadget: f_loopback: fix the warning during the enumeration
      usb: chipidea: imx: refine clock operations to adapt for all platforms
      ARM: dts: imx27.dtsi: change the clock information for usb
      usb: kconfig: fix warning of select USB_OTG

Peter Feiner (1):
      perf trace: Fix documentation for -i

Peter Hurley (4):
      tty: Fix tty_send_xchar() lock order inversion
      tty: Fix direct use of tty buffer work
      serial: fsl_lpuart: Fix earlycon support
      tty: audit: Fix audit source

Peter Oberparleiter (2):
      scsi_sysfs: Fix queue_ramp_up_period return code
      scsi_sysfs: Fix queue_ramp_up_period return code

Peter Ujfalusi (1):
      dmaengine: of_dma: Correct return code for of_dma_request_slave_channel in case !CONFIG_OF

Peter Zijlstra (1):
      perf: Fix inherited events vs. tracepoint filters

Petr Štetiar (1):
      USB: qcserial: Add support for Quectel EC20 Mini PCIe module

Petri Gynther (2):
      MIPS: add nmi_enter() + nmi_exit() to nmi_exception_handler()
      MIPS: Switch BMIPS5000 to use r4k_wait_irqoff()

Philippe Longepe (2):
      cpufreq: intel_pstate: Replace BYT with ATOM
      cpufreq: intel_pstate: Add separate support for Airmont cores

Ping Cheng (1):
      HID: wacom: Add outbounding area for DTU1141

Rafael J. Wysocki (7):
      Merge branch 'pm-tools'
      Revert "cpufreq: intel_pstate: Avoid calculation for max/min"
      Revert "cpufreq: intel_pstate: Use ACPI perf configuration"
      Revert "Documentation: kernel_parameters for Intel P state driver"
      Merge branches 'pm-cpufreq' and 'acpi-cppc'
      Merge branch 'pm-sleep'
      Merge branches 'acpi-smbus', 'acpi-ec' and 'acpi-pci'

Rafał Miłecki (3):
      MIPS: BCM47xx: Support on-SoC bus in SPROM reading function
      MIPS: BCM47xx: Fix some WARNINGs pointed in sprom.c by checkpatch.pl
      MIPS: BCM47xx: Fetch board info directly in callback function

Rajmohan Mani (1):
      xhci: Workaround to get Intel xHCI reset working more reliably

Ralf Baechle (4):
      MIPS: atomic: Fix comment describing atomic64_add_unless's return value.
      MIPS: Use ARCH_USE_BUILTIN_BSWAP.
      Merge branch '4.3-fixes' into mips-for-linux-next
      MIPS: Fix flood of warnings about comparsion being always true.

Randy Dunlap (1):
      block: fix blk-core.c kernel-doc warning

Rasmus Villemoes (2):
      hpsa: fix multiple issues in path_info_show
      slab.h: sprinkle __assume_aligned attributes

Rex Zhu (1):
      drm/amdgpu: fix bug that can't enter thermal interrupt for bonaire.

Richard Weinberger (1):
      kernel/signal.c: unexport sigsuspend()

Rik van Riel (1):
      sched/numa: Fix math underflow in task_tick_numa()

Robert Fekete (1):
      drm/i915: Add extra plane information in debugfs.

Robert Jarzmik (1):
      mmc: pxamci: fix read-only gpio detection polarity

Robin Murphy (1):
      arm64/dma-mapping: Fix sizes in __iommu_{alloc,free}_attrs

Rodrigo Vivi (10):
      drm/i915: Define IS_BROXTON properly.
      drm/i915/kbl: Introduce Kabylake platform defition.
      drm/i915: Allow 1 vblank to let Sink CRC calculation to start or stop.
      drm/i915: Make Sink crc calculation waiting for counter to reset.
      drm/i915: Stop tracking last calculated Sink CRC.
      drm/i915: Rely on TEST_SINK_START instead of tracking Sink CRC state on dev_priv.
      drm/i915: Delay first PSR activation.
      drm/i915: Reduce PSR re-activation time for VLV/CHV.
      drm/i915: PSR: Don't Skip aux handshake on DP_PSR_NO_TRAIN_ON_EXIT.
      drm/i915: Send TP1 TP2/3 even when panel claims no NO_TRAIN_ON_EXIT.

Sabrina Dubroca (3):
      ipvlan: fix leak in ipvlan_rcv_frame
      ipvlan: fix use after free of skb
      macvlan: fix leak in macvlan_handle_frame

Saeed Mahameed (1):
      net/mlx5e: Fix inline header size calculation

Sanchayan Maity (1):
      iio: adc: vf610_adc: Fix division by zero error

Sascha Silbe (4):
      s390/head: fix error message on unsupported hardware
      s390/zcrypt: Fix kernel crash on systems without AP bus support
      s390/zcrypt: Fix initialisation when zcrypt is built-in
      s390/sclp: _sclp_wait_int(): retain full PSW mask

Sasha Levin (3):
      tools/liblockdep: remove task argument from debug_check_no_locks_held
      tools/liblockdep: add userspace versions of WRITE_ONCE and RCU_INIT_POINTER
      tools/liblockdep: explicitly declare lockdep API we call from liblockdep

Sathyavathi M (1):
      NVMe: Increase the max transfer size when mdts is 0

Scott Teel (5):
      hpsa: fix physical target reset
      hpsa: generalize external arrays
      hpsa: eliminate fake lun0 enclosures
      hpsa: add discovery polling for PT RAID devices.
      hpsa: disable report lun data caching

Sebastian Herbszt (1):
      libfc: Use the correct function name in kernel-doc comment.

Sebastian Ott (6):
      s390/cio: simplify css_generate_pgid
      s390/pci_dma: unify label of invalid translation table entries
      s390/pci_dma: handle dma table failures
      s390/pci_dma: improve debugging of errors during dma map
      s390/ipl: fix out of bounds access in scpdata_write
      s390: add support for ipl devices in subchannel sets > 0

Seymour, Shane M (1):
      st: allow debug output to be enabled or disabled via sysfs

Shobhit Kumar (2):
      drm/i915/skl: If needed sanitize bios programmed cdclk
      drm/i915/skl: While sanitizing cdclock check the SWF18 as well

Shraddha Barke (2):
      libceph: remove con argument in handle_reply()
      libceph: use local variable cursor instead of &msg->cursor

Shrikrishna Khare (1):
      Driver: Vmxnet3: Fix use of mfTableLen for big endian architectures

Shuah Khan (1):
      hwmon : (applesmc) Fix uninitialized variables warnings

Shubhangi Shrivastava (2):
      drm/i915: Cleanup test data during long/short hotplug
      drm/i915: force link training when requested by Sink

Simon Arlott (2):
      USB: MAINTAINERS: cxacru
      bcm63xx_uart: Use the device name when registering an interrupt

Sreekanth Reddy (19):
      mpt3sas: Added mpt2sas driver definitions
      mpt3sas: Move Gen3 HBA's device registration to a separate file
      mpt2sas: Move Gen2 HBA's device registration to a separate file
      mpt2sas: Remove .c and .h files from mpt2sas driver
      mpt3sas: Define 'hba_mpi_version_belonged' IOC variable
      mpt2sas, mpt3sas: Remove SCSI_MPTXSAS_LOGGING entry from Kconfig
      mpt3sas: Build MPI SGL LIST on GEN2 HBAs and IEEE SGL LIST on GEN3 HBAs
      mpt3sas: Don't send PHYDISK_HIDDEN RAID action request on SAS2 HBAs
      mpt3sas: Manage MSI-X vectors according to HBA device type
      mpt3sas: fix for driver fails EEH, recovery from injected pci bus error
      mpt3sas: Ported WarpDrive product SSS6200 support
      mpt3sas: sysfs attribute to report Backup Rail Monitor Status
      mpt3sas: Refcount sas_device objects and fix unsafe list usage
      mpt3sas: Refcount fw_events and fix unsafe list usage
      mpt3sas: Added OEM Gen2 PnP ID branding names
      mpt3sas: setpci reset kernel oops fix
      mpt2sas, mpt3sas: Update the driver versions
      mpt3sas: Single driver module which supports both SAS 2.0 & SAS 3.0 HBAs
      mpt3sas: Bump mpt3sas driver version to 09.102.00.00

Stefan Tatschner (1):
      can-doc: Add missing semicolon to example

Stephan Günther (2):
      NVMe: use split lo_hi_{read,write}q
      NVMe: add support for Apple NVMe controller

Stephen Rothwell (1):
      mpt3sas: fix inline markers on non inline function declarations

Steve French (7):
      Allow duplicate extents in SMB3 not just SMB3.1.1
      [SMB3] Add parsing for new mount option controlling persistent handles
      [SMB3] Enable checking for continuous availability and persistent handle support
      [SMB3] Display persistenthandles in /proc/mounts for SMB3 shares if enabled
      [SMB3] Send durable handle v2 contexts when use of persistent handles required
      Add resilienthandles mount parm
      Allow copy offload (CopyChunk) across shares

Sudeep Holla (1):
      hwmon: (scpi) skip unsupported sensors properly

Sudip Mukherjee (2):
      FlashPoint: fix build warning
      lpfc: fix memory leak and NULL dereference

Sumit Saxena (2):
      megaraid_sas: Make tape drives visible on PERC5 controllers
      megaraid_sas: Fix sparse warning

Suravee Suthikulpanit (1):
      PCI: Fix OF logic in pci_dma_configure()

Tadeusz Struk (1):
      crypto: qat - don't use userspace pointer

Takashi Iwai (2):
      Input: elantech - add Fujitsu Lifebook U745 to force crc_enabled
      ALSA: hda - Simplify phantom jack handling for HDMI/DP

Takashi Sakamoto (1):
      ALSA: oxfw: add an comment to Kconfig for TASCAM FireOne

Tariq Toukan (1):
      net/mlx5e: Added self loopback prevention

Tejun Heo (1):
      timers: Use proper base migration in add_timer_on()

Thierry Reding (3):
      platform/chrome: Enable Chrome platforms on 64-bit ARM
      MIPS: JZ4740: Use PWM lookup table
      MIPS: JZ4740: Remove unused linux/leds_pwm.h include

Thomas Betker (1):
      iio: adc: xilinx: Fix VREFN scale

Tim Gardner (1):
      be2iscsi: Fix bogus WARN_ON length check

Tim Gore (1):
      drm/i915: make A0 wa's applied to A1

Timo Teräs (1):
      via-velocity: unconditionally drop frames with bad l2 length

Tina Ruchandani (2):
      stex: Remove use of struct timeval
      mvumi: 64bit value for seconds_since1970

Tobias Klauser (2):
      packet: Don't check frames_per_block against negative values
      packet: Use PAGE_ALIGNED macro

Tomas Elf (2):
      drm/i915: Grab execlist spinlock to avoid post-reset concurrency issues.
      drm/i915: Cope with request list state change during error state capture

Tomas Henzl (1):
      hpsa: move lockup_detected attribute to host attr

Tvrtko Ursulin (5):
      drm/i915/skl: Assume no scaling is available when things are not as expected
      drm/i915/skl: Consider plane rotation when calculating stride in skl_do_mmio_flip
      drm: Use userspace compatible type in fourcc_mod_code macro
      drm/i915: Fix failure paths around initial fbdev allocation
      drm/i915: Remove redundant check in i915_gem_obj_to_vma

Ulf Hansson (1):
      MAINTAINERS: mmc: Remove Seungwon Jeon from dw_mmc

Uwe Kleine-König (1):
      usb: musb: core: fix order of arguments to ulpi write callback

Ville Syrjälä (61):
      drm/i915: Refactor ilk_update_wm (v3)
      drm/i915: Turn __raw_i915_read8() & co. in to inline functions
      drm/i915: Read FORCEWAKE registers with I915_READ_FW()
      drm/i915: Minor style nits in intel_uncore.c
      drm/i915: Respin vlv/chv reagister access to look more like SKL
      drm/i915: Add NEEDS_FORCEWAKE() checks for vlv/chv
      drm/i915: Use paramtrized WRPLL_CTL()
      drm/i915: Print a debug message when exceeding dotclock limit on pre-gen4
      drm/i915: Don't use intel_pipe_to_cpu_transcoder() when there's a pipe config around
      drm/i915: Set sync polarity from adjusted mode for TRANS_DP_CTL
      drm/i915: Enable PCH FIFO underruns later on ILK/SNB/IVB
      drm/i915: Enable PCH FIFO underruns later on HSW+
      drm/i915: Re-enable PCH FIO underrun reporting after pipe has been disabled
      drm/i915: Check for FIFO underruns after modeset on IVB/HSW and CPT/PPT
      drm/i915: Check for CPT and not !IBX in ironlake_disable_pch_transcoder()
      drm/i915: Disable FIFO underrun reporting around IBX transcoder B workaround
      drm/i915: Hide underruns from eDP PLL and port enable on ILK
      drm/i915: s/DP_PLL_FREQ_160MHZ/DP_PLL_FREQ_162MHZ/
      drm/i915: Remove ILK-A eDP PLL workaround notes
      drm/i915: Clean up eDP PLL state asserts
      drm/i915: Use intel_dp->DP in eDP PLL setup
      drm/i915: Configure eDP PLL freq from ironlake_edp_pll_on()
      drm/i915: Setup DDI clk for MST on SKL
      drm/i915: Kill intel_runtime_pm_disable()
      drm/i915: Do fbdev fini first during unload
      drm/i915: Move the fbdev async_schedule() into intel_fbdev.c
      drm: Don't oops in drm_calc_timestamping_constants() if drm_vblank_init() wasn't called
      drm/i915: Fix crtc_y assignment in intel_find_initial_plane_obj()
      drm/i915: Replace aux_ch_ctl_reg check with port check
      drm/i915: Replace the aux ddc name switch statement with kasprintf()
      drm/i915: Parametrize AUX registers
      drm/i915: Remove the magic AUX_CTL is at DP + foo tricks
      drm/i915: Store aux data reg offsets in intel_dp->aux_ch_data_reg[]
      drm/i915: Add dev_priv->psr_mmio_base
      drm/i915: Model PSR AUX register selection more like the normal AUX code
      drm: Fix primary plane size for stereo doubled modes for legacy setcrtc
      drm/i915: Don't clobber the addfb2 ioctl params
      drm/i915: Clean up AUX power domain handling
      drm/i915: Introduce a gmbus power domain
      drm/i915: Remove DDI power domain exclusion SKL_DISPLAY_ALWAYS_ON_POWER_DOMAINS
      pci: Decouple quirks.c from i915_reg.h
      drm/i915: s/PCH_DP_/PORT_/ in intel_trans_dp_port_sel() and move it next to its only user
      drm/i915: s/is_sdvob/enum port/
      drm/i915: Store DVO SRCDIM register offset under intel_dvo_device
      drm/i915: Streamline gpio_mmio_base deduction
      drm/i915: Prefix raw register defines with underscore
      drm/i915: Parametrize L3 error registers
      drm/i915: Parametrize MOCS registers
      drm/i915: s/0x50/RING_PSMI_CTL/
      drm/i915: Make the high dword offset more explicit in i915_reg_read_ioctl
      drm/i915: Make the cmd parser 64bit regs explicit
      drm/i915: Add functions to emit register offsets to the ring
      drm/i915: Add wa_ctx_emit_reg()
      drm/i915: Wrap ASSIGN_CTX_{PDP,PM4L} in do {} while(0)
      drm/i915: Give names to more ring registers
      drm/i915: Wrap context LRI init in a macro
      drm/i915: Turn vgpu pdps into an array
      drm/i915: Pull the vgpu uncore funcs apart from the rest of gen6+
      drm/i915: Add 'offset' to uncore funcs
      drm/i915: Add missing ')' to SKL_PS_ECC_STAT define
      drm/i915: Type safe register read/write

Vineet Gupta (6):
      ARCv2: lib: memcpy: use local symbols
      ARC: remove extraneous header include
      ARC: [arcompact] Handle bus error from userspace as Interrupt not exception
      ARC: use ASL assembler mnemonic
      ARC: cpu_relax() to be compiler barrier even for UP
      ARC: Fix silly typo in MAINTAINERS file

Viresh Kumar (1):
      PM/OPP: add entry in MAINTAINERS

Vitaly Kuznetsov (4):
      x86/irq: Probe for PIC presence before allocating descs for legacy IRQs
      storvsc: get rid of bounce buffer
      scsi_scan: don't dump trace when scsi_prep_async_scan() is called twice
      kernel/panic.c: turn off locks debug before releasing console lock

Vivek Goyal (1):
      fs/block_dev.c: Remove WARN_ON() when inode writeback fails

Vlad Yasevich (2):
      vlan: Fix untag operations of stacked vlans with REORDER_HEADER off
      vlan: Do not put vlan headers back on bridge and macvlan ports

Vladimir Zapolskiy (1):
      iio: lpc32xx_adc: fix warnings caused by enabling unprepared clock

Wang Nan (14):
      perf test: Keep test result clean if '-v' not set
      perf bpf: Mute libbpf when '-v' not set
      perf llvm: Pass number of configured CPUs to clang compiler
      perf llvm: Pass LINUX_VERSION_CODE to BPF program when compiling
      perf tools: Fix find_perf_probe_point_from_map() which incorrectly returns success
      bpf tools: Improve libbpf error reporting
      bpf tools: Add new API bpf_object__get_kversion()
      perf tools: Make fetch_kernel_version() publicly available
      perf bpf: Improve BPF related error messages
      perf test: Enhance the LLVM test: update basic BPF test program
      perf test: Enhance the LLVM tests: add kbuild test
      perf test: Add 'perf test BPF'
      perf probe: Verify parameters in two functions
      perf probe: Clear probe_trace_event when add_probe_trace_event() fails

Wang YanQing (1):
      Documentation: dontdiff: remove media from dontdiff

Wang, Rui Y (1):
      drm/mgag200: fix kernel hang in cursor code.

Will Deacon (1):
      arm64: barriers: fix smp_load_acquire to work with const arguments

Yan, Zheng (3):
      ceph: don't invalidate page cache when inode is no longer used
      ceph: add request to i_unsafe_dirops when getting unsafe reply
      ceph: make fsync() wait unsafe requests that created/modified inode

Yang Shi (5):
      arm64: bpf: fix JIT frame pointer setup
      bpf: samples: exclude asm/sysreg.h for arm64
      arm64: bpf: make BPF prologue and epilogue align with ARM64 AAPCS
      arm64: restore bogomips information in /proc/cpuinfo
      mm/page-writeback.c: initialize m_dirty to avoid compile warning

Yaniv Gardi (8):
      phy: qcom-ufs: fix build error when the component is built as a module
      scsi: ufs-qcom: fix compilation warning if compiled as a module
      scsi: ufs-qcom: update configuration option of SCSI_UFS_QCOM component
      scsi: ufs: add ufshcd_get_variant ufshcd_set_variant
      scsi: ufs: creates wrapper functions for vops
      scsi: ufs: make the UFS variant a platform device
      scsi: ufs-qcom: add debug prints for test bus
      scsi: ufs-qcom: add QUniPro hardware support and power optimizations

Yigal Korman (1):
      mm, dax: fix DAX deadlocks (COW fault)

Yunlong Song (2):
      tools lib bpf: Change FEATURE-DUMP to FEATURE-DUMP.libbpf
      perf test: Add llvm-src-base.c and llvm-src-kbuild.c to .gitignore

Yuval Mintz (1):
      bnx2x: Fix VLANs null-pointer for 57710, 57711

Zhao Lei (9):
      btrfs: scrub: set error stats when tree block spanning stripes
      btrfs: scrub: setup all fields for sblock_to_check
      btrfs: Reset sblock->xxx_error stats before calling scrub_recheck_block_checksum
      btrfs: Use scrub_checksum_data and scrub_checksum_tree_block for scrub_recheck_block_checksum
      btrfs: Reduce unnecessary arguments in scrub_recheck_block
      btrfs: Remove len argument from scrub_find_csum
      btrfs: Fix lost-data-profile caused by auto removing bg
      btrfs: Fix lost-data-profile caused by balance bg
      btrfs: Use fs_info directly in btrfs_delete_unused_bgs

Zhou Chengming (1):
      livepatch: x86: fix relocation computation with kASLR

Zhu, Caifeng (1):
      ceph: combine as many iovec as possile into one OSD request

Zubair Lutfullah Kakakhel (4):
      dt-bindings: MIPS: Document xilfpga bindings and boot style
      MIPS: xilfpga: Add xilfpga device tree files.
      MIPS: xilfpga: Add mipsfpga platform code
      MIPS: Add xilfpga defconfig

françois romieu (1):
      r8169: fix kasan reported skb use-after-free.

jim.bride@linux.intel.com (1):
      drm/i915/skl: Update DDI translation tables for SKL

kbuild test robot (1):
      drm/vc4: vc4_plane_duplicate_state() can be static

lucien (1):
      sctp: translate host order to network order when setting a hmacid

sumit.saxena@avagotech.com (22):
      megaraid_sas: Synchronize driver headers with firmware APIs
      megaraid_sas: Increase timeout to 60 secs for abort frames during shutdown
      megaraid_sas: JBOD sequence number support
      megaraid_sas: Code cleanup-use local variable drv_ops inside megasas_ioc_init_fusion
      megaraid_sas: Support for max_io_size 1MB
      megaraid_sas: Chip reset if driver fails to get IOC ready
      megaraid_sas: Print critical firmware event messages
      megaraid_sas: Fix validHandles check in I/O path
      megaraid_sas: Code refactor for use of requestorId
      megaraid_sas: Version update
      megaraid_sas: Expose TAPE drives unconditionally
      megaraid_sas: Remove PCI id checks
      megaraid_sas: Support for Intruder (12 Gbps) controller
      megaraid_sas: Support for Cutlass (12 Gbps) controller
      megaraid_sas: Do not use PAGE_SIZE for max_sectors
      megaraid_sas: Update OCR capability on controller properties change
      megaraid_sas: Indicate online firmware upgrade support for Secure JBOD feature
      megaraid_sas: Initialize tasklet before setting up IRQs
      megaraid_sas: Make PI enabled VD 8 byte DMA aligned
      megaraid_sas : SMAP restriction--do not access user memory from IOCTL code
      megaraid_sas : Driver version upgrade
      megaraid_sas : Remove debug print from function megasas_update_span_set

 Documentation/ABI/testing/sysfs-driver-st          |   12 +
 Documentation/DocBook/gpu.tmpl                     |   12 +-
 Documentation/IPMI.txt                             |    7 +-
 Documentation/SubmittingPatches                    |   15 +-
 .../bindings/input/touchscreen/tsc2005.txt         |   34 +-
 .../devicetree/bindings/mips/img/xilfpga.txt       |   83 +
 Documentation/devicetree/bindings/ufs/ufs-qcom.txt |   58 +
 .../devicetree/bindings/ufs/ufshcd-pltfrm.txt      |   11 +-
 Documentation/dontdiff                             |    1 -
 Documentation/email-clients.txt                    |   36 +
 Documentation/filesystems/Makefile                 |    2 -
 Documentation/filesystems/configfs/Makefile        |    3 -
 Documentation/filesystems/configfs/configfs.txt    |   38 +-
 .../configfs/configfs_example_explicit.c           |  483 --
 Documentation/filesystems/overlayfs.txt            |    3 +-
 Documentation/i2c/busses/i2c-i801                  |    1 +
 Documentation/kernel-parameters.txt                |    3 -
 Documentation/networking/can.txt                   |    2 +-
 Documentation/nvdimm/nvdimm.txt                    |   49 +-
 Documentation/scsi/st.txt                          |    4 +-
 Documentation/target/tcm_mod_builder.py            |   17 -
 MAINTAINERS                                        |   43 +-
 Makefile                                           |    4 +-
 arch/arc/include/asm/processor.h                   |    4 -
 arch/arc/kernel/entry-arcv2.S                      |   19 +
 arch/arc/kernel/entry-compact.S                    |   29 +-
 arch/arc/kernel/entry.S                            |   17 -
 arch/arc/lib/memcpy-archs.S                        |   52 +-
 arch/arc/mm/tlbex.S                                |    6 +-
 arch/arc/plat-sim/platform.c                       |    1 -
 arch/arm/boot/dts/imx27.dtsi                       |   16 +-
 arch/arm/net/bpf_jit_32.c                          |    2 +-
 arch/arm64/crypto/aes-ce-cipher.c                  |    2 +-
 arch/arm64/include/asm/barrier.h                   |   16 +-
 arch/arm64/include/asm/compat.h                    |    3 +-
 arch/arm64/include/asm/dma-mapping.h               |   13 +-
 arch/arm64/include/asm/mmu_context.h               |    2 +-
 arch/arm64/include/asm/pgtable.h                   |    1 +
 arch/arm64/kernel/cpuinfo.c                        |    5 +
 arch/arm64/kernel/efi.c                            |   14 +-
 arch/arm64/kernel/suspend.c                        |   10 +
 arch/arm64/mm/dma-mapping.c                        |   35 +-
 arch/arm64/mm/mmu.c                                |   14 +-
 arch/arm64/net/bpf_jit_comp.c                      |   48 +-
 arch/mips/Kbuild                                   |    1 +
 arch/mips/Kbuild.platforms                         |    1 +
 arch/mips/Kconfig                                  |   88 +-
 arch/mips/Kconfig.debug                            |   72 +
 arch/mips/Makefile                                 |    4 +
 arch/mips/ath79/setup.c                            |    7 +-
 arch/mips/bcm47xx/setup.c                          |   19 +-
 arch/mips/bcm47xx/sprom.c                          |   46 +-
 arch/mips/bcm63xx/boards/board_bcm963xx.c          |   14 +-
 arch/mips/bcm63xx/cpu.c                            |   12 +-
 arch/mips/bcm63xx/dev-pcmcia.c                     |    2 +-
 arch/mips/bcm63xx/irq.c                            |    2 +-
 arch/mips/bcm63xx/setup.c                          |    8 +-
 arch/mips/bcm63xx/timer.c                          |    2 +-
 arch/mips/bmips/setup.c                            |    1 -
 arch/mips/boot/dts/Makefile                        |    1 +
 arch/mips/boot/dts/brcm/bcm7346.dtsi               |  114 +-
 arch/mips/boot/dts/brcm/bcm7358.dtsi               |   62 +-
 arch/mips/boot/dts/brcm/bcm7360.dtsi               |   62 +-
 arch/mips/boot/dts/brcm/bcm7362.dtsi               |   94 +-
 arch/mips/boot/dts/brcm/bcm7425.dtsi               |   42 +
 arch/mips/boot/dts/brcm/bcm97346dbsmb.dts          |   28 +
 arch/mips/boot/dts/brcm/bcm97358svmb.dts           |   16 +
 arch/mips/boot/dts/brcm/bcm97360svmb.dts           |   16 +
 arch/mips/boot/dts/brcm/bcm97362svmb.dts           |   20 +
 arch/mips/boot/dts/mti/malta.dts                   |    4 +
 arch/mips/boot/dts/qca/ar9132.dtsi                 |    2 +-
 arch/mips/boot/dts/xilfpga/Makefile                |    9 +
 arch/mips/boot/dts/xilfpga/microAptiv.dtsi         |   21 +
 arch/mips/boot/dts/xilfpga/nexys4ddr.dts           |   46 +
 arch/mips/cavium-octeon/setup.c                    |   11 +-
 arch/mips/configs/bigsur_defconfig                 |   12 +-
 arch/mips/configs/bmips_be_defconfig               |    3 +-
 arch/mips/configs/bmips_stb_defconfig              |    3 +-
 arch/mips/configs/capcella_defconfig               |    6 +-
 arch/mips/configs/e55_defconfig                    |    6 +-
 arch/mips/configs/fuloong2e_defconfig              |   14 +-
 arch/mips/configs/lasat_defconfig                  |   10 +-
 arch/mips/configs/lemote2f_defconfig               |   11 +-
 arch/mips/configs/malta_defconfig                  |    6 +-
 arch/mips/configs/malta_kvm_defconfig              |   16 +-
 arch/mips/configs/malta_kvm_guest_defconfig        |   16 +-
 arch/mips/configs/malta_qemu_32r6_defconfig        |   11 +-
 arch/mips/configs/maltaaprp_defconfig              |   11 +-
 arch/mips/configs/maltasmvp_eva_defconfig          |   11 +-
 arch/mips/configs/maltaup_defconfig                |   11 +-
 arch/mips/configs/maltaup_xpa_defconfig            |   16 +-
 arch/mips/configs/mpc30x_defconfig                 |    6 +-
 arch/mips/configs/xilfpga_defconfig                |   40 +
 arch/mips/include/asm/abi.h                        |    5 +-
 arch/mips/include/asm/atomic.h                     |    2 +-
 arch/mips/include/asm/bcache.h                     |   27 +
 arch/mips/include/asm/cdmm.h                       |   11 +
 arch/mips/include/asm/clocksource.h                |   29 +
 arch/mips/include/asm/compat.h                     |   62 +-
 arch/mips/include/asm/cpu-features.h               |    6 +-
 arch/mips/include/asm/debug.h                      |   22 +
 arch/mips/include/asm/elf.h                        |    7 +
 arch/mips/include/asm/fw/fw.h                      |   16 -
 arch/mips/include/asm/mach-bcm47xx/bcm47xx.h       |    5 -
 .../mips/include/asm/mach-lantiq/xway/lantiq_soc.h |   14 +
 arch/mips/include/asm/mach-malta/malta-dtshim.h    |   29 +
 arch/mips/include/asm/mach-ralink/mt7620.h         |    8 +-
 arch/mips/include/asm/mach-ralink/ralink_regs.h    |   17 +
 arch/mips/include/asm/mach-ralink/rt305x.h         |   21 +-
 arch/mips/include/asm/mach-xilfpga/irq.h           |   18 +
 arch/mips/include/asm/mips-cm.h                    |   78 +-
 arch/mips/include/asm/mips-cpc.h                   |    3 +-
 arch/mips/include/asm/mipsregs.h                   |   18 +-
 arch/mips/include/asm/page.h                       |    5 +-
 arch/mips/include/asm/processor.h                  |    8 +-
 arch/mips/include/asm/vdso.h                       |  139 +-
 arch/mips/include/uapi/asm/Kbuild                  |    2 +-
 arch/mips/include/uapi/asm/auxvec.h                |   17 +
 arch/mips/jz4740/board-qi_lb60.c                   |   13 +-
 arch/mips/kernel/Makefile                          |    1 +
 arch/mips/kernel/cps-vec-ns16550.S                 |  202 +
 arch/mips/kernel/cps-vec.S                         |   44 +-
 arch/mips/kernel/cpu-probe.c                       |    3 +-
 arch/mips/kernel/csrc-r4k.c                        |   44 +
 arch/mips/kernel/idle.c                            |   17 +-
 arch/mips/kernel/mips-cm.c                         |  114 +-
 arch/mips/kernel/mips-cpc.c                        |    6 +
 arch/mips/kernel/mips-r2-to-r6-emul.c              |    2 +-
 arch/mips/kernel/segment.c                         |    2 +-
 arch/mips/kernel/setup.c                           |   29 +-
 arch/mips/kernel/signal.c                          |   12 +-
 arch/mips/kernel/signal32.c                        |    7 +-
 arch/mips/kernel/signal_n32.c                      |    5 +-
 arch/mips/kernel/smp-cps.c                         |   35 +-
 arch/mips/kernel/smp-gic.c                         |    2 +
 arch/mips/kernel/spinlock_test.c                   |    4 +-
 arch/mips/kernel/stacktrace.c                      |   27 +-
 arch/mips/kernel/traps.c                           |   11 +-
 arch/mips/kernel/unaligned.c                       |    2 +-
 arch/mips/kernel/vdso.c                            |  207 +-
 arch/mips/kernel/vmlinux.lds.S                     |   15 +-
 arch/mips/kvm/locore.S                             |    8 -
 arch/mips/lantiq/clk.c                             |   17 +
 arch/mips/lantiq/clk.h                             |   13 +
 arch/mips/lantiq/irq.c                             |    8 +-
 arch/mips/lantiq/prom.c                            |    2 -
 arch/mips/lantiq/xway/clk.c                        |  180 +-
 arch/mips/lantiq/xway/prom.c                       |   35 +-
 arch/mips/lantiq/xway/reset.c                      |  196 +-
 arch/mips/lantiq/xway/sysctrl.c                    |  301 +-
 arch/mips/lib/Makefile                             |    2 +-
 arch/mips/lib/bswapdi.c                            |   15 +
 arch/mips/lib/bswapsi.c                            |   11 +
 arch/mips/loongson64/Kconfig                       |    5 -
 arch/mips/loongson64/common/Makefile               |    2 +-
 arch/mips/loongson64/lemote-2f/Makefile            |    2 +-
 arch/mips/math-emu/me-debugfs.c                    |    2 +-
 arch/mips/mm/Makefile                              |    1 +
 arch/mips/mm/sc-debugfs.c                          |   81 +
 arch/mips/mm/sc-mips.c                             |   65 +-
 arch/mips/mm/tlbex.c                               |   97 +-
 arch/mips/mti-malta/Makefile                       |   15 +-
 arch/mips/mti-malta/malta-dtshim.c                 |  162 +
 arch/mips/mti-malta/malta-init.c                   |    1 +
 arch/mips/mti-malta/malta-memory.c                 |  131 +-
 arch/mips/mti-malta/malta-setup.c                  |    5 +-
 arch/mips/netlogic/xlp/dt.c                        |    1 -
 arch/mips/pci/pci-rt3883.c                         |    6 +-
 arch/mips/pistachio/init.c                         |    1 -
 arch/mips/ralink/cevt-rt3352.c                     |    2 +-
 arch/mips/ralink/early_printk.c                    |   26 +
 arch/mips/ralink/mt7620.c                          |   63 +-
 arch/mips/ralink/of.c                              |    2 -
 arch/mips/ralink/prom.c                            |    5 +
 arch/mips/ralink/reset.c                           |   13 +-
 arch/mips/ralink/rt288x.c                          |    1 +
 arch/mips/ralink/rt305x.c                          |   13 +-
 arch/mips/ralink/rt3883.c                          |    2 +
 arch/mips/vdso/.gitignore                          |    4 +
 arch/mips/vdso/Makefile                            |  160 +
 arch/mips/vdso/elf.S                               |   68 +
 arch/mips/vdso/genvdso.c                           |  293 +
 arch/mips/vdso/genvdso.h                           |  187 +
 arch/mips/vdso/gettimeofday.c                      |  232 +
 arch/mips/vdso/sigreturn.S                         |   49 +
 arch/mips/vdso/vdso.h                              |   89 +
 arch/mips/vdso/vdso.lds.S                          |  105 +
 arch/mips/xilfpga/Kconfig                          |    9 +
 arch/mips/xilfpga/Makefile                         |    7 +
 arch/mips/xilfpga/Platform                         |    3 +
 arch/mips/xilfpga/init.c                           |   57 +
 arch/mips/xilfpga/intc.c                           |   25 +
 arch/mips/xilfpga/time.c                           |   41 +
 arch/parisc/Kconfig                                |    3 +
 arch/parisc/include/asm/hugetlb.h                  |   85 +
 arch/parisc/include/asm/page.h                     |   13 +-
 arch/parisc/include/asm/pgalloc.h                  |    2 +-
 arch/parisc/include/asm/pgtable.h                  |   26 +-
 arch/parisc/include/asm/processor.h                |   27 -
 arch/parisc/include/uapi/asm/mman.h                |   10 -
 arch/parisc/kernel/asm-offsets.c                   |    8 +
 arch/parisc/kernel/entry.S                         |   56 +-
 arch/parisc/kernel/head.S                          |    4 +-
 arch/parisc/kernel/setup.c                         |   14 +-
 arch/parisc/kernel/syscall.S                       |    4 +-
 arch/parisc/kernel/traps.c                         |   35 +-
 arch/parisc/kernel/vmlinux.lds.S                   |    9 +-
 arch/parisc/mm/Makefile                            |    1 +
 arch/parisc/mm/hugetlbpage.c                       |  161 +
 arch/parisc/mm/init.c                              |   40 +-
 arch/powerpc/include/asm/systbl.h                  |    1 +
 arch/powerpc/include/asm/unistd.h                  |    2 +-
 arch/powerpc/include/uapi/asm/unistd.h             |    1 +
 arch/s390/include/asm/cio.h                        |    1 +
 arch/s390/include/asm/elf.h                        |   13 +-
 arch/s390/include/asm/ipl.h                        |    3 +-
 arch/s390/include/asm/pci_dma.h                    |    4 +-
 arch/s390/include/asm/trace/diag.h                 |    6 +-
 arch/s390/include/uapi/asm/unistd.h                |   19 +-
 arch/s390/kernel/compat_wrapper.c                  |    1 +
 arch/s390/kernel/diag.c                            |    4 +-
 arch/s390/kernel/head.S                            |   95 +-
 arch/s390/kernel/ipl.c                             |   65 +-
 arch/s390/kernel/process.c                         |    6 +-
 arch/s390/kernel/sclp.c                            |    2 +-
 arch/s390/kernel/setup.c                           |    3 -
 arch/s390/kernel/syscalls.S                        |    1 +
 arch/s390/kernel/trace.c                           |    6 +-
 arch/s390/mm/init.c                                |   30 +-
 arch/s390/mm/mmap.c                                |   60 +-
 arch/s390/pci/pci_dma.c                            |   84 +-
 arch/x86/include/asm/i8259.h                       |    1 +
 arch/x86/include/asm/msr-index.h                   |    3 +-
 arch/x86/kernel/apic/vector.c                      |    6 +-
 arch/x86/kernel/cpu/amd.c                          |   13 +
 arch/x86/kernel/cpu/common.c                       |    3 +-
 arch/x86/kernel/cpu/intel.c                        |    1 +
 arch/x86/kernel/cpu/perf_event_intel_rapl.c        |    6 -
 arch/x86/kernel/early-quirks.c                     |    1 +
 arch/x86/kernel/fpu/signal.c                       |   11 +-
 arch/x86/kernel/fpu/xstate.c                       |    1 -
 arch/x86/kernel/head_64.S                          |    8 +
 arch/x86/kernel/i8259.c                            |   29 +-
 arch/x86/kernel/mcount_64.S                        |    6 +
 arch/x86/kernel/setup.c                            |    2 +-
 arch/x86/kernel/verify_cpu.S                       |   12 +-
 arch/x86/mm/dump_pagetables.c                      |   17 +-
 arch/x86/mm/mpx.c                                  |   47 +-
 block/blk-core.c                                   |    3 +
 block/blk-mq.c                                     |    2 +-
 block/blk-mq.h                                     |    1 -
 block/blk.h                                        |    2 -
 crypto/asymmetric_keys/x509_cert_parser.c          |   12 +-
 drivers/acpi/cppc_acpi.c                           |    2 +-
 drivers/acpi/ec.c                                  |    2 +-
 drivers/acpi/sbshc.c                               |   48 +-
 drivers/ata/libata-scsi.c                          |    3 -
 drivers/base/power/wakeirq.c                       |    6 +
 drivers/block/brd.c                                |    3 +
 drivers/block/rbd.c                                |  109 +-
 drivers/char/ipmi/ipmi_si_intf.c                   |   82 +-
 drivers/char/ipmi/ipmi_watchdog.c                  |    8 +-
 drivers/char/tpm/tpm-chip.c                        |   20 +-
 drivers/char/tpm/tpm2-cmd.c                        |   15 +-
 drivers/char/tpm/tpm_of.c                          |    3 +-
 drivers/char/tpm/tpm_tis.c                         |    8 +-
 drivers/clocksource/Kconfig                        |    1 +
 drivers/clocksource/fsl_ftm_timer.c                |    4 +-
 drivers/clocksource/mips-gic-timer.c               |    7 +-
 drivers/cpufreq/Kconfig.arm                        |    1 +
 drivers/cpufreq/Kconfig.x86                        |    1 -
 drivers/cpufreq/intel_pstate.c                     |  316 +-
 drivers/crypto/qat/qat_common/adf_ctl_drv.c        |    2 +-
 drivers/dma/at_hdmac.c                             |   20 +-
 drivers/dma/at_hdmac_regs.h                        |    6 +-
 drivers/dma/at_xdmac.c                             |   20 +-
 drivers/dma/edma.c                                 |    4 +-
 drivers/dma/imx-sdma.c                             |    2 +-
 drivers/dma/sh/usb-dmac.c                          |   11 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu.h                |  120 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_cs.c             |  177 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_fence.c          |  101 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_gem.c            |   24 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_ib.c             |    4 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_object.h         |    7 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_sa.c             |    3 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_sched.c          |   30 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_semaphore.c      |    2 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_sync.c           |   10 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_trace.h          |   94 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_ttm.c            |    6 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_vm.c             |  138 +-
 drivers/gpu/drm/amd/amdgpu/ci_dpm.c                |    8 +-
 drivers/gpu/drm/amd/amdgpu/gfx_v8_0.c              |  302 +-
 drivers/gpu/drm/amd/amdgpu/gmc_v7_0.c              |   11 +-
 drivers/gpu/drm/amd/amdgpu/gmc_v8_0.c              |    9 +-
 drivers/gpu/drm/amd/scheduler/gpu_sched_trace.h    |   24 +-
 drivers/gpu/drm/amd/scheduler/gpu_scheduler.c      |   24 +-
 drivers/gpu/drm/amd/scheduler/gpu_scheduler.h      |    6 +-
 drivers/gpu/drm/amd/scheduler/sched_fence.c        |   10 +-
 drivers/gpu/drm/drm_atomic.c                       |   61 +-
 drivers/gpu/drm/drm_atomic_helper.c                |   29 +-
 drivers/gpu/drm/drm_fb_helper.c                    |   51 +-
 drivers/gpu/drm/drm_irq.c                          |   10 +-
 drivers/gpu/drm/i915/Kconfig                       |    1 +
 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/dvo.h                         |    3 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   37 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  246 +-
 drivers/gpu/drm/i915/i915_dma.c                    |   26 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  170 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  179 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   81 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   12 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |    4 +-
 drivers/gpu/drm/i915/i915_gem_fence.c              |    2 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   58 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    7 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    3 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |    4 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |   40 +-
 drivers/gpu/drm/i915/i915_guc_reg.h                |   53 +-
 drivers/gpu/drm/i915/i915_guc_submission.c         |   16 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  117 +-
 drivers/gpu/drm/i915/i915_params.c                 |   15 +-
 drivers/gpu/drm/i915/i915_reg.h                    | 2742 +++---
 drivers/gpu/drm/i915/i915_sysfs.c                  |    3 +-
 drivers/gpu/drm/i915/i915_trace.h                  |    4 +-
 drivers/gpu/drm/i915/i915_vgpu.c                   |    6 +-
 drivers/gpu/drm/i915/i915_vgpu.h                   |   14 +-
 drivers/gpu/drm/i915/intel_atomic.c                |    3 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |    2 +
 drivers/gpu/drm/i915/intel_audio.c                 |   23 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   45 +-
 drivers/gpu/drm/i915/intel_csr.c                   |  284 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  216 +-
 drivers/gpu/drm/i915/intel_display.c               |  884 +-
 drivers/gpu/drm/i915/intel_dp.c                    | 1087 +--
 drivers/gpu/drm/i915/intel_dp_link_training.c      |  323 +
 drivers/gpu/drm/i915/intel_dp_mst.c                |   18 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  159 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |   45 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |   27 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |  196 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   50 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c         |  127 +-
 drivers/gpu/drm/i915/intel_guc.h                   |    8 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h              |   72 +
 drivers/gpu/drm/i915/intel_guc_loader.c            |  105 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   83 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   37 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  148 +-
 drivers/gpu/drm/i915/intel_lrc.h                   |   19 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   11 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |   61 +-
 drivers/gpu/drm/i915/intel_opregion.c              |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c               |    2 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  647 +-
 drivers/gpu/drm/i915/intel_psr.c                   |   77 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  156 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |    9 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  506 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   61 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   20 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  261 +-
 drivers/gpu/drm/mgag200/mgag200_cursor.c           |   11 +-
 drivers/gpu/drm/radeon/radeon_object.c             |   15 +-
 drivers/gpu/drm/radeon/radeon_pm.c                 |    3 +-
 drivers/gpu/drm/radeon/si_dpm.c                    |    2 +-
 drivers/gpu/drm/rockchip/rockchip_drm_drv.c        |   81 +-
 drivers/gpu/drm/vc4/vc4_crtc.c                     |    9 +-
 drivers/gpu/drm/vc4/vc4_drv.c                      |    1 -
 drivers/gpu/drm/vc4/vc4_hvs.c                      |    8 +-
 drivers/gpu/drm/vc4/vc4_plane.c                    |   18 +-
 drivers/hid/wacom_wac.c                            |    5 +-
 drivers/hwmon/Kconfig                              |    2 +
 drivers/hwmon/applesmc.c                           |    2 +-
 drivers/hwmon/scpi-hwmon.c                         |   21 +-
 drivers/hwtracing/stm/policy.c                     |  105 +-
 drivers/i2c/busses/Kconfig                         |    1 +
 drivers/i2c/busses/i2c-i801.c                      |    6 +
 drivers/i2c/busses/i2c-imx.c                       |    1 +
 drivers/i2c/busses/i2c-xiic.c                      |    4 +-
 drivers/i2c/i2c-core.c                             |    2 +-
 drivers/iio/adc/ad7793.c                           |    2 +-
 drivers/iio/adc/vf610_adc.c                        |   22 +-
 drivers/iio/adc/xilinx-xadc-core.c                 |    1 +
 drivers/iio/dac/ad5064.c                           |   91 +-
 drivers/iio/humidity/si7020.c                      |    8 +-
 drivers/infiniband/ulp/srp/ib_srp.c                |    5 -
 drivers/infiniband/ulp/srpt/ib_srpt.c              |   78 +-
 drivers/input/mouse/elantech.c                     |    7 +
 drivers/input/serio/parkbd.c                       |    2 +-
 drivers/input/touchscreen/Kconfig                  |   17 +
 drivers/input/touchscreen/Makefile                 |    2 +
 drivers/input/touchscreen/tsc2004.c                |   83 +
 drivers/input/touchscreen/tsc2005.c                |  714 +-
 drivers/input/touchscreen/tsc200x-core.c           |  665 ++
 drivers/input/touchscreen/tsc200x-core.h           |   78 +
 drivers/iommu/s390-iommu.c                         |   23 +-
 drivers/irqchip/irq-gic-common.c                   |   13 +-
 drivers/irqchip/irq-gic.c                          |   38 +-
 drivers/irqchip/irq-mips-gic.c                     |   14 +
 drivers/media/pci/cx23885/cx23885-core.c           |    4 +-
 drivers/media/pci/cx25821/cx25821-core.c           |    3 +-
 drivers/media/pci/cx88/cx88-alsa.c                 |    4 +-
 drivers/media/pci/cx88/cx88-mpeg.c                 |    3 +-
 drivers/media/pci/cx88/cx88-video.c                |    4 +-
 drivers/media/pci/netup_unidvb/netup_unidvb_core.c |    2 +-
 drivers/media/pci/saa7134/saa7134-core.c           |    4 +-
 drivers/media/pci/saa7164/saa7164-core.c           |    4 +-
 drivers/media/pci/tw68/tw68-core.c                 |    4 +-
 drivers/message/fusion/mptctl.c                    |    4 +
 drivers/message/fusion/mptsas.c                    |    1 -
 drivers/misc/spear13xx_pcie_gadget.c               |  216 +-
 drivers/mmc/card/block.c                           |   11 +-
 drivers/mmc/core/mmc.c                             |   93 +-
 drivers/mmc/host/Kconfig                           |    1 +
 drivers/mmc/host/mtk-sd.c                          |    2 +-
 drivers/mmc/host/pxamci.c                          |    2 +-
 drivers/mtd/nand/jz4740_nand.c                     |    1 +
 drivers/mtd/nand/nand_base.c                       |    2 +-
 drivers/net/dsa/mv88e6060.c                        |  114 +-
 drivers/net/dsa/mv88e6060.h                        |  111 +
 drivers/net/ethernet/Kconfig                       |    1 -
 drivers/net/ethernet/Makefile                      |    1 -
 drivers/net/ethernet/amd/pcnet32.c                 |    5 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_main.c   |    2 +-
 drivers/net/ethernet/cavium/liquidio/lio_main.c    |    2 +-
 drivers/net/ethernet/cavium/thunder/nicvf_main.c   |   10 +-
 drivers/net/ethernet/dlink/Kconfig                 |    5 +-
 drivers/net/ethernet/dlink/dl2k.c                  |   55 +-
 drivers/net/ethernet/dlink/dl2k.h                  |   15 +-
 drivers/net/ethernet/emulex/benet/be_ethtool.c     |   19 +-
 drivers/net/ethernet/emulex/benet/be_main.c        |    2 +-
 drivers/net/ethernet/icplus/Kconfig                |   13 -
 drivers/net/ethernet/icplus/Makefile               |    5 -
 drivers/net/ethernet/icplus/ipg.c                  | 2300 -----
 drivers/net/ethernet/icplus/ipg.h                  |  748 --
 drivers/net/ethernet/mellanox/mlx4/main.c          |    8 +-
 .../net/ethernet/mellanox/mlx4/resource_tracker.c  |   39 +-
 drivers/net/ethernet/mellanox/mlx5/core/en.h       |   10 +-
 drivers/net/ethernet/mellanox/mlx5/core/en_main.c  |   50 +
 drivers/net/ethernet/mellanox/mlx5/core/en_tx.c    |   76 +-
 drivers/net/ethernet/realtek/r8169.c               |    6 +-
 drivers/net/ethernet/renesas/ravb_main.c           |    8 +-
 drivers/net/ethernet/sfc/efx.c                     |    2 +-
 drivers/net/ethernet/smsc/smsc911x.c               |   17 +-
 .../net/ethernet/stmicro/stmmac/dwmac-ipq806x.c    |   10 +-
 drivers/net/ethernet/via/via-velocity.c            |   24 +-
 drivers/net/fjes/fjes_hw.c                         |    2 +-
 drivers/net/ipvlan/ipvlan_core.c                   |   14 +-
 drivers/net/macvlan.c                              |    2 +
 drivers/net/netconsole.c                           |  271 +-
 drivers/net/phy/at803x.c                           |    4 +
 drivers/net/phy/marvell.c                          |   16 +
 drivers/net/phy/phy.c                              |    3 +
 drivers/net/phy/vitesse.c                          |   16 +-
 drivers/net/usb/cdc_ether.c                        |    5 +
 drivers/net/vmxnet3/vmxnet3_drv.c                  |    7 +-
 drivers/net/vmxnet3/vmxnet3_int.h                  |    4 +-
 drivers/nvdimm/e820.c                              |   15 +-
 drivers/nvdimm/pmem.c                              |   15 +-
 drivers/nvme/host/pci.c                            |   15 +-
 drivers/pci/probe.c                                |    4 +-
 drivers/pci/quirks.c                               |    4 +-
 drivers/platform/chrome/Kconfig                    |    5 +-
 drivers/platform/chrome/Makefile                   |    3 +-
 drivers/platform/chrome/chromeos_laptop.c          |    4 +-
 drivers/platform/chrome/cros_ec_dev.c              |    7 +
 drivers/platform/chrome/cros_ec_lightbar.c         |   31 +-
 drivers/platform/chrome/cros_ec_lpc.c              |   21 +-
 drivers/platform/chrome/cros_ec_vbc.c              |  137 +
 drivers/platform/x86/Kconfig                       |    1 +
 drivers/platform/x86/asus-wmi.c                    |    2 +-
 drivers/platform/x86/ideapad-laptop.c              |   49 +-
 drivers/platform/x86/thinkpad_acpi.c               |    3 +-
 drivers/s390/cio/chsc.c                            |   37 +-
 drivers/s390/cio/chsc.h                            |   15 +
 drivers/s390/cio/cio.c                             |   14 +
 drivers/s390/cio/css.c                             |    5 -
 drivers/s390/crypto/Makefile                       |    7 +-
 drivers/s390/crypto/ap_bus.c                       |    6 +
 drivers/s390/crypto/zcrypt_api.c                   |   10 +-
 drivers/s390/crypto/zcrypt_api.h                   |    1 +
 drivers/s390/crypto/zcrypt_msgtype50.c             |    1 +
 drivers/s390/crypto/zcrypt_msgtype6.c              |    3 +
 drivers/scsi/53c700.c                              |   11 +-
 drivers/scsi/FlashPoint.c                          |    2 +-
 drivers/scsi/Kconfig                               |    1 -
 drivers/scsi/Makefile                              |    1 -
 drivers/scsi/aacraid/aachba.c                      |  265 +-
 drivers/scsi/aacraid/aacraid.h                     |   20 +-
 drivers/scsi/aacraid/comminit.c                    |  147 +-
 drivers/scsi/aacraid/commsup.c                     |  113 +-
 drivers/scsi/aacraid/linit.c                       |  152 +
 drivers/scsi/aacraid/rx.c                          |    1 +
 drivers/scsi/aacraid/sa.c                          |    1 +
 drivers/scsi/aacraid/src.c                         |   64 +-
 drivers/scsi/advansys.c                            |    6 -
 drivers/scsi/aic7xxx/aic79xx_osm.c                 |    1 -
 drivers/scsi/aic7xxx/aic7xxx_osm.c                 |    1 -
 drivers/scsi/aic94xx/aic94xx_init.c                |    3 +-
 drivers/scsi/be2iscsi/be_main.c                    |   64 +-
 drivers/scsi/be2iscsi/be_main.h                    |    7 +-
 drivers/scsi/be2iscsi/be_mgmt.c                    |   24 +-
 drivers/scsi/be2iscsi/be_mgmt.h                    |    7 +-
 drivers/scsi/bfa/bfad_im.c                         |    2 -
 drivers/scsi/bnx2fc/57xx_hsi_bnx2fc.h              |    6 +-
 drivers/scsi/bnx2fc/Kconfig                        |    5 +-
 drivers/scsi/bnx2fc/bnx2fc.h                       |    9 +-
 drivers/scsi/bnx2fc/bnx2fc_constants.h             |    6 +-
 drivers/scsi/bnx2fc/bnx2fc_debug.c                 |    6 +-
 drivers/scsi/bnx2fc/bnx2fc_debug.h                 |    6 +-
 drivers/scsi/bnx2fc/bnx2fc_els.c                   |   10 +-
 drivers/scsi/bnx2fc/bnx2fc_fcoe.c                  |   26 +-
 drivers/scsi/bnx2fc/bnx2fc_hwi.c                   |    6 +-
 drivers/scsi/bnx2fc/bnx2fc_io.c                    |  106 +-
 drivers/scsi/bnx2fc/bnx2fc_tgt.c                   |   12 +-
 drivers/scsi/csiostor/csio_scsi.c                  |    2 -
 drivers/scsi/esas2r/esas2r_main.c                  |    1 -
 drivers/scsi/esp_scsi.c                            |    1 -
 drivers/scsi/fcoe/fcoe.c                           |    4 +-
 drivers/scsi/fnic/fnic_main.c                      |    8 -
 drivers/scsi/hosts.c                               |    7 +
 drivers/scsi/hpsa.c                                | 1346 ++-
 drivers/scsi/hpsa.h                                |   47 +-
 drivers/scsi/hpsa_cmd.h                            |   30 +-
 drivers/scsi/ibmvscsi/ibmvfc.c                     |    1 -
 drivers/scsi/ibmvscsi/ibmvscsi.c                   |   10 +-
 drivers/scsi/ibmvscsi/ibmvscsi.h                   |    1 +
 drivers/scsi/ipr.c                                 |  154 +-
 drivers/scsi/ipr.h                                 |   22 +-
 drivers/scsi/isci/init.c                           |    5 +-
 drivers/scsi/libfc/fc_npiv.c                       |    2 +-
 drivers/scsi/lpfc/lpfc_els.c                       |    8 +-
 drivers/scsi/lpfc/lpfc_scsi.c                      |    3 -
 drivers/scsi/megaraid/megaraid_sas.h               |   62 +-
 drivers/scsi/megaraid/megaraid_sas_base.c          |  466 +-
 drivers/scsi/megaraid/megaraid_sas_fp.c            |   28 +-
 drivers/scsi/megaraid/megaraid_sas_fusion.c        |  404 +-
 drivers/scsi/megaraid/megaraid_sas_fusion.h        |   36 +-
 drivers/scsi/mpt2sas/Kconfig                       |   67 -
 drivers/scsi/mpt2sas/Makefile                      |    7 -
 drivers/scsi/mpt2sas/mpi/mpi2.h                    | 1170 ---
 drivers/scsi/mpt2sas/mpi/mpi2_cnfg.h               | 3068 -------
 drivers/scsi/mpt2sas/mpi/mpi2_init.h               |  461 -
 drivers/scsi/mpt2sas/mpi/mpi2_ioc.h                | 1708 ----
 drivers/scsi/mpt2sas/mpi/mpi2_raid.h               |  366 -
 drivers/scsi/mpt2sas/mpi/mpi2_sas.h                |  288 -
 drivers/scsi/mpt2sas/mpi/mpi2_tool.h               |  481 --
 drivers/scsi/mpt2sas/mpi/mpi2_type.h               |   61 -
 drivers/scsi/mpt2sas/mpt2sas_base.c                | 4899 -----------
 drivers/scsi/mpt2sas/mpt2sas_base.h                | 1235 ---
 drivers/scsi/mpt2sas/mpt2sas_config.c              | 1527 ----
 drivers/scsi/mpt2sas/mpt2sas_ctl.c                 | 3101 -------
 drivers/scsi/mpt2sas/mpt2sas_ctl.h                 |  419 -
 drivers/scsi/mpt2sas/mpt2sas_debug.h               |  182 -
 drivers/scsi/mpt2sas/mpt2sas_scsih.c               | 8855 --------------------
 drivers/scsi/mpt2sas/mpt2sas_transport.c           | 2173 -----
 drivers/scsi/mpt3sas/Kconfig                       |   18 +-
 drivers/scsi/mpt3sas/Makefile                      |    3 +-
 drivers/scsi/mpt3sas/mpt3sas_base.c                |  668 +-
 drivers/scsi/mpt3sas/mpt3sas_base.h                |  233 +-
 drivers/scsi/mpt3sas/mpt3sas_config.c              |   42 +-
 drivers/scsi/mpt3sas/mpt3sas_ctl.c                 |  259 +-
 drivers/scsi/mpt3sas/mpt3sas_ctl.h                 |    6 +-
 drivers/scsi/mpt3sas/mpt3sas_debug.h               |   16 +-
 drivers/scsi/mpt3sas/mpt3sas_scsih.c               | 1555 ++--
 drivers/scsi/mpt3sas/mpt3sas_transport.c           |   18 +-
 drivers/scsi/mpt3sas/mpt3sas_warpdrive.c           |  344 +
 drivers/scsi/mvsas/mv_init.c                       |    3 +-
 drivers/scsi/mvumi.c                               |   10 +-
 drivers/scsi/pm8001/pm8001_defs.h                  |    2 +
 drivers/scsi/pm8001/pm8001_init.c                  |  216 +-
 drivers/scsi/pm8001/pm8001_sas.h                   |    6 +-
 drivers/scsi/pm8001/pm80xx_hwi.c                   |   34 +
 drivers/scsi/pmcraid.c                             |    6 +-
 drivers/scsi/qla2xxx/qla_os.c                      |    1 -
 drivers/scsi/qla2xxx/tcm_qla2xxx.c                 |  153 +-
 drivers/scsi/qla4xxx/ql4_os.c                      |    8 -
 drivers/scsi/scsi.c                                |   27 +-
 drivers/scsi/scsi_scan.c                           |    9 +-
 drivers/scsi/scsi_sysfs.c                          |   56 +-
 drivers/scsi/sd.c                                  |    1 +
 drivers/scsi/sg.c                                  |    8 +-
 drivers/scsi/snic/snic_main.c                      |   10 -
 drivers/scsi/st.c                                  |   30 +
 drivers/scsi/stex.c                                |   21 +-
 drivers/scsi/storvsc_drv.c                         |  294 +-
 drivers/scsi/ufs/Kconfig                           |    2 +-
 drivers/scsi/ufs/ufs-qcom.c                        |  905 +-
 drivers/scsi/ufs/ufs-qcom.h                        |   68 +-
 drivers/scsi/ufs/ufshcd-pltfrm.c                   |   98 +-
 drivers/scsi/ufs/ufshcd-pltfrm.h                   |   41 +
 drivers/scsi/ufs/ufshcd.c                          |  130 +-
 drivers/scsi/ufs/ufshcd.h                          |  149 +-
 drivers/sh/pm_runtime.c                            |    2 +-
 drivers/staging/iio/Kconfig                        |    3 +-
 drivers/staging/iio/adc/lpc32xx_adc.c              |    4 +-
 drivers/staging/wilc1000/coreconfigurator.c        |   48 +-
 drivers/target/iscsi/iscsi_target_configfs.c       |  791 +-
 drivers/target/iscsi/iscsi_target_stat.c           |  666 +-
 drivers/target/loopback/tcm_loop.c                 |   61 +-
 drivers/target/sbp/sbp_target.c                    |   87 +-
 drivers/target/target_core_configfs.c              | 1209 +--
 drivers/target/target_core_fabric_configfs.c       |  275 +-
 drivers/target/target_core_internal.h              |    3 +
 drivers/target/target_core_stat.c                  |  918 +-
 drivers/target/tcm_fc/tfc_cmd.c                    |    1 -
 drivers/target/tcm_fc/tfc_conf.c                   |   44 +-
 drivers/target/tcm_fc/tfc_io.c                     |    1 -
 drivers/target/tcm_fc/tfc_sess.c                   |    1 -
 drivers/tty/n_tty.c                                |    2 +-
 drivers/tty/serial/8250/8250_fsl.c                 |    1 +
 drivers/tty/serial/8250/Kconfig                    |    1 +
 drivers/tty/serial/Kconfig                         |    2 +-
 drivers/tty/serial/bcm63xx_uart.c                  |    2 +-
 drivers/tty/serial/etraxfs-uart.c                  |    2 +-
 drivers/tty/tty_audit.c                            |    2 +-
 drivers/tty/tty_io.c                               |    4 +
 drivers/tty/tty_ioctl.c                            |    4 -
 drivers/tty/tty_ldisc.c                            |    2 +-
 drivers/usb/chipidea/ci_hdrc_imx.c                 |  142 +-
 drivers/usb/chipidea/debug.c                       |    2 +
 drivers/usb/chipidea/udc.c                         |   17 +
 drivers/usb/chipidea/usbmisc_imx.c                 |   10 +-
 drivers/usb/class/usblp.c                          |    2 +-
 drivers/usb/core/Kconfig                           |    3 +-
 drivers/usb/dwc2/hcd.c                             |    9 +-
 drivers/usb/dwc2/platform.c                        |    3 +-
 drivers/usb/dwc3/dwc3-pci.c                        |    4 +
 drivers/usb/dwc3/gadget.c                          |   24 +-
 drivers/usb/gadget/configfs.c                      |  295 +-
 drivers/usb/gadget/function/f_acm.c                |   26 +-
 drivers/usb/gadget/function/f_ecm.c                |    8 +-
 drivers/usb/gadget/function/f_eem.c                |    8 +-
 drivers/usb/gadget/function/f_hid.c                |   34 +-
 drivers/usb/gadget/function/f_loopback.c           |   33 +-
 drivers/usb/gadget/function/f_mass_storage.c       |  119 +-
 drivers/usb/gadget/function/f_midi.c               |   37 +-
 drivers/usb/gadget/function/f_ncm.c                |    8 +-
 drivers/usb/gadget/function/f_obex.c               |   26 +-
 drivers/usb/gadget/function/f_phonet.c             |   25 +-
 drivers/usb/gadget/function/f_printer.c            |   30 +-
 drivers/usb/gadget/function/f_rndis.c              |    8 +-
 drivers/usb/gadget/function/f_serial.c             |   26 +-
 drivers/usb/gadget/function/f_sourcesink.c         |   83 +-
 drivers/usb/gadget/function/f_subset.c             |    8 +-
 drivers/usb/gadget/function/f_uac1.c               |   39 +-
 drivers/usb/gadget/function/f_uac2.c               |   28 +-
 drivers/usb/gadget/function/u_ether_configfs.h     |   44 +-
 drivers/usb/gadget/function/uvc_configfs.c         |  387 +-
 drivers/usb/gadget/legacy/tcm_usb_gadget.c         |   44 +-
 drivers/usb/gadget/udc/atmel_usba_udc.c            |    2 +-
 drivers/usb/host/xhci-hub.c                        |   15 +-
 drivers/usb/host/xhci-ring.c                       |   32 +-
 drivers/usb/host/xhci.c                            |   10 +
 drivers/usb/musb/musb_core.c                       |   12 +-
 drivers/usb/musb/musb_host.c                       |   22 +-
 drivers/usb/phy/Kconfig                            |    4 +-
 drivers/usb/phy/phy-mxs-usb.c                      |    7 +-
 drivers/usb/phy/phy-omap-otg.c                     |    2 +-
 drivers/usb/serial/option.c                        |   11 +
 drivers/usb/serial/qcserial.c                      |   94 +-
 drivers/usb/serial/ti_usb_3410_5052.c              |    2 +
 drivers/usb/serial/ti_usb_3410_5052.h              |    4 +
 drivers/usb/storage/uas.c                          |    5 -
 drivers/vfio/Kconfig                               |   15 +
 drivers/vfio/pci/vfio_pci.c                        |    8 +-
 drivers/vfio/pci/vfio_pci_config.c                 |   74 +-
 drivers/vfio/platform/Makefile                     |    6 +-
 drivers/vfio/platform/reset/Kconfig                |    8 +
 drivers/vfio/platform/reset/Makefile               |    2 +
 .../vfio/platform/reset/vfio_platform_amdxgbe.c    |  127 +
 .../platform/reset/vfio_platform_calxedaxgmac.c    |   19 +-
 drivers/vfio/platform/vfio_amba.c                  |    1 +
 drivers/vfio/platform/vfio_platform.c              |    1 +
 drivers/vfio/platform/vfio_platform_common.c       |  155 +-
 drivers/vfio/platform/vfio_platform_irq.c          |    1 +
 drivers/vfio/platform/vfio_platform_private.h      |   40 +-
 drivers/vfio/vfio.c                                |  224 +-
 drivers/vfio/vfio_iommu_type1.c                    |   15 +-
 drivers/vhost/scsi.c                               |   41 +-
 drivers/xen/xen-scsiback.c                         |   32 +-
 fs/9p/Makefile                                     |    5 +-
 fs/9p/acl.c                                        |   65 +-
 fs/9p/xattr.c                                      |   42 +
 fs/9p/xattr.h                                      |    3 -
 fs/9p/xattr_security.c                             |   80 -
 fs/9p/xattr_trusted.c                              |   80 -
 fs/9p/xattr_user.c                                 |   80 -
 fs/Kconfig                                         |    6 +
 fs/block_dev.c                                     |   33 +-
 fs/btrfs/disk-io.c                                 |    3 +
 fs/btrfs/extent-tree.c                             |   11 +-
 fs/btrfs/file.c                                    |   16 +-
 fs/btrfs/inode.c                                   |   62 +-
 fs/btrfs/qgroup.c                                  |   13 +-
 fs/btrfs/scrub.c                                   |  179 +-
 fs/btrfs/volumes.c                                 |   21 +
 fs/btrfs/xattr.c                                   |    4 +-
 fs/cachefiles/rdwr.c                               |    2 +-
 fs/ceph/cache.c                                    |    2 +-
 fs/ceph/caps.c                                     |   76 +-
 fs/ceph/file.c                                     |   87 +-
 fs/ceph/inode.c                                    |    1 +
 fs/ceph/mds_client.c                               |   57 +-
 fs/ceph/mds_client.h                               |    3 +
 fs/ceph/super.h                                    |    1 +
 fs/cifs/cifsfs.c                                   |   16 +-
 fs/cifs/cifsglob.h                                 |    8 +-
 fs/cifs/connect.c                                  |   78 +
 fs/cifs/ioctl.c                                    |   11 +-
 fs/cifs/smb2file.c                                 |   19 +
 fs/cifs/smb2ops.c                                  |   10 +-
 fs/cifs/smb2pdu.c                                  |  123 +-
 fs/cifs/smb2pdu.h                                  |   45 +
 fs/cifs/smbfsctl.h                                 |    2 +-
 fs/configfs/dir.c                                  |  110 +
 fs/configfs/file.c                                 |   12 +-
 fs/dax.c                                           |   15 +-
 fs/dlm/config.c                                    |  288 +-
 fs/ext2/super.c                                    |    2 +
 fs/ext2/xattr.c                                    |    7 +-
 fs/ext2/xattr_security.c                           |   15 +-
 fs/ext2/xattr_trusted.c                            |   15 +-
 fs/ext2/xattr_user.c                               |   15 +-
 fs/ext4/super.c                                    |    6 +-
 fs/ext4/xattr.c                                    |    7 +-
 fs/ext4/xattr_security.c                           |   15 +-
 fs/ext4/xattr_trusted.c                            |   15 +-
 fs/ext4/xattr_user.c                               |   15 +-
 fs/f2fs/xattr.c                                    |   60 +-
 fs/fat/dir.c                                       |   16 +-
 fs/gfs2/xattr.c                                    |   13 +-
 fs/hfsplus/xattr.c                                 |   21 +-
 fs/hfsplus/xattr_security.c                        |   21 +-
 fs/hfsplus/xattr_trusted.c                         |   21 +-
 fs/hfsplus/xattr_user.c                            |   21 +-
 fs/hugetlbfs/inode.c                               |   65 +-
 fs/jffs2/security.c                                |   16 +-
 fs/jffs2/xattr.c                                   |    9 +-
 fs/jffs2/xattr_trusted.c                           |   19 +-
 fs/jffs2/xattr_user.c                              |   16 +-
 fs/ncpfs/ioctl.c                                   |    2 +
 fs/nfs/nfs4proc.c                                  |   34 +-
 fs/ocfs2/cluster/heartbeat.c                       |  205 +-
 fs/ocfs2/cluster/nodemanager.c                     |  281 +-
 fs/ocfs2/namei.c                                   |    2 +
 fs/ocfs2/xattr.c                                   |   45 +-
 fs/posix_acl.c                                     |   36 +-
 fs/reiserfs/xattr.c                                |   16 +-
 fs/reiserfs/xattr_security.c                       |   13 +-
 fs/reiserfs/xattr_trusted.c                        |   13 +-
 fs/reiserfs/xattr_user.c                           |   13 +-
 fs/squashfs/xattr.c                                |   86 +-
 fs/sysfs/group.c                                   |   17 +-
 fs/ubifs/super.c                                   |    1 -
 fs/ubifs/ubifs.h                                   |    1 -
 fs/ubifs/xattr.c                                   |   40 -
 fs/xattr.c                                         |   39 +-
 fs/xfs/xfs_xattr.c                                 |   10 +-
 include/drm/drm_atomic.h                           |    3 +
 include/drm/i915_component.h                       |   69 +-
 include/drm/i915_pciids.h                          |   36 +
 include/linux/blk_types.h                          |    2 +-
 include/linux/blkdev.h                             |    2 +
 include/linux/ceph/libceph.h                       |    4 +-
 include/linux/ceph/messenger.h                     |   16 +-
 include/linux/configfs.h                           |  107 +-
 include/linux/gfp.h                                |    2 +-
 include/linux/irqchip/mips-gic.h                   |   17 +
 include/linux/marvell_phy.h                        |    1 +
 include/linux/mfd/cros_ec.h                        |    1 +
 include/linux/mlx5/mlx5_ifc.h                      |   24 +-
 include/linux/netdevice.h                          |   32 +-
 include/linux/netfilter/ipset/ip_set.h             |    2 +-
 include/linux/netfilter_ingress.h                  |   13 +-
 include/linux/of_dma.h                             |    2 +-
 include/linux/signal.h                             |    1 -
 include/linux/slab.h                               |   45 +-
 include/linux/sysfs.h                              |   18 +-
 include/linux/tty.h                                |    6 +-
 include/linux/usb/gadget_configfs.h                |   19 +-
 include/linux/vfio.h                               |    3 +
 include/linux/xattr.h                              |   18 +-
 include/net/ip6_fib.h                              |    3 +-
 include/net/ip6_tunnel.h                           |    3 +-
 include/net/ip_tunnels.h                           |    3 +-
 include/net/netfilter/nf_tables.h                  |   16 +-
 include/net/sock.h                                 |   25 +
 include/net/switchdev.h                            |    2 +-
 include/scsi/scsi_host.h                           |    5 -
 include/scsi/scsi_tcq.h                            |   95 +-
 include/target/configfs_macros.h                   |  147 -
 include/target/target_core_base.h                  |   60 +
 include/target/target_core_fabric_configfs.h       |  122 -
 include/uapi/drm/drm_fourcc.h                      |    2 +-
 include/uapi/drm/i915_drm.h                        |   11 +-
 include/uapi/linux/vfio.h                          |    7 +
 kernel/async.c                                     |    1 +
 kernel/events/core.c                               |   21 +-
 kernel/irq/internals.h                             |    5 +
 kernel/irq/pm.c                                    |    3 +-
 kernel/irq/proc.c                                  |    2 +-
 kernel/livepatch/core.c                            |    6 +
 kernel/panic.c                                     |    5 +-
 kernel/sched/fair.c                                |    2 +-
 kernel/signal.c                                    |    2 +-
 kernel/time/timer.c                                |   22 +-
 kernel/trace/trace.c                               |   16 +-
 kernel/trace/trace.h                               |    1 -
 kernel/trace/trace_functions_graph.c               |    6 -
 mm/huge_memory.c                                   |    4 +-
 mm/kasan/kasan.c                                   |    2 +
 mm/memory.c                                        |    8 +-
 mm/page-writeback.c                                |    4 +-
 mm/slab.c                                          |    2 +-
 mm/slab.h                                          |    2 +-
 mm/slab_common.c                                   |    6 +-
 mm/slob.c                                          |    2 +-
 mm/slub.c                                          |  304 +-
 mm/vmalloc.c                                       |    5 +-
 net/8021q/vlan_core.c                              |    4 +-
 net/bridge/br_stp.c                                |    2 +-
 net/bridge/br_stp_if.c                             |    2 +-
 net/ceph/auth_x.c                                  |   36 +-
 net/ceph/ceph_common.c                             |   18 +-
 net/ceph/crypto.h                                  |    4 +-
 net/ceph/messenger.c                               |   88 +-
 net/ceph/osd_client.c                              |   34 +-
 net/core/dev.c                                     |   18 +-
 net/core/neighbour.c                               |    2 +-
 net/core/rtnetlink.c                               |  274 +-
 net/core/skbuff.c                                  |    3 +-
 net/ipv4/inet_connection_sock.c                    |    4 +-
 net/ipv4/netfilter/nf_nat_pptp.c                   |    2 +-
 net/ipv4/raw.c                                     |    8 +-
 net/ipv4/tcp.c                                     |   21 +-
 net/ipv4/tcp_diag.c                                |    2 +-
 net/ipv4/tcp_ipv4.c                                |   14 +-
 net/ipv6/mcast.c                                   |    2 -
 net/ipv6/route.c                                   |   22 +-
 net/ipv6/tcp_ipv6.c                                |   19 +-
 net/netfilter/Kconfig                              |    6 +-
 net/netfilter/ipset/ip_set_bitmap_gen.h            |   17 +-
 net/netfilter/ipset/ip_set_bitmap_ip.c             |   14 +-
 net/netfilter/ipset/ip_set_bitmap_ipmac.c          |   64 +-
 net/netfilter/ipset/ip_set_bitmap_port.c           |   18 +-
 net/netfilter/ipset/ip_set_core.c                  |   14 +-
 net/netfilter/ipset/ip_set_hash_gen.h              |   26 +-
 net/netfilter/ipset/ip_set_list_set.c              |    5 +-
 net/netfilter/ipvs/ip_vs_core.c                    |   16 +-
 net/netfilter/nfnetlink_log.c                      |    2 +-
 net/netfilter/nft_counter.c                        |   49 +-
 net/netfilter/nft_dynset.c                         |    5 +-
 net/packet/af_packet.c                             |   92 +-
 net/sctp/auth.c                                    |    4 +-
 net/unix/af_unix.c                                 |   24 +-
 samples/Kconfig                                    |    6 +
 samples/Makefile                                   |    3 +-
 samples/bpf/Makefile                               |    7 +-
 samples/configfs/Makefile                          |    2 +
 .../configfs/configfs_sample.c                     |  140 +-
 scripts/kernel-doc                                 |    2 +-
 sound/firewire/Kconfig                             |    1 +
 sound/pci/Kconfig                                  |   24 +-
 sound/pci/ctxfi/ctamixer.c                         |    6 +-
 sound/pci/ctxfi/ctamixer.h                         |    2 +-
 sound/pci/ctxfi/ctdaio.c                           |   10 +-
 sound/pci/ctxfi/ctdaio.h                           |    4 +-
 sound/pci/ctxfi/ctresource.c                       |    2 +-
 sound/pci/ctxfi/ctresource.h                       |    2 +-
 sound/pci/ctxfi/ctsrc.c                            |    6 +-
 sound/pci/ctxfi/ctsrc.h                            |    4 +-
 sound/pci/hda/hda_jack.c                           |   18 +-
 sound/pci/hda/hda_jack.h                           |    2 +-
 sound/pci/hda/patch_hdmi.c                         |   10 +-
 sound/sound_core.c                                 |    2 +-
 sound/usb/quirks.c                                 |    1 +
 tools/Makefile                                     |   11 +-
 tools/include/linux/list.h                         |    1 +
 tools/lib/bpf/.gitignore                           |    2 +-
 tools/lib/bpf/Makefile                             |    2 +-
 tools/lib/bpf/libbpf.c                             |  171 +-
 tools/lib/bpf/libbpf.h                             |   23 +-
 tools/lib/lockdep/common.c                         |    2 +-
 tools/lib/lockdep/include/liblockdep/common.h      |    2 +
 tools/lib/lockdep/uinclude/linux/compiler.h        |    2 +
 tools/lib/lockdep/uinclude/linux/lockdep.h         |    3 +-
 tools/net/Makefile                                 |    7 +-
 tools/perf/Documentation/perf-trace.txt            |    1 -
 tools/perf/Makefile                                |    2 +-
 tools/perf/builtin-inject.c                        |    1 +
 tools/perf/builtin-report.c                        |    6 +-
 tools/perf/builtin-sched.c                         |    5 +-
 tools/perf/builtin-stat.c                          |  222 +-
 tools/perf/tests/.gitignore                        |    2 +
 tools/perf/tests/Build                             |   17 +-
 tools/perf/tests/attr.c                            |    3 +-
 tools/perf/tests/bpf-script-example.c              |    4 +
 tools/perf/tests/bpf-script-test-kbuild.c          |   21 +
 tools/perf/tests/bpf.c                             |  209 +
 tools/perf/tests/builtin-test.c                    |    6 +-
 tools/perf/tests/code-reading.c                    |    8 +-
 tools/perf/tests/keep-tracking.c                   |    4 +-
 tools/perf/tests/llvm.c                            |  146 +-
 tools/perf/tests/llvm.h                            |   18 +
 tools/perf/tests/make                              |    5 +
 tools/perf/tests/switch-tracking.c                 |    4 +-
 tools/perf/tests/tests.h                           |    1 +
 tools/perf/ui/browsers/hists.c                     |    7 +-
 tools/perf/util/annotate.c                         |   21 +-
 tools/perf/util/bpf-loader.c                       |  143 +-
 tools/perf/util/bpf-loader.h                       |   33 +
 tools/perf/util/build-id.c                         |    1 +
 tools/perf/util/dso.c                              |   17 +
 tools/perf/util/dso.h                              |    1 +
 tools/perf/util/llvm-utils.c                       |   54 +-
 tools/perf/util/machine.c                          |    1 +
 tools/perf/util/map.c                              |   10 +-
 tools/perf/util/parse-events.c                     |   11 +-
 tools/perf/util/probe-event.c                      |    6 +-
 tools/perf/util/probe-file.c                       |    6 +
 tools/perf/util/probe-finder.c                     |   24 +-
 tools/perf/util/session.c                          |    8 +-
 tools/perf/util/stat-shadow.c                      |    5 +
 tools/perf/util/symbol.c                           |   34 +-
 tools/perf/util/symbol.h                           |    1 +
 tools/perf/util/util.c                             |   30 +
 tools/perf/util/util.h                             |    8 +
 tools/power/x86/turbostat/turbostat.c              |    8 +-
 tools/testing/nvdimm/test/nfit.c                   |    2 +-
 tools/vm/page-types.c                              |    1 +
 935 files changed, 27631 insertions(+), 51202 deletions(-)
 create mode 100644 Documentation/ABI/testing/sysfs-driver-st
 create mode 100644 Documentation/devicetree/bindings/mips/img/xilfpga.txt
 create mode 100644 Documentation/devicetree/bindings/ufs/ufs-qcom.txt
 delete mode 100644 Documentation/filesystems/configfs/Makefile
 delete mode 100644 Documentation/filesystems/configfs/configfs_example_explicit.c
 create mode 100644 arch/mips/boot/dts/xilfpga/Makefile
 create mode 100644 arch/mips/boot/dts/xilfpga/microAptiv.dtsi
 create mode 100644 arch/mips/boot/dts/xilfpga/nexys4ddr.dts
 create mode 100644 arch/mips/configs/xilfpga_defconfig
 create mode 100644 arch/mips/include/asm/clocksource.h
 create mode 100644 arch/mips/include/asm/debug.h
 create mode 100644 arch/mips/include/asm/mach-malta/malta-dtshim.h
 create mode 100644 arch/mips/include/asm/mach-xilfpga/irq.h
 create mode 100644 arch/mips/include/uapi/asm/auxvec.h
 create mode 100644 arch/mips/kernel/cps-vec-ns16550.S
 create mode 100644 arch/mips/lib/bswapdi.c
 create mode 100644 arch/mips/lib/bswapsi.c
 create mode 100644 arch/mips/mm/sc-debugfs.c
 create mode 100644 arch/mips/mti-malta/malta-dtshim.c
 create mode 100644 arch/mips/vdso/.gitignore
 create mode 100644 arch/mips/vdso/Makefile
 create mode 100644 arch/mips/vdso/elf.S
 create mode 100644 arch/mips/vdso/genvdso.c
 create mode 100644 arch/mips/vdso/genvdso.h
 create mode 100644 arch/mips/vdso/gettimeofday.c
 create mode 100644 arch/mips/vdso/sigreturn.S
 create mode 100644 arch/mips/vdso/vdso.h
 create mode 100644 arch/mips/vdso/vdso.lds.S
 create mode 100644 arch/mips/xilfpga/Kconfig
 create mode 100644 arch/mips/xilfpga/Makefile
 create mode 100644 arch/mips/xilfpga/Platform
 create mode 100644 arch/mips/xilfpga/init.c
 create mode 100644 arch/mips/xilfpga/intc.c
 create mode 100644 arch/mips/xilfpga/time.c
 create mode 100644 arch/parisc/include/asm/hugetlb.h
 create mode 100644 arch/parisc/mm/hugetlbpage.c
 create mode 100644 drivers/gpu/drm/i915/intel_dp_link_training.c
 create mode 100644 drivers/input/touchscreen/tsc2004.c
 create mode 100644 drivers/input/touchscreen/tsc200x-core.c
 create mode 100644 drivers/input/touchscreen/tsc200x-core.h
 create mode 100644 drivers/net/dsa/mv88e6060.h
 delete mode 100644 drivers/net/ethernet/icplus/Kconfig
 delete mode 100644 drivers/net/ethernet/icplus/Makefile
 delete mode 100644 drivers/net/ethernet/icplus/ipg.c
 delete mode 100644 drivers/net/ethernet/icplus/ipg.h
 create mode 100644 drivers/platform/chrome/cros_ec_vbc.c
 delete mode 100644 drivers/scsi/mpt2sas/Kconfig
 delete mode 100644 drivers/scsi/mpt2sas/Makefile
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_cnfg.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_init.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_ioc.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_raid.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_sas.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_tool.h
 delete mode 100644 drivers/scsi/mpt2sas/mpi/mpi2_type.h
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_base.c
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_base.h
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_config.c
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_ctl.c
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_ctl.h
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_debug.h
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_scsih.c
 delete mode 100644 drivers/scsi/mpt2sas/mpt2sas_transport.c
 create mode 100644 drivers/scsi/mpt3sas/mpt3sas_warpdrive.c
 create mode 100644 drivers/scsi/ufs/ufshcd-pltfrm.h
 create mode 100644 drivers/vfio/platform/reset/vfio_platform_amdxgbe.c
 delete mode 100644 fs/9p/xattr_security.c
 delete mode 100644 fs/9p/xattr_trusted.c
 delete mode 100644 fs/9p/xattr_user.c
 delete mode 100644 include/target/configfs_macros.h
 delete mode 100644 include/target/target_core_fabric_configfs.h
 create mode 100644 samples/configfs/Makefile
 rename Documentation/filesystems/configfs/configfs_example_macros.c => samples/configfs/configfs_sample.c (74%)
 create mode 100644 tools/perf/tests/.gitignore
 create mode 100644 tools/perf/tests/bpf-script-test-kbuild.c
 create mode 100644 tools/perf/tests/bpf.c
 create mode 100644 tools/perf/tests/llvm.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-10-19 13:18 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-10-19 13:18 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-10-10:
- dmc fixes from Animesh (not yet all) for deeper sleep states
- piles of prep patches from Ville to make mmio functions type-safe
- more fbc work from Paulo all over
- w/a shuffling from Arun Siluvery
- first part of atomic watermark updates from Matt and Ville (later parts had to
  be dropped again unfortunately)
- lots of patches to prepare bxt dsi support ( Shashank Sharma)
- userptr fixes from Chris
- audio rate interface between i915/snd_hda plus kerneldoc (Libin Yang)
- shrinker improvements and fixes (Chris Wilson)
- lots and lots of small patches all over

Final feature pull for 4.4. As usual I'll follow up with a -next-fixes
pull and then hand over to Jani. Note that there's a minor conflict in
g4x_get_vblank_counter between drm-next and dinq, the prototype of the
function after the merge should be

static u32 g4x_get_vblank_counter(struct drm_device *dev, unsigned int pipe)

Otherwise nothing nefarious conflict-wise afaics.

Cheers, Daniel


The following changes since commit 44cc6c08da0b6c8321c6740bbb6a0c6feb45b2c2:

  Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next (2015-09-30 08:47:41 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-10-10

for you to fetch changes up to 80bea1897d7bc35e2b201847e12029a9d677cf12:

  drm/i915: Update DRIVER_DATE to 20151010 (2015-10-10 13:35:42 +0200)

----------------------------------------------------------------
- dmc fixes from Animesh (not yet all) for deeper sleep states
- piles of prep patches from Ville to make mmio functions type-safe
- more fbc work from Paulo all over
- w/a shuffling from Arun Siluvery
- first part of atomic watermark updates from Matt and Ville (later parts had to
  be dropped again unfortunately)
- lots of patches to prepare bxt dsi support ( Shashank Sharma)
- userptr fixes from Chris
- audio rate interface between i915/snd_hda plus kerneldoc (Libin Yang)
- shrinker improvements and fixes (Chris Wilson)
- lots and lots of small patches all over

----------------------------------------------------------------
Akash Goel (1):
      drm/i915/bxt: Set time interval unit to 0.833us

Alex Dai (3):
      drm/i915/guc: Fix a bug in GuC status check
      drm/i915/guc: Media domain bit needed when notify GuC rc6 state
      drm/i915/guc: Add host2guc notification for suspend and resume

Ander Conselvan de Oliveira (1):
      drm/i915: Rename DP link training functions

Animesh Manna (4):
      drm/i915/skl: Added a check for the hardware status of csr fw before loading.
      drm/i915/skl Remove the call for csr uninitialization from suspend path
      drm/i915/skl: Do not disable cdclk PLL if csr firmware is present
      drm/i915/skl: Block disable call for pw1 if dmc firmware is present.

Arun Siluvery (15):
      drm/i915/gen9: Handle error returned by gen9_init_workarounds
      drm/i915/gen9: Merge two WA as they part of same register
      drm/i915/bxt: Add WaStoreMultiplePTEenable name
      drm/i915/skl: Remove WaDisableSDEUnitClockGating
      drm/i915/skl: Remove WaSetGAPSunitClckGateDisable
      drm/i915/skl: Remove WaDisableVFUnitClockGating
      drm/i915/gen8: Add gen8_init_workarounds for common WA
      drm/i915/gen8: Move INSTPM WA to common function
      drm/i915/gen8: Move WaDisableAsyncFlipPerfMode to common init fn
      drm/i915/gen8: Move WaDisablePartialInstShootdown to common init fn
      drm/i915/gen8: Move HiZ RAW stall optimization disable WA to common init fn
      drm/i915/gen8: Move Wa4x4STCOptimizationDisable to common init fn
      drm/i915/gen8: Move GEN7_GT_MODE WA to common init fn
      drm/i915/gen8: Move WaForceEnableNonCoherent to common init fn
      drm/i915/gen8: Move WaHdcDisableFetchWhenMasked to common init fn

Chris Wilson (9):
      drm/i915: Only update the current userptr worker
      drm/i915: Fix userptr deadlock with aliased GTT mmappings
      drm/i915: Use a task to cancel the userptr on invalidate_range
      drm/i915: shrinker_control->nr_to_scan is now unsigned long
      drm/i915: Add a tracepoint for the shrinker
      drm/i915: During shrink_all we only need to idle the GPU
      drm/i915: Remove dead i915_gem_evict_everything()
      drm/i915: Avoid GPU stalls from kswapd
      drm/i915: Kill DRI1 cliprects

Dan Carpenter (1):
      drm/i915: unlock on error in i915_ppgtt_info()

Daniel Vetter (6):
      drm/i915: Fix kerneldoc for i915_gem_shrink_all
      Merge remote-tracking branch 'takashi/topic/drm-sync-audio-rate' into drm-intel-next-queued
      drm/i915: Resurrect golden context on gen6/7
      Revert "drm/i915: Add hot_plug hook for hdmi encoder"
      Revert "drm/i915: Call encoder hotplug for init and resume cases"
      drm/i915: Update DRIVER_DATE to 20151010

Francisco Jerez (2):
      drm/i915: Don't warn if the workaround list is empty.
      drm/i915: Hook up ring workaround writes at context creation time on Gen6-7.

Imre Deak (4):
      drm/i915/bxt: fix RC6 residency time calculation
      drm/i915: remove duplicate names for the render ring INSTDONE register
      drm/i915: rename INSTDONE to GEN2_INSTDONE
      drm/i915: rename INSTDONE1 to GEN4_INSTDONE1

Jani Nikula (5):
      drm/i915/ddi: warn instead of oops on invalid ddi encoder type
      drm/i915/ddi: use switch case instead of if ladder for ddi_get_encoder_port
      drm/i915: make backlight hooks connector specific
      drm/i915/snb: remove pre-production hardware workaround
      drm/i915/chv: remove pre-production hardware workarounds

Javier Martinez Canillas (2):
      drm/i915/irq: Fix kernel-doc warnings
      drm/i915/irq: Fix misspelled word register in kernel-doc

Jesse Barnes (1):
      drm/i915: fixup runtime PM handling v2

Jordan Justen (1):
      drm/i915: Add GEN7_GPGPU_DISPATCHDIMX/Y/Z to the register whitelist

Julia Lawall (1):
      drm: i915: drop null test before destroy functions

Libin Yang (6):
      drm/i915: Add audio sync_audio_rate callback
      drm/i915: implement sync_audio_rate callback
      ALSA: hda - display audio call sync_audio_rate callback
      drm/i915: set proper N/CTS in modeset
      drm/i915: add kerneldoc for i915_audio_component
      drm/i915: DocBook add i915_component.h support

Maarten Lankhorst (1):
      drm/i915: Add primary plane to mask if it's visible

Matt Roper (13):
      drm/i915: Drop redundant watermark programming
      drm/i915: Eliminate usage of plane_wm_parameters from ILK-style WM code (v2)
      drm/i915: Eliminate usage of pipe_wm_parameters from ILK-style WM (v2)
      drm/i915: Determine I915_MAX_PLANES from plane enum
      drm/i915/skl: Simplify wm structures slightly (v2)
      drm/i915/skl: Eliminate usage of pipe_wm_parameters from SKL-style WM (v3)
      drm/i915/ivb: Move WaCxSRDisabledForSpriteScaling w/a to atomic check
      drm/i915: Drop intel_update_sprite_watermarks
      drm/i915: Calculate pipe watermarks into CRTC state (v3)
      drm/i915: Calculate ILK-style watermarks during atomic check (v3)
      drm/i915: Don't set plane visible during HW readout if CRTC is off
      drm/i915: Calculate watermark configuration during atomic check (v2)
      drm/i915: Partial revert of atomic watermark series

Michel Thierry (2):
      drm/i915: Wa32bitGeneralStateOffset & Wa32bitInstructionBaseOffset
      drm/i915: prevent out of range pt in the PDE macros (take 3)

Mika Kahola (1):
      drm/i915: Add CD and pixel clock information

Paulo Zanoni (7):
      drm/i915: don't use the first stolen page on Broadwell
      drm/i915: extract fbc_supported()
      drm/i915: export size_is_valid() from __intel_fbc_update()
      drm/i915: don't allocate fbcon from stolen memory if it's too big
      drm/i915: remove pre-atomic check from SKL update_primary_plane
      drm/i915: fix CFB size calculation
      drm/i915: fix FBC buffer size checks

Rasmus Villemoes (1):
      drm/i915: Fix comparison bug

Robert Beckett (1):
      drm/i915: Detect virtual south bridge

Sagar Arun Kamble (2):
      drm/i915/guc: Don't forward flip interrupts to GuC
      drm/i915: Update Promotion timer for RC6 TO Mode

Shashank Sharma (6):
      drm/i915/bxt: DSI encoder support in CRTC modeset
      drm/i915/bxt: DSI enable for BXT
      drm/i915/bxt: Program Tx Rx and Dphy clocks
      drm/i915/bxt: DSI disable and post-disable
      drm/i915/bxt: get_hw_state for BXT
      drm/i915/bxt: get DSI pixelclock

Sonika Jindal (4):
      drm/i915/bxt: eDP low vswing support
      drm/i915/bxt: Set oscaledcompmethod to enable scale value
      drm/i915: Call encoder hotplug for init and resume cases
      drm/i915: Add hot_plug hook for hdmi encoder

Sudip Mukherjee (1):
      drm/i915: use error path

Sunil Kamath (1):
      drm/i915/bxt: Modify BXT BLC according to VBT changes

Takashi Iwai (1):
      drm/i915: Add missing const to audio_rate_need_prog()

Tomas Elf (1):
      drm/i915: Early exit from semaphore_waits_for for execlist mode.

Tvrtko Ursulin (2):
      drm/i915: Clean up associated VMAs on context destruction
      drm/i915: Remove wrong warning from i915_gem_context_clean

Vandana Kannan (1):
      drm/i915: Renaming CCK related reg definitions

Ville Syrjälä (26):
      drm/i915: Use intel_panel for DVO fixed mode handling
      drm/i915: Always call the adjusted mode 'adjusted_mode'
      drm/i915: Parametrize fence registers
      drm/i915: s/mode/adjusted_mode/ in functions that really get passed the adjusted_mode
      drm/i915: Always use crtc_ timings when dealing with adjustead_mode
      drm/i915: Move HDMI aspect ratio setup to .compute_config()
      drm/i915: Constify adjusted_mode
      drm/i915: Add HDMI aspect ratio property for SDVO
      drm/i915: Parametrize UOS_RSA_SCRATCH
      drm/i915: Add LO/HI PRIVATE_PAT registers
      drm/i915: Always use GEN8_RING_PDP_{LDW, UDW} instead of hand rolling the register offsets
      drm/i915: Include MCHBAR_MIRROR_BASE in ILK_GDSR
      drm/i915: Parametrize PALETTE and LGC_PALETTE
      drm/i915: s/_CURACNTR/CURCNTR(PIPE_A)/
      drm/i915: Add VLV_HDMIB etc. which already include VLV_DISPLAY_BASE
      drm/i915: s/DDI_BUF_CTL_A/DDI_BUF_CTL(PORT_A)/
      drm/i915: Read czclk from CCK on vlv/chv
      drm/i915: Simplify vlv/chv rc6 residency calculation
      drm/i915: Use czclk_freq in vlv c0 residency calculations
      drm/i915: s/_FDI_RXA_.../FDI_RX_...(PIPE_A)/
      drm/i915: s/_TRANSA_CHICKEN/TRANS_CHICKEN(PIPE_A)/
      drm/i915: Refactor ilk_update_wm (v3)
      drm/i915: s/GET_CFG_CR1_REG/DPLL_CFGCR1/ etc.
      drm/i915: Don't bypass LRC on CHV
      drm/i915: Skip CHV PHY asserts until PHY has been fully reset
      drm/i915: Determine the stolen memory base address on gen2

kbuild test robot (1):
      drm/i915/bxt: vlv_dsi_reset_clocks() can be static

Łukasz Daniluk (1):
      drm/i915/bdw: Check for slice, subslice and EU count for BDW

 Documentation/DocBook/drm.tmpl             |   1 +
 drivers/gpu/drm/i915/dvo.h                 |   4 +-
 drivers/gpu/drm/i915/dvo_ch7017.c          |   4 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c          |   4 +-
 drivers/gpu/drm/i915/dvo_ivch.c            |  12 +-
 drivers/gpu/drm/i915/dvo_ns2501.c          |   4 +-
 drivers/gpu/drm/i915/dvo_sil164.c          |   4 +-
 drivers/gpu/drm/i915/dvo_tfp410.c          |   4 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c     |   6 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  57 +-
 drivers/gpu/drm/i915/i915_dma.c            |  98 +++-
 drivers/gpu/drm/i915/i915_drv.c            |  44 +-
 drivers/gpu/drm/i915/i915_drv.h            |  59 +--
 drivers/gpu/drm/i915/i915_gem.c            |  63 ++-
 drivers/gpu/drm/i915/i915_gem_context.c    |  24 +
 drivers/gpu/drm/i915/i915_gem_evict.c      |  45 --
 drivers/gpu/drm/i915/i915_gem_execbuffer.c | 165 ++----
 drivers/gpu/drm/i915/i915_gem_fence.c      |  41 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   8 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  14 +-
 drivers/gpu/drm/i915/i915_gem_shrinker.c   |  21 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     | 109 +++-
 drivers/gpu/drm/i915/i915_gem_userptr.c    | 329 ++++++------
 drivers/gpu/drm/i915/i915_gpu_error.c      |  31 +-
 drivers/gpu/drm/i915/i915_guc_reg.h        |   3 +-
 drivers/gpu/drm/i915/i915_guc_submission.c |  63 ++-
 drivers/gpu/drm/i915/i915_irq.c            |  32 +-
 drivers/gpu/drm/i915/i915_reg.h            | 186 +++++--
 drivers/gpu/drm/i915/i915_sysfs.c          |  34 +-
 drivers/gpu/drm/i915/i915_trace.h          |  20 +
 drivers/gpu/drm/i915/intel_atomic.c        |   1 +
 drivers/gpu/drm/i915/intel_audio.c         | 208 +++++++-
 drivers/gpu/drm/i915/intel_crt.c           |   4 +-
 drivers/gpu/drm/i915/intel_csr.c           |   9 +
 drivers/gpu/drm/i915/intel_ddi.c           | 122 +++--
 drivers/gpu/drm/i915/intel_display.c       | 320 +++++++-----
 drivers/gpu/drm/i915/intel_dp.c            |  54 +-
 drivers/gpu/drm/i915/intel_dp_mst.c        |   5 +-
 drivers/gpu/drm/i915/intel_drv.h           |  28 +-
 drivers/gpu/drm/i915/intel_dsi.c           | 253 +++++----
 drivers/gpu/drm/i915/intel_dsi.h           |   3 +
 drivers/gpu/drm/i915/intel_dsi_pll.c       | 116 +++++
 drivers/gpu/drm/i915/intel_dvo.c           |  59 +--
 drivers/gpu/drm/i915/intel_fbc.c           | 115 +++-
 drivers/gpu/drm/i915/intel_fbdev.c         |  10 +-
 drivers/gpu/drm/i915/intel_guc.h           |   2 +
 drivers/gpu/drm/i915/intel_guc_fwif.h      |  11 +
 drivers/gpu/drm/i915/intel_guc_loader.c    |  21 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  45 +-
 drivers/gpu/drm/i915/intel_lrc.c           |  15 -
 drivers/gpu/drm/i915/intel_lvds.c          |   3 +-
 drivers/gpu/drm/i915/intel_modes.c         |   9 +
 drivers/gpu/drm/i915/intel_opregion.c      |   9 +-
 drivers/gpu/drm/i915/intel_panel.c         | 301 ++++++-----
 drivers/gpu/drm/i915/intel_pm.c            | 812 ++++++++++++-----------------
 drivers/gpu/drm/i915/intel_ringbuffer.c    | 119 ++---
 drivers/gpu/drm/i915/intel_runtime_pm.c    |  61 ++-
 drivers/gpu/drm/i915/intel_sdvo.c          |  37 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  31 +-
 drivers/gpu/drm/i915/intel_uncore.c        |  10 +-
 include/drm/i915_component.h               |  64 ++-
 include/uapi/drm/i915_drm.h                |   3 +-
 sound/pci/hda/patch_hdmi.c                 |  19 +
 63 files changed, 2620 insertions(+), 1748 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-10-07 16:18 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-10-07 16:18 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-09-28:
- fastboot by default for some systems (Maarten Lankhorts)
- piles of workarounds for bxt and skl
- more fbc work from Paulo
- fix hdmi hotplug detection (Sonika)
- first few patches from Ville to parametrize register macros, prep work for
  typesafe mmio functions
- prep work for nv12 rotation (Tvrtko Ursulin)
- various other bugfixes and improvements all over

Also contains a backmerge of your drm-next branch because conflict fun in
drm/i915 with 4.3.

Cheers, Daniel


The following changes since commit 2d4df13c0f9ef56452b1d9a9016cb3946e17bfe5:

  Merge tag 'topic/drm-misc-2015-09-25' of git://anongit.freedesktop.org/drm-intel into drm-next (2015-09-30 08:35:45 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-09-28-merged

for you to fetch changes up to 44cc6c08da0b6c8321c6740bbb6a0c6feb45b2c2:

  Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next (2015-09-30 08:47:41 +0200)

----------------------------------------------------------------
Andrzej Hajda (1):
      drm/i915: fix handling gen8_emit_flush_coherentl3_wa result

Animesh Manna (3):
      drm/i915/bxt: Path added of dmc firmware ver1 for BXT.
      drm/i915/bxt: Stepping info added for bxt.
      drm/i915/bxt: Modified HAS_CSR, added support for BXT

Arun Siluvery (3):
      drm/i915/gen9: Add WaDisableSamplerPowerBypassForSOPingPong
      drm/i915/bxt: Add WaSetClckGatingDisableMedia
      drm/i915/bxt: Update revision id for BXT C0

Bob Paauwe (1):
      drm/i915/skl: Don't clear all watermarks when updating. (v2)

Chris Wilson (1):
      drm/i915: Defer adding preallocated stolen objects to the VM list

Damien Lespiau (1):
      drm/i915/bxt: Fix wrongly placed ')' in I915_READ()

Daniel Vetter (4):
      Merge remote-tracking branch 'drm-intel/drm-intel-next-queued' into drm-intel-next-queued
      drm/i915: Mark debug mod options as _unsafe
      drm/i915: Update DRIVER_DATE to 20150928
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next

Dongwon Kim (1):
      drm/i915: Do not hardcode s_max, ss_max and eu_mask for BXT

Egbert Eich (1):
      drm/i915: Avoid race of intel_crt_detect_hotplug() with HPD interrupt, v2

Geliang Tang (2):
      drm/i915: fix kernel-doc warnings in i915_gem.c
      drm/i915: fix task reference leak in i915_debugfs.c

Jani Nikula (1):
      drm/i915/skl: handle port E in cpt_digital_port_connected

Jesse Barnes (5):
      drm/i915: make CSR firmware messages less verbose
      drm/i915: don't try to load GuC fw on pre-gen9
      drm/i915: add more debug info for when atomic updates fail v3
      drm/i915: cleanup pipe_update trace functions with new crtc debug info v3
      drm/i915: fix crash in error state readout on non-execlist platforms v2

Lukas Wunner (1):
      drm/i915: Spell vga_switcheroo consistently

Maarten Lankhorst (6):
      drm/i915: Set csc coefficients in update_pipe_size.
      drm/i915: Remove references to crtc->active from intel_fbdev.c
      drm/i915: Always try to inherit the initial fb.
      drm/i915: Make updating pipe without modeset atomic.
      drm/i915: skip modeset if compatible for everyone.
      drm/i915: Fix fastboot scalers for skylake.

Masanari Iida (1):
      drm/i915: Fix warnings while make xmldocs caused by intel_lrc.c

Matt Roper (1):
      drm/i915: Don't leak VBT mode data

Michał Winiarski (1):
      drm/i915/gtt: Do not initialize drm_mm twice.

Michel Thierry (2):
      drm/i915: WaEnableForceRestoreInCtxtDescForVCS is for video engines only
      drm/i915/lrc: Prevent preemption when lite-restore is disabled

Nick Hoath (3):
      drm/i915/gen9: Add WaDisableMinuteIaClockGating
      drm/i915: Split alloc from init for lrc
      drm/i915: Remove extraneous request cancel.

Paulo Zanoni (9):
      drm/i915: fix the FBC work allocation failure path
      drm/i915: check for the supported strides on HSW+ FBC
      drm/i915: avoid the last 8mb of stolen on BDW/SKL
      drm/i915: print the correct amount of bytes allocated for the CFB
      drm/i915: don't enable FBC when pixel rate exceeds 95% on HSW/BDW
      drm/i915: apply WaFbcAsynchFlipDisableFbcQueue earlier
      drm/i915: don't apply WaFbcAsynchFlipDisableFbcQueue on SKL
      drm/i915: reject invalid formats for FBC
      drm/i915: fix FBC for cases where crtc->base.y is non-zero

Robert Beckett (1):
      drm/i915/gen9: WA ST Unit Power Optimization Disable

Sagar Arun Kamble (8):
      drm/i915: Fix fb object's frontbuffer-bits
      drm/i915/bxt: WaGsvDisableTurbo
      drm/i915: Increase maximum polling time to 50ms for forcewake request/clear ack
      drm/i915: Add IS_SKL_GT3 and IS_SKL_GT4 macro.
      drm/i915: WaRsDisableCoarsePowerGating
      drm/i915: WaRsUseTimeoutMode
      drm/i915: WaRsDoubleRc6WrlWithCoarsePowerGating
      drm/i915: Program GuC MAX IDLE Count

Shashank Sharma (3):
      drm/i915/bxt: Enable BXT DSI PLL
      drm/i915/bxt: Disable DSI PLL for BXT
      drm/i915/bxt: DSI prepare changes for BXT

Sonika Jindal (2):
      drm/i915/bxt: Use intel_encoder->hpd_pin to check live status
      drm/i915: Check live status before reading edid

Tvrtko Ursulin (4):
      drm/i915: Support planar formats in tile height calculations
      drm/i915: Support appending to the rotated pages mapping
      drm/i915: Support NV12 in rotated GGTT mapping
      drm/i915: Enable querying offset of UV plane with intel_plane_obj_offset

Ville Syrjälä (16):
      drm/i915: Set stolen reserved to 0 for pre-g4x platforms
      drm/i915: Assign hwmode after encoder state readout
      drm/i915: Move sprite/cursor plane disable to intel_sanitize_crtc()
      drm/i915: Move scanline_offset and timestamping constant setup to intel_modeset_readout_hw_state()
      drm/i915: Implement stolen reserved detection for ctg/elk
      drm/i915: Make sure we don't detect eDP on g4x
      drm/i915: Ignore "digital output" and "not HDMI output" bits for eDP detection
      drm/i915: Don't pass sdvo_reg to intel_sdvo_select_{ddc, i2c}_bus()
      drm/i915: Parametrize LRC registers
      drm/i915: Parametrize GEN7_GT_SCRATCH and GEN7_LRA_LIMITS
      drm/i915: Parametrize FBC_TAG registers
      drm/i915: Parametrize ILK turbo registers
      drm/i915: Replace raw numbers with the approproate register name in ILK turbo code
      drm/i915: Parametrize TV luma/chroma filter registers
      drm/i915: Parametrize DDI_BUF_TRANS registers
      drm/i915: Parametrize CSR_PROGRAM registers

 Documentation/DocBook/drm.tmpl             |  14 +
 drivers/gpu/drm/i915/Makefile              |   4 +
 drivers/gpu/drm/i915/i915_cmd_parser.c     |  13 +-
 drivers/gpu/drm/i915/i915_debugfs.c        | 208 ++++++-
 drivers/gpu/drm/i915/i915_dma.c            |  50 +-
 drivers/gpu/drm/i915/i915_drv.c            |  39 +-
 drivers/gpu/drm/i915/i915_drv.h            |  90 ++-
 drivers/gpu/drm/i915/i915_gem.c            | 110 ++--
 drivers/gpu/drm/i915/i915_gem_context.c    |   7 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   2 +-
 drivers/gpu/drm/i915/i915_gem_fence.c      |   4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 824 +++++++++++++++++++++-----
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  71 ++-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |  72 ++-
 drivers/gpu/drm/i915/i915_gem_userptr.c    |   4 -
 drivers/gpu/drm/i915/i915_gpu_error.c      |  47 +-
 drivers/gpu/drm/i915/i915_guc_reg.h        |  18 +-
 drivers/gpu/drm/i915/i915_guc_submission.c | 916 +++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_irq.c            | 576 ++++++++++++------
 drivers/gpu/drm/i915/i915_params.c         |  38 +-
 drivers/gpu/drm/i915/i915_reg.h            | 235 +++++---
 drivers/gpu/drm/i915/i915_trace.h          |  58 +-
 drivers/gpu/drm/i915/i915_vgpu.h           |  34 +-
 drivers/gpu/drm/i915/intel_acpi.c          |   2 +-
 drivers/gpu/drm/i915/intel_atomic.c        |  13 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c  |   6 +-
 drivers/gpu/drm/i915/intel_bios.c          |  18 -
 drivers/gpu/drm/i915/intel_bios.h          |   3 -
 drivers/gpu/drm/i915/intel_crt.c           |  11 +-
 drivers/gpu/drm/i915/intel_csr.c           |  32 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  60 +-
 drivers/gpu/drm/i915/intel_display.c       | 585 +++++++++---------
 drivers/gpu/drm/i915/intel_dp.c            | 773 +++++++++++++++---------
 drivers/gpu/drm/i915/intel_dp_mst.c        |  21 +-
 drivers/gpu/drm/i915/intel_drv.h           |  76 ++-
 drivers/gpu/drm/i915/intel_dsi.c           |  76 ++-
 drivers/gpu/drm/i915/intel_dsi.h           |   4 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c       | 127 +++-
 drivers/gpu/drm/i915/intel_dvo.c           |   7 +
 drivers/gpu/drm/i915/intel_fbc.c           | 149 ++++-
 drivers/gpu/drm/i915/intel_fbdev.c         |  11 +-
 drivers/gpu/drm/i915/intel_guc.h           | 122 ++++
 drivers/gpu/drm/i915/intel_guc_fwif.h      |  20 +-
 drivers/gpu/drm/i915/intel_guc_loader.c    | 613 +++++++++++++++++++
 drivers/gpu/drm/i915/intel_hdmi.c          | 235 +++++---
 drivers/gpu/drm/i915/intel_lrc.c           | 412 +++++++------
 drivers/gpu/drm/i915/intel_lrc.h           |  18 +-
 drivers/gpu/drm/i915/intel_lvds.c          |  17 +-
 drivers/gpu/drm/i915/intel_panel.c         | 236 +++++++-
 drivers/gpu/drm/i915/intel_pm.c            | 125 +++-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  99 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  15 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    | 415 +++++++++++--
 drivers/gpu/drm/i915/intel_sdvo.c          |  14 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  40 +-
 drivers/gpu/drm/i915/intel_tv.c            |  10 +-
 drivers/gpu/drm/i915/intel_uncore.c        |  12 +-
 include/drm/drm_dp_helper.h                |   7 +
 58 files changed, 6027 insertions(+), 1791 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_guc_submission.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_loader.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-10-02  8:35 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-10-02  8:35 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-09-28:
- fastboot by default for some systems (Maarten Lankhorts)
- piles of workarounds for bxt and skl
- more fbc work from Paulo
- fix hdmi hotplug detection (Sonika)
- first few patches from Ville to parametrize register macros, prep work for
  typesafe mmio functions
- prep work for nv12 rotation (Tvrtko Ursulin)
- various other bugfixes and improvements all over

I have another backmerge here since things became messy and I didn't
realize you resolved some of them already (usually you complain when
there's a conflict ...).

For 4.4 I plan one more feature round after this and then that's it.

Cheers, Daniel


The following changes since commit 2d4df13c0f9ef56452b1d9a9016cb3946e17bfe5:

  Merge tag 'topic/drm-misc-2015-09-25' of git://anongit.freedesktop.org/drm-intel into drm-next (2015-09-30 08:35:45 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-09-28-merged

for you to fetch changes up to 44cc6c08da0b6c8321c6740bbb6a0c6feb45b2c2:

  Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next (2015-09-30 08:47:41 +0200)

----------------------------------------------------------------
Andrzej Hajda (1):
      drm/i915: fix handling gen8_emit_flush_coherentl3_wa result

Animesh Manna (3):
      drm/i915/bxt: Path added of dmc firmware ver1 for BXT.
      drm/i915/bxt: Stepping info added for bxt.
      drm/i915/bxt: Modified HAS_CSR, added support for BXT

Arun Siluvery (3):
      drm/i915/gen9: Add WaDisableSamplerPowerBypassForSOPingPong
      drm/i915/bxt: Add WaSetClckGatingDisableMedia
      drm/i915/bxt: Update revision id for BXT C0

Bob Paauwe (1):
      drm/i915/skl: Don't clear all watermarks when updating. (v2)

Chris Wilson (1):
      drm/i915: Defer adding preallocated stolen objects to the VM list

Damien Lespiau (1):
      drm/i915/bxt: Fix wrongly placed ')' in I915_READ()

Daniel Vetter (4):
      Merge remote-tracking branch 'drm-intel/drm-intel-next-queued' into drm-intel-next-queued
      drm/i915: Mark debug mod options as _unsafe
      drm/i915: Update DRIVER_DATE to 20150928
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next

Dongwon Kim (1):
      drm/i915: Do not hardcode s_max, ss_max and eu_mask for BXT

Egbert Eich (1):
      drm/i915: Avoid race of intel_crt_detect_hotplug() with HPD interrupt, v2

Geliang Tang (2):
      drm/i915: fix kernel-doc warnings in i915_gem.c
      drm/i915: fix task reference leak in i915_debugfs.c

Jani Nikula (1):
      drm/i915/skl: handle port E in cpt_digital_port_connected

Jesse Barnes (5):
      drm/i915: make CSR firmware messages less verbose
      drm/i915: don't try to load GuC fw on pre-gen9
      drm/i915: add more debug info for when atomic updates fail v3
      drm/i915: cleanup pipe_update trace functions with new crtc debug info v3
      drm/i915: fix crash in error state readout on non-execlist platforms v2

Lukas Wunner (1):
      drm/i915: Spell vga_switcheroo consistently

Maarten Lankhorst (6):
      drm/i915: Set csc coefficients in update_pipe_size.
      drm/i915: Remove references to crtc->active from intel_fbdev.c
      drm/i915: Always try to inherit the initial fb.
      drm/i915: Make updating pipe without modeset atomic.
      drm/i915: skip modeset if compatible for everyone.
      drm/i915: Fix fastboot scalers for skylake.

Masanari Iida (1):
      drm/i915: Fix warnings while make xmldocs caused by intel_lrc.c

Matt Roper (1):
      drm/i915: Don't leak VBT mode data

Michał Winiarski (1):
      drm/i915/gtt: Do not initialize drm_mm twice.

Michel Thierry (2):
      drm/i915: WaEnableForceRestoreInCtxtDescForVCS is for video engines only
      drm/i915/lrc: Prevent preemption when lite-restore is disabled

Nick Hoath (3):
      drm/i915/gen9: Add WaDisableMinuteIaClockGating
      drm/i915: Split alloc from init for lrc
      drm/i915: Remove extraneous request cancel.

Paulo Zanoni (9):
      drm/i915: fix the FBC work allocation failure path
      drm/i915: check for the supported strides on HSW+ FBC
      drm/i915: avoid the last 8mb of stolen on BDW/SKL
      drm/i915: print the correct amount of bytes allocated for the CFB
      drm/i915: don't enable FBC when pixel rate exceeds 95% on HSW/BDW
      drm/i915: apply WaFbcAsynchFlipDisableFbcQueue earlier
      drm/i915: don't apply WaFbcAsynchFlipDisableFbcQueue on SKL
      drm/i915: reject invalid formats for FBC
      drm/i915: fix FBC for cases where crtc->base.y is non-zero

Robert Beckett (1):
      drm/i915/gen9: WA ST Unit Power Optimization Disable

Sagar Arun Kamble (8):
      drm/i915: Fix fb object's frontbuffer-bits
      drm/i915/bxt: WaGsvDisableTurbo
      drm/i915: Increase maximum polling time to 50ms for forcewake request/clear ack
      drm/i915: Add IS_SKL_GT3 and IS_SKL_GT4 macro.
      drm/i915: WaRsDisableCoarsePowerGating
      drm/i915: WaRsUseTimeoutMode
      drm/i915: WaRsDoubleRc6WrlWithCoarsePowerGating
      drm/i915: Program GuC MAX IDLE Count

Shashank Sharma (3):
      drm/i915/bxt: Enable BXT DSI PLL
      drm/i915/bxt: Disable DSI PLL for BXT
      drm/i915/bxt: DSI prepare changes for BXT

Sonika Jindal (2):
      drm/i915/bxt: Use intel_encoder->hpd_pin to check live status
      drm/i915: Check live status before reading edid

Tvrtko Ursulin (4):
      drm/i915: Support planar formats in tile height calculations
      drm/i915: Support appending to the rotated pages mapping
      drm/i915: Support NV12 in rotated GGTT mapping
      drm/i915: Enable querying offset of UV plane with intel_plane_obj_offset

Ville Syrjälä (16):
      drm/i915: Set stolen reserved to 0 for pre-g4x platforms
      drm/i915: Assign hwmode after encoder state readout
      drm/i915: Move sprite/cursor plane disable to intel_sanitize_crtc()
      drm/i915: Move scanline_offset and timestamping constant setup to intel_modeset_readout_hw_state()
      drm/i915: Implement stolen reserved detection for ctg/elk
      drm/i915: Make sure we don't detect eDP on g4x
      drm/i915: Ignore "digital output" and "not HDMI output" bits for eDP detection
      drm/i915: Don't pass sdvo_reg to intel_sdvo_select_{ddc, i2c}_bus()
      drm/i915: Parametrize LRC registers
      drm/i915: Parametrize GEN7_GT_SCRATCH and GEN7_LRA_LIMITS
      drm/i915: Parametrize FBC_TAG registers
      drm/i915: Parametrize ILK turbo registers
      drm/i915: Replace raw numbers with the approproate register name in ILK turbo code
      drm/i915: Parametrize TV luma/chroma filter registers
      drm/i915: Parametrize DDI_BUF_TRANS registers
      drm/i915: Parametrize CSR_PROGRAM registers

 Documentation/DocBook/drm.tmpl             |  14 +
 drivers/gpu/drm/i915/Makefile              |   4 +
 drivers/gpu/drm/i915/i915_cmd_parser.c     |  13 +-
 drivers/gpu/drm/i915/i915_debugfs.c        | 208 ++++++-
 drivers/gpu/drm/i915/i915_dma.c            |  50 +-
 drivers/gpu/drm/i915/i915_drv.c            |  39 +-
 drivers/gpu/drm/i915/i915_drv.h            |  90 ++-
 drivers/gpu/drm/i915/i915_gem.c            | 110 ++--
 drivers/gpu/drm/i915/i915_gem_context.c    |   7 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   2 +-
 drivers/gpu/drm/i915/i915_gem_fence.c      |   4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 824 +++++++++++++++++++++-----
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  71 ++-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |  72 ++-
 drivers/gpu/drm/i915/i915_gem_userptr.c    |   4 -
 drivers/gpu/drm/i915/i915_gpu_error.c      |  47 +-
 drivers/gpu/drm/i915/i915_guc_reg.h        |  18 +-
 drivers/gpu/drm/i915/i915_guc_submission.c | 916 +++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_irq.c            | 576 ++++++++++++------
 drivers/gpu/drm/i915/i915_params.c         |  38 +-
 drivers/gpu/drm/i915/i915_reg.h            | 235 +++++---
 drivers/gpu/drm/i915/i915_trace.h          |  58 +-
 drivers/gpu/drm/i915/i915_vgpu.h           |  34 +-
 drivers/gpu/drm/i915/intel_acpi.c          |   2 +-
 drivers/gpu/drm/i915/intel_atomic.c        |  13 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c  |   6 +-
 drivers/gpu/drm/i915/intel_bios.c          |  18 -
 drivers/gpu/drm/i915/intel_bios.h          |   3 -
 drivers/gpu/drm/i915/intel_crt.c           |  11 +-
 drivers/gpu/drm/i915/intel_csr.c           |  32 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  60 +-
 drivers/gpu/drm/i915/intel_display.c       | 585 +++++++++---------
 drivers/gpu/drm/i915/intel_dp.c            | 773 +++++++++++++++---------
 drivers/gpu/drm/i915/intel_dp_mst.c        |  21 +-
 drivers/gpu/drm/i915/intel_drv.h           |  76 ++-
 drivers/gpu/drm/i915/intel_dsi.c           |  76 ++-
 drivers/gpu/drm/i915/intel_dsi.h           |   4 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c       | 127 +++-
 drivers/gpu/drm/i915/intel_dvo.c           |   7 +
 drivers/gpu/drm/i915/intel_fbc.c           | 149 ++++-
 drivers/gpu/drm/i915/intel_fbdev.c         |  11 +-
 drivers/gpu/drm/i915/intel_guc.h           | 122 ++++
 drivers/gpu/drm/i915/intel_guc_fwif.h      |  20 +-
 drivers/gpu/drm/i915/intel_guc_loader.c    | 613 +++++++++++++++++++
 drivers/gpu/drm/i915/intel_hdmi.c          | 235 +++++---
 drivers/gpu/drm/i915/intel_lrc.c           | 412 +++++++------
 drivers/gpu/drm/i915/intel_lrc.h           |  18 +-
 drivers/gpu/drm/i915/intel_lvds.c          |  17 +-
 drivers/gpu/drm/i915/intel_panel.c         | 236 +++++++-
 drivers/gpu/drm/i915/intel_pm.c            | 125 +++-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  99 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  15 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    | 415 +++++++++++--
 drivers/gpu/drm/i915/intel_sdvo.c          |  14 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  40 +-
 drivers/gpu/drm/i915/intel_tv.c            |  10 +-
 drivers/gpu/drm/i915/intel_uncore.c        |  12 +-
 include/drm/drm_dp_helper.h                |   7 +
 58 files changed, 6027 insertions(+), 1791 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_guc_submission.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_loader.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-09-22  8:31 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-09-22  8:31 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Another pull to make you open drm-next ;-)

drm-intel-next-2015-09-11:
- initialize backlight from VBT as fallback (Jani)
- hpd A support from Ville
- various atomic polish all over (mostly from Maarten)
- first parts of virtualize gpu guest support on bdw from
  Zhiyuan Lv
- GuC fixes from Alex
- polish for the chv clocks code (Ville)
- various things all over, as usual
drm-intel-next-2015-08-28:
- PML4 pagetable support for 48b from Michel Thierry
- more fixes for sink crc from Rodrigo
- DP link settings cleanup from Ville
- GuC-based command submission from Alex Dai and Dave Gordon
- dpll cleanups for chv from Ville
- max pixel clock checking from Mika Kahola
- cleanup hpd bits handling (Jani)
- more power well trickery for chv from Ville

Cheers, Daniel


The following changes since commit 6fa2d197936ba0b8936e813d0adecefac160062b:

  i915: Set ddi_pll_sel in DP MST path (2015-09-01 12:42:27 +0300)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-09-11

for you to fetch changes up to fd1ee4cc9326c97b52154ee2ef8cdd23ac6aae1c:

  drm/i915: Update DRIVER_DATE to 20150911 (2015-09-11 21:57:24 +0200)

----------------------------------------------------------------
- initialize backlight from VBT as fallback (Jani)
- hpd A support from Ville
- various atomic polish all over (mostly from Maarten)
- first parts of virtualize gpu guest support on bdw from
  Zhiyuan Lv
- GuC fixes from Alex
- polish for the chv clocks code (Ville)
- various things all over, as usual

----------------------------------------------------------------
Alex Dai (7):
      drm/i915: GuC-specific firmware loader
      drm/i915: Debugfs interface to read GuC load status
      drm/i915: Prepare for GuC-based command submission
      drm/i915: Enable GuC firmware log
      drm/i915: Integrate GuC-based command submission
      drm/i915/guc: Support GuC version 4.3
      drm/i915: Notify GuC rc6 state

Arun Siluvery (1):
      drm/i915: Change SRM, LRM instructions to use correct length

Chris Wilson (3):
      drm/i915: Do not check or a stalled pageflip prior to it being queued
      drm/i915: Refactor common ringbuffer allocation code
      drm/i915: Fix cmdparser STORE/LOAD command descriptors

Daniel Vetter (4):
      drm/i915: Update DRIVER_DATE to 20150828
      Merge tag 'drm-intel-next-fixes-2015-09-02' into drm-intel-next-queued
      drm/i915: Update comments around base bpp
      drm/i915: Update DRIVER_DATE to 20150911

Dave Gordon (5):
      drm/i915: Expose one LRC function for GuC submission mode
      drm/i915: Implementation of GuC submission client
      drm/i915: Interrupt routing for GuC submission
      drm/i915: Debugfs interface for GuC submission statistics
      drm/i915: fix driver's versions of WARN_ON & WARN_ON_ONCE

Francisco Jerez (1):
      drm/i915: Bump command parser version number.

Graham Whaley (1):
      doc: drm: Fix mis-spelling of i915_guc_submission includes

Imre Deak (4):
      drm/i915/bxt: work around HW coherency issue when accessing GPU seqno
      drm/i915/bxt: don't allow cached GEM mappings on A stepping
      drm/i915: access the PP_CONTROL reg only pre GEN5
      drm/i915: access the PP_ON_DELAYS/PP_OFF_DELAYS regs only pre GEN5

Jani Nikula (18):
      drm/i915: remove excessive scaler debugging messages
      drm/i915: move ibx_digital_port_connected to intel_dp.c
      drm/i915: make g4x_digital_port_connected return boolean status
      drm/i915: add MISSING_CASE annotation to ibx_digital_port_connected
      drm/i915: add common intel_digital_port_connected function
      drm/i915: split ibx_digital_port_connected to ibx and cpt variants
      drm/i915: split g4x_digital_port_connected to g4x and vlv variants
      drm/i915/bxt: Use correct live status register for BXT platform
      drm/dp: add drm_dp_tps3_supported helper
      drm/i915/dp: use the drm dp helper for determining sink tps3 support
      drm/i915: move intel_hrawclk() to intel_display.c
      drm/i915: add yesno utility function
      drm/i915/dp: move TPS3 logic to where it's used
      drm/i915: ignore link rate in TPS3 selection
      drm/i915: use the yesno helper for logging
      drm/i915: use pch backlight override on hsw too
      drm/i915: initialize backlight max from VBT
      drm/i915: don't hard code vlv backlight frequency if unset

Kumar, Mahesh (2):
      drm/i915/skl: Avoid using un-initialized bits_per_pixel
      drm/i915/skl+: Add YUV pixel format in Capability list

Maarten Lankhorst (11):
      Partially revert "drm/i915: Use full atomic modeset."
      drm/i915: Fix module initialisation, v2.
      drm/i915: Remove start frame argument to pipe_update_begin/end.
      drm/i915: Also record time difference if vblank evasion fails, v2.
      drm/i915: Use atomic plane state in the primary plane update.
      drm/i915: Use the plane state in intel_crtc_info.
      drm/i915: Use the atomic state in intel_update_primary_planes.
      drm/i915: Use atomic state when changing cursor visibility.
      drm/i915: Remove legacy plane updates for cursor and sprite planes.
      drm/i915: Do not handle a null plane state.
      drm/i915: Use crtc->state for duplication.

Michał Winiarski (1):
      drm/i915/gtt: Avoid calling kcalloc in a loop when allocating temp bitmaps

Michel Thierry (19):
      drm/i915: Remove unnecessary gen8_clamp_pd
      drm/i915/gen8: Make pdp allocation more dynamic
      drm/i915/gen8: Abstract PDP usage
      drm/i915/gen8: Generalize PTE writing for GEN8 PPGTT
      drm/i915/gen8: Add dynamic page trace events
      drm/i915/gen8: Add PML4 structure
      drm/i915/gen8: implement alloc/free for 4lvl
      drm/i915/gen8: Add 4 level switching infrastructure and lrc support
      drm/i915/gen8: Pass sg_iter through pte inserts
      drm/i915/gen8: Add 4 level support in insert_entries and clear_range
      drm/i915/gen8: Initialize PDPs and PML4
      drm/i915: Expand error state's address width to 64b
      drm/i915/gen8: Add ppgtt info and debug_dump
      drm/i915: object size needs to be u64
      drm/i915: batch_obj vm offset must be u64
      drm/i915/userptr: Kill user_size limit check
      drm/i915/gtt: Allow >= 4GB offsets in X86_32
      drm/i915: Use complete virtual address range on 32-bit platforms
      drm/i915: Always pass dev pointer in pdp_init

Mika Kahola (4):
      drm/i915: Store max dotclock
      drm/i915: LVDS pixel clock check
      drm/i915: DSI pixel clock check
      drm/i915: DVO pixel clock check

Nick Hoath (1):
      drm/i915/bxt: Clean up bxt_init_clock_gating

Paulo Zanoni (1):
      drm/i915: gen 9 can check for unclaimed registers too

Rodrigo Vivi (7):
      drm/i915: Force sink crc stop before start.
      drm/i915: Save latest known sink CRC to compensate delayed counter reset.
      drm/i915: Dont -ETIMEDOUT on identical new and previous (count, crc).
      drm/i915: Also call frontbuffer flip when disabling planes.
      drm/i915: Future proof interrupt handler.
      drm/i915: Future proof uncore_init.
      drm/i915: Future proof panel fitter.

Shashank Sharma (1):
      drm/i915: add attached connector to hdmi container

Sonika Jindal (2):
      drm/i915/bxt: Add HPD support for DDIA
      drm/i915/bxt: WA for swapped HPD pins in A stepping

Tvrtko Ursulin (1):
      drm/i915: Remove one very outdated comment

Ville Syrjälä (48):
      drm/i915: clflush on pin_to_display after pwrite to UC bo in LLC
      drm/i915: Clean up DP/HDMI limited color range handling
      drm/i915: Don't use link_bw for PLL setup
      drm/i915: Don't pass clock to DDI PLL select functions
      drm/i915: Avoid confusion between DP and TRANS_DP_CTL in DP .get_config()
      drm/i915: Move intel_dp->lane_count into pipe_config
      drm/i915: Don't use link_bw to select between TP1 and TP3
      drm/i915: Kill intel_dp->{link_bw, rate_select}
      drm/i915: Put back lane_count into intel_dp and add link_rate too
      drm/i915: Always program m2 fractional value on CHV
      drm/i915: Always program unique transition scale for CHV
      drm/i915: Add encoder->post_pll_disable() hooks and move CHV clock buffer disables there
      drm/i915: Move DPIO port init earlier
      drm/i915: Add locking around chv_phy_control_init()
      drm/i915: Move VLV/CHV prepare_pll later
      drm/i915: Add vlv_dport_to_phy()
      drm/i915: Fix some gcc warnings
      drm/i915: Use ARRAY_SIZE() instead of hand rolling it
      drm/i915: Make some string arrays const
      drm/i915: Move DPLL ref/cri/VGA mode frobbing to the disp2d well enable
      drm/i915: Implement PHY lane power gating for CHV
      drm/i915: Trick CL2 into life on CHV when using pipe B with port B
      drm/i915: Force common lane on for the PPS kick on CHV
      drm/i915: Enable DPIO SUS clock gating on CHV
      drm/i915: Force CL2 off in CHV x1 PHY
      drm/i915: Clean up CHV lane soft reset programming
      drm/i915: Add some CHV DPIO lane power state asserts
      drm/i915: Add CHV PHY LDO power sanity checks
      drm/i915: Fix clock readout when pipes are enabled w/o ports
      drm/i915: Factor out intel_crtc_has_encoders()
      drm/i915: Clean up various HPD defines
      drm/i915: Extract intel_hpd_enabled_irqs()
      drm/i915: Factor out ilk_update_display_irq()
      drm/i915: Add HAS_PCH_LPT_LP() macro
      drm/i915: Rename BXT PORTA HPD defines
      drm/i915: Move {pin, long}_mask initialization to caller from intel_get_hpd_pins()
      drm/i915: Introduce spt_irq_handler()
      drm/i915: Add port A HPD support for ILK/SNB
      drm/i915: Add port A HPD support for IVB/HSW
      drm/i915: LPT:LP needs port A HPD enabled in both north and south
      drm/i915: Add port A HPD support for BDW
      drm/i915: Add port A HPD support for SPT
      drm/i915: Reinitialize HPD after runtime D3
      drm/i915: Rewrite bxt_hpd_handler() to look like everyone else
      drm/i915: Refactor the hpd irq handling functions
      drm/i915: Rewrite BXT HPD code to conform to pre-existing style
      drm/i915: Don't call intel_get_hpd_pins() when there's no hotplug interrupt
      drm/i915: Dump pfit state as hex

Zhiyuan Lv (4):
      drm/i915: preallocate pdps for 32 bit vgpu
      drm/i915: Always enable execlists on BDW for vgpu
      drm/i915: Update PV INFO page definition for Intel GVT-g
      drm/i915: guest i915 notification for Intel GVT-g

 Documentation/DocBook/drm.tmpl             |  14 +
 drivers/gpu/drm/i915/Makefile              |   4 +
 drivers/gpu/drm/i915/i915_cmd_parser.c     |  13 +-
 drivers/gpu/drm/i915/i915_debugfs.c        | 196 +++++-
 drivers/gpu/drm/i915/i915_dma.c            |  35 +-
 drivers/gpu/drm/i915/i915_drv.c            |  31 +-
 drivers/gpu/drm/i915/i915_drv.h            |  52 +-
 drivers/gpu/drm/i915/i915_gem.c            |  61 +-
 drivers/gpu/drm/i915/i915_gem_context.c    |   7 +
 drivers/gpu/drm/i915/i915_gem_fence.c      |   4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 738 +++++++++++++++++++----
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  66 ++-
 drivers/gpu/drm/i915/i915_gem_userptr.c    |   4 -
 drivers/gpu/drm/i915/i915_gpu_error.c      |  41 +-
 drivers/gpu/drm/i915/i915_guc_reg.h        |  17 +-
 drivers/gpu/drm/i915/i915_guc_submission.c | 916 +++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_irq.c            | 519 ++++++++++------
 drivers/gpu/drm/i915/i915_params.c         |   5 +
 drivers/gpu/drm/i915/i915_reg.h            | 152 +++--
 drivers/gpu/drm/i915/i915_trace.h          |  32 +-
 drivers/gpu/drm/i915/i915_vgpu.h           |  34 +-
 drivers/gpu/drm/i915/intel_atomic.c        |  13 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c  |   6 +-
 drivers/gpu/drm/i915/intel_bios.c          |  18 -
 drivers/gpu/drm/i915/intel_bios.h          |   1 -
 drivers/gpu/drm/i915/intel_ddi.c           |  39 +-
 drivers/gpu/drm/i915/intel_display.c       | 309 +++++-----
 drivers/gpu/drm/i915/intel_dp.c            | 760 +++++++++++++++---------
 drivers/gpu/drm/i915/intel_dp_mst.c        |  21 +-
 drivers/gpu/drm/i915/intel_drv.h           |  58 +-
 drivers/gpu/drm/i915/intel_dsi.c           |   3 +
 drivers/gpu/drm/i915/intel_dvo.c           |   7 +
 drivers/gpu/drm/i915/intel_fbdev.c         |   2 +-
 drivers/gpu/drm/i915/intel_guc.h           | 122 ++++
 drivers/gpu/drm/i915/intel_guc_fwif.h      |  20 +-
 drivers/gpu/drm/i915/intel_guc_loader.c    | 606 +++++++++++++++++++
 drivers/gpu/drm/i915/intel_hdmi.c          | 207 ++++---
 drivers/gpu/drm/i915/intel_lrc.c           | 247 +++++---
 drivers/gpu/drm/i915/intel_lrc.h           |   8 +
 drivers/gpu/drm/i915/intel_lvds.c          |  17 +-
 drivers/gpu/drm/i915/intel_panel.c         | 234 +++++++-
 drivers/gpu/drm/i915/intel_pm.c            |  27 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  89 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  15 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    | 379 ++++++++++--
 drivers/gpu/drm/i915/intel_sdvo.c          |   6 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  22 +-
 drivers/gpu/drm/i915/intel_tv.c            |   2 +-
 drivers/gpu/drm/i915/intel_uncore.c        |  10 +-
 include/drm/drm_dp_helper.h                |   7 +
 50 files changed, 4888 insertions(+), 1308 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_guc_submission.c
 create mode 100644 drivers/gpu/drm/i915/intel_guc.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_loader.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-08-14 16:19 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-08-14 16:19 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-07-31:
- kerneldoc for tiling/swizzling/fencing code
- bxt hpd port A w/a
- various other fixes all over

... not much, everyone's on vacation.

Cheers, Daniel


The following changes since commit e0548f1979bfee900fb0671a5dd3a2f217dce5df:

  drm/i915: Update DRIVER_DATE to 20150717 (2015-07-17 22:24:32 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-07-31

for you to fetch changes up to 5d8a0d0b44c207690adda723b8d60158c18fec8a:

  drm/i915: Update DRIVER_DATE to 20150731 (2015-07-31 09:52:56 +0200)

----------------------------------------------------------------
- kerneldoc for tiling/swizzling/fencing code
- bxt hpd port A w/a
- various other fixes all over

... not much, everyone's on vacation.

----------------------------------------------------------------
Alex Dai (1):
      drm/i915: Add GuC-related module parameters

Arun Siluvery (1):
      drm/i915: Add provision to extend Golden context batch

Chris Wilson (1):
      drm/i915: Keep the mm.bound_list in rough LRU order

Daniel Vetter (9):
      Partially revert "drm/i915: s/mdelay/msleep/" in ilk rps code
      drm/i915: Clean up Makefile
      drm/i915: Extract i915_gem_fence.c
      drm/i915: kerneldoc for fences
      drm/i915: Remove bogus kerneldoc include directive
      drm/i915: Move low-level swizzling code to i915_gem_fence.c
      drm/i915: kerneldoc for tiling IOCTL and swizzle functions
      drm/i915: Fake AGP is dead
      drm/i915: Update DRIVER_DATE to 20150731

Dave Gordon (2):
      drm/i915: Add i915_gem_object_create_from_data()
      drm/i915: Add GuC-related header files

Hanno Böck (2):
      drm/i915: Properly sort MI coomand table
      drm/i915: Fix command parser table validator

Imre Deak (3):
      drm/i915: combine i9xx_get_hpd_pins and pch_get_hpd_pins
      drm/i915: don't use HPD_PORT_A as an alias for HPD_NONE
      drm/i915/bxt: add support for HPD long/short pulse detection on HPD_PORT_A pin

Mika Kuoppala (1):
      drm/i915: Do kunmap if renderstate parsing fails

Rodrigo Vivi (2):
      drm/i915: Try to stop sink crc calculation on error.
      drm/i915: Don't return error on sink crc stop.

Sudip Mukherjee (2):
      drm/i915: remove unnecessary null test
      drm/i915: remove redundant if check

 Documentation/DocBook/drm.tmpl               |  18 +-
 drivers/gpu/drm/i915/Makefile                |  19 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c       |   4 +-
 drivers/gpu/drm/i915/i915_debugfs.c          |  25 +-
 drivers/gpu/drm/i915/i915_dma.c              |  10 -
 drivers/gpu/drm/i915/i915_drv.c              |   4 -
 drivers/gpu/drm/i915/i915_drv.h              |  35 +-
 drivers/gpu/drm/i915/i915_gem.c              | 448 ++-------------
 drivers/gpu/drm/i915/i915_gem_fence.c        | 787 +++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_gem_render_state.c |  55 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h |   2 +
 drivers/gpu/drm/i915/i915_gem_tiling.c       | 303 ++---------
 drivers/gpu/drm/i915/i915_guc_reg.h          | 102 ++++
 drivers/gpu/drm/i915/i915_irq.c              |  77 ++-
 drivers/gpu/drm/i915/i915_params.c           |   9 +
 drivers/gpu/drm/i915/i915_reg.h              |   5 +
 drivers/gpu/drm/i915/intel_dp.c              |  13 +-
 drivers/gpu/drm/i915/intel_guc_fwif.h        | 245 +++++++++
 drivers/gpu/drm/i915/intel_hotplug.c         |  20 +-
 drivers/gpu/drm/i915/intel_lrc.c             |   6 +
 drivers/gpu/drm/i915/intel_pm.c              |   6 +-
 21 files changed, 1409 insertions(+), 784 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_fence.c
 create mode 100644 drivers/gpu/drm/i915/i915_guc_reg.h
 create mode 100644 drivers/gpu/drm/i915/intel_guc_fwif.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-07-23  7:39 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-07-23  7:39 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Because of backmerge pain with atomic conversion I waited one more -next
tagging round than usual, hence a bit later than usual for the first pull
request for 4.3.

drm-intel-next-2015-07-17:
- prelim hw support dropped for skl after Damien fixed an ABI issue around
  planes
- legacy modesetting is done using atomic infrastructure now (Maarten)!
- more gen9 workarounds (Arun&Nick)
- MOCS programming (cache control for better performance) for skl/bxt
- vlv/chv dpll improvements (Ville)
- PSR fixes from Rodrigo
- fbc improvements from Paulo
- plumb requests into execlist submit functions (Mika)
- opregion code cleanup from Jani
- resource streamer support from Abdiel for mesa
- final fixes for 12bpc hdmi + enabling support from Ville
drm-intel-next-2015-07-03:
- dsi improvements (Gaurav)
- bxt ddi dpll hw state readout (Imre)
- chv dvfs support and overall wm improvements for both vlv and chv (Ville)
- ppgtt polish from Mika and Michel
- cdclk support for bxt (Bob Pauwe)
- make frontbuffer tracking more precise
- OLR removal (John Harrison)
- per-ctx WA batch buffer support (Arun Siluvery)
- remvoe KMS Kconfig option (Chris)
- more hpd handling refactoring from Jani
- use atomic states throughout modeset code and integrate with atomic plane
  update (Maarten)
drm-intel-next-2015-06-19:
- refactoring hpd irq handlers (Jani)
- polish skl dpll code a bit (Damien)
- dynamic cdclk adjustement (Ville & Mika)
- fix up 12bpc hdmi and enable it for real again (Ville)
- extend hsw cmd parser to be useful for atomic configuration (Franscico Jerez)
- even more atomic conversion and rolling state handling out across modeset code
  from Maarten & Ander
- fix DRRS idleness detection (Ramalingam)
- clean up dsp address alignment handling (Ville)
- some fbc cleanup patches from Paulo
- prevent hard-hangs when trying to reset the gpu on skl (Mika)

Note that this is for 3 tags in total so you need to copypaste the merge
commit message manually.

Cheers, Daniel


The following changes since commit c5fd936e992dd2829167d2adc63e151675ca6898:

  drm/nouveau: Pause between setting gpu to D3hot and cutting the power (2015-06-26 10:26:37 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-07-17

for you to fetch changes up to e0548f1979bfee900fb0671a5dd3a2f217dce5df:

  drm/i915: Update DRIVER_DATE to 20150717 (2015-07-17 22:24:32 +0200)

----------------------------------------------------------------
- prelim hw support dropped for skl after Damien fixed an ABI issue around
  planes
- legacy modesetting is done using atomic infrastructure now (Maarten)!
- more gen9 workarounds (Arun&Nick)
- MOCS programming (cache control for better performance) for skl/bxt
- vlv/chv dpll improvements (Ville)
- PSR fixes from Rodrigo
- fbc improvements from Paulo
- plumb requests into execlist submit functions (Mika)
- opregion code cleanup from Jani
- resource streamer support from Abdiel for mesa
- final fixes for 12bpc hdmi + enabling support from Ville

----------------------------------------------------------------
Aaro Koskinen (24):
      staging: octeon-ethernet: consolidate ndo_open functions
      staging: octeon-ethernet: consolidate ndo_stop functions
      staging: octeon-ethernet: move ndo_stop to common init
      staging: octeon-ethernet: delete sgmii and xaui specific uninit functions
      staging: octeon-ethernet: add queue information to carrier note
      staging: octeon-ethernet: consolidate carrier notifications
      staging: octeon-ethernet: sgmii/xaui: make link poll generic
      staging: octeon-ethernet: rgmii: refactor gmx block interrupt handling
      staging: octeon-ethernet: rgmii: use function to configure hw preamble
      staging: octeon-ethernet: spi: move spx interrupt dumps into a function
      staging: octeon-ethernet: spi: move stx interrupt dumps into a function
      staging: octeon-ethernet: spi: refactor spx block interrupt handling
      staging: octeon-ethernet: delete references to CONFIG_CAVIUM_RESERVE32
      staging: octeon-ethernet: eliminate USE_10MBPS_PREAMBLE_WORKAROUND define
      staging: octeon-ethernet: eliminate USE_HW_TCPUDP_CHECKSUM define
      staging: octeon-ethernet: eliminate USE_SKBUFFS_IN_HW define
      staging: octeon-ethernet: eliminate USE_RED define
      staging: octeon-ethernet: eliminate DONT_WRITEBACK
      staging: octeon-ethernet: eliminate OCTEON_ETHERNET_VERSION
      staging: octeon-ethernet: update boilerplate comments
      pata_octeon_cf: fix broken build
      perf tools: Create config.detected into OUTPUT directory
      perf tools: Allow to specify custom linker command
      EDAC, octeon: Fix broken build due to model helper renames

Aaron Lu (1):
      gpio: crystalcove: set IRQCHIP_SKIP_SET_WAKE for the irqchip

Aaron Skomra (1):
      HID: wacom: Add support for DTU-1141

Abdiel Janulgue (4):
      drm/i915: Enable resource streamer bits on MI_BATCH_BUFFER_START
      drm/i915: Enable Resource Streamer state save/restore on MI_SET_CONTEXT
      drm/i915: Enable resource streamer on Execlists
      drm/i915: Expose I915_EXEC_RESOURCE_STREAMER flag and getparam

Abdul Hussain (9):
      staging: unisys: Remove unneeded variable
      Staging: wilc1000: Assign proper boolean value
      Staging: wilc1000: Boolean tests don't need comparisons
      Staging: wilc1000: Remove casting the values returned by kmalloc()
      staging: vt6656: Boolean tests don't need comparisons.
      Staging: lustre: Use memdup_user rather than duplicating its implementation
      Staging: lustre: Use memdup_user rather than duplicating its implementation
      Staging: lusture: Remove an open coded simple_open() function
      Staging: wilc1000: NULL check before some freeing functions is not needed

Abhi Das (5):
      gfs2: handle NULL rgd in set_rgrp_preferences
      gfs2: fix quota updates on block boundaries
      gfs2: limit quota log messages
      gfs2: s64 cast for negative quota value
      gfs2: Don't support fallocate on jdata	files

Abhishek Bist (1):
      USB: hcd.h : Removed an unnecessary function prototype usb_find_interface_driver()

Abhishek Sharma (3):
      staging: wilc1000: Remove commented variable declerations
      staging: wilc1000: Remove commented code lines
      Staging: comedi: adv_pci1724: Remove redundant return statements

Achiad Shochat (8):
      net/mlx5_core: Add transport domain alloc/dealloc support
      net/mlx5e: Add transport domain to the ethernet TIRs/TISs
      net/mlx5e: Remove re-assignment of wq type in mlx5e_enable_rq()
      net/mlx5e: Avoid redundant dev_kfree_skb() upon NOP completion
      net/mlx5e: Avoid TX CQE generation if more xmit packets expected
      net/mlx5e: Remove extra spaces
      net/mlx5e: Remove mlx5e_cq.sqrq back-pointer
      net/mlx5e: Pop cq outside mlx5e_get_cqe

Adam Jackson (1):
      drm/mgag200: Reject non-character-cell-aligned mode widths

Adam Sampson (1):
      ARM: dts: sun7i: Add dts file for pcDuino 3 Nano board

Adir Kuhn (1):
      fs: ext3: super: fixed a space coding style issue

Adrian Hunter (69):
      perf header: Add AUX area tracing feature
      perf evlist: Add support for mmapping an AUX area buffer
      perf tools: Add user events for AUX area tracing
      perf auxtrace: Add support for AUX area recording
      perf record: Add basic AUX area tracing support
      perf record: Extend -m option for AUX area tracing mmap pages
      perf tools: Add a user event for AUX area tracing errors
      perf session: Add hooks to allow transparent decoding of AUX area tracing data
      perf session: Add instruction tracing options
      perf auxtrace: Add helpers for AUX area tracing errors
      perf auxtrace: Add helpers for queuing AUX area tracing data
      perf auxtrace: Add a heap for sorting AUX area tracing queues
      perf auxtrace: Add processing for AUX area tracing events
      perf auxtrace: Add a hashtable for caching
      perf tools: Add member to struct dso for an instruction cache
      perf script: Add Instruction Tracing support
      perf inject: Re-pipe AUX area tracing events
      perf inject: Add Instruction Tracing support
      perf script: Add field option 'flags' to print sample flags
      perf tools: Add aux_watermark member of struct perf_event_attr
      perf evlist: Amend mmap ref counting for the AUX area mmap
      perf script: Always allow fields 'addr' and 'cpu' for auxtrace
      perf report: Add Instruction Tracing support
      perf report: Fix placement of itrace option in documentation
      perf tools: Add AUX area tracing index
      perf tools: Hit all build ids when AUX area tracing
      perf tools: Add build option NO_AUXTRACE to exclude AUX area tracing
      perf auxtrace: Add option to synthesize events for transactions
      perf tools: Add support for PERF_RECORD_AUX
      perf tools: Add support for PERF_RECORD_ITRACE_START
      perf tools: Add AUX area tracing Snapshot Mode
      perf record: Add AUX area tracing Snapshot Mode support
      perf tools: Fix function declarations needed by parse-events.y
      perf tools: Fix parse_events_error dereferences
      perf build: Fix libunwind feature detection on 32-bit x86
      perf session: Fix perf_session__peek_event()
      perf tools: Disallow PMU events intel_pt and intel_bts until there is support
      perf db-export: Fix thread ref-counting
      mmc: host: Add facility to support re-tuning
      mmc: core: Enable / disable re-tuning
      mmc: core: Add support for re-tuning before each request
      mmc: core: Hold re-tuning during switch commands
      mmc: core: Hold re-tuning during erase commands
      mmc: core: Hold re-tuning while bkops ongoing
      mmc: mmc: Hold re-tuning in mmc_sleep()
      mmc: core: Separate out the mmc_switch status check so it can be re-used
      mmc: core: Add support for HS400 re-tuning
      mmc: sdhci: Change to new way of doing re-tuning
      mmc: core: Flag re-tuning is needed on CRC errors
      mmc: block: Check re-tuning in the recovery path
      mmc: block: Retry errored data requests when re-tuning is needed
      mmc: core: Don't print reset warning if reset is not supported
      mmc: core: Reset driver type to default
      mmc: core: Allow card drive strength to be different to host
      mmc: core: Simplify card drive strength mask
      mmc: core: Add 'card' to drive strength selection callback
      mmc: core: Factor out common code in drive strength selection
      mmc: core: Record card drive strength
      mmc: mmc: Read card's valid driver strength mask
      mmc: mmc: Add driver strength selection
      mmc: sdhci: Add a callback to select drive strength
      mmc: sdhci-pci: Add support for drive strength selection for SPT
      mmc: sdhci-pci: Enable HS400 for some Intel host controllers
      perf evsel: Display 0x for hex values when printing the attribute
      perf tools: Ensure thread-stack is flushed
      perf session: Print a newline when dumping PERF_RECORD_FINISHED_ROUND
      perf tools: Print a newline before dumping Aggregated stats
      perf tools: Allow auxtrace data alignment
      x86/tsc: Let high latency PIT fail fast in quick_pit_calibrate()

Adrian Remonda (1):
      Staging: lustre: Fixed typo

Ajay Thomas (1):
      powercap / RAPL: Floor frequency setting in Atom SoC

Akash Goel (6):
      drm/i915/skl: Retrieve the Rpe value from Pcode
      drm/i915/skl: Ring frequency table programming changes
      drm/i915/skl: Updated the i915_ring_freq_table debugfs function
      drm/i915/skl: Restrict the ring frequency table programming to SKL
      drm/i915: Add HAS_CORE_RING_FREQ macro
      drm/i915: Added BXT check in HAS_CORE_RING_FREQ macro

Akinobu Mita (18):
      usb: storage: fix module reference for scsi host
      staging: rts5208: fix transfer length 0 for 6-byte r/w commands
      target: Fix inconsistent address passed to kunmap_atomic() in sbc_dif_copy_prot()
      target: ensure se_cmd->t_prot_sg is allocated when required
      lib: introduce crc_t10dif_update()
      target: handle odd SG mapping for data transfer memory
      m68k: Use for_each_sg()
      null_blk: prevent timer handler running on a different CPU where started
      null_blk: restart request processing on completion handler
      MIPS: use for_each_sg()
      metag: use for_each_sg()
      powerpc: use for_each_sg()
      parisc: use for_each_sg()
      sparc: use for_each_sg()
      xtensa: use for_each_sg()
      frv: use for_each_sg()
      avr32: use for_each_sg()
      arc: use for_each_sg()

Al Stone (2):
      ACPI / ARM64: add BAD_MADT_GICC_ENTRY() macro
      ACPI / ARM64 : use the new BAD_MADT_GICC_ENTRY macro

Al Viro (140):
      9p: don't bother with 4K allocation for 24-byte local array...
      9p: don't bother with __getname() in ->follow_link()
      ext4: split inode_operations for encrypted symlinks off the rest
      libfs: simple_follow_link()
      ext2: use simple_follow_link()
      befs: switch to simple_follow_link()
      ext3: switch to simple_follow_link()
      ext4: switch to simple_follow_link()
      jffs2: switch to simple_follow_link()
      shmem: switch to simple_follow_link()
      debugfs: switch to simple_follow_link()
      ufs: switch to simple_follow_link()
      ubifs: switch to simple_follow_link()
      sysv: switch to simple_follow_link()
      jfs: switch to simple_follow_link()
      freevxfs: switch to simple_follow_link()
      exofs: switch to {simple,page}_symlink_inode_operations
      ceph: switch to simple_follow_link()
      logfs: fix a pagecache leak for symlinks
      uninline walk_component()
      namei: take O_NOFOLLOW treatment into do_last()
      do_last: kill symlink_ok
      do_last: regularize the logics around following symlinks
      namei: get rid of lookup_hash()
      name: shift nameidata down into user_path_walk()
      namei: lift nameidata into filename_mountpoint()
      new ->follow_link() and ->put_link() calling conventions
      namei.c: separate the parts of follow_link() that find the link body
      namei: don't bother with ->follow_link() if ->i_link is set
      namei: introduce nameidata->link
      do_last: move path there from caller's stack frame
      namei: expand nested_symlink() in its only caller
      namei: expand the call of follow_link() in link_path_walk()
      namei: move the calls of may_follow_link() into follow_link()
      namei: rename follow_link to trailing_symlink, move it down
      link_path_walk: handle get_link() returning ERR_PTR() immediately
      link_path_walk: don't bother with walk_component() after jumping link
      link_path_walk: turn inner loop into explicit goto
      link_path_walk: massage a bit more
      link_path_walk: get rid of duplication
      link_path_walk: final preparations to killing recursion
      link_path_walk: kill the recursion
      link_path_walk: split "return from recursive call" path
      link_path_walk: cleanup - turn goto start; into continue;
      namei: move link/cookie pairs into nameidata
      namei: trim redundant arguments of trailing_symlink()
      namei: trim redundant arguments of fs/namei.c:put_link()
      namei: trim the arguments of get_link()
      namei: remove restrictions on nesting depth
      link_path_walk: nd->depth massage, part 1
      link_path_walk: nd->depth massage, part 2
      link_path_walk: nd->depth massage, part 3
      link_path_walk: nd->depth massage, part 4
      trailing_symlink: nd->depth massage, part 5
      get_link: nd->depth massage, part 6
      trailing_symlink: nd->depth massage, part 7
      put_link: nd->depth massage, part 8
      link_path_walk: nd->depth massage, part 9
      link_path_walk: nd->depth massage, part 10
      link_path_walk: end of nd->depth massage
      namei: we never need more than MAXSYMLINKS entries in nd->stack
      namei: lift (open-coded) terminate_walk() in follow_dotdot_rcu() into callers
      lift terminate_walk() into callers of walk_component()
      namei: lift (open-coded) terminate_walk() into callers of get_link()
      namei: take put_link() into {lookup,mountpoint,do}_last()
      namei: have terminate_walk() do put_link() on everything left
      link_path_walk: move the OK: inside the loop
      namei: new calling conventions for walk_component()
      namei: make should_follow_link() store the link in nd->link
      namei: move link count check and stack allocation into pick_link()
      lustre: rip the private symlink nesting limit out
      namei: simplify the callers of follow_managed()
      don't pass nameidata to ->follow_link()
      namei: simplify failure exits in get_link()
      namei: simpler treatment of symlinks with nothing other that / in the body
      namei: take the treatment of absolute symlinks to get_link()
      namei: fold put_link() into the failure case of complete_walk()
      namei: move bumping the refcount of link->mnt into pick_link()
      may_follow_link(): trim arguments
      namei: kill nd->link
      namei: take increment of nd->depth into pick_link()
      namei: may_follow_link() - lift terminate_walk() on failures into caller
      namei: split off filename_lookupat() with LOOKUP_PARENT
      namei: get rid of nameidata->base
      namei: path_init() calling conventions change
      namei: lift link_path_walk() call out of trailing_symlink()
      namei: lift terminate_walk() all the way up
      link_path_walk: use explicit returns for failure exits
      namei: explicitly pass seq number to unlazy_walk() when dentry != NULL
      namei: don't mangle nd->seq in lookup_fast()
      namei: store inode in nd->stack[]
      namei: pick_link() callers already have inode
      switch ->put_link() from dentry to inode
      new helper: free_page_put_link()
      namei: make put_link() RCU-safe
      namei: make may_follow_link() safe in RCU mode
      new helper: __legitimize_mnt()
      namei: store seq numbers in nd->stack[]
      namei: make unlazy_walk and terminate_walk handle nd->stack, add unlazy_link
      namei: don't unlazy until get_link()
      enable passing fast relative symlinks without dropping out of RCU mode
      namei: handle absolute symlinks without dropping out of RCU mode
      update Documentation/filesystems/ regarding the follow_link/put_link changes
      namei: unlazy_walk() doesn't need to mess with current->fs anymore
      lustre: kill unused macro (LOOKUP_CONTINUE)
      lustre: kill unused helper
      get rid of assorted nameidata-related debris
      namei: be careful with mountpoint crossings in follow_dotdot_rcu()
      namei: uninline set_root{,_rcu}()
      namei: pass the struct path to store the result down into path_lookupat()
      namei: move putname() call into filename_lookup()
      namei: shift nameidata inside filename_lookup()
      namei: make filename_lookup() reject ERR_PTR() passed as name
      namei: shift nameidata down into filename_parentat()
      namei: saner calling conventions for filename_create()
      namei: saner calling conventions for filename_parentat()
      namei: fold path_cleanup() into terminate_walk()
      namei: stash dfd and name into nameidata
      namei: trim do_last() arguments
      inline user_path_parent()
      inline user_path_create()
      namei: move saved_nd pointer into struct nameidata
      turn user_{path_at,path,lpath,path_dir}() into static inlines
      lustre: kill unused macro (LOOKUP_CONTINUE)
      lustre: kill unused helper
      ncpfs: successful rename() should invalidate caches for parents
      ufs: don't bother with lock_ufs()/unlock_ufs() for directory access
      ufs: don't touch mtime/ctime of directory being moved
      Merge branch 'for-linus' into for-next
      nilfs2_direct_IO(): remove dead code
      Merge branch 'fscache-fixes' into for-next
      remove the pointless include of lglock.h
      make simple_positive() public
      namei: make set_root_rcu() return void
      9p: forgetting to cancel request on interrupted zero-copy RPC
      p9_client_write(): avoid double p9_free_req()
      9p: cope with bogus responses from server in p9_client_{read,write}
      9p: don't leave a half-initialized inode sitting around
      fix a braino in ovl_d_select_inode()
      freeing unlinked file indefinitely delayed

Alan (2):
      iio: example code is buggy
      um,ethertap: use strncpy

Alan Stern (1):
      USB: don't build PCI quirks if USB support isn't configured

Alan Tull (3):
      ARM: socfpga: dts: add sdram controller dt binding doc
      ARM: socfpga: support suspend to ram
      EDAC, altera: Do not allow suspend when EDAC is enabled

Alban Bedel (21):
      spi: spi-ath79: add binding documentation for the AR7100 SPI controller
      spi: spi-ath79: Add device tree support
      spi: spi-ath79: Use clk_prepare_enable and clk_disable_unprepare
      spi: spi-ath79: Set the initial state of CS0
      MIPS: ath79: Add a missing new line in log message
      MIPS: ath79: Enable ZBOOT support
      MIPS: ath79: Correctly name the defines for the PLL_FB register
      MIPS: ath79: Improve the DDR controller interface
      MIPS: ath79: Use the common clk API
      DEVICETREE: Add bindings for the SoC of the ATH79 family
      MIPS: ath79: Add basic device tree support
      DEVICETREE: Add bindings for the ATH79 DDR controllers
      DEVICETREE: Add bindings for the ATH79 interrupt controllers
      DEVICETREE: Add bindings for the ATH79 MISC interrupt controllers
      MIPS: ath79: Add OF support to the IRQ controllers
      DEVICETREE: Add bindings for the ATH79 PLL controllers
      MIPS: ath79: Add OF support to the clocks
      DEVICETREE: Add bindings for the ATH79 GPIO controllers
      MIPS: ath79: Add OF support to the GPIO driver
      OF: Add vendor prefix for TP-Link Technologies Co. Ltd
      MIPS: Add basic support for the TL-WR1043ND version 1

Aleksa Sarai (4):
      cgroup: switch to unsigned long for bitmasks
      cgroup: use bitmask to filter for_each_subsys
      cgroup: replace explicit ss_mask checking with for_each_subsys_which
      cgroup: fix uninitialised iterator in for_each_subsys_which

Aleksei Mamlin (2):
      ARM: dts: sun7i: Add dts file for Wexler TAB7200
      dt-bindings: Add vendor-prefix for Wexler

Aleksei Volkov (1):
      Bluetooth: btusb: Correct typo in Roper Class 1 Bluetooth Dongle

Aleksey Makarov (9):
      net: thunderx: fix constants
      net: thunderx: introduce a function for mailbox access
      net: thunderx: rework mac address handling
      net: thunderx: delete unused variables
      net: thunderx: add static
      net: thunderx: fix nicvf_set_rxfh()
      net: thunderx: remove unneeded type conversions
      net: thunderx: check if memory allocation was successful
      net: thunderx: use GFP_KERNEL in thread context

Alessandro Parini (2):
      staging: unisys: fix braces coding style
      staging: unisys: fix "missing a blank line" coding style

Alex Bennée (1):
      KVM: arm64: fix misleading comments in save/restore

Alex Deucher (10):
      drm/radeon: use proper ACR regisiter for DCE3.2
      Revert "drm/radeon: don't share plls if monitors differ in audio support"
      Revert "drm/radeon: adjust pll when audio is not enabled"
      ALSA: hda - set proper caps for newer AMD hda audio in KB/KV
      drm/amdgpu: fix hpd range check in dce_v8_0_hpd_irq()
      drm/amdgpu: allocate ip_block_enabled memory in common code
      Revert "drm/radeon: dont switch vt on suspend"
      drm/radeon: only check the sink type on DP connectors
      Revert "Revert "drm/radeon: dont switch vt on suspend""
      drm/radeon: disable vce init on cayman (v2)

Alex Dowad (2):
      staging: ft1000: Remove empty branch from conditional
      checkpatch: make types found in a source file/patch local

Alex Ivanov (1):
      stifb: Implement hardware accelerated copyarea

Alex Smith (1):
      x86, doc: Remove cmdline_size from list of fields to be filled in for EFI handover

Alex Williamson (6):
      PCI: Add ACS quirks for Intel 9-series PCH root ports
      PCI: Move pci_ari_enabled() to global header
      ACPI / PCI: Account for ARI in _PRT lookups
      PCI: pciehp: Wait for hotplug command completion where necessary
      vfio/pci: Fix racy vfio_device_get_from_dev() call
      MAINTAINERS: Add vfio-platform sub-maintainer

Alexander Aring (62):
      nl802154: cleanup invalid argument handling
      ieee802154: move validation check out of softmac
      ieee802154: change transmit power to s32
      ieee802154: change transmit power to mbm
      ieee802154: change cca ed level to mbm
      ieee802154: introduce wpan_phy_supported
      ieee802154: add several phy supported handling
      mac802154: check for really changes
      mac802154: remove check if operation is supported
      cfg802154: introduce wpan phy flags
      ieee802154: add iftypes capability
      at86rf230: set cca_modes supported flags
      at86rf230: rework tx power support
      at86rf230: rework tx cca energy detection level
      at86rf230: add cca ed level reset value
      at86rf230: add reset states of tx power level
      nl802154: add support for dump phy capabilities
      at86rf230: fix callback for aret handling
      mac802154: tx: allow xmit complete from hard irq
      ieee802154: add support for atusb transceiver
      fakelb: creating two virtual phys per default
      fakelb: use list_for_each_entry_safe
      fakelb: rename fakelb_dev_priv to fakelb_phy
      fakelb: don't deliver when one phy
      fakelb: declare rwlock static
      fakelb: declare fakelb list static
      fakelb: move lock out of iteration
      fakelb: introduce fakelb ifup phys list
      fakelb: use own channel and page attributes
      fakelb: add virtual phy reset defaults
      fakelb: remove fakelb_hw_deliver
      fakelb: add support for async xmit handling
      fakelb: cleanup code
      at86rf230: add missing cca ed level values
      mac802154: fix hold rtnl while ioctl
      mac802154: remove pib lock
      mac802154: use atomic ops for sequence incrementation
      mac802154: remove mib lock
      nl802154: fix cca mode wpan phy flag
      nl802154: add support for cca ed level info
      nl802154: add support to set cca ed level
      atusb: add support for at86rf230
      ieee802154: 6lowpan: set ackreq when needed
      mac802154: remove unneeded vif struct
      mac802154: cleanup address filtering flags
      mac802154: remove aack hw flag
      mac802154: cleanup ieee802154 hardware flags
      mac802154: remove unused hw_filt attribute
      mac802154: rearrange attribute in ieee802154_hw
      mac802154: add missing structure comments
      mac802154: change pan_coord type to bool
      mac802154: fix flags BIT definitions order
      mac802154: iface: fix hrtimer cancel on ifdown
      mac802154: iface: flush workqueue before stop
      at86rf230: use level high as fallback default
      at86rf230: add support for sleep state
      fakelb: add xmit_async after stop testcase
      at86rf230: fix phy settings while sleeping
      at86rf230: add recommended csma backoffs settings
      at86rf230: cleanup start and stop callbacks
      mac802154: iface: fix order while interface up
      mac802154: iface: cleanup stack variable

Alexander Drozdov (1):
      netfilter: ipset: make ip_set_get_ip*_port to use skb_network_offset

Alexander Duyck (27):
      etherdev: Avoid unnecessary byte swap in check for Ethertype
      etherdev: Process is_multicast_ether_addr at same size as other operations
      etherdev: Use skb->data to retrieve Ethernet header instead of eth_hdr
      e1000e: Cleanup handling of VLAN_HLEN as a part of max frame size
      e1000e: Do not allow CRC stripping to be disabled on 82579 w/ jumbo frames
      etherdev: Fix sparse error, make test usable by other functions
      ebtables: Use eth_proto_is_802_3
      ipv4/ip_tunnel_core: Use eth_proto_is_802_3
      openvswitch: Use eth_proto_is_802_3
      vlan: Use eth_proto_is_802_3
      net: Use cached copy of pfmemalloc to avoid accessing page
      igb: Don't use NETDEV_FRAG_PAGE_MAX_SIZE in descriptor calculation
      net: Store virtual address instead of page in netdev_alloc_cache
      mm/net: Rename and move page fragment handling from net/ to mm/
      net: Add skb_free_frag to replace use of put_page in freeing skb->head
      netcp: Replace put_page(virt_to_head_page(ptr)) w/ skb_free_frag
      mvneta: Replace put_page(virt_to_head_page(ptr)) w/ skb_free_frag
      e1000: Replace e1000_free_frag with skb_free_frag
      hisilicon: Replace put_page(virt_to_head_page()) with skb_free_frag()
      bnx2x, tg3: Replace put_page(virt_to_head_page()) with skb_free_frag()
      net: Reserve skb headroom and set skb->dev even if using __alloc_skb
      ip_tunnel: Report Rx dropped in ip_tunnel_get_stats64
      ip_vti/ip6_vti: Do not touch skb->mark on xmit
      xfrm: Override skb->mark with tunnel->parm.i_key in xfrm_input
      ip_vti/ip6_vti: Preserve skb->mark after rcv_cb call
      fm10k: fold fm10k_pull_tail into fm10k_add_rx_frag
      fm10k: Fix missing braces after if statement

Alexander Kuleshov (4):
      ARM: OMAP2+: use symbolic defines for console loglevels instead of numbers
      Documentation/kernel-parameters: add missing pciserial to the earlyprintk
      crypto: rsa - add .gitignore for crypto/*.-asn1.[ch] files
      gpu/drm/amdgpu: Fix build when CONFIG_DEBUG_FS is not set

Alexander Popov (2):
      net: fs_enet: Fix NETIF_F_SG feature for Freescale MPC5121
      x86/kasan: Fix KASAN shadow region page tables

Alexander Shishkin (10):
      perf: Disallow sparse AUX allocations for non-SG PMUs in overwrite mode
      perf/x86/intel/pt: Untangle pt_buffer_reset_markers()
      perf/x86/intel/pt: Kill an unused variable
      perf/x86/intel/pt: Document pt_buffer_reset_markers()
      perf/x86/intel/pt: Document pt_buffer_reset_offsets()
      perf/x86/intel/pt: Kill pt_is_running()
      perf/x86/intel/pt: Remove redundant variable declaration
      perf/x86/intel/pt: Fix a refactoring bug
      perf/x86/intel/bts: Fix DS area sharing with x86_pmu events
      perf/x86/intel: Fix PMI handling for Intel PT

Alexander Stein (3):
      ASoC: sgtl5000: Use specific variable for lo_vag
      ASoC: sgtl5000: Calculate Lineout Channel Output Level
      pinctrl: at91: Add set_multiple GPIO chip feature

Alexander Sverdlin (11):
      clk: axm55xx: Use %zu in pr_info for size_t
      i2c: axxia: Add bus recovery functionality
      i2c: davinci: Refactor i2c_davinci_wait_bus_not_busy()
      i2c: davinci: Avoid sending to own address
      i2c: use parent adapter quirks in mux
      i2c: mux: Use __i2c_transfer() instead of calling parent's master_xfer()
      i2c: mux: pca954x: Use __i2c_transfer because of quirks
      i2c: davinci: Optimize SCL generation
      ACPI / OF: Rename of_node() and acpi_node() to to_of_node() and to_acpi_node()
      sctp: Fix race between OOTB responce and route removal
      MIPS: bootmem: Don't use memory holes for page bitmap

Alexander Usyskin (10):
      mei: add a reference from the host client to the me client
      mei: fix flow control for single buffer clients
      mei: support for fixed address clients
      mei: connection to fixed address clients from user-space
      mei: drop iamthif_mtu from device structure
      mei: debug prints with client info in read
      mei: consume flow control on the first chunk of writing
      mei: request autosuspend at the end of write
      mei: reset flow control on the last client disconnection
      mei: me: wait for power gating exit confirmation

Alexandre Belloni (40):
      ARM: multi_v7_defconfig: Add Atmel SAMA5 family
      ata: at91: use syscon to configure the smc
      ARM: at91: drop sam9_smc.c
      ARM: at91: declare the at91rm9200 memory controller as a syscon
      pcmcia: at91_cf: Use syscon to configure the MC/smc
      ARM: at91/pm: use the atmel-mc syscon defines
      ARM: at91: remove mach/at91_ramc.h and mach/at91rm9200_mc.h
      ARM: at91: remove at91rm9200_sdramc.h
      ARM: at91: remove useless Makefile.boot
      ASoC: atmel: simplify Kconfig
      ASoC: atmel: compile pcm driver in snd-soc-atmel_ssc_dai
      clockevents: Do not suspend/resume if unused
      ARM: at91/dt: at91sam9rl: fix rtc node
      ARM: at91/dt: at91sam9rlek: add RTC
      ARM: at91/dt: kizbox: use stdout-path
      ARM: at91/dt: at91rm9200ek: use stdout-path
      ARM: at91/dt: at91sam9261ek: use stdout-path
      ARM: at91/dt: at91sam9263ek: use stdout-path
      ARM: at91/dt: at91sam9g20ek: use stdout-path
      ARM: at91/dt: at91sam9m10g45ek use stdout-path
      ARM: at91/dt: at91sam9n12ek: use stdout-path
      ARM: at91/dt: at91sam9rlek: use stdout-path
      ARM: at91/dt: at91sam9x5ek: use stdout-path
      ARM: at91/dt: sama5d3xek: use stdout-path
      ARM: at91/dt: sama5d3 xplained: use stdout-path
      ARM: at91/dt: sama5d4ek: use stdout-path
      ARM: at91/dt: sama5d4 xplained: use stdout-path
      ARM: at91/dt: Add Acme Arietta G25
      pwm: atmel: Fix incorrect CDTY value after enabling
      pwm: atmel: Fix incorrect CDTY value after disabling
      ASoC: atmel: Revert previous fix for Kconfig limations
      ASoC: atmel: properly select dma driver state
      rtc: mt6397: fix build on some 32bits platforms
      rtc: stmp3xxx select STMP_DEVICE
      rtc: mv: use BIT()
      rtc: mv: correct 24 hour error message
      MAINTAINERS: Add RTC subsystem repository
      rtc: Properly sort Makefile
      rtc: whitespace fixes
      rtc: remove useless I2C dependencies

Alexandre Courbot (1):
      swiotlb: do not export map_single function

Alexandru M Stan (2):
      mfd: cros_ec: spi: Add a DT property to delay asserting the CS
      mfd: cros_ec: spi: Add delay for asserting CS

Alexei Starovoitov (18):
      net: sched: run ingress qdisc without locks
      pktgen: introduce xmit_mode '<start_xmit|netif_receive>'
      pktgen: fix packet generation
      bpf: allow bpf programs to tail-call other bpf programs
      x86: bpf_jit: implement bpf_tail_call() helper
      samples/bpf: bpf_tail_call example for tracing
      samples/bpf: bpf_tail_call example for networking
      perf: Fix race in BPF program unregister
      bpf: allow BPF programs access skb->skb_iif and skb->dev->ifindex fields
      bpf: add missing rcu protection when releasing programs from prog_array
      bpf: introduce bpf_clone_redirect() helper
      bpf: fix build due to missing tc_verd
      bpf: make programs see skb->data == L2 for ingress and egress
      bpf: allow programs to write to certain skb fields
      bpf: introduce current->pid, tgid, uid, gid, comm accessors
      bpf: allow networking programs to use bpf_trace_printk() for debugging
      bpf: let kprobe programs use bpf_get_smp_processor_id() helper
      bpf: disallow bpf tc programs access current->pid,uid

Alexey Brodkin (4):
      ARC: [axs101] Add support for AXS101 SDP (software development platform)
      ARC: [axs101] STAR 9000799830: Fix SD cards support
      stmmac: troubleshoot unexpected bits in des0 & des1
      ARC: [axs101] Prepare for AXS103

Alexey Dobriyan (4):
      Bluetooth: Stop sabotaging list poisoning
      md: convert to kstrto*()
      prctl: more prctl(PR_SET_MM_*) checks
      proc: fix PAGE_SIZE limit of /proc/$PID/cmdline

Alexey Kardashevskiy (36):
      powerpc/eeh/ioda2: Use device::iommu_group to check IOMMU group
      powerpc/iommu/powernv: Get rid of set_iommu_table_base_and_group
      powerpc/powernv/ioda: Clean up IOMMU group registration
      powerpc/iommu: Put IOMMU group explicitly
      powerpc/iommu: Always release iommu_table in iommu_free_table()
      vfio: powerpc/spapr: Move page pinning from arch code to VFIO IOMMU driver
      vfio: powerpc/spapr: Check that IOMMU page is fully contained by system page
      vfio: powerpc/spapr: Use it_page_size
      vfio: powerpc/spapr: Move locked_vm accounting to helpers
      vfio: powerpc/spapr: Disable DMA mappings on disabled container
      vfio: powerpc/spapr: Moving pinning/unpinning to helpers
      vfio: powerpc/spapr: Rework groups attaching
      powerpc/powernv: Do not set "read" flag if direction==DMA_NONE
      powerpc/iommu: Move tce_xxx callbacks from ppc_md to iommu_table
      powerpc/powernv/ioda/ioda2: Rework TCE invalidation in tce_build()/tce_free()
      powerpc/spapr: vfio: Replace iommu_table with iommu_table_group
      powerpc/spapr: vfio: Switch from iommu_table to new iommu_table_group
      vfio: powerpc/spapr/iommu/powernv/ioda2: Rework IOMMU ownership control
      powerpc/iommu: Fix IOMMU ownership control functions
      powerpc/powernv/ioda2: Move TCE kill register address to PE
      powerpc/powernv/ioda2: Add TCE invalidation for all attached groups
      powerpc/powernv: Implement accessor to TCE entry
      powerpc/iommu/powernv: Release replaced TCE
      powerpc/powernv/ioda2: Rework iommu_table creation
      powerpc/powernv/ioda2: Introduce helpers to allocate TCE pages
      powerpc/powernv/ioda2: Introduce pnv_pci_ioda2_set_window
      powerpc/powernv: Implement multilevel TCE tables
      vfio: powerpc/spapr: powerpc/powernv/ioda: Define and implement DMA windows API
      powerpc/powernv/ioda2: Use new helpers to do proper cleanup on PE release
      powerpc/iommu/ioda2: Add get_table_size() to calculate the size of future table
      vfio: powerpc/spapr: powerpc/powernv/ioda2: Use DMA windows API in ownership control
      powerpc/mmu: Add userspace-to-physical addresses translation cache
      vfio: powerpc/spapr: Register memory and define IOMMU v2
      vfio: powerpc/spapr: Support Dynamic DMA windows
      powerpc/iommu/ioda2: Enable compile with IOV=on and IOMMU_API=off
      powerpc/powernv: Fix wrong IOMMU table in pnv_ioda_setup_bus_dma()

Alexey Khoroshilov (2):
      [media] marvell-ccic: fix memory leak on failure path in cafe_smbus_setup()
      HID: lenovo: Remove sysfs group on failure path

Alexey Kodanev (1):
      locktorture: fix deadlock in 'rw_lock_irq' type

Alexey Skidanov (1):
      drm/amdkfd: fix topology bug with capability attr.

Alexey Sokolov (1):
      cdc-acm: Add support of ATOL FPrint fiscal printers

Alexis Green (3):
      mac80211: Fix incorrectly named last_hop_metric variable in mesh_rx_path_sel_frame
      mac80211: Always check rates and capabilities in mesh mode
      mac80211: Fix a case of incorrect metric used when forwarding a PREQ

Alison Wang (1):
      net/fsl: remove dependency FSL_SOC for Gianfar

Alistair Popple (11):
      powerpc/powernv: Reorder OPAL subsystem initialisation
      powerpc/powernv: Add a virtual irqchip for opal events
      ipmi/powernv: Convert to irq event interface
      hvc: Convert to using interrupts instead of opal events
      powernv/eeh: Update the EEH code to use the opal irq domain
      powernv/opal: Convert opal message events to opal irq domain
      powernv/elog: Convert elog to opal irq domain
      powernv/opal-dump: Convert to irq domain
      opal: Remove events notifier
      powerpc/powernv: Increase opal-irqchip initcall priority
      powerpc/powernv: Fix opal-elog interrupt handler

Allan, Bruce W (2):
      crypto: qat - do not duplicate string containing firmware name
      crypto: qat - add driver version

Allen Hubbe (12):
      NTB: Move files in preparation for NTB abstraction
      NTB: Add NTB hardware abstraction layer
      NTB: Split ntb_hw_intel and ntb_transport drivers
      NTB: Differentiate transport link down messages
      NTB: Do not advance transport RX on link down
      NTB: Reset transport QP link stats on down
      NTB: Add parameters for Intel SNB B2B addresses
      NTB: Add ping pong test client
      NTB: Add tool test client
      NTB: Rate limit ntb_qp_link_work
      NTB: Use NUMA memory and DMA chan in transport
      NTB: Use NUMA memory in Intel driver

Amaury Denoyelle (2):
      Staging: comedi: fix line longer than 80 chars in cb_pcidas64.c
      Staging: comedi: fix style for multi-line comments in cb_pcidas64.c

Amir Vadai (5):
      net/mlx5_core,mlx5_ib: Do not use vmap() on coherent memory
      net/mlx5: Ethernet Datapath files
      net/mlx5: Ethernet resource handling files
      net/mlx5: Extend mlx5_core to support ConnectX-4 Ethernet functionality
      net/mlx5_en: Add missing check for memory allocation failure

Amitkumar Karwar (7):
      mwifiex: fix SDIO firmware dump problem
      mwifiex: fix a possible double free issue
      mwifiex: dump driver information for PCIe interface
      mwifiex: minor changes in debug messages
      mwifiex: use generic name 'device dump'
      mwifiex: device dump support via devcoredump framework
      mwifiex: add missing break statement in switch case

Amitoj Kaur Chawla (1):
      Staging: sm750fb: Remove space after parenthesis

Anand Jain (28):
      Btrfs: sysfs: fix, btrfs_release_super_kobj() should to clean up the kobject data
      Btrfs: sysfs: fix, fs_info kobject_unregister has init_completion() twice
      Btrfs: sysfs: fix, undo sysfs device links
      Btrfs: sysfs: fix, kobject pointer clean up needed after kobject release
      Btrfc: sysfs: fix, check if device_dir_kobj is init before destroy
      Btrfs: sysfs: reorder the kobject creations
      Btrfs: sysfs: rename __btrfs_sysfs_remove_one to btrfs_sysfs_remove_fsid
      Btrfs: sysfs: introduce function btrfs_sysfs_add_fsid() to create sysfs fsid
      Btrfs: sysfs: let default_attrs be separate from the kset
      Btrfs: sysfs: separate device kobject and its attribute creation
      Btrfs: sysfs: move super_kobj and device_dir_kobj from fs_info to btrfs_fs_devices
      Btrfs: introduce btrfs_get_fs_uuids to get fs_uuids
      Btrfs: sysfs: add pointer to access fs_info from fs_devices
      Btrfs: sysfs: provide framework to remove all fsid sysfs kobject
      Btrfs: sysfs btrfs_kobj_add_device() pass fs_devices instead of fs_info
      Btrfs: sysfs btrfs_kobj_rm_device() pass fs_devices instead of fs_info
      Btrfs: sysfs: make btrfs_sysfs_add_fsid() non static
      Btrfs: sysfs: make btrfs_sysfs_add_device() non static
      Btrfs: sysfs: btrfs_sysfs_remove_fsid() make it non static
      Btrfs: sysfs: separate kobject and attribute creation
      Btrfs: sysfs: add support to add parent for fsid
      Btrfs: sysfs: don't fail seeding for the sake of sysfs kobject issue
      Btrfs: log when missing device is created
      Btrfs: check error before reporting missing device and add uuid
      Btrfs: free the stale device
      Btrfs: sysfs: add support to show replacing target in the sysfs
      lib: export symbol kobject_move()
      Btrfs: Check if kobject is initialized before put

Anand Moon (2):
      ARM: exynos_defconfig: Enable CONFIG_SENSORS_PWM_FAN for Odroid-XU3
      ARM: exynos_defconfig: Enable CONFIG_SENSORS_INA2XX for Odroid-XU3

Ananth N Mavinakayanahalli (1):
      perf probe ppc64le: Fix ppc64 ABIv2 symbol decoding

Anatol Pomozov (1):
      ASoC: rt5677: Add reset-gpio dts option

Anda-Maria Nicolae (7):
      power_supply: bq2415x_charger: Fix coding style issues
      power_supply: bq2415x_charger: Add ACPI support
      of: Add vendor prefix for Richtek Technology Corporation
      Documentation: devicetree: Add Richtek RT9455 bindings
      power_supply: Add support for Richtek RT9455 battery charger
      power_supply: rt9455_charger: Check if CONFIG_USB_PHY is enabled
      power_supply: rt9455_charger: Fix error reported by static analysis tool

Ander Conselvan de Oliveira (9):
      drm/i915: Silence compiler warning
      drm/i915: Include G4X/VLV/CHV in self refresh status
      drm/i915: Properly initialize SDVO analog connectors
      drm/i915: Make __intel_set_mode() take only atomic state as argument
      drm/i915: Set mode_changed for audio in intel_modeset_pipe_config()
      drm/i915: Support modeset across multiple pipes
      drm/i915: Move cdclk and pll setup to intel_modeset_compute_config(), v2.
      drm/i915: Read hw state into an atomic state struct, v2.
      drm/i915: Clear pipe's pll hw state in hsw_dp_set_ddi_pll_sel()

Anders Roxell (2):
      arm64: Mark PMU interrupt IRQF_NO_THREAD
      arm64: Allow forced irq threading

Andi Kleen (7):
      perf stat: Fix metrics calculation with event qualifiers
      perf annotation: Add symbol__get_annotation
      perf tools: Move branch option parsing to own file
      perf/x86: Add more Broadwell model numbers
      perf tools: Allow events with dot
      mm, hwpoison: add comment describing when to add new cases
      mm, hwpoison: remove obsolete "Notebook" todo list

Andre Przywara (11):
      drivers: PL011: avoid potential unregister_driver call
      drivers: PL011: refactor pl011_startup()
      drivers: PL011: refactor pl011_shutdown()
      drivers: PL011: refactor pl011_set_termios()
      drivers: PL011: refactor pl011_probe()
      drivers: PL011: replace UART_MIS reading with _RIS & _IMSC
      drivers: PL011: move cts_event workaround into separate function
      drivers: PL011: allow avoiding UART enabling/disabling
      drivers: PL011: allow to supply fixed option string
      drivers: PL011: add support for the ARM SBSA generic UART
      KVM: arm64: add active register handling to GICv3 emulation as well

Andrea Parri (1):
      pkt_sched: sch_qfq: remove redundant -if- control statement

Andreas Dilger (2):
      staging: lustre: llite: remove obsolete conditional code
      ext4: improve warning directory handling messages

Andreas Fenkart (2):
      mmc: sdio: add reset callback to bus operations
      mmc: pwrseq: dt: example with reset clock and active low pin

Andreas Fleig (1):
      HID: lenovo: set INPUT_PROP_POINTING_STICK

Andreas Gruenbacher (5):
      nfsd: Disable NFSv2 timestamp workaround for NFSv3+
      nfsd: Checking for acl support does not require fetching any acls
      nfsd: Remove dead declarations
      uapi/nfs: Add NFSv4.1 ACL definitions
      vfs: Minor documentation fix

Andreas Gröger (2):
      can: janz-ican3: add documentation for existing sysfs entries
      can: janz-ican3: add support for CAL/CANopen firmware

Andreas Ruprecht (1):
      staging: rtl8723au: core: Remove unneeded #ifdefs

Andreas Sandberg (1):
      tick: hrtimer-broadcast: Prevent endless restarting when broadcast device is unused

Andreas Theodosiou (1):
      staging : unisys: Fix brace coding style issue

Andrei Maresu (1):
      Staging: comedi: daqboard2000.c fixed trailing whitespace

Andrei Otcheretianski (2):
      mac80211: adjust reserved chan_ctx when assigned to vif
      iwlwifi: mvm: Configure agg. queue before assigning it to STA

Andrew Andrianov (1):
      ARM: mvebu: dts: Add dts file for DLink DNS-327L

Andrew Bresticker (9):
      pinctrl: Add Pistachio SoC pin control binding document
      mailbox: Make mbox_chan_ops const
      pinctrl: Add Pistachio SoC pin control driver
      phy: Add binding document for Pistachio USB2.0 PHY
      PHY: Add driver for Pistachio USB2.0 PHY
      MIPS: Pistachio: Enable USB PHY driver in defconfig
      watchdog: imgpdc: Allow timeout to be set in device-tree
      watchdog: imgpdc: Set timeout before starting watchdog
      watchdog: imgpdc: Add reboot support

Andrew Duggan (2):
      HID: i2c-hid: Do not set the ACPI companion field in the HID device
      HID: rmi: Disable populating F30 when the touchpad has physical buttons

Andrew Lunn (22):
      net: ipv4: route: Fix sending IGMP messages with link address
      leds: tlc591xx: Document binding for the TI 8/16 Channel i2c LED driver
      leds: tlc591xx: Driver for the TI 8/16 Channel i2c LED driver
      net: dsa: Centralise global and port setup code into mv88e6xxx.
      net: dsa: Centralize setting up ports
      net: dsa: Converting remaining registers to mnemonics
      net: dsa: Move mv88e6172 support into mv88e6352 family driver
      net: dsa: mv88e6171: Add other members of the family
      net: dsa: mv88e6131: Add support for mv88e6185
      net: dsa: mv88e6xxx: Replace PHY mutex by SMI mutex
      net: dsa: mv88e6xxx: Replace stats mutex with SMI mutex
      net: dsa: mv88e6xxx: Fix false positive lockdep splat
      net: dsa: Add lockdep class to tx queues to avoid lockdep splat
      net: dsa: mv88e6xxx: Fix deadlock by double lock
      dsa: mv88e6xxx: Add debugfs interface for registers
      dsa: mv88e6xxx: Add debugfs interface for ATU
      dsa: mv88x6xxx: Refactor getting a single statistic
      dsa: mv88x6xxx: Add debugfs interface for statistics
      dsa: mv88x6xxx: Add debugfs interface for device map
      dsa: mv88x6xxx: Add debugfs interface for scratch registers
      dsa: mv88x6xxx: Zero statistics counters
      of: Add vendor prefix for Zodiac Inflight Innovations

Andrew Morton (6):
      arch/x86/kvm/mmu.c: work around gcc-4.4.4 bug
      arch/x86/kvm/mmu.c: work around gcc-4.4.4 bug
      revert "cpumask: don't perform while loop in cpumask_next_and()"
      ocfs2: remove __mlog_cpu_guess
      MAINTAINERS: Davidlohr has moved
      drivers/block/nvme-core.c: fix build with gcc-4.4.4

Andrey Ryabinin (5):
      x86/init: Clear 'init_level4_pgt' earlier
      x86/kasan: Flush TLBs after switching CR3
      x86/kasan: Fix boot crash on AMD processors
      x86/kasan: Add message about KASAN being initialized
      x86/kasan: Move KASAN_SHADOW_OFFSET to the arch Kconfig

Andrey Skvortsov (1):
      ARM: dts: add core2 padconf region for am3517

Andrey Smetanin (1):
      kvm: add hyper-v crash msrs values

Andrzej Hajda (1):
      ARM: dts: add mmc detect gpio for exynos5422-odroidxu3

Andrzej Pietrasiewicz (8):
      usb: gadget: rndis: use rndis_params instead of configNr
      usb: gadget: rndis: style correction
      usb: gadget: rndis: remove the limit of available rndis connections
      usb: gadget: rndis: change the value passed to rndis_signal_(dis)connect()
      usb: gadget: rndis: don't duplicate the "i" variable
      usb: gadget: rndis: use signed type for a signed value
      ARM: dts: exynos5420: add nodes for jpeg codec
      staging: rtl8188eu: eliminate spaces before commas

Andy Gospodarek (4):
      bonding: add netlink support for sys prio, actor sys mac, and port key
      net: track link-status of ipv4 nexthops
      net: ipv4 sysctl option to ignore routes when nexthop link is down
      ipv4: fix RCU lockdep warning from linkdown changes

Andy Lutomirski (8):
      x86, paravirt, xen: Remove the 64-bit ->irq_enable_sysexit() pvop
      x86, selftests: Add a test for the "sysret_ss_attrs" bug
      x86/asm/irq: Stop relying on magic JMP behavior for early_idt_handlers
      x86/asm/entry/32, selftests: Add a selftest for kernel entries from VM86 mode
      x86/asm/irq: Stop relying on magic JMP behavior for early_idt_handlers
      x86/asm/msr: Make wrmsrl_safe() a function
      x86/asm/entry/64: Remove pointless jump to irq_return
      x86/asm/entry/64: Disentangle error_entry/exit gsbase/ebx/usermode code

Andy Shevchenko (1):
      x86/microcode: Correct CPU family related variable types

Andy Zhou (4):
      ipv4: introduce frag_expire_skip_icmp()
      IPv4: skip ICMP for bridge contrack users when defrag expires
      bridge_netfilter: No ICMP packet on IPv4 fragmentation error
      ip: remove unused function prototype

Aneesh Kumar K.V (6):
      powerpc/mm: Add trace point for tracking hash pte fault
      powerpc/mm: PTE_RPN_MAX is not used, remove the same
      powerpc/mm: Change the swap encoding in pte.
      mm/thp: split out pmd collapse flush into separate functions
      powerpc/mm: use generic version of pmdp_clear_flush()
      mm: clarify that the function operates on hugepage pte

Anish Bhatt (2):
      kbuild : Fix documentation of INSTALL_HDR_PATH
      dcb : Fix incorrect documentation for struct dcb_app

Anisse Astier (2):
      MAINTAINERS: Orphan x86 driver msi-wmi
      mm/page_alloc.c: cleanup obsolete KM_USER*

Anjali Singhai Jain (7):
      i40e/i40evf: Add ATR support for tunneled TCP/IPv4/IPv6 packets.
      i40e/i40evf: Add stats to count Tunnel ATR hits
      i40e: Remove unnecessary pf members
      i40e: Move the FD ATR/SB messages to a higher debug level
      i40e/i40evf: Fix mixed size frags and linearization
      i40e: start up in VEPA mode by default
      i40e: Make sure to be in VEB mode if SRIOV is enabled at probe

Ankit Garg (1):
      staging: lustre: lclient: lcommon_cl.c fixing coding style issues

Anna Schumaker (1):
      NFS: Remove unused nfs_rw_ops->rw_release() function

Anshul Garg (1):
      Input: use for_each_set_bit() where appropriate

Anshuman Khandual (12):
      powerpc: Fix handling of DSCR related facility unavailable exception
      powerpc/kernel: Remove the unused extern dscr_default
      powerpc/kernel: Rename PACA_DSCR to PACA_DSCR_DEFAULT
      powerpc/dscr: Add some in-code documentation
      powerpc/dscr: Add documentation for DSCR support
      selftests/powerpc: Add test for system wide DSCR default
      selftests/powerpc: Add test for explicitly changing DSCR value
      selftests/powerpc: Add test for DSCR SPR numbers
      selftests/powerpc: Add test for DSCR value inheritence across fork
      selftests/powerpc: Add test for DSCR inheritence across fork & exec
      selftests/powerpc: Add test for all DSCR sysfs interfaces
      selftests/powerpc: Add gitignore file for the new DSCR tests

Anson Huang (3):
      ARM: imx: using unsigned variable for do_div
      ARM: imx7d: add low level debug uart support
      ARM: imx: add msl support for imx7d

Anthoine Bourgeois (2):
      ARM: dts: omap3-devkit8000: Add dm9000 support
      ARM: omap2plus_defconifg: Enable DM9000 in omap2plus_defconfig

Antoine Tenart (31):
      ARM: dts: berlin: relicense the berlin2q dtsi under GPLv2/X11
      ARM: dts: berlin: relicense the BG2Q Marvell DMP dts under GPLv2/X11
      ARM: dts: berlin: relicense the berlin2 dtsi under GPLv2/X11
      ARM: dts: berlin: relicense the BG2 Sony NSZ-GS7 dts under GPLv2/X11
      ARM: dts: berlin: relicense the berlin2cd dtsi under GPLv2/X11
      ARM: dts: berlin: relicense the BG2CD Google Chromecast dts under GPLv2/X11
      Documentation: bindings: berlin: consider our dt bindings as unstable
      Documentation: bindings: update the Berlin controllers documentation
      Documentation: bindings: move the Berlin reset documentation
      Documentation: bindings: move the Berlin pinctrl documentation
      Documentation: bindings: move the Berlin clock documentation
      Documentation: bindings: update the berlin chip and system ctrl doc
      ARM: berlin: select MFD_SYSCON by default
      ARM: berlin: prepare simple-mfd/syscon conversion of sys/chip ctrl nodes
      reset: berlin: convert to a platform driver
      ARM: berlin: move reset to simple-mfd nodes
      reset: berlin: drop arch_initcall initialization
      pinctrl: berlin: prepare to use regmap provided by syscon
      ARM: berlin: move pinctrl to simple-mfd nodes
      pinctrl: berlin: drop SoC stub provided regmap
      clk: berlin: prepare simple-mfd conversion
      ARM: berlin: move BG2 clock node
      ARM: berlin: move BG2CD clock node
      ARM: berlin: move BG2Q clock node
      clk: berlin: drop direct of_iomap of nodes reg property
      ARM: berlin: remove useless chip and system ctrl compatibles
      ARM: berlin: add an ADC node for the BG2Q
      iio: adc: add support for Berlin
      Documentation: bindings: document the Berlin ADC driver
      pinctrl: berlin: fix spi1 SS0n function name
      pinctrl: berlin: comment the spi functions

Anton Blanchard (10):
      powerpc/perf: Fix book3s kernel to userspace backtraces
      powerpc: Relocatable system call no longer uses the LR
      powerpc: Non relocatable system call doesn't need a trampoline
      powerpc/config: Enable bnx2x on ppc64 and pseries defconfigs
      powerpc: Fix duplicate const clang warning in user access code
      powerpc: Only use -mabi=altivec if toolchain supports it
      powerpc: Only use -mtraceback=no, -mno-string and -msoft-float if toolchain supports it
      powerpc: Don't use -mno-strict-align on clang
      powerpc: Don't use gcc specific options on clang
      powerpc: Add plain English description for alignment exception oopses

Anton Gerasimov (10):
      staging: fbtft: split long strings
      staging: fbtft: put spaces around assignment operators
      staging: fbtft: replace spaces with tabs
      staging: fbtft: make module descriptions greppable
      staging: fbtft: remove unnecessary line continuations
      staging: fbtft: remove unnecessary spaces before tabs
      staging: fbtft: eliminate code duplication
      staging: fbtft: rearrange comments for readability
      staging: fbtft: reformat hardcoded sequences
      staging: fbtft: correct a typo in a comment

Antonio Borneo (2):
      net: via-rhine: remove unneeded include file
      net: via/Kconfig: replace USE_OF with OF_???

Antonio Murdaca (4):
      ethernet: micrel: use time_after_eq
      ethernet: micrel: use time_is_before_eq_jiffies
      staging: lustre: lov: remove unnecessary parentheses
      staging: lustre: cleanup not needed else clauses

Antonio Ospite (11):
      ASoC: adau1977: fix typo s/Substraction/Subtraction/
      GFS2: inode.c: indent with TABs, not spaces
      iio: accel: kxcjk-1013: add the "KXCJ9000" ACPI id
      iio: accel: kxcjk-1013: add some blank lines for readability
      [media] cx25821: cx25821-medusa-reg.h: fix 0x0x prefix
      sysfs: disambiguate between "error code" and "failure" in comments
      lib: crc-itu-t.[ch] fix 0x0x prefix in integer constants
      cx25821: cx25821-medusa-reg.h: fix 0x0x prefix
      ARM: lib/lib1funcs.S: fix typo s/substractions/subtractions/
      HID: Makefile: fix alignment of objs-* entries
      printk: improve the description of /dev/kmsg line format

Antonio Quartulli (4):
      batman-adv: Use common declaration order in *_send_skb_(packet|unicast)
      batman-adv: split name from variable for uint mesh attributes
      batman-adv: add bat_neigh_free API
      batman-adv: change the MAC of each VLAN upon ndo_set_mac_address

Antti Palosaari (56):
      [media] msi001: revise synthesizer calculation
      [media] msi001: cleanups / renames
      [media] msi2500: revise synthesizer calculation
      [media] msi2500: cleanups
      [media] fc2580: implement I2C client bindings
      [media] rtl28xxu: bind fc2580 using I2C binding
      [media] af9035: bind fc2580 using I2C binding
      [media] fc2580: remove obsolete media attach
      [media] fc2580: improve set params logic
      [media] fc2580: cleanups and variable renames
      [media] fc2580: use regmap for register I2C access
      [media] af9035: fix device order in ID list
      [media] tua9001: add I2C bindings
      [media] af9035: bind tua9001 using I2C binding
      [media] rtl28xxu: bind tua9001 using I2C binding
      [media] tua9001: remove media attach
      [media] tua9001: various minor changes
      [media] tua9001: use regmap for I2C register access
      [media] tua9001: use div_u64() for frequency calculation
      [media] rtl2832: add inittab for FC2580 tuner
      [media] rtl28xxu: set correct FC2580 tuner for RTL2832 demod
      [media] fc2580: calculate filter control word dynamically
      [media] fc2580: implement V4L2 subdevice for SDR control
      [media] rtl2832_sdr: add support for fc2580 tuner
      [media] rtl28xxu: load SDR module for fc2580 based devices
      [media] e4000: revise synthesizer calculation
      [media] e4000: various small changes
      [media] e4000: implement V4L2 subdevice tuner and core ops
      [media] dvb-core: fix 32-bit overflow during bandwidth calculation
      [media] vivid: SDR cap add 'CU08' Complex U8 format
      [media] v4l2: correct two SDR format names
      [media] m88ds3103: do not return error from get_frontend() when not ready
      [media] m88ds3103: implement DVBv5 CNR statistics
      [media] m88ds3103: implement DVBv5 BER
      [media] m88ds3103: use jiffies when polling DiSEqC TX ready
      [media] m88ds3103: add I2C client binding
      [media] af9035: add USB ID 07ca:0337 AVerMedia HD Volar (A867)
      [media] si2168: Implement own I2C adapter locking
      [media] si2157: implement signal strength stats
      [media] tda10071: implement I2C client bindings
      [media] a8293: implement I2C client bindings
      [media] em28xx: add support for DVB SEC I2C client
      [media] em28xx: bind PCTV 460e using I2C client
      [media] cx23885: add support for DVB I2C SEC client
      [media] cx23885: Hauppauge WinTV Starburst bind I2C demod and SEC
      [media] cx23885: Hauppauge WinTV-HVR4400/HVR5500 bind I2C demod and SEC
      [media] cx23885: Hauppauge WinTV-HVR5525 bind I2C SEC
      [media] tda10071: add missing error status when probe() fails
      [media] fc2580: add missing error status when probe() fails
      [media] ts2020: re-implement PLL calculations
      [media] ts2020: improve filter limit calc
      [media] ts2020: register I2C driver from legacy media attach
      [media] ts2020: convert to regmap I2C API
      [media] m88ds3103: rename variables and correct logging
      [media] m88ds3103: use regmap for I2C register access
      [media] em28xx: PCTV 461e use I2C client for demod and SEC

Antti Seppälä (3):
      [media] rc: rc-ir-raw: Add Manchester encoder (phase encoder) helper
      [media] rc: ir-rc6-decoder: Add encode capability
      [media] rc: nuvoton-cir: Add support for writing wakeup samples via sysfs filter callback

Anurag Kumar Vulisha (1):
      gpio: Added support to Zynq Ultrascale+ MPSoC

Aparna Karuthodi (1):
      staging: lustre: llite: Fix No space after the declaration

Aravind Gopalakrishnan (14):
      x86/gart: Check for GART support before accessing GART registers
      x86/cpu/amd: Set X86_FEATURE_EXTD_APICID for future processors
      x86/mce/amd: Factor out logging mechanism
      x86/mce/amd: Collect valid address before logging an error
      x86/mce: Add support for deferred errors on AMD
      x86/mce/amd: Introduce deferred error interrupt handler
      x86/irq: Cleanup ordering of vector numbers
      x86/mce/amd: Rename setup_APIC_mce
      EDAC, mce_amd_inj: Use MCE_INJECT_GET macro for bank node too
      EDAC, mce_amd_inj: Read out number of MCE banks from the hardware
      EDAC, mce_amd_inj: Modify flags attribute to use string arguments
      x86/cpu/amd: Give access to the number of nodes in a physical package
      EDAC, mce_amd_inj: Add individual permissions field to dfs_node
      EDAC, mce_amd_inj: Add README file

Ard Biesheuvel (13):
      ARM: 8220/1: allow modules outside of bl range
      crypto: testmgr - add test cases for CRC32
      crypto: arm/sha512 - accelerated SHA-512 using ARM generic ASM and NEON
      crypto: arm/aes - streamline AES-192 code path
      ARM: multi_v7_defconfig: enable asm and NEON accelerated crypto modules
      xen/arm: allow console=hvc0 to be omitted for guests
      of/fdt: split off FDT self reservation from memreserve processing
      arm64: use fixmap region for permanent FDT mapping
      arm64: reduce ID map to a single page
      arm64: drop sleep_idmap_phys and clean up cpu_resume()
      rtc: efi: use correct EFI 'epoch'
      arm64: fix incorrect use of pgprot_t variable
      arm64: remove another unnecessary libfdt include path

Arend van Spriel (21):
      brcmfmac: use static superset of channels for wiphy bands
      brcmfmac: update wiphy band information upon updating regulatory domain
      brcmfmac: add description for feature flags
      brcmfmac: make scheduled scan support conditional
      brcmfmac: add support for BCM4324 rev B5 chipset
      brcmfmac: process interrupt regardless sdiod state
      brcmfmac: fix sdio suspend and resume
      brcmfmac: add support for BCM4358 PCIe device
      brcmfmac: allow device tree node without 'interrupts' property
      brcmfmac: fix invalid access to struct acpi_device fields
      brcmfmac: avoid null pointer access when brcmf_msgbuf_get_pktid() fails
      brcmfmac: remove chipinfo debugfs entry
      brcmfmac: remove watchdog reset from brcmf_pcie_buscoreprep()
      brcmfmac: use debugfs_create_devm_seqfile() helper function
      brcmfmac: rework .get_station() callback
      brcmfmac: have sdio return -EIO when device communication is not possible
      brcmfmac: free ifp for non-netdev interface in p2p module
      brcmfmac: move p2p attach/detach functions
      brcmfmac: assure p2pdev is unregistered upon driver unload
      brcmfmac: fix double free of p2pdev interface
      brcmfmac: make brcmf_p2p_detach() call conditional

Arianna Avanzini (1):
      block, cgroup: implement policy-specific per-blkcg data

Ariel D'Alessandro (1):
      ARM: dts: Add DT for Hitex LPC4350 Evaluation Board

Ariel Elior (1):
      bnx2x: Fix statistics gathering on link change

Arik Nemtsov (5):
      iwlwifi: mvm: iterate all interfaces during HW recovery cleanup
      cfg80211: change GO_CONCURRENT to IR_CONCURRENT for STA
      mac80211: TDLS: use the BSS chandef for HT/VHT operation IEs
      mac80211: disconnect TDLS stations on STA CSA
      iwlwifi: mvm: clean interfaces on drv_stop

Arnaldo Carvalho de Melo (72):
      perf trace: Fix --filter-pids OPTION description
      perf trace: Clarify that -e is about syscalls, not perf events in general
      perf tools: Move x86 barrier.h stuff to tools/arch/x86/include/asm/barrier.h
      perf tools: Move powerpc barrier.h stuff to tools/arch/powerpc/include/asm/barrier.h
      perf tools: Move s390 barrier.h stuff to tools/arch/s390/include/asm/barrier.h
      perf tools: Move barrier() definition to tools/include/linux/compiler.h
      tools: Adopt asm-generic/barrier.h
      perf tools: Move sh barrier.h stuff to tools/arch/sh/include/asm/barrier.h
      perf tools: Move sparc barrier.h stuff to tools/arch/sparc/include/asm/barrier.h
      perf tools: Move alpha barrier.h stuff to tools/arch/alpha/include/asm/barrier.h
      perf tools: Move ia64 barrier.h stuff to tools/arch/ia64/include/asm/barrier.h
      perf tools: Move arm(64) barrier.h stuff to tools/arch/arm*/include/asm/barrier.h
      perf tools: Move xtensa barrier.h stuff to tools/arch/xtensa/include/asm/barrier.h
      perf tools: Move mips barrier.h stuff to tools/arch/mips/include/asm/barrier.h
      perf tools: Move tile barrier.h stuff to tools/arch/tile/include/asm/barrier.h
      perf tools: Move generic barriers out of perf-sys.h
      tools include: Add basic atomic.h implementation from the kernel sources
      perf tools: Use atomic_t to implement thread__{get,put} refcnt
      perf machine: Protect the machine->threads with a rwlock
      perf kmem: Fix compiler warning about may be accessing uninitialized variable
      perf tests: Show refcounting broken expectations in thread-mg-share test
      perf machine: No need to keep a refcnt for last_match
      perf tests: Fix map_groups refcount test
      tools lib traceevent: Provide le16toh define for older systems
      perf trace: Fix the build on older distros
      perf tools: Use atomic.h for the map_groups refcount
      perf machine: Stop accessing atomic_t::counter directly
      perf evlist: Use atomic.h for the perf_mmap refcount
      perf cgroup: Use atomic.h for refcounting
      perf tools: Elliminate alignment holes
      perf bench numa: Share sched_getcpu() __weak def with cloexec.c
      tools selftests: Fix 'clean' target with make 3.81
      perf hists: Rename add_hist_entry to hists__findnew_entry
      perf comm: Use atomic.h for refcounting
      perf machine: Do not call map_groups__delete(), drop refcnt instead
      perf tools: Rename maps__next
      perf tools: Remove redundant initialization of thread linkage members
      perf tools: Nuke unused map_groups__flush()
      perf tools: Import rb_erase_init from block/ in the kernel sources
      perf machine: Mark removed threads as such
      perf tools: Leave DSO destruction to the map destruction
      perf tools: Use maps__first()/map__next()
      perf tools: Introduce struct maps
      perf tools: Protect accesses the map rbtrees with a rw lock
      perf tools: Check if a map is still in use when deleting it
      perf tools: Reference count struct map
      perf kmem: Fix compiler warning about may be accessing uninitialized variable
      perf machine: Adopt findnew_kernel method
      perf machine: No need to have two DSOs lists
      perf machine: Introduce machine__findnew_dso() method
      perf machine: Fix up vdso methods names
      perf machine: Fix the search for the kernel DSO on the unified list
      perf machine: Fix up some more method names
      perf tools: Protect accesses the dso rbtrees/lists with a rw lock
      perf tools: Reference count struct dso
      trace: Beautify perf_event_open syscall
      perf tools: Replace map->referenced & maps->removed_maps with map->refcnt
      perf evlist: Add toggle_enable() method
      perf top: Allow disabling/enabling events dynamicly
      perf annotate: Rename source_line_percent to source_line_samples
      perf top: Replace CTRL+z with 'f' as hotkey for enable/disable events
      perf hists browser: Do not exit when 'f' is pressed in 'report' mode
      perf hists browser: Honour the help line provided by builtin-{top,report}.c
      perf top: Tell the user how to unfreeze events after pressing 'f'
      perf hists browser: React to unassigned hotkey pressing
      perf tools: Future-proof thread_map allocation size calculation
      perf trace: Validate syscall list passed via -e argument
      tools: Adopt {READ,WRITE_ONCE} from the kernel
      perf tools: Copy rbtree.h from the kernel
      tools: Copy lib/rbtree.c to tools/lib/
      tools: Move rbtree.h from tools/perf/
      tools: Copy rbtree_augmented.h from the kernel

Arnaud Ebalard (4):
      crypto: marvell/cesa - add Triple-DES support
      crypto: marvell/cesa - add MD5 support
      crypto: marvell/cesa - add SHA256 support
      crypto: marvell/cesa - add support for Kirkwood and Dove SoCs

Arnaud Pouliquen (1):
      ASoC: simple card: Add mclk-fs property in dai-link

Arnd Bergmann (133):
      Merge tag 'simple-mfd' of git://git.kernel.org/.../linusw/linux-integrator into next/drivers
      Merge tag 'ccn/updates-for-4.2' of git://git.linaro.org/people/pawel.moll/linux into next/drivers
      Merge tag 'sti-dt-for-v4.2-1' of git://git.kernel.org/.../mcoquelin/sti into next/dt
      Merge tag 'sti-defconfig-for-v4.2-1' of git://git.kernel.org/.../mcoquelin/sti into next/defconfig
      Merge tag 'hip04-config-for-4.2' of git://github.com/hisilicon/linux-hisi into next/defconfig
      Merge tag 'hip04-dt-for-4.2' of git://github.com/hisilicon/linux-hisi into next/dt
      Merge tag 'at91-dt' of git://git.kernel.org/.../nferre/linux-at91 into next/dt
      Merge tag 'socfpga_dts_for_v4.2' of git://git.kernel.org/.../dinguyen/linux into next/dt
      Merge tag 'sunxi-dt-for-4.2' of https://git.kernel.org/.../mripard/linux into next/dt
      Merge tag 'sunxi-core-for-4.2' of https://git.kernel.org/.../mripard/linux into next/soc
      Merge tag 'ux500-v4.2-dt' of git://git.kernel.org/.../linusw/linux-stericsson into next/dt
      Merge tag 'v4.2-rockchip-dts1' of git://git.kernel.org/.../mmind/linux-rockchip into next/dt
      Merge tag 'socfpga_dts_for_v4.2_part_2' of git://git.kernel.org/.../dinguyen/linux into next/dt
      Merge tag 'socfpga_updates_for_v4.2' of git://git.kernel.org/.../dinguyen/linux into next/soc
      Merge tag 'tegra-for-4.2-cleanup' of git://git.kernel.org/.../tegra/linux into next/cleanup
      Merge tag 'tegra-for-4.2-memory' of git://git.kernel.org/.../tegra/linux into next/drivers
      Merge tag 'tegra-for-4.2-ramcode' of git://git.kernel.org/.../tegra/linux into next/drivers
      Merge tag 'tegra-for-4.2-emc' of git://git.kernel.org/.../tegra/linux into next/drivers
      Merge tag 'tegra-for-4.2-soc' of git://git.kernel.org/.../tegra/linux into next/soc
      Merge tag 'tegra-for-4.2-dt' of git://git.kernel.org/.../tegra/linux into next/dt
      Merge tag 'tegra-for-4.2-defconfig' of git://git.kernel.org/.../tegra/linux into next/defconfig
      [media] exynos4_is: exynos4-fimc requires i2c
      [media] R820T tuner needs CONFIG_BITREVERSE
      Merge tag 'arm-soc/for-4.2/dts' of http://github.com/broadcom/stblinux into next/dt
      Merge tag 'pxa-dt-4.2' of https://github.com/rjarzmik/linux into next/dt
      Merge tag 'renesas-dt-for-v4.2' of git://git.kernel.org/.../horms/renesas into next/dt
      Merge tag 'rpi-dt-for-armsoc-v4.2' of git://git.kernel.org/.../rpi/linux-rpi into next/dt
      Merge tag 'sti-dt-for-v4.2-2' of git://git.kernel.org/.../mcoquelin/sti into next/dt
      Merge tag 'mvebu-dt-4.2' of git://git.infradead.org/linux-mvebu into next/dt
      Merge tag 'renesas-defconfig-for-v4.2' of git://git.kernel.org/.../horms/renesas into next/defconfig
      Merge tag 'rpi-soc-for-armsoc-v4.2' of git://git.kernel.org/.../rpi/linux-rpi into next/soc
      Merge tag 'pxa-for-4.2' of https://github.com/rjarzmik/linux into next/soc
      mac802154: select CRYPTO when needed
      be2net: make hwmon interface optional
      ARM: uniphier: only select TWD for SMP
      ARM: xz: do not try to use a ramdisk in defconfig
      ARM: stm32: do not try to use a ramdisk in defconfig
      Merge tag 'at91-defconfig' of git://git.kernel.org/.../nferre/linux-at91 into next/defconfig
      Merge tag 'at91-dt2' of git://git.kernel.org/.../nferre/linux-at91 into next/dt
      Merge tag 'ux500-cleanup-v4.2' of git://git.kernel.org/.../linusw/linux-stericsson into next/cleanup
      Merge tag 'zynq-soc-for-4.2' of https://github.com/Xilinx/linux-xlnx into next/soc
      ASoC: omap: fix up SND_OMAP_SOC_OMAP_ABE_TWL6040 dependency, again
      Merge tag 'arm-soc/for-4.2/maintainers' of http://github.com/broadcom/stblinux into next/soc
      Merge tag 'berlin-dt-4.2-1' of git://git.infradead.org/users/hesselba/linux-berlin into next/dt
      Merge tag 'berlin-simple-mfd-4.2-1' of git://git.infradead.org/users/hesselba/linux-berlin into next/drivers
      Merge branch 'irq/for-arm' of git://git.kernel.org/.../tip/tip into next/soc
      ASoC: qcom: remove incorrect dependencies
      ata: hpt366: fix constant cast warning
      usb: renesas_usbhs: avoid uninitialized variable use
      Merge tag 'arm-soc/for-4.2/soc-take2' of http://github.com/broadcom/stblinux into next/soc
      Merge tag 'omap-for-v4.2/omap1-v2' of git://git.kernel.org/.../tmlind/linux-omap into next/soc
      Merge tag 'omap-for-v4.2/clean' of git://git.kernel.org/.../tmlind/linux-omap into next/cleanup
      Merge tag 'at91-cleanup' of git://git.kernel.org/.../abelloni/linux into next/cleanup
      ARM: zx: fix building with CONFIG_THUMB2_KERNEL
      Merge tag 'v4.2-rockchip-dts2' of git://git.kernel.org/.../mmind/linux-rockchip into next/dt
      Merge tag 'at91-dt3' of git://git.kernel.org/.../nferre/linux-at91 into next/dt
      Merge branch 'for-upstream/juno-dts' of git://linux-arm.org/linux-ld into next/dt
      tty: remove platform_sysrq_reset_seq
      serial: samsung: only use earlycon for console
      tty: serial/8250: remove console dependency for mediatek
      ARM: shmobile: only select sound drivers that build
      brcmfmac: avoid gcc-5.1 warning
      video: omap/h3: fix tps65010 dependency
      ARM: 8370/1: hisi: fix hip04 build without HOTPLUG_CPU
      ARM: 8371/1: always select IRQ_WORK on SMP
      ARM: 8372/1: KGDB does not build on BE32
      ARM: 8373/1: disable branch profiling in uncompressor
      ARM: 8374/1: no longer expose CPU_ARM7TDMI/CPU_ARM9TDMI
      ARM: 8375/1: disable some options on ARMv7-M
      ARM: 8379/1: disable CONFIG_PTDUMP on !MMU
      ARM: 8380/1: bpf: fix NOMMU build
      ARM: 8381/1: fix ARMv4+Feroceon multiplatform build
      usb: phy: add static inline wrapper for devm_usb_get_phy_by_node
      staging/wilc1000: fix Kconfig dependencies
      Merge tag 'omap-for-v4.2/dt-pt1' of git://git.kernel.org/.../tmlind/linux-omap into next/dt
      Merge tag 'qcom-dt-for-4.2' of git://git.kernel.org/.../galak/linux-qcom into next/dt
      Merge tag 'v4.1-next-dts' of https://github.com/mbgg/linux-mediatek into next/dt
      Merge tag 'zynq-dt-for-4.2' of https://github.com/Xilinx/linux-xlnx into next/dt
      Merge tag 'renesas-dt2-for-v4.2' of git://git.kernel.org/.../horms/renesas into next/dt
      Merge tag 'qcom-arm64-for-4.2' of git://git.kernel.org/.../galak/linux-qcom into next/dt
      Merge tag 'qcom-defconfig-for-4.2' of git://git.kernel.org/.../galak/linux-qcom into next/defconfig
      Merge tag 'v4.1-next-soc' of https://github.com/mbgg/linux-mediatek into next/drivers
      Merge tag 'renesas-soc-for-v4.2' of git://git.kernel.org/.../horms/renesas into next/soc
      cgroup: add seq_file forward declaration for struct cftype
      Merge branch 'for-arm-soc' of git://ftp.arm.linux.org.uk/~rmk/linux-arm into next/cleanup
      Merge tag 'qcom-soc-for-4.2-1' of git://git.kernel.org/.../galak/linux-qcom into next/drivers
      Merge tag 'renesas-defconfig2-for-v4.2' of git://git.kernel.org/.../horms/renesas into next/defconfig
      Merge branch 'for-upstream/juno-dts' of git://linux-arm.org/linux-ld into next/dt
      nfsd: work around a gcc-5.1 warning
      NVMe: fix type warning on 32-bit
      staging: wilc1000: remove linux version checks
      staging: wilc1000: remove platform version checks
      staging: wilc1000: remove thread wrapper
      staging: wilc1000: remove __DRIVER_VERSION__ macro
      staging: lustre: remove unused variable warning
      net: thunderx: add 64-bit dependency
      Merge tag 'v4.1-next-soc' of https://github.com/mbgg/linux-mediatek into fixes
      Merge tag 'mvebu-fixes-4.1-3' of git://git.infradead.org/linux-mvebu into fixes
      Merge tag 'samsung-fixes-4.1-4' of https://github.com/krzk/linux into fixes
      Merge tag 'v4.1-next-arm64-fixes' of https://github.com/mbgg/linux-mediatek into fixes
      Merge tag 'mvebu-drivers-4.2' of git://git.infradead.org/linux-mvebu into next/drivers
      Merge tag 'mvebu-dt-4.2-2' of git://git.infradead.org/linux-mvebu into next/dt
      Merge tag 'arm-soc/for-4.2/dts-fixes' of http://github.com/broadcom/stblinux into next/dt
      Merge tag 'arm-soc/for-4.2/soc-part2' of http://github.com/broadcom/stblinux into next/soc
      Merge tag 'v4.1-next-arm64' of https://github.com/mbgg/linux-mediatek into next/dt
      Merge tag 'dt-for-armsoc' of git://git.kernel.org/.../linusw/linux-stericsson into next/dt
      Merge tag 'imx-defconfig-4.2' of git://git.kernel.org/.../shawnguo/linux into next/defconfig
      Merge tag 'imx-cleanup-4.2' of git://git.kernel.org/.../shawnguo/linux into next/cleanup
      f2fs: fix building on 32-bit architectures
      staging: wilc1000: remove time wrapper
      staging: wilc1000: remove unused string functions
      staging: wilc1000: simplify msgqueue code
      staging: wilc1000: remove unused memory handling code
      staging: wilc1000: simplify semaphore wrapper
      staging: wilc1000: clean up sleep wrapper
      staging: wilc1000: clean up timer feature
      staging: wilc1000: remove unused OS abstraction features
      staging: wilc1000: remove EXPORT_SYMTAB
      staging: wilc1000: remove semaphore wrapper
      staging: wilc1000: fix const cast warnings
      staging: wilc1000: fix compiler warnings
      staging: wilc1000: update TODO list
      ARM: 8364/1: fix BE32 module loading
      EDAC, xgene: Fix cpuid abuse
      tty: remove platform_sysrq_reset_seq
      ASoC: qcom: fix STORM board Kconfig
      ARM: imx: make imx51/3 suspend optional
      ARM: imx: imx7d requires anatop
      [media] coda: remove extraneous TRACE_SYSTEM_STRING
      xen/pcifront: Don't use deprecated function pci_scan_bus_parented()
      ideapad: fix software rfkill setting
      rtc: pfc8563: fix uninitialized variable warning
      ARM: hisi: revert changes from hisi/hip04-dt branch

Arno Tiemersma (2):
      staging: comedi: daqboard2000: Use preferred comment style
      staging: rtl8192e: Change cpu_to_le16 to le16_to_cpu

Arron Wang (2):
      Bluetooth: Make l2cap_recv_acldata() and sco_recv_scodata() return void
      Bluetooth: Move SCO support under BT_BREDR config option

Arthur Demchenkov (1):
      usb: make module xhci_hcd removable

Arun Bharadwaj (1):
      gpio-backlight: Discover driver during boot time

Arun Chandran (1):
      regmap: Fix regmap_bulk_read in BE mode

Arun Ramamurthy (4):
      phy: core: Add devm_of_phy_get_by_index to phy-core
      usb: ehci-platform: Use devm_of_phy_get_by_index
      usb: ohci-platform: Use devm_of_phy_get_by_index
      pwm: bcm-kona: Don't set polarity in probe

Arun Siluvery (14):
      drm/i915: Initialize HWS page address after GPU reset
      drm/i915/gen8: Add infrastructure to initialize WA batch buffers
      drm/i915/gen8: Re-order init pipe_control in lrc mode
      drm/i915/gen8: Add WaDisableCtxRestoreArbitration workaround
      drm/i915/gen8: Add WaFlushCoherentL3CacheLinesAtContextSwitch workaround
      drm/i915: Fix warnings reported by 0-day
      drm/i915: Bail out early if WA batch is not available for given Gen
      drm/i915/gen8: Add WaClearSlmSpaceAtContextSwitch workaround
      drm/i915: Update WaFlushCoherentL3CacheLinesAtContextSwitch
      drm/i915: Update wa_ctx_emit() macro as per kernel coding guidelines
      drm/i915: Enable WA batch buffers for Gen9
      drm/i915/gen9: Add WaDisableCtxRestoreArbitration workaround
      drm/i915/gen9: Add WaFlushCoherentL3CacheLinesAtContextSwitch workaround
      drm/i915/gen9: Add WaSetDisablePixMaskCammingAndRhwoInCommonSliceChicken

Asai Thambi SP (8):
      mtip32xx: fix rmmod issue
      mtip32xx: remove unused variable 'port->allocated'
      mtip32xx: fix incorrectly setting MTIP_DDF_SEC_LOCK_BIT
      mtip32xx: Abort I/O during secure erase operation
      mtip32xx: fix crash on surprise removal of the drive
      mtip32xx: remove unnecessary sleep in mtip_ftl_rebuild_poll()
      mtip32xx: fix minor number
      mtip32xx: increase wait time for hba reset

Ashok Raj (3):
      x86/mce: Add Local MCE definitions
      x86/mce: Add infrastructure to support Local MCE
      x86/mce: Handle Local MCE events

Ashok Raj Nagarajan (2):
      ath10k: enable Adaptive Noise Immunity (ANI) by default
      ath10k: allow user to toggle ani_enable via debugfs

Avinash Patil (14):
      revert "mwifiex: enable aggregation for TID 6 and 7 streams"
      mwifiex: reduce severity of debug messages for mgmt rx
      mwifiex: verbose logging for association failure messages
      mwifiex: correct bss_type assignment
      mwifiex: support AP reset after bss_stop
      mwifiex: enable 11d after bss reset
      mwifiex: reset 11h active flag when chandef does not require dfs
      mwifiex: disable CAC upon radar detection event
      mwifiex: parse power constraint IE from Tail
      mwifiex: support downloading IEs from tail
      mwifiex: drop block-ack action frames
      mwifiex: advertise PS ON by default support to cfg80211
      mwifiex: update AP WMM settings from BSS_START event
      mwifiex: update current config_band info in start_ap

Avraham Stern (4):
      cfg80211: Allow GO concurrent relaxation after BSS disconnection
      iwlwifi: mvm: print scanned channel list on scan iteration complete notification
      iwlwifi: mvm: add UMAC scan iteration complete notification
      iwlwifi: mvm: add support for 8 level scan priority API

Avri Altman (2):
      iwlwifi: pcie: Remove redundant check for family type
      iwlwifi: pcie: Control access to the NIC's PM registers via iwl_cfg

Axel Lin (39):
      gpio: bcm-kona: Implement get_direction callback
      spi: rb4xx: Fix checking return value of devm_ioremap_resource()
      ASoC: da7210: Fix dependency to allow build with SND_SOC_I2C_AND_SPI
      regulator: max77843: Fix enable_mask for max77843 charger
      regulator: max77843: Convert to use regulator_is_enabled_regmap
      phy: core: Fix error checking in (devm_)phy_optional_get
      phy: core: Check requested PHY status in _of_phy_get()
      gpio: xlp: Add missing .owner and .label settings for gpio_chip
      i2c: hix5hd2: Fix modalias to make module auto-loading work
      ASoC: sta32x: Use devm_gpiod_get_optional at appropriate place
      ASoC: sta350: Use devm_gpiod_get_optional at appropriate place
      genirq: devres: Fix testing return value of request_any_context_irq()
      ASoC: wm8737: Fixup setting VMID Impedance control register
      ASoC: wm8903: Fix define for WM8903_VMID_RES_250K
      ASoC: wm8955: Fix setting wrong register for WM8955_K_8_0_MASK bits
      clk: cdce706: Add missing of_clk_del_provider call in cdce706_remove
      irqchip: mtk-sysirq: Make mtk_sysirq_of_init return error if ioremap fails
      iio: adc: twl6030-gpadc: Fix modalias
      regulator: da9062: Fix modalias
      ASoC: max98925: Fix mask for setting DAI invert mode
      mmc: sdhci_f_sdh30: Staticize local functions
      mmc: sdhci_f_sdh30: Fix the size passed to sdhci_alloc_host
      mmc: sdhci-{pxav2,pxav3}: Use of_match_ptr() macro
      gpio: tb10x: Drop unneeded free_irq() call
      regulator: lp8755: Convert to devm_request_threaded_irq
      regulator: da9063: Fix up irq leak
      irqchip: sunxi-nmi: Fix off-by-one error in irq iterator
      regulator: max8973: Fix up ramp_delay for MAX8973_RAMP_25mV_PER_US case
      ASoC: tas2552: Use devm_gpiod_get_optional for enable-gpio
      ASoC: rt5645: Use devm_gpiod_get_optional for hp-detect
      ASoC: ml26124: Remove duplicate code
      ASoC: wm8995: Fix setting sysclk for WM8995_SYSCLK_MCLK2 case
      regulator: qcom_spmi: Fix calculating number of voltages
      block: nvme-scsi: Catch kcalloc failure
      backlight: pwm_bl: Simplify usage of devm_gpiod_get_optional
      rtc: ds1672, max6900, max8998: Add MODULE_DEVICE_TABLE
      nvdimm: Fix return value of nvdimm_bus_init() if class_create() fails
      i2c: xgene-slimpro: Fix missing mbox_free_channel call in probe error path
      i2c: jz4780: Fix return value if probe fails

Azael Avalos (13):
      toshiba_acpi: Remove bluetooth rfkill code
      toshiba_bluetooth: Add a container struct named toshiba_bluetooth_dev
      toshiba_bluetooth: Add RFKill handler functions
      toshiba_bluetooth: Adapt *_enable, *_notify and *_resume functions to rfkill
      toshiba_bluetooth: Change BT status message to debug
      toshiba_acpi: Remove no longer needed hci_{read, write}2 functions
      toshiba_acpi: Rename hci_{read, write}1 functions
      toshiba_acpi: Comments cleanup
      toshiba_acpi: Remove TOS_FAILURE check from some functions
      toshiba_acpi: Bump driver version to 0.22
      toshiba_haps: Replace sscanf with kstrtoint
      toshiba_haps: Make use of DEVICE_ATTR_{RW, WO} macros
      Documentation/ABI: Add file describing the sysfs entries for toshiba_haps

Badhri Jagan Sridharan (1):
      tracing: timer: Add deferrable flag to timer_start

Bandan Das (1):
      KVM: nSVM: Check for NRIPS support before updating control field

Bard Liao (10):
      ASoC: rt5645: Adds push button support for rt5650
      ASoC: rt5677: add i2s asrc clk src selection
      ASoC: rt5645: fix implicit declaration error
      ASoC: rt5645: fix wrong mask for button report
      ASoC: rt5645: remove RT5645_I2S_BCLK_MS1 control
      ASoC: rt5645: fix PLL source register definitions
      ASoC: rt5645: add TDM slot control into dapm route
      ASoC: rt5645: make volume TLV closer to reality
      ASoC: rt5645: fix add missing widget
      ASoC: rt5645: remove unused field in pdata

Bart Van Assche (24):
      scsi_transport_srp: Introduce srp_wait_for_queuecommand()
      scsi_transport_srp: Fix a race condition
      IB/srp: Remove an extraneous scsi_host_put() from an error path
      IB/srp: Fix a connection setup race
      IB/srp: Fix connection state tracking
      IB/srp: Fix reconnection failure handling
      scsi_transport_srp: Reduce failover time
      IB/srp: Remove superfluous casts
      IB/srp: Rearrange module description
      IB/srp: Remove a superfluous check from srp_free_req_data()
      IB/srp: Remove !ch->target tests from the reconnect code
      IB/srp: Add 64-bit LUN support
      target: Remove first argument of target_{get,put}_sess_cmd()
      target: Move task tag into struct se_cmd + support 64-bit tags
      ib_srpt: Remove set-but-not-used variables
      target: Remove set-but-not-used-variables
      target: Simplify LUN shutdown code
      Split SCSI header files
      Move code that is used both by initiator and target drivers
      IB/ipoib: Fix RCU annotations in ipoib_neigh_hash_init()
      target: Correct a comment
      target: Minimize SCSI header #include directives
      qla2xxx: Comment out unreachable code
      qla2xxx: Fix indentation

Bartlomiej Zolnierkiewicz (6):
      ARM: EXYNOS: fix exynos_boot_secondary() return value on timeout
      ARM: EXYNOS: make exynos_core_restart() less verbose
      ARM: EXYNOS: add exynos_set_boot_addr() helper
      ARM: EXYNOS: add exynos_get_boot_addr() helper
      ARM: EXYNOS: add coupled cpuidle support for Exynos3250
      clk: add CLK_RECALC_NEW_RATES clock flag for Exynos cpu clock support

Bartosz Golaszewski (9):
      sched/topology: Rename topology_thread_cpumask() to topology_sibling_cpumask()
      Documentation: Update cputopology.txt
      coretemp: Replace cpu_sibling_mask() with topology_sibling_cpumask()
      powernow-k8: Replace cpu_core_mask() with topology_core_cpumask()
      p4-clockmod: Replace cpu_sibling_mask() with topology_sibling_cpumask()
      acpi-cpufreq: Replace cpu_**_mask() with topology_**_cpumask()
      speedstep-ich: Replace cpu_sibling_mask() with topology_sibling_cpumask()
      x86: Replace cpu_**_mask() with topology_**_cpumask()
      x86: Remove cpu_sibling_mask() and cpu_core_mask()

Bartosz Markowski (2):
      ath10k: update MODULE_FIRMWARE definitions for QCA6174
      ath10k: fix PMF by using AES-CMAC/IGTK software crypto

Baruch Siach (14):
      Documentation/CodingStyle: fix example macro parenthesis imbalance
      ARM: multi_v7_defconfig: enable Conexant Digicolor platform
      ARM: digicolor: enable CX92755 on-chip RTC device
      ARM: digicolor: add i2c master controller support
      ARM: bcm2835: dt: Fix i2c0 node name
      mtd: mxc_nand: limit the size of used oob
      mtd: mxc_nand: fix truncate of unaligned oob copying
      mtd: mxc_nand: generate nand_ecclayout for 8 bit ECC
      MAINTAINERS: tty: add serial docs directory
      Doc: tty.txt: remove mention of the BKL
      Doc: serial-rs485.txt: update RS485 driver interface
      MAINTAINERS: remove non existent input mt git tree
      watchdog: digicolor: document device tree binding
      watchdog: digicolor: driver for Conexant Digicolor CX92755 SoC

Ben Dooks (8):
      ARM: debug: fix big endian operation for 8250 word mode
      ARM: socfpga: enable big endian for secondary core(s)
      ARM: socfpga: support big endian for socfpga
      PM / runtime: add note about re-calling in during device probe()
      ARM: at91/dt: sama5d3 xplained: fill in mmc1 and set it to disabled
      ARM: at91/dt: sama5d3 xplained: add mmc0 vqmmc entry
      ARM: at91/dt: sama5d3 xplained: add fixed regulator for vmmc0
      watchdog: at91sam9: use endian agnostic IO

Ben Goz (2):
      drm/amdgpu: Initialize compute sdma and memory from kgd
      drm/amdgpu: Configure doorbell to maximum slots

Ben Hutchings (4):
      firmware: Update information in linux.git about adding firmware
      usb: musb: fix order of conditions for assigning end point operations
      MAINTAINERS: mmc: Update Ian Molton's address for tmio_mmc driver
      MIPS: Octeon: Set OHCI and EHCI MMIO byte order to match CPU

Ben Segall (1):
      sched/fair: Prevent throttling in early pick_next_task_fair()

Ben Zhang (1):
      pstore/ram: verify ramoops header before saving record

Benjamin Coddington (1):
      nfs: verify open flags before allowing open

Benjamin Marzinski (3):
      GFS2: mark the journal idle to fix ro mounts
      GFS2: add support for rename2 and RENAME_EXCHANGE
      GFS2: make sure S_NOSEC flag isn't overwritten

Benjamin Romer (7):
      staging: unisys: fix kdump support
      staging: unisys: remove virthba driver for rewrite
      staging: unisys: remove virtpci driver from staging tree
      staging: unisys: remove uislib module from staging tree
      staging: unisys: add ACPI and PCI requirement to Kconfig
      staging: unisys: fix visorbus Kconfig
      staging: unisys: remove visorutil from top level Makefile

Benjamin Tissoires (1):
      HID: lenovo: add support for Lenovo ThinkPad Keyboard Pro unit

Benoît Canet (2):
      libceph: Remove spurious kunmap() of the zero page
      libceph: Fix ceph_tcp_sendpage()'s more boolean usage

Benson Leung (1):
      mailbox: Fix up error handling in mbox_request_channel()

Beomho Seo (1):
      hwmon: (ntc_thermistor) Add support for ncpXXwf104

Bernhard Thaler (9):
      bridge: change BR_GROUPFWD_RESTRICTED to allow forwarding of LLDP frames
      Revert "netfilter: ensure number of counters is >0 in do_replace()"
      netfilter: bridge: refactor clearing BRNF_NF_BRIDGE_PREROUTING
      netfilter: bridge: re-order br_nf_pre_routing_finish_ipv6()
      netfilter: bridge: detect NAT66 correctly and change MAC address
      netfilter: bridge: refactor frag_max_size
      netfilter: bridge: rename br_parse_ip_options
      netfilter: bridge: re-order check_hbh_len()
      netfilter: bridge: forward IPv6 fragmented packets

Bert Vermeulen (3):
      spi: Add SPI driver for Mikrotik RB4xx series boards
      spi: rb4xx: Fix set_cs logic.
      mdio-gpio: Propagate mii_bus.phy_ignore_ta_mask

Bin Liu (2):
      usb: musb: only set test mode once
      usb: musb: add softconnect for host mode

Binbin Zhou (1):
      staging: sm750: Fix the Makefile option error

Bintian Wang (7):
      clk: hisilicon: Remove __init for marking function prototypes
      dt-bindings: Add header file of hi6220 clock driver
      clk: hi6220: Clock driver support for Hisilicon hi6220 SoC
      arm64: hi6220: Document devicetree bindings for Hisilicon hi6220 SoC
      clk: hi6220: Document devicetree bindings for hi6220 clock
      arm64: dts: Add dts files for Hisilicon Hi6220 SoC
      arm64: Enable Hisilicon ARMv8 SoC family in Kconfig and defconfig

Bjorn Andersson (7):
      DT: hwspinlock: Add binding documentation for Qualcomm hwmutex
      hwspinlock: qcom: Add support for Qualcomm HW Mutex block
      pinctrl: qcom: Add MSM8660 pinctrl definitions
      pinctrl: dt-binding: Add DT binding documentation for MSM8660
      power_supply: Correct kerneldoc copy paste errors
      checkpatch: validate MODULE_LICENSE content
      hwspinlock: qcom: Correct msb in regmap_field

Bjorn Helgaas (27):
      PCI/MSI: Remove unused pci_msi_off()
      PCI/ASPM: Drop __pci_disable_link_state() useless "force" parameter
      PCI: imx6: Rename imx6_pcie_start_link() to imx6_pcie_establish_link()
      microblaze/PCI: Remove unused declarations
      microblaze/PCI: Remove unnecessary pci_bus_find_capability() declaration
      microblaze/PCI: Remove unnecessary struct pci_dev declaration
      PCI: Include <linux/pci.h>, not <asm/pci.h>
      PCI: Remove unnecessary #includes of <asm/pci.h>
      PCI: Remove unused pcibios_select_root() (again)
      PCI: Remove unused pci_dma_burst_advice()
      x86/PCI: Use host bridge _CRS info on Foxconn K8M890-8237A
      PCI: dra7xx: Use dw_pcie_link_up() consistently
      PCI: layerscape: Use dw_pcie_link_up() consistently
      PCI: layerscape: Factor out ls_pcie_establish_link()
      PCI: designware: Wait for link to come up with consistent style
      PCI/ASPM: Simplify Clock Power Management setting
      Merge branches 'pci/aspm', 'pci/enumeration', 'pci/hotplug', 'pci/misc', 'pci/msi', 'pci/resource' and 'pci/virtualization' into next
      PCI: imx6: Use "u32", not "uint32_t"
      PCI: imx6: Add #define PCIE_RC_LCSR
      Merge branches 'pci/host-designware', 'pci/host-designware-common', 'pci/host-generic', 'pci/host-imx6', 'pci/host-iproc' and 'pci/host-xgene' into next
      x86/PCI: Use host bridge _CRS info on systems with >32 bit addressing
      Merge branch 'pci/resource' into next
      PCI: pciehp: Clean up debug logging
      PCI: pciehp: Make queue_interrupt_event() void
      PCI: pciehp: Rename queue_interrupt_event() to pciehp_queue_interrupt_event()
      PCI: pciehp: Inline the "handle event" functions into the ISR
      Merge branches 'pci/host-xgene' and 'pci/hotplug' into next

Bob Copeland (1):
      mac80211: introduce plink lock for plink fields

Bob Liu (4):
      drivers: xen-blkback: delay pending_req allocation to connect_ring
      driver: xen-blkfront: move talk_to_blkback to a more suitable place
      xen/block: add multi-page ring support
      drivers: xen-blkfront: only talk_to_blkback() when in XenbusStateInitialising

Bob Moore (24):
      ACPICA: Additional dragon_fly BSD support.
      ACPICA: ACPI 6.0: Add support for STAO table.
      ACPICA: ACPI 6.0: Add support for new predefined names.
      ACPICA: ACPI 6.0: Add support for XENV table.
      ACPICA: Parser: Move a couple externals to the proper header.
      ACPICA: iASL: Enhance detection of non-ascii or corrupted input files.
      ACPICA: ACPI 6.0: Add support for WPBT table.
      ACPICA: ACPI 6.0: Add changes for FADT table.
      ACPICA: ACPI 6.0: Add changes for LPIT table.
      ACPICA: ACPI 6.0: Add changes for MADT table.
      ACPICA: iASL/disassembler - fix possible fault for -e option.
      ACPICA: acpi_help: Add option to display all known/supported ACPI tables.
      ACPICA: ACPI 6.0: Add support for NFIT table.
      ACPICA: Update version to 20150515.
      ACPICA: acpihelp: Update for new NFIT table GUIDs.
      ACPICA: Fix for ill-formed GUID strings for NFIT tables.
      ACPICA: Namespace: Add support of OSDT table
      ACPICA: Namespace: Change namespace override to avoid node deletion
      ACPICA: Cleanup output for the ASL Debug object
      ACPICA: De-macroize calls to standard C library functions
      ACPICA: Split C library prototypes to new header
      ACPICA: Update definitions for the TCPA and TPM2 ACPI tables
      ACPICA: Update TPM2 ACPI table
      ACPICA: Update version to 20150619

Bob Paauwe (2):
      drm/i915: Add the ddi get cdclk code for BXT (v3)
      drm/i915: Update rps frequencies for BXT

Bob Peterson (4):
      GFS2: Use average srttb value in congestion calculations
      GFS2: Average in only non-zero round-trip times for congestion stats
      GFS2: Don't add all glocks to the lru
      GFS2: Don't brelse rgrp buffer_heads every allocation

Boris BREZILLON (15):
      ARM: dts: sun6i: add p2wi controller node to dtsi
      mfd: axp20x: Add AXP22x PMIC support
      regulator: axp20x: Prepare support for multiple AXP chip families
      regulator: axp20x: Add support for AXP22X regulators
      crypto: mv_cesa - request registers memory region
      crypto: mv_cesa - document the clocks property
      crypto: mv_cesa - use gen_pool to reserve the SRAM memory region
      crypto: mv_cesa - explicitly define kirkwood and dove compatible strings
      crypto: marvell/cesa - add a new driver for Marvell's CESA
      crypto: marvell/cesa - add TDMA support
      crypto: marvell/cesa - add DES support
      crypto: marvell/cesa - add support for all armada SoCs
      crypto: marvell/cesa - add allhwsupport module parameter
      crypto: marvell/cesa - add support for Orion SoCs
      crypto: marvell/cesa - add DT bindings documentation

Boris Brezillon (10):
      mfd: syscon: Add Atmel MC (Memory Controller) registers definition
      USB: atmel: update DT bindings documentation
      ARM: at91/dt: remove useless uhpck clock references from ehci defintions
      ARM: at91/dt: remove useless usb clock
      clk: mvebu: add missing CESA gate clk
      clk: mvebu: flag the crypto clk as CLK_IGNORE_UNUSED
      clk: at91: pll: fix input range validity check
      clk: at91: fix PERIPHERAL_MAX_SHIFT definition
      crypto: marvell/cesa - remove COMPILE_TEST dependency
      ARM: at91/dt: update udc compatible strings

Borislav Petkov (42):
      x86/asm, x86/power/hibernate: Use local labels in asm
      x86/asm, x86/acpi/wakeup_64.S: Make global label a local one
      GHES: Carve out error queueing in a separate function
      GHES: Carve out the panic functionality
      GHES: Panic right after detection
      GHES: Elliminate double-loop in the NMI handler
      x86/microcode/intel: Get rid of revision_is_newer()
      x86/microcode/intel: Remove unused @rev arg of get_matching_sig()
      x86/microcode: Parse built-in microcode early
      x86/alternatives: Document macros
      x86/traps: Remove superfluous weak definitions and dead code
      x86/mce/amd: Zap changelog
      x86/alternatives: Switch AMD F15h and later to the P6 NOPs
      x86/cpu/microcode: Zap changelog
      x86/asm/uaccess: Remove FIX_ALIGNMENT define from copy_user_nocache_64.S:
      x86/asm/uaccess: Unify the ALIGN_DESTINATION macro
      x86/asm/uaccess: Get rid of copy_user_nocache_64.S
      x86/asm/head*.S: Change global labels to local
      x86/microcode/intel: Rename get_matching_microcode
      x86/microcode/intel: Simplify update_match_cpu()
      x86/microcode/intel: Simplify get_matching_sig()
      x86/microcode/intel: Rename get_matching_sig()
      x86/Documentation: Move kernel-stacks doc one level up
      x86/Documentation: Remove STACKFAULT_STACK bulletpoint
      x86/Documentation: Adapt Ingo's explanation on printing backtraces
      EDAC: Cleanup atomic_scrub mess
      EDAC, edac_stub: Drop arch-specific include
      x86/cpu: Trim model ID whitespace
      lockdep: Do not break user-visible string
      x86/mm/pat: Untangle pat_init()
      x86/mm/pat: Emulate PAT when it is disabled
      x86/mm/pat: Remove pat_enabled() checks
      x86: Kill CONFIG_X86_HT
      x86/uapi: Do not export <asm/msr-index.h> as part of the user API headers
      x86/microcode: Disable builtin microcode loading on 32-bit for now
      hrtimers: Make sure hrtimer_resolution is unsigned int
      x86/boot: Fix overflow warning with 32-bit binutils
      EDAC, mce_amd_inj: Cleanup and simplify README
      EDAC, mce_amd_inj: Move bit preparations before the injection
      EDAC, mce_amd_inj: Set MISCV on injection
      EDAC: Update Documentation/edac.txt
      ACPI / video: Inline acpi_video_set_dmi_backlight_type

Brendan McGrath (1):
      [media] saa7164: use an MSI interrupt when available

Brenden Blanco (1):
      samples/bpf: fix in-source build of samples with clang

Brent Taylor (1):
      ath6kl: Fix multiple clients associating in AP mode

Brian Bian (1):
      int340x_thermal/processor_thermal_device: add support to Skylake processors

Brian Foster (23):
      xfs: create individual inode alloc. helper
      xfs: update free inode record logic to support sparse inode records
      xfs: support min/max agbno args in block allocator
      xfs: add sparse inode chunk alignment superblock field
      xfs: use sparse chunk alignment for min. inode allocation requirement
      xfs: sparse inode chunks feature helpers and mount requirements
      xfs: add fs geometry bit for sparse inode chunks
      xfs: introduce inode record hole mask for sparse inode chunks
      xfs: use actual inode count for sparse records in bulkstat/inumbers
      xfs: pass inode count through ordered icreate log item
      xfs: handle sparse inode chunks in icreate log recovery
      xfs: helper to convert holemask to inode alloc. bitmap
      xfs: allocate sparse inode chunks on full chunk allocation failure
      xfs: randomly do sparse inode allocations in DEBUG mode
      xfs: filter out sparse regions from individual inode allocation
      xfs: only free allocated regions of inode chunks
      xfs: skip unallocated regions of inode chunks in xfs_ifree_cluster()
      xfs: enable sparse inode chunks for v5 superblocks
      xfs: always log the inode on unwritten extent conversion
      xfs: fix quota block reservation leak when tp allocates and frees blocks
      xfs: fix sparse inodes 32-bit compile failure
      xfs: check min blks for random debug mode sparse allocations
      xfs: don't truncate attribute extents if no extents exist

Brian Gerst (8):
      x86/asm: Merge common 32-bit values in asm-offsets.c
      x86/asm: Remove unused TI_cpu
      x86: Merge common 32-bit values in asm-offsets.c
      x86: Remove unused TI_cpu
      x86/irq: Merge irq_regs & irq_stat
      x86/asm/entry/irq: Remove unused invalidate_interrupt prototypes
      x86/asm/entry: Remove SYSCALL_VECTOR
      x86/asm/entry/irq: Clean up IRQn_VECTOR macros

Brian Haley (1):
      cls_flower: Fix compile error

Brian King (1):
      ipr: Increase default adapter init stage change timeout

Brian Norris (35):
      mtd: nand_bbt: drop unnecessary header
      mtd: diskonchip: don't call nand_scan_bbt() directly
      mtd: nand_bbt: make nand_scan_bbt() static
      mtd: nand_bbt: unify/fix error handling in nand_scan_bbt()
      mtd: nand_bbt: fix theoretical integer overflow in BBT write
      jffs2: fix unbalanced locking
      mtd: nand: add common DT init code
      mtd: fix: avoid race condition when accessing mtd->usecount
      Documentation: devicetree: add binding doc for Broadcom NAND controller
      MAINTAINERS: add brcmstb regex
      ARM: bcm7445: add NAND to DTS
      ARM: bcm63138: add NAND DT support
      ARM: dts: brcmstb: add nodes for SATA controller and PHY
      mtd: nand: add NAND driver "library" for Broadcom STB NAND controller
      mtd: brcmnand: add support for STB chips
      Documentation: devicetree: brcmstb_nand: add BCM63138 and Cygnus/iProc
      mtd: brcmnand: add extra SoC support to library
      mtd: brcmnand: add support for Broadcom's IPROC family
      mtd: brcmnand: add BCM63138 support
      MAINTAINERS: add entry for new brcmnand/ directory
      Merge tag 'v4.1-rc4' into MTD's -next
      mtd: blktrans: use better error code for unimplemented ioctl()
      Documentation: devicetree: add Broadcom SATA PHY binding
      phy: add Broadcom SATA3 PHY driver for Broadcom STB SoCs
      mtd: remove incorrect file name
      mtd: nand: correct indentation within conditional
      Documentation: devicetree: add Broadcom SATA binding
      ata: add Broadcom AHCI SATA3 driver for STB chips
      mtd: plat_nand: use default partition probe
      mtd: lantiq-flash: use default partition parsers
      mtd: chips: fixup dependencies, to prevent build error
      mtd: diskonchip: remove two-phase partitioning / registration
      mtd: propagate error codes from add_mtd_device()
      mtd: brcmnand: drop unnecessary initialization
      MIPS: netlogic: remove unnecessary MTD partition probe specification

Buţiu Alexandru Octavian (3):
      Staging: dgnc: fixed coding style issue in digi.h
      staging: drivers: rtl8192u: r819xU_firmware.h: removed commented macro
      staging: rtl8192u: r819xU_firmware.h: fix line over 80 characters

Carlo Caione (4):
      Bluetooth: btrtl: Create separate module for Realtek BT driver
      clk: meson: Add support for Meson clock controller
      clk: meson: Document bindings for Meson8b clock controller
      clk: meson8b: Add support for Meson8b clocks

Carlos E. Garcia (1):
      Staging: fixed multiple spelling errors.

Carlos Maiolino (1):
      vfs: avoid creation of inode number 0 in get_next_ino

Carol L Soto (1):
      net/mlx4_core: double free of dev_vfs

Carol Soto (2):
      net/mlx4_core: need to call close fw if alloc icm is called twice
      net/mlx4_core: fix typo in mlx4_set_vf_mac

Casey Schaufler (7):
      LSM: Split security.h
      LSM: Add the comment to lsm_hooks.h
      LSM: Remove a comment from security.h
      LSM: Introduce security hook calling Macros
      LSM: Add security module hook list heads
      LSM: Switch to lists of hooks
      LSM: Remove unused capability.c

Catalin Marinas (9):
      Merge branch 'for-next/cpu-init' of git://git.kernel.org/.../arm64/linux
      Merge branch 'arm64/psci-rework' of git://git.kernel.org/.../mark/linux
      arm64: Do not attempt to use init_mm in reset_context()
      mm: kmemleak: allow safe memory scanning during kmemleak disabling
      mm: kmemleak: fix delete_object_*() race when called on the same memory block
      mm: kmemleak: do not acquire scan_mutex in kmemleak_do_cleanup()
      mm: kmemleak: avoid deadlock on the kmemleak object insertion error path
      mm: kmemleak: optimise kmemleak_lock acquiring during kmemleak_scan
      arm64: Keep the ARM64 Kconfig selects sorted

Catherine Sullivan (1):
      i40e: Bump version to 1.3.4

Cathy Luo (1):
      mwifiex: reduce spinlock usage in main process

Chaehyun Lim (18):
      staging: wilc1000: remove UWORD8
      staging: wilc1000: remove UWORD32
      staging: wilc1000: remove WILC_Uint16
      staging: wilc1000: remove WILC_Uint32
      staging: wilc1000: remove WILC_Uint64
      staging: wilc1000: remove WILC_Sint8
      staging: wilc1000: remove WILC_Sint16
      staging: wilc1000: remove WILC_Sint32
      staging: wilc1000: remove WILC_Sint64
      staging: wilc1000: remove unused typedef
      staging: wilc1000: remove BOOL_T typedef
      staging: wilc1000: remove itypes.h
      staging: wilc1000: align defines
      staging: wilc1000: align defines
      staging: wilc1000: remove commented code
      staging: wilc1000: align #define macro
      staging: wilc1000: remove unnecessary typecast in #define macro
      staging: wilc1000: fix checkpatch warning

Chaitanya Dhere (3):
      staging: wilc1000: Modification in code to use ARRAY_SIZE macro
      staging: rtl8192u: Patch to modify if, else conditions
      staging: rtl8192u: Fix indentation issue

Chan-yeol Park (2):
      Bluetooth: btusb: Support QCA61x4 ROME v2.0
      Bluetooth: hci_uart: Fix dereferencing of ERR_PTR

Chandra Konduru (1):
      drm/i915: Delete duplicate #defines added for DCx

Chanwoo Choi (18):
      thermal: exynos: Add the support for Exynos5433 TMU
      clk: Show correct information when fail to set clock rate
      extcon: Add manufactor name of each extcon device
      extcon: Modify the device name as extcon[X] for sysfs
      extcon: Add extcon_get_edev_name() API to get the extcon device name
      extcon: Fix the checkpatch warning and minor coding style issue
      extcon: arizona: Remove the setting of device name
      extcon: Unify the jig cable names on rt8973 and max14577/77693/77843
      extcon: Unify the dock device names on max8997/77693
      extcon: Alter MHL-TA cable name to TA cable name
      extcon: adc-jack: Remove the unneeded num_cables field
      extcon: Remove the optional name of extcon device
      extcon: Use the unique id for external connector instead of string
      extcon: Use capital letter for the name of external connectors
      extcon: Update the prototype of extcon_register_notifier() with enum extcon
      extcon: Remove optional print_name() function pointer of extcon_dev
      clk: exynos5433: Add CLK_SET_RATE_PARENT to support DVFS for big.LITTLE core
      extcon: Redefine the unique id of supported external connectors without 'enum extcon' type

Chao Xie (3):
      clk: mmp: add fixed clock UBS_PLL for pxa910/pxa168
      clk: mmp: Fix the wrong factor table for uart PLL
      clk: mmp: add timer clock for pxa168/mmp2/pxa910

Chao Yu (24):
      f2fs: make posix_acl_create() safer and cleaner
      f2fs: use is_valid_blkaddr to verify blkaddr for readability
      f2fs: make has_fsynced_inode static
      f2fs: remove unneeded f2fs_make_empty declaration
      f2fs: do not re-lookup nat cache with same nid
      f2fs: introduce f2fs_replace_block() for reuse
      f2fs: support FALLOC_FL_COLLAPSE_RANGE
      f2fs: support FALLOC_FL_ZERO_RANGE
      ext4 crypto: release crypto resource on module exit
      f2fs crypto: fix to release buffer for fname crypto
      f2fs crypto: fix incorrect release for crypto ctx
      f2fs crypto: zero next free dnode block
      f2fs: introduce update_meta_page
      f2fs: support RENAME_WHITEOUT
      f2fs: avoid duplicated code by reusing f2fs_read_end_io
      f2fs crypto: check context consistent for rename2
      f2fs crypto: allow setting encryption policy once
      f2fs crypto: do not set encryption policy for non-directory by ioctl
      ubifs: fix to check error code of register_shrinker
      f2fs: hide common code in f2fs_replace_block
      f2fs: support FALLOC_FL_INSERT_RANGE
      f2fs: setting discard option in parse_options()
      f2fs: skip committing valid superblock
      f2fs: do not trim preallocated blocks when truncating after i_size

Chaotian Jing (3):
      mmc: dt-bindings: add Mediatek MMC bindings
      mmc: mediatek: Add Mediatek MMC driver
      mmc: mediatek: Add PM support for MMC driver

Charles Keepax (35):
      ASoC: wm_adsp: Split out adsp1 & 2 setup algorithms
      ASoC: wm_adsp: Improve variable naming
      ASoC: wm_adsp: Remove len field from wm_adsp_alg_region
      ASoC: wm_adsp: Limit firmware control name to ALSA control name size
      ASoC: wm_adsp: Move temporary control name to the stack
      ASoC: wm_adsp: Clean up low level control read/write functions
      ASoC: wm_adsp: Factor out creation of alg_regions
      ASoC: wm_adsp: Remove private field from wm_coeff_ctl
      ASoC: wm_adsp: Group all the ALSA control functions together
      ASoC: wm_adsp: Add basic support for rev 1 firmware file format
      ASoC: wm_adsp: Add support for rev 2 firmware file format
      ASoC: wm_adsp: Warn that firmware file format 0 is depreciated
      ASoC: wm_adsp: Use __leXX for little endian data
      ASoC: wm_adsp: Add support for DSP control flags
      ASoC: dapm: Remove local OOM error message
      ASoC: Correct typo in SOC_VALUE_ENUM_SINGLE macro
      ASoC: dapm: Append "Autodisable" to autodisable widget names
      ASoC: dapm: Add support for autodisable mux controls
      ASoC: arizona: Use auto disable muxes for routing
      ASoC: dapm: Add missing mutex unlock
      regulator: arizona-ldo1: Add additional supported voltage
      ASoC: dapm: Break out of widget search when source and sink are located
      ASoC: dapm: Add cache to speed up adding of routes
      extcon: arizona: Apply HP clamps correctly for WM8280
      mfd: arizona: Remove redundant register sync
      mfd: arizona: Use devres to manage reset GPIO
      mfd: arizona: Factor out hard reset into helper functions
      mfd: wm5102: Ensure we always boot the device fully
      mfd: arizona: Fix formating/style issues in arizona_apply_hardware_patch
      mfd: arizona: Factor out SYSCLK enable from wm5102 hardware patch
      mfd: wm5110: Add register patch required for low power sleep
      mfd: wm5110: Set DCVDD voltage to 1.175V before entering sleep mode
      mfd: arizona: Add better support for system suspend
      mfd: wm5110: Add delay before releasing reset line
      mfd: arizona: Add convience defines for micd_rate/micd_bias_starttime

Charlie Mooney (1):
      Input: elan_i2c - add product IDs FW names

Charlie Wong Super (2):
      staging: fbtft: Replace spaces to tab
      staging: fbtft: Add a blank line after declarations

Chaya Rachel Ivgi (2):
      mac80211: fix ignored HT/VHT override configs
      iwlwifi: mvm: make thermal throttling values configurable per NIC family

Chen Gang (2):
      Docs: blackfin: Use new switch macro SAMPLE_IRQ_TIMER instead of IRQ_TIMER5
      um: kernel: ksyms: Export symbol syscall() for fixing modpost issue

Chen Hanxiao (4):
      cgroup: fix some comment typos
      Docs: proc: fix kernel version
      docs: add VmPMD description in proc
      workqueue: fix a typo

Chen-Yu Tsai (26):
      ARM: sun8i: Add SMP support for the Allwinner A23
      ARM: dts: sun9i: Add usb clock nodes to a80 dtsi
      ARM: dts: sun9i: Add usb phy nodes to a80 dtsi
      ARM: dts: sun9i: Add USB host controller nodes to a80 dtsi
      ARM: dts: sun9i: Enable USB support on A80 Optimus board
      ARM: dts: sun6i: hummingbird: Enable IR receiver
      ARM: dts: sun6i: hummingbird: Enable P2WI controller
      ARM: dts: sun6i: hummingbird: Add AXP221 PMIC device node
      ARM: dts: sun6i: hummingbird: Add AXP221 regulator nodes
      ARM: dts: sun6i: Add pinmux settings for mmc1 to dtsi
      ARM: dts: sun6i: hummingbird: Enable the onboard WiFi module
      ARM: dts: sun8i: Enable ARM architected timer on A23
      ARM: dts: sun9i: Enable ARM architected timer on A80
      ARM: dts: sun9i: optimus: Switch to phy core regulator bindings for usb phys
      ARM: dts: sun6i: Add cpu clock reference and operating points to dtsi
      ARM: dts: sun6i: Add cpu thermal zones to dtsi
      ARM: sun8i: dt: Enable A23 SMP support
      ARM: dts: sunxi: Update ahb clocks for sun5i and sun7i
      ARM: dts: sun6i: Set PLL6 as parent to AHB1 clock in AHB1 clock node
      mfd: axp20x: Update DT bindings with AXP22x compatibles
      mfd: axp20x: Add AXP22x regulator information to DT bindings
      mfd: axp20x: Enable AXP22X regulators
      ARM: dts: sun9i: Add device node for watchdog
      ARM: dts: sun8i: Add pinmux setting for 8bit mmc2
      ARM: dts: sun8i-a33: Add pinmux setting for uart0 on PB pins
      ARM: dts: sun8i-a33: Add dts for Sinlinx SinA33 development board.

ChengYi He (1):
      staging: wilc1000: remove unnecessary cast

Chenxi Mao (1):
      f2fs: disable the discard option when device doesn't support

Cheolhyun Park (1):
      [media] drx-j: Misspelled comment corrected

Chin-ran Lo (1):
      mwifiex: add support for FW memory read/write operations

Chris Bainbridge (3):
      workqueue: fix trivial typo in Documentation/workqueue.txt
      usb: host: xhci: add mutex for non-thread-safe data
      usb: host: xhci: remove incorrect comment about mutex

Chris Hanna (2):
      staging: lustre: osc: clean up whitespace and align function parameters
      staging: lustre: ptlrpc: clean up whitespace and align function params

Chris Leech (1):
      iscsi_ibft: filter null v4-mapped v6 addresses

Chris Lesiak (2):
      hwmon: (ntc_thermistor) fix iio raw to microvolts conversion
      hwmon: (ntc_thermistor) Improve precision of resistance calculation

Chris Mason (2):
      Merge branch 'send_fixes_4.2' of git://git.kernel.org/.../fdmanana/linux into for-linus-4.2
      Merge branch 'sysfs-fsdevices-4.2-part1' of git://git.kernel.org/.../kdave/linux into anand

Chris Metcalf (18):
      tile: modify arch_spin_unlock_wait() semantics
      tile: use READ_ONCE() in arch_spin_is_locked()
      tile: add <asm/word-at-a-time.h> and enable support functions
      nohz: Add tick_nohz_full_add_cpus_to() API
      nohz: Set isolcpus when nohz_full is set
      drivers/tty/hvc/hvc_tile.c: properly return -EAGAIN
      tile: support delivering NMIs for multicore backtrace
      tile: set up initial stack top to honor STACK_TOP_DELTA
      tile: fix "odd fault" warning for stack backtraces
      tile: improve stack backtrace
      tile: force CONFIG_TILEGX if ARCH != tilepro
      tile: vdso: use raw_read_seqcount_begin() in vdso
      tile: avoid a "label not used" warning in do_page_fault()
      smpboot: allow excluding cpus from the smpboot threads
      watchdog: add watchdog_cpumask sysctl to assist nohz
      procfs: treat parked tasks as sleeping for task state
      __bitmap_parselist: fix bug in empty string handling
      modpost: work correctly with tile coldtext sections

Chris Park (1):
      staging: wilc1000: fix warning while printing

Chris Wilson (11):
      x86/asm: Enable fast 32-bit put_user_64() for copy_to_user()
      drm/i915: Always reset vma->ggtt_view.pages cache on unbinding
      drm/i915: Fix build without CONFIG_PM
      drm/i915: Report to userspace if we have a (presumed) working GPU reset
      drm/i915: Enforce execobject.alignment to be a power-of-two
      drm/i915: Ignore LVDS presence in VBT flag if the LVDS is enabled by BIOS
      drm/i915: Remove KMS Kconfig option
      drm/i915: Report an error when i915.reset prevents a reset
      agp/intel: Fix typo in needs_ilk_vtd_wa()
      drm/i915: Declare the swizzling unknown for L-shaped configurations
      drm/i915: Store device pointer in contexts for late tracepoint usafe

Christian Borntraeger (5):
      KVM: provide irq_unsafe kvm_guest_{enter|exit}
      KVM: arm/mips/x86/power use __kvm_guest_{enter|exit}
      KVM: s390: optimize round trip time in request handling
      KVM: s390: make exit_sie_sync more robust
      KVM: s390: provide functions for blocking all CPUs

Christian Engelmayer (2):
      [media] mn88472: Fix possible leak in mn88472_init()
      btrfs: qgroup: Fix possible leak in btrfs_add_qgroup_relation()

Christian König (17):
      drm/amdgpu: simplify fence debugfs output a bit
      drm/amdgpu: add BO map/unmap trace point
      drm/amdgpu: add amdgpu_bo_list_set trace point
      drm/amdgpu: print the bo_list in the CS trace point as well
      drm/amdgpu: silence invalid error message
      drm/amdgpu: check VCE relocation buffer range
      drm/amdgpu: make VCE handle check more strict
      drm/amdgpu: check VCE feedback and bitstream index
      drm/amdgpu: fix crash on invalid CS IOCTL
      drm/amdgpu: add chunk id validity check
      drm/radeon: fix adding all VAs to the freed list on remove v2
      drm/amdgpu: recreate fence from user seq
      drm/amdgpu: add optional dependencies to the CS IOCTL v2
      drm/amdgpu: add flag to delay VM updates
      drm/radeon: allways add the VM clear duplicate
      drm/radeon: check if BO_VA is set before adding it to the invalidation list
      drm/amdgpu: fix timeout calculation

Christian Riesch (1):
      mtd: cfi_cmdset_0002: Initialize datum before calling map_word_load_partial

Christoffer Dall (3):
      stable: Update documentation to clarify preferred procedure
      arm/arm64: KVM: Properly account for guest CPU time
      arm64: Don't report clear pmds and puds as huge

Christoffer Holmstedt (1):
      nl802154: fix misspelled enum

Christoph Hellwig (79):
      nfsd: remove nfsd_close
      remove <asm/scatterlist.h>
      block: rename REQ_TYPE_SPECIAL to REQ_TYPE_DRV_PRIV
      block: move REQ_TYPE_ATA_TASKFILE and REQ_TYPE_ATA_PC to ide.h
      block: move REQ_TYPE_SENSE to the ide driver
      block: remove REQ_TYPE_PM_SHUTDOWN
      block: move PM request support to IDE
      nbd: stop using req->cmd
      block: use an atomic_t for mq_freeze_depth
      nvme: disable irqs in nvme_freeze_queues
      remove scatterlist.h generation from arch Kbuild files
      block: remove BIO_EOPNOTSUPP
      block: remove unused BIO_RW_BLOCK and BIO_EOF flags
      suspend: simplify block I/O handling
      nvme: consolidate synchronous command submission helpers
      nvme: store a struct device pointer in struct nvme_dev
      nvme: split nvme_trans_send_fw_cmd
      nvme: fix scsi translation error handling
      nvme: first round at deobsfucating the SCSI translation code
      nvme: simplify and cleanup the READ/WRITE SCSI CDB parsing code
      nvme: report the DPOFUA in MODE_SENSE
      nvme: fail SCSI read/write command with unsupported protection bit
      nvme: submit internal commands through the block layer
      block, dm: don't copy bios for request clones
      megaraid_sas : add missing __iomem annotations
      megaraid_sas : add endianness annotations
      megaraid_sas : add endianness conversions for all ones
      megaraid_sas : move endianness conversion into caller of megasas_get_seq_num
      megaraid_sas : bytewise or should be done on native endian variables
      megaraid_sas : add missing byte swaps to the sriov code
      megaraid_sas : fix megasas_fire_cmd_fusion calling convention
      megaraid_sas : swap whole register in megasas_register_aen
      virtio_scsi: don't select CONFIG_BLK_DEV_INTEGRITY
      target: fix DPO and FUA bit checks
      tcm_loop: remove struct tcm_loop_nacl
      tcm_usb: remove struct usbg_nacl
      sbp_target: remove struct sbp_nacl
      vhost/scsi: remove struct vhost_scsi_nacl
      target: make the tpg_get_default_depth method optional
      target: refactor init/drop_nodeacl methods
      target: refactor node ACL allocation
      target: move node ACL allocation to core code
      target/iscsi: stop using se_tpg_fabric_ptr
      tcm_loop: stop using se_tpg_fabric_ptr
      tcm_fc: stop using se_tpg_fabric_ptr
      target: change core_tpg_register prototype
      target: remove the get_fabric_proto_ident method
      target: move transport ID handling to the core
      target: don't copy fabric ops
      target: put struct target_fabric_configfs on a diet
      target: remove struct target_fabric_configfs_template
      target: target_core_configfs.h is not needed in fabric drivers
      target: remove ->put_session method
      target/pr: cleanup core_scsi3_pr_seq_non_holder
      target: simplify backend driver registration
      target: consolidate backend attribute implementations
      target: simplify backend attribute implementation
      target: Subsume se_port + t10_alua_tg_pt_gp_member into se_lun
      xfs: switch remaining xfs_trans_dup users to xfs_trans_roll
      xfs: pass a boolean flag to xfs_trans_free_items
      xfs: remove the flags argument to xfs_trans_cancel
      xfs: saner xfs_trans_commit interface
      xfs: fix xfs_log_done interface
      nfsd: clean up raparams handling
      nfsd: refactor nfs4_preprocess_stateid_op
      xfs: remove __psint_t and __psunsigned_t
      xfs: remove inst_t
      xfs: return a void pointer from xfs_buf_offset
      xfs: use void pointers in log validation helpers
      xfs: remove xfs_caddr_t
      nfsd: take struct file setup fully into nfs4_preprocess_stateid_op
      nfsd: fput rd_file from XDR encode context
      nfsd: wrap too long lines in nfsd4_encode_read
      target: replace se_cmd->execute_rw with a protocol_data field
      target: simplify UNMAP handling
      target: implement WRITE_SAME with UNMAP bit using ->execute_unmap
      target: consolidate version defines
      target: remove unused TARGET_CORE_CONFIG_ROOT define
      target: remove target_core_configfs.h

Christoph Lameter (2):
      Fix kmalloc slab creation sequence
      Add __init attribute to new_kmalloc_cache

Christoph Paasch (1):
      tcp: Do not call tcp_fastopen_reset_cipher from interrupt context

Christophe Jaillet (2):
      gpio: x-gene: Remove a useless memset
      sparc: kernel: GRPCI2: Remove a useless memset

Christophe Ricard (14):
      NFC: nci: Add NCI_RESET return code check before setup
      NFC: nci: Add nci init ops for early device initialization
      NFC: nci: Add nci_prop_cmd allowing to send proprietary nci cmd
      NFC: st21nfcb: Do not remove header once the payload is sent
      NFC: st21nfcb: remove st21nfcb_nci_i2c_disable
      NFC: st21nfcb: Add ndlc_close in st21nfcb_nci_remove
      NFC: st21nfcb: Fix st21nfcb_nci_close
      NFC: st21nfcb: Add support for nci proprietary commands
      NFC: nci: Move close ops call in nci_close_device
      NFC: st21nfcb: Move st21nfcb_nci_remove in ndlc_remove
      NFC: st21nfcb: Move powered flag from phy to ndlc layer
      NFC: st21nfcb: disable irq when st21nfcb is disabled
      NFC: st21nfcb: Configure CLF with NCI proprietary command
      nfc: st-nci: Rename st21nfcb to st-nci

Christophe Vu-Brugier (3):
      iscsi-target: remove support for obsolete markers
      target: fix a log message in se_dev_set_emulate_rest_reord()
      iscsi-target: fix variable name typo in iscsi_check_acceptor_state()

Chuck Lever (25):
      NFS: Fix size of NFSACL SETACL operations
      SUNRPC: Clean up bc_send()
      SUNRPC: Move EXPORT_SYMBOL for svc_process
      svcrdma: Remove svc_rdma_xdr_decode_deferred_req()
      svcrdma: Fix byte-swapping in svc_rdma_sendto.c
      svcrdma: Keep rpcrdma_msg fields in network byte-order
      svcrdma: Replace GFP_KERNEL in a loop with GFP_NOFAIL
      svcrdma: Add a separate "max data segs macro for svcrdma
      rpcrdma: Merge svcrdma and xprtrdma modules into one
      SUNRPC: Transport fault injection
      SUNRPC: Address kbuild warning in net/sunrpc/debugfs.c
      xprtrdma: Warn when there are orphaned IB objects
      xprtrdma: Replace rpcrdma_rep::rr_buffer with rr_rxprt
      xprtrdma: Remove rr_func
      xprtrdma: Use ib_device pointer safely
      xprtrdma: Introduce helpers for allocating MWs
      xprtrdma: Acquire FMRs in rpcrdma_fmr_register_external()
      xprtrdma: Introduce an FRMR recovery workqueue
      xprtrdma: Acquire MRs in rpcrdma_register_external()
      xprtrdma: Remove unused LOCAL_INV recovery logic
      xprtrdma: Remove ->ro_reset
      xprtrdma: Remove rpcrdma_ia::ri_memreg_strategy
      xprtrdma: Split rb_lock
      xprtrdma: Stack relief in fmr_op_map()
      xprtrdma: Reduce per-transport MR allocation

Chun-Yeow Yeoh (3):
      cfg80211: allow the plink state blocking for user managed mesh
      mac80211: fix the beacon csa counter for mesh and ibss
      ath9k_htc: add support of channel switch

Chunfan Chen (1):
      mwifiex: handle BT coex event to adjust Rx BA window size

Claudiu Manoil (2):
      gianfar: Move TxFIFO underrun handling to reset path
      gianfar: Enable changing mac addr when if up

Claudiu Zissulescu (1):
      ARCv2: optimised string/mem lib routines

Clemens Ladisch (2):
      ALSA: usb-audio: add MAYA44 USB+ mixer control names
      ALSA: usb-audio: fix missing input volume controls in MAYA44 USB(+)

Colin Cronin (6):
      Drivers: gpio: Fix spelling errors
      Drivers: staging: comedi: comedi: Fixed comment spelling error
      Drivers: staging: skein: skein_api: Fixed spelling errors
      Staging: xgifb: vb_setmode: Fixed spelling error
      Staging: vt6656: device: Fixed spelling error
      Staging: dgap: dgap: Fixed spelling errors

Colin Cross (1):
      iommu/exynos: Tell kmemleak to ignore 2nd level page tables

Colin Ian King (7):
      crypto: mv_cesa - ensure backlog is initialised
      ti-st: handle null allocation return correctly.
      fddi: print an address with %p format specifier rather than %x
      usb: isp1760: check for null return from kzalloc
      RDMA/ocrdma: fix double free on pd
      drivers/misc/altera-stapl/altera.c: remove extraneous KERN_INFO prefix
      ALSA: Fix uninintialized error return

Constantine Shulyupin (1):
      hwmon: (nct7802) fix visibility of temp3

Cornelia Huck (1):
      KVM: s390: virtio-ccw: don't overwrite config space values

Cory Tusar (1):
      ARM: dts: vf610: Nomenclature fixup for PTC12 pin used in RMII mode.

Craig Gallek (5):
      sock_diag: define destruction multicast groups
      sock_diag: specify info_size per inet protocol
      sock_diag: implement a get_info handler for inet
      sock_diag: fetch source port from inet_sock
      sock_diag: don't broadcast kernel sockets

Cyril Bur (3):
      powerpc/configs: Merge pseries_defconfig and pseries_le_defconfig
      powerpc/configs: Replace pseries_le_defconfig with a Makefile target using merge_config
      mtd: powernv: Add powernv flash MTD abstraction driver

Cyrille Pitchen (11):
      spi: atmel: add support for the internal chip-select of the spi controller
      i2c: at91: fix a race condition when using the DMA controller
      i2c: at91: use BIT() macro to define register bits
      i2c: at91: update documentation for DT bindings
      i2c: at91: add support for new alternative command mode
      i2c: at91: print hardware version
      i2c: at91: add support to FIFOs
      i2c: at91: fix code checker warnings
      spi: atmel: update DT bindings documentation
      spi: atmel: add support to FIFOs
      net/macb: add config for Atmel sama5d2 SoCs

Cédric Le Goater (1):
      powerpc/powernv: convert OPAL codes returned by sysparam calls

Daeseok Youn (1):
      ocfs2: use retval instead of status for checking error

Damian Eppel (1):
      clocksource: exynos_mct: Avoid blocking calls in the cpu hotplug notifier

Damien Lespiau (25):
      drm/i915/skl: Make sure to break when not finding suitable PLL dividers
      drm/i915/skl: Display the WRPLL frequency we couldn't accomodate when failing
      drm/i915/skl: Propagate the error if we fail to find a suitable DPLL divider
      drm/i915/skl: Use a more idomatic early return
      drm/i915/skl: Factor out computing the DPLL paramaters from the dividers
      drm/i915/skl: Remove unnecessary () used with div_u64()
      drm/i915/skl: Remove unnecessary () used with abs_diff()
      drm/i915: Correctly prefix HSW/BDW HDMI clock functions
      drm/i915/skl: Don't try to store the wrong central frequency
      drm/i915: Make broxton_set_cdclk() static
      drm/i915/skl: Derive the max CDCLK from DFSM
      drm/i915/skl: Don't warn if reading back DPLL0 is disabled
      drm/i915: Don't display the boot CDCLK twice
      drm/i915/skl: Update the cached CDCLK at the end of set_cdclk()
      drm/i915/bxt: Use intel_update_cdclk() to update dev_priv->cdclk_freq
      drm/i915: Make pc8_status report status for all runtime PM platforms
      drm/i915: Add runtime PM's usage_count in i915_runtime_pm_status
      drm/i915: Remove unnecessary () used with WARN()
      drm/i915/skl: Add debug messages at the start/end of DMC firmware loading
      drm/i915: Make sure our labels start at column 0
      drm/i915/skl: Replace the HDMI DPLL divider computation algorithm
      drm/i915/skl: Prefer even dividers for SKL DPLLs
      drm/i915/skl: Skip remaining dividers when deviation is 0
      drm/i915/skl: Don't expose the top most plane on gen9 display
      drm/i915/skl: Drop the preliminary_hw_support flag

Dan Carpenter (48):
      efi: efivar_create_sysfs_entry() should return negative error codes
      [media] i2c: ov2659: signedness bug inov2659_set_fmt()
      brcmfmac: cleanup a sizeof()
      crypto: talitos - fix size calculation in talitos_edesc_alloc()
      ima: cleanup ima_init_policy() a little
      [media] v4l: xilinx: harmless buffer overflow
      net: macb: OR vs AND typos
      HID: rmi: fix some harmless BIT() mistakes
      HID: i2c-hid: fix harmless test_bit() issue
      ata: ahci_xgene: potential NULL dereference in probe
      ASoC: wm8741: check for error returns from wm8741_set_pdata()
      [media] rtl2832_sdr: cleanup some set_bit() calls
      udf: fix udf_load_pvoldesc()
      USB: devio: fix a condition in async_completed()
      virtio_console: silence a static checker warning
      sd: fix an error return in probe()
      csiostor: fix an error code in csio_hw_init()
      ath9k_htc: memory corruption calling set_bit()
      rndis_wlan: harmless issue calling set_bit()
      fbdev: ssd1307fb: potential ERR_PTR dereference
      ARM: BCM63xx: fix an error path in bcm63xx_pmb_power_on_cpu()
      staging: slicoss: restore IRQs correctly after slic_cmdq_reset()
      iio: magnetometer: correct a harmless off by one check
      iio: light: signedness bug in stk3310_write_raw()
      f2fs: cleanup a confusing indent
      Staging: lustre: ptlrpc: signedness bug in high_priority_ratio_store()
      Staging: Lustre: lproc_fid: remove some dead code
      staging: wilc1000: prevent some overflows in debugfs
      staging: ozwpan: prevent a couple of underflows
      staging: rtl8192e: fix some confusing indenting
      [media] m88ds3103: a couple missing error codes
      clk: socfpga: remove a stray tab
      [media] dvb-core: prevent some corruption the legacy ioctl
      ASoC: imx-wm8962: Add a missing error check
      IB/usnic: clean up some error handling code
      iommu: Checking for NULL instead of IS_ERR
      net/mlx5_core: fix an error code
      Smack: freeing an error pointer in smk_write_revoke_subj()
      clk: meson: add some error handling in meson_clk_register_cpu()
      w1: fix for loop exit condition in w1_seq_show()
      w1: use correct lock on error in w1_seq_show()
      clk: h8300: fix error handling in h8s2678_pll_clk_setup()
      fs/posix_acl.c: make posix_acl_create() safer and cleaner
      ACPI / resources: free memory on error in add_region_before()
      btrfs: delayed-ref: double free in btrfs_add_delayed_tree_ref()
      renesas: missing unlock on error path
      cavium/liquidio: fix some error handling in lio_set_phys_id()
      drm/radeon: fix underflow in r600_cp_dispatch_texture()

Dan Ehrenberg (1):
      UBI: block: Dynamically allocate minor numbers

Dan Haab (1):
      MIPS: BCM47XX: Support Luxul XWR-1750 board

Dan Murphy (5):
      Input: drv2667 - fix Kconfig error for help screen
      Input: ff-core - fix spelling mistake in ff-core
      Input: add TI drv2665 haptics driver
      net: phy: dp83867: Add TI dp83867 phy
      net: phy: dp83867: Fix device tree entries

Dan Streetman (26):
      powerpc: export of_get_ibm_chip_id function
      powerpc: Add ICSWX instruction
      lib: add software 842 compression/decompression
      crypto: 842 - change 842 alg to use software
      crypto: nx - rename nx-842.c to nx-842-pseries.c
      crypto: nx - add NX-842 platform frontend driver
      crypto: nx - add nx842 constraints
      crypto: nx - add PowerNV platform NX-842 driver
      crypto: nx - simplify pSeries nx842 driver
      crypto: nx - add hardware 842 crypto comp alg
      lib: make lib/842 decompress functions static
      lib: correct 842 decompress for 32 bit
      crypto: nx - remove 842-nx null checks
      crypto: nx - prevent nx 842 load if no hw driver
      crypto: nx - fix nx-842 pSeries driver minimum buffer size
      crypto: nx - move include/linux/nx842.h into drivers/crypto/nx/nx-842.h
      crypto: nx - replace NX842_MEM_COMPRESS with function
      crypto: nx - add LE support to pSeries platform driver
      MAINTAINERS: clarify drivers/crypto/nx/ file ownership
      module: make perm const
      module: add per-module param_lock
      frontswap: allow multiple backends
      zswap: runtime enable/disable
      zpool: change pr_info to pr_debug
      zpool: remove zpool_evict()
      MAINTAINERS: add zpool

Dan Williams (31):
      e820, efi: add ACPI 6.0 persistent memory types
      block: fix ext_dev_lock lockdep report
      libnvdimm, nfit: initial libnvdimm infrastructure and NFIT support
      libnvdimm: control character device and nvdimm_bus sysfs attributes
      libnvdimm, nfit: dimm/memory-devices
      libnvdimm: control (ioctl) messages for nvdimm_bus and nvdimm devices
      libnvdimm, nvdimm: dimm driver and base libnvdimm device-driver infrastructure
      libnvdimm, nfit: regions (block-data-window, persistent memory, volatile memory)
      libnvdimm: support for legacy (non-aliasing) nvdimms
      libnvdimm, pmem: move pmem to drivers/nvdimm/
      libnvdimm, pmem: add libnvdimm support to the pmem driver
      libnvdimm, nfit: add interleave-set state-tracking infrastructure
      libnvdimm: namespace indices: read and validate
      libnvdimm: pmem label sets and namespace instantiation.
      libnvdimm: blk labels and namespace instantiation
      libnvdimm: write pmem label set
      libnvdimm: write blk label set
      libnvdimm: infrastructure for btt devices
      tools/testing/nvdimm: libnvdimm unit test infrastructure
      libnvdimm: Non-Volatile Devices
      libnvdimm, pmem: fix up max_hw_sectors
      pmem: make_request cleanups
      libnvdimm: enable iostat
      pmem: flag pmem block devices as non-rotational
      libnvdimm, nfit: handle unarmed dimms, mark namespaces read-only
      sparse: fix misplaced __pmem definition
      libnvdimm: smatch cleanups in __nd_ioctl
      nfit: fix smatch "use after null check" report
      tools/testing/nvdimm: mock ioremap_wt
      tools/testing/nvdimm: fix return code for unimplemented commands
      tools/testing/nvdimm: add mock acpi_nfit_flush_address entries to nfit_test

Daniel Axtens (19):
      tty/hvc: remove celleb-only beat driver
      powerpc: Add MSI operations to pci_controller_ops struct
      powerpc/powernv: Move MSI-related ops to pci_controller_ops
      powerpc/cell: Move MSI-related ops to pci_controller_ops
      powerpc/pseries: Move MSI-related ops to pci_controller_ops
      powerpc/fsl_msi: Move MSI-related ops to pci_controller_ops
      powerpc/ppc4xx_msi: Move MSI-related ops to pci_controller_ops
      powerpc/ppc4xx_hsta_msi: Move MSI-related ops to pci_controller_ops
      powerpc/pasemi: Move MSI-related ops to pci_controller_ops
      powerpc/mpic_u3msi: Move MSI-related ops to pci_controller_ops
      powerpc: Remove MSI-related PCI controller ops from ppc_md
      powerpc/powernv: Specialise pci_controller_ops for each controller type
      powerpc/pci: add dma_set_mask to pci_controller_ops
      powerpc/powernv: Move dma_set_mask() from pnv_phb to pci_controller_ops
      powerpc/pci: Export symbols for CXL
      powerpc: Set the correct kernel taint on machine check errors.
      cxl: Test the correct mmio space before unmapping
      powerpc/ppc4xx_hsta_msi: Include ppc-pci.h to fix reference to hose_list
      cxl: Check if afu is not null in cxl_slbia

Daniel Baluta (12):
      iio: light: ltr501: Fix alignment to match open parenthesis
      iio: ltr501: Add support for ltr559 chip
      iio: ltr501: Add support for ltr301 chip
      iio: magnetometer: Add support for MEMSIC MMC35240 sensor
      iio: magnetometer: mmc35240: Add PM sleep support
      iio: magnetometer: Add ACPI support for MMC35240
      iio: magnetometer: mmc35240: i2c device name should be lower case
      iio: magnetometer: mmc35240: NULL terminate attribute array
      iio: magnetometer: mmc35240: Fix broken processed value
      iio: magnetometer: mmc35240: Use a smaller sleep value
      iio: magnetometer: mmc35240: Fix sensitivity on z-axis
      iio: magnetometer: mmc35240: Add compensation for raw values

Daniel Borkmann (14):
      test_bpf: indicate whether bpf prog got jited in test suite
      net: filter: add __GFP_NOWARN flag for larger kmem allocs
      seccomp, filter: add and use bpf_prog_create_from_user from seccomp
      net: sched: consolidate handle_ing and ing_filter
      net: sched: further simplify handle_ing
      net: sched: fix typo in net_device ifdef
      test_bpf: add tests related to BPF_MAXINSNS
      tcp: add rfc3168, section 6.1.1.1. fallback
      net: dev: reduce both ingress hook ifdefs
      test_bpf: add more eBPF jump torture cases
      test_bpf: add similarly conflicting jump test case only for classic
      ebpf: allow bpf_ktime_get_ns_proto also for networking
      ebpf: misc core cleanup
      compiler-intel: fix wrong compiler barrier() macro

Daniel Drake (2):
      Bluetooth: btusb: fix Realtek suspend/resume
      rtlwifi: btcoexist: Fix interference between rtl8723be and Bluetooth

Daniel Kurtz (1):
      arm64: dts: mt8173: fix some indentation

Daniel Lockyer (1):
      gpio: Fix checkpatch.pl issues

Daniel Mack (1):
      spi: spi-pxa2xx: remove legacy PXA DMA bits

Daniel Pieczko (18):
      sfc: Move and rename efx_vf struct to siena_vf
      sfc: Record [rt]x_dpcpu_fw_id in EF10 nic_data
      sfc: record the PF's vport ID in nic_data
      sfc: create VEB vswitch and vport above default firmware setup
      sfc: get the PF number and record in nic_data
      sfc: Enable a VF to get its own MAC address
      sfc: free multiple Rx buffers when required
      sfc: add "port_" prefix to MAC stats
      sfc: set the port-id when calling MC_CMD_MAC_STATS
      sfc: display vadaptor statistics for all interfaces
      sfc: DMA the VF stats only when requested
      sfc: update netdevice statistics to use vadaptor stats
      sfc: suppress ENOENT error messages from MC_CMD_MAC_STATS
      sfc: suppress vadaptor stats when EVB is not present
      sfc: don't update stats on VF when called in atomic context
      sfc: do not allow VFs to be destroyed if assigned to guests
      sfc: force removal of VF and vport on driver removal
      sfc: leak vports if a VF is assigned during PF unload

Daniel Sanders (1):
      slab: correct size_index table before replacing the bootstrap kmem_cache_node

Daniel Thompson (6):
      clk: Update docs after removal of clk-private.h
      clk: fixed: Add comment to clk_fixed_set_rate
      ARM: 8390/1: irqflags: Get arch_irqs_disabled from asm-generic
      dt-bindings: Document the STM32F4 clock bindings
      clk: stm32: Add clock driver for STM32F4[23]xxx devices
      clk: stm32: Fix out-by-one error path in the index lookup

Daniel Verkamp (1):
      ntb: initialize max_mw for Atom before using it

Daniel Vetter (33):
      vt: Don't check KD_GRAPHICS when binding/unbinding
      Merge remote-tracking branch 'origin/drm-intel-next-queued' into drm-intel-next-queued
      Merge branch 'topic/atomic-conversion' into drm-intel-next-queued
      drm/i915: Remove more ilk rc6 remnants
      drm/i915: Update DRIVER_DATE to 20150619
      drm/i915: Fix up KMS Kconfig removal patch
      Merge remote-tracking branch 'airlied/drm-next' into HEAD
      drm/i915: Clear fb_tracking.busy_bits also for synchronous flips
      drm/i915: Filter out no-op frontbuffer tracking flushes
      drm/i915: debugfs for frontbuffer tracking
      drm/i915: s/update/compute/ for gmch dpll register functions
      drm/i915/drrs: Restrict buffer tracking to the DRRS pipe
      drm/i915/psr: Restrict buffer tracking to the PSR pipe
      drm/i915/psr: Restrict single-shot updates to the PSR pipe
      drm/i915: Use to_i915 in intel_frontbuffer.c
      drm/i915: Nuke lvds downclock support
      drm/i915: Unconditionally do fb tracking invalidate in set_domain
      drm/i915: Update DRIVER_DATE to 20150703
      drm/i915: Ditch SUPPORTS_INTEGRATED_HDMI|DP and use IS_G4X instead
      drm/i915: s/mdelay/msleep/
      drm/i915: Inline SUPPORTS_DIGITAL_OUTPUTS
      drm/i915: Check crtc->active in intel_crtc_disable_planes
      drm/i915: Use crtc_state->active in primary check_plane func
      drm/i915: Fix missing return warning for !CONFIG_DEBUGFS
      drm/i915: fix oops in primary_check_plane
      Revert "drm/i915: Declare the swizzling unknown for L-shaped configurations"
      drm/i915: Remove unused compat32 code
      drm/i915: Use expcitly fixed type in compat32 structs
      Merge tag 'drm-intel-fixes-2015-07-15' into drm-intel-next-queued
      drm/i915: Unconditionally check gmch pfit state
      drm/i915: Clarify logic for initial modeset
      drm/i915: Invert fastboot check
      drm/i915: Update DRIVER_DATE to 20150717

Daniel Wagner (2):
      bpf: BPF based latency tracing
      lib/sort: Add 64 bit swap function

Daniele Alessandrelli (2):
      staging: rtl8723au: include/rtl8723a_hal.h: fix Rsvd1 size in fw header struct
      staging: rtl8723au: include/rtl8723a_hal.h: use __leXX types in fw header struct

Darren Hart (6):
      selftests: Add futex functional tests
      selftests/futex: Update Makefile to use lib.mk
      selftests/futex: Increment ksft pass and fail counters
      selftests: Add futex tests to the top-level Makefile
      kselftest: Add exit code defines
      selftests/futex: Add .gitignore

Darrick J. Wong (1):
      ext4: don't retry file block mapping on bigalloc fs with non-extent file

Dasaratharaman Chandramouli (2):
      intel powerclamp: support Knights Landing
      powercap / RAPL: Support Knights Landing

Dave Airlie (13):
      Merge branch 'drm-fixes-4.1' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-amdkfd-fixes-2015-06-03' of git://people.freedesktop.org/~gabbayo/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2015-06-05' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      ALSA: hda - fix number of devices query on hotplug
      Merge branch 'drm-fixes-4.1' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2015-06-11' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      drm/radeon: don't probe MST on hw we don't support it on
      Merge branch 'drm-fixes-4.1' of git://people.freedesktop.org/~deathsimple/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2015-06-18' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge branch 'drm-fixes-4.2' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-amdkfd-fixes-2015-07-09' of git://people.freedesktop.org/~gabbayo/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2015-07-09' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge tag 'omapdrm-4.2-fixes' of git://git.kernel.org/.../tomba/linux into drm-fixes

Dave Chinner (19):
      Merge branch 'xfs-misc-fixes-for-4.2' into for-next
      Merge branch 'xfs-sparse-inode' into for-next
      xfs: mmap lock needs to be inside freeze protection
      dax: don't abuse get_block mapping for endio callbacks
      dax: expose __dax_fault for filesystems with locking constraints
      xfs: add DAX file operations support
      xfs: add DAX block zeroing support
      xfs: add DAX truncate support
      xfs: add DAX IO path support
      xfs: add initial DAX support
      Merge branch 'xfs-dax-support' into for-next
      Merge branch 'xfs-misc-fixes-for-4.2-2' into for-next
      Merge branch 'xfs-commit-cleanup' into for-next
      xfs: xfs_alloc_fix_freelist() can use incore perag structures
      xfs: factor out free space extent length check
      xfs: sanitise error handling in xfs_alloc_fix_freelist
      xfs: clean up XFS_MIN_FREELIST macros
      Merge branch 'xfs-freelist-cleanup' into for-next
      Merge branch 'xfs-misc-fixes-for-4.2-3' into for-next

Dave Gerlach (5):
      ARM: OMAP: AM43xx hwmod: Add data for am43xx emif hwmod
      remoteproc: introduce rproc_get_by_phandle API
      Documentation: dt: add bindings for TI Wakeup M3 processor
      remoteproc/wkup_m3: add a remoteproc driver for TI Wakeup M3
      ARM: dts: am4372: Add emif node

Dave Gordon (3):
      lib/scatterlist.c: fix kerneldoc for sg_pcopy_{to,from}_buffer()
      lib/scatterlist: mark input buffer parameters as 'const'
      drivers/scsi/scsi_debug.c: resolve sg buffer const-ness issue

Dave Hansen (19):
      x86/fpu/xstate: Fix up bad get_xsave_addr() assumptions
      x86/fpu/xstate: Wrap get_xsave_addr() to make it safer
      x86/mpx: Use the new get_xsave_field_ptr()API
      x86/mpx: Clean up the code by not passing a task pointer around when unnecessary
      x86/mpx: Restrict the mmap() size check to bounds tables
      x86/mpx: Introduce a boot-time disable flag
      x86/mpx: Trace #BR exceptions
      x86/mpx: Trace entry to bounds exception paths
      x86/mpx: Trace the attempts to find bounds tables
      x86/mpx: Trace allocation of new bounds tables
      x86: Make is_64bit_mm() widely available
      x86/mpx: Add temporary variable to reduce masking
      x86/mpx: Introduce new 'directory entry' to 'addr' helper function
      x86/mpx: Use 32-bit-only cmpxchg() for 32-bit apps
      x86/mpx: Support 32-bit binaries on 64-bit kernels
      x86/mpx: Rewrite the unmap code
      x86/mpx: Do not count MPX VMAs as neighbors when unmapping
      x86/mpx: Allow 32-bit binaries on 64-bit kernels again
      x86/fpu: Fix double-increment in setup_xstate_features()

Dave Jiang (10):
      NTB: Read peer info from local SPAD in transport
      NTB: Enable link for Intel root port mode in probe
      NTB: Check the device ID to set errata flags
      NTB: Improve performance with write combining
      NTB: Default to CPU memcpy for performance
      NTB: Rename Intel code names to platform names
      NTB: Increase transport MTU to 64k from 16k
      NTB: Print driver name and version in module init
      NTB: Change WARN_ON_ONCE to pr_warn_once on unsafe
      NTB: Add split BAR output for debugfs stats

Dave Martin (4):
      Revert "serial/amba-pl011: Leave the TX IRQ alone when the UART is not open"
      serial/amba-pl011: Refactor and simplify TX FIFO handling
      serial/amba-pl011: Fix mismerge between v4.1-rc4 and tty-next
      serial/amba-pl011: Unconditionally poll for FIFO space before each TX char

Dave P Martin (1):
      arm64: mm: Fix freeing of the wrong memmap entries with !SPARSEMEM_VMEMMAP

David Ahern (6):
      perf kmem: Fix compiles on RHEL6/OL6
      perf tools: Update MANIFEST per files removed from kernel
      sparc: perf: Disable pagefaults while walking userspace stacks
      sparc64: Convert BUG_ON to warning
      sparc64: perf: Add sanity checking on addresses in user stack
      sparc64: perf: Use UREG_FP rather than UREG_I6

David Decotigny (2):
      staging: rtl8723au: core: avoid bitwise arithmetic with forced endianness
      staging: rtl8723au: core: remove redundant endianness conversion

David Dueck (1):
      clk: at91: do not leak resources

David Fries (1):
      w1_therm reference count family data

David Gibson (1):
      powerpc/eeh: Fix trivial error in eeh_restore_dev_state()

David Henningsson (2):
      ALSA: hda - Remove unused call to "get_pin" in patch_hdmi.c
      ALSA: hda - Fix Dock Headphone on Thinkpad X250 seen as a Line Out

David Herrmann (1):
      netlink: add API to retrieve all group memberships

David Hildenbrand (24):
      KVM: s390: fix external call injection without sigp interpretation
      KVM: s390: factor out and optimize floating irq VCPU kick
      KVM: s390: drop handling of interception code 12
      s390/sclp: prepare smp_fill_possible_mask for global "struct sclp"
      s390/sclp: unify basic sclp access by exposing "struct sclp"
      s390/sclp: get rid of sclp_get_mtid() and sclp_get_mtid_max()
      s390/sclp: move sclp_facilities into "struct sclp"
      sched/preempt, mm/fault: Count pagefault_disable() levels in pagefault_disabled
      sched/preempt, mm/fault: Trigger might_sleep() in might_fault() with disabled pagefaults
      mm/uaccess, mm/fault: Clarify that uaccess may only sleep if pagefaults are enabled
      sched/preempt, mm/kmap: Explicitly disable/enable preemption in kmap_atomic_*
      sched/preempt, mm/kmap, MIPS: Disable preemption in kmap_coherent() explicitly
      mm/fault, arch: Use pagefault_disable() to check for disabled pagefaults in the handler
      mm/fault, drm/i915: Use pagefault_disabled() to check for disabled pagefaults
      sched/preempt, futex: Disable preemption in UP futex_atomic_op_inuser() explicitly
      sched/preempt, futex: Disable preemption in UP futex_atomic_cmpxchg_inatomic() explicitly
      sched/preempt, arm/futex: Disable preemption in UP futex_atomic_cmpxchg_inatomic() explicitly
      sched/preempt, arm/futex: Disable preemption in UP futex_atomic_op_inuser() explicitly
      sched/preempt, futex: Update comments to clarify that preemption doesn't have to be disabled
      sched/preempt, powerpc: Disable preemption in enable_kernel_altivec() explicitly
      sched/preempt, MIPS: Properly lock access to the FPU
      sched/preempt, mm/fault: Decouple preemption from the page fault logic
      KVM: s390: call exit_sie() directly on vcpu block/request
      KVM: s390: introduce KMSG_COMPONENT for kvm-s390

David Howells (24):
      FS-Cache: Count culled objects and objects rejected due to lack of space
      FS-Cache: Move fscache_report_unexpected_submission() to make it more available
      FS-Cache: When submitting an op, cancel it if the target object is dying
      FS-Cache: Handle a new operation submitted against a killed object
      FS-Cache: Synchronise object death state change vs operation submission
      FS-Cache: fscache_object_is_dead() has wrong logic, kill it
      FS-Cache: Permit fscache_cancel_op() to cancel in-progress operations too
      FS-Cache: Out of line fscache_operation_init()
      FS-Cache: Count the number of initialised operations
      FS-Cache: Fix cancellation of in-progress operation
      FS-Cache: Put an aborted initialised op so that it is accounted correctly
      FS-Cache: The operation cancellation method needs calling in more places
      FS-Cache: Retain the netfs context in the retrieval op earlier
      crypto: pcomp - Constify (de)compression parameters
      crypto: testmgr - Wrap the LHS in expressions of the form !x == y
      VFS: Handle lower layer dentry/inode in pathwalk
      [media] dvb: Document FE_SCALE_DECIBEL units consistently
      [media] ts2020: Add a comment about lifetime of on-stack pdata in ts2020_attach()
      [media] TS2020: Calculate tuner gain correctly
      [media] ts2020: Provide DVBv5 API signal strength
      [media] ts2020: Copy loop_through from the config to the internal data
      [media] ts2020: Allow stats polling to be suppressed
      overlay: Call ovl_drop_write() earlier in ovl_dentry_open()
      overlayfs: Make f_path always point to the overlay and f_inode to the underlay

David Härdeman (1):
      [media] rc-core: fix dib0700 scancode generation for RC5

David Jander (1):
      can: flexcan: add MB/FIFO specific column to comment table of IP versions

David Kershner (24):
      staging: unisys: remove server crust from visorchipset.
      staging: unisys: get rid of serialloopbacktest
      staging: unisys: Remove appos_subsystems.h
      staging: unisys: move hypervisor calls into visorbus
      staging: unisys: Move controlframework into controlvmchannel.h
      staging: unisys: Move channel.h to include. Controvlm to visorbus
      staging: unisys: vbuschannel belonsg to visorbus
      staging: unisys: Move diagchannel to include
      staging: unisys: Move files out of common-spar
      staging: unisys: Get rid of references to common-spar
      staging: unisys: get rid of sparstop
      staging: unisys: remove remaining utility headers
      staging: unisys: Update diag serverity enum
      staging: unisys: Remove unneeded fields in diagchannel.h
      staging: unisys: Clean up diag_serverity enum
      staging: unisys: Add the bus device to the visor device list.
      staging: unisys: Move phys_info to iochannel.h
      staging: unisys: convert pack pragma to __packed
      staging: unisys: Don't hold device responses until driver loads
      staging: unisys: cleanup iochannel includes
      staging: unisys: s-Par video channel includes EFI framebuffer
      staging: unisys: Remove visorchannel stub
      staging: unisys: define structures outside of iochannel
      staging: unisys: Add s-Par visornic ethernet driver

David Malcolm (1):
      drivers/net/ethernet/dec/tulip/uli526x.c: fix misleading indentation in uli526x_timer

David Matlack (2):
      staging: slicoss: remove slic_spinlock wrapper
      staging: slicoss: fix occasionally writing out only half of a dma address

David Miller (2):
      ax25: Stop using sock->sk_protinfo.
      net: Kill sock->sk_protinfo

David Moore (1):
      ext4: BUG_ON assertion repeated for inode1, not done for inode2

David Rientjes (1):
      android, lmk: avoid setting TIF_MEMDIE if process has already exited

David Riley (1):
      soc/tegra: pmc: move to using a restart handler

David S. Miller (147):
      Merge branch 'xgene-next'
      Merge branch 'rt6_pmtu'
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'eth_type_trans'
      Merge branch 'rhashtable-test'
      Merge branch 'tcp_sack_rttm'
      Merge branch 'flow_keys_digest'
      Merge branch 'via-rhine-rework'
      Merge branch 'igmp_mld_export'
      Merge branch 'tipc-topology-cleanup'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/next-queue
      Merge branch 'eth_proto_is_802_3'
      Merge branch 'ipvlan-mcast'
      Merge branch 'cxgb4-next'
      Merge branch 'dsa-next'
      Merge branch 'sfc-next'
      Merge branch 'be2net'
      Merge branch 'tcp-more-reliable-window-probes'
      Merge tag 'mac80211-next-for-davem-2015-05-06' of git://git.kernel.org/.../jberg/mac80211-next
      Merge branch 'bpf_seccomp'
      Merge tag 'linux-can-next-for-4.2-20150506' of git://git.kernel.org/.../mkl/linux-can-next
      Merge branch 'netns-scalability'
      Merge branch 'pktgen-next'
      Merge branch 'kernel_socket_netns'
      Merge branch 'bonding_netlink_lacp'
      Merge branch 'handle_ing_lightweight'
      Merge branch 'netdev_page_frags'
      Merge branch 'switchdev_spring_cleanup'
      Merge branch 'systemport-irq-coalesce'
      Merge branch 'switchdev-cleanups'
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'sfc-next'
      Merge branch 'cls_flower'
      Merge branch 'packet_rollover'
      Merge branch 'geneve_tunnel_driver'
      Merge branch 'nf-ingress'
      Merge branch 'be2net-next'
      Merge branch 'tipc-next'
      Merge branch 'phy_turn_around'
      Merge branch 'stmmac-platform-glue'
      Merge branch 'amd-xgbe-next'
      Merge branch 'tcp_mem_pressure'
      Merge branch 'qeth-next'
      Merge git://git.kernel.org/.../pablo/nf-next
      Merge branch 'icmp_frag'
      Merge tag 'mac80211-next-for-davem-2015-05-19' of git://git.kernel.org/.../jberg/mac80211-next
      Merge branch 'cxgb4-next'
      Merge branch 'ebpf-tail-call'
      Merge branch 'rocker-transaction-fixes'
      Merge branch 'sfc-next'
      Merge branch 'cxgb4-next'
      Merge branch 'tcp_src_port_selection'
      Merge branch 'stmmac-probe-refactoring'
      Merge branch 'pktgen-new-scripts'
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'mlx4-next'
      Merge tag 'wireless-drivers-next-for-davem-2015-05-21' of git://git.kernel.org/.../kvalo/wireless-drivers-next
      Merge branch 'af_unix_sendpage'
      Merge branch 'amd-xgbe-next'
      Merge branch 'ipv6_route_sharing'
      Merge branch 'rocker-cleanups'
      Merge branch 'cpsw-cleanups'
      ipv4: Fix fib_trie.c build, missing linux/vmalloc.h include.
      Merge branch 'phy_rgmii'
      Merge branch 'ip_frag_next'
      Merge branch 'sfc-next'
      Merge branch 'thunderx'
      Merge branch 'cxgb4-next'
      treewide: Add missing vmalloc.h inclusion.
      Merge branch 'master' of git://git.kernel.org/.../klassert/ipsec-next
      Merge branch 'master' of git://git.kernel.org/.../klassert/ipsec
      Merge branch 'stmmac-IPQ806x'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/next-queue
      Merge branch 'mlx5-next'
      Merge branch 'for-upstream' of git://git.kernel.org/.../bluetooth/bluetooth-next
      Merge branch 'mlx4-next'
      Merge tag 'mac80211-for-davem-2015-05-28' of git://git.kernel.org/.../jberg/mac80211
      Merge branch 'bna-fixes'
      Merge branch 'systemport-next'
      Merge git://git.kernel.org/.../pablo/nf-next
      Merge branch 'hv_netvsc-next'
      Merge tag 'batman-adv-for-davem' of git://git.open-mesh.org/linux-merge
      Merge tag 'mac80211-next-for-davem-2015-05-29' of git://git.kernel.org/.../jberg/mac80211-next
      Merge branch 'rds-next'
      Revert "net: core: 'ethtool' issue with querying phy settings"
      net: Add priority to packet_offload objects.
      Merge tag 'wireless-drivers-for-davem-2015-06-01' of git://git.kernel.org/.../kvalo/wireless-drivers
      Merge git://git.kernel.org/.../pablo/nf
      Merge branch 'rocker-next'
      Merge branch 'cxgb4-next'
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'thunderx-next'
      Merge branch 'sfc-next'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/next-queue
      Merge tag 'batman-adv-for-davem' of git://git.open-mesh.org/linux-merge
      Merge branch 'cxgb4-next'
      Merge tag 'wireless-drivers-next-for-davem-2015-06-03' of git://git.kernel.org/.../kvalo/wireless-drivers-next
      Merge branch 'switchdev-next'
      Merge branch 'flow_key_hashing'
      Merge branch 'mlx5-next'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/net-queue
      Merge branch 'cxgb4-next'
      Revert "bridge: use _bh spinlock variant for br_fdb_update to avoid lockup"
      Merge branch 'phy-micrel'
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'batman-adv-for-davem' of git://git.open-mesh.org/linux-merge
      Revert "ipv6: Fix protocol resubmission"
      Merge tag 'linux-can-next-for-4.2-20150609' of git://git.kernel.org/.../mkl/linux-can-next
      Merge branch 'cxgb4-next'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/next-queue
      Merge tag 'mac80211-next-for-davem-2015-06-10' of git://git.kernel.org/.../jberg/mac80211-next
      Merge branch 'brcm-pseudo-phy-addr'
      Merge branch 'broadcom-MDIO-turn-around'
      Merge branch 'mlx5-next'
      Merge branch 'bna-next'
      Merge branch 'tcp-gso-settings-defer'
      Merge branch 'flow_dissector-next'
      Merge git://git.kernel.org/.../davem/net
      Merge git://git.kernel.org/.../pablo/nf-next
      Merge branch 'bpf-share-helpers'
      Merge branch 'rocker-no-wait'
      Merge branch 'bond-netlink-3ad-attrs'
      Merge tag 'nfc-next-4.2-1' of git://git.kernel.org/.../sameo/nfc-next
      Merge branch 'mlx4-vf-counters'
      Merge branch 'sock_diag_destruction_events'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/next-queue
      Merge git://git.kernel.org/.../pablo/nf-next
      Merge branch 'bna-cleanups'
      Merge tag 'wireless-drivers-next-for-davem-2015-06-18' of git://git.kernel.org/.../kvalo/wireless-drivers-next
      Merge branch 'macb-sama5d2'
      Merge branch 'for-upstream' of git://git.kernel.org/.../bluetooth/bluetooth-next
      Merge tag 'nfc-next-4.2-2' of git://git.kernel.org/.../sameo/nfc-next
      Merge branch 'dsa-mv88e6xxx-debugfs'
      Merge tag 'linux-can-fixes-for-4.1-20150621' of git://git.kernel.org/.../mkl/linux-can
      Merge branch 'stmmac-rk3368'
      Merge branch 'switchdev-vlan'
      Merge branch 'xgene-next'
      Merge branch 'mlx-next'
      Merge branch 'ipv4-nexthop-link-status'
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'mlx4'
      Merge branch 'sparc-perf-stack'
      Merge branch 'bnx2x'
      Merge branch 'kill_sk_protinfo'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/net-queue
      Merge branch 'bcm7xxx_workaround'
      Merge branch 'mvneta-jumbo-frames'

David Spinadel (4):
      iwlwifi: mvm: remove deprecated command IDs
      iwlwifi: mvm: include wildcard SSID in scans
      iwlwifi: mvm: add inactive state to ebs status
      iwlwifi: mvm: don't use EBS for P2P find

David Sterba (5):
      btrfs: let tree defrag work in SSD mode
      btrfs: report exact callsite where transaction abort occurs
      btrfs: add 'cold' compiler annotations to all error handling functions
      btrfs: fix warnings after changes in btrfs_abort_transaction
      btrfs: make root id query unprivileged

David Vrabel (1):
      locking/pvqspinlock, x86: Enable PV qspinlock for Xen

David Ward (1):
      net_sched: gred: add TCA_GRED_LIMIT attribute

David Weinehall (2):
      drm/i915: add a context parameter to {en, dis}able zero address mapping
      drm/i915/skl: Buffer translation improvements

David Woodhouse (3):
      iommu/vt-d: Fix passthrough mode with translation-disabled devices
      iommu/vt-d: Change PASID support to bit 40 of Extended Capability Register
      iommu/vt-d: Only enable extended context tables if PASID is supported

Davidlohr Bueso (11):
      futex: Implement lockless wakeups
      ipc/mqueue: Implement lockless pipelined wakeups
      perf bench futex: Support parallel waker threads
      perf bench futex: Handle spurious wakeups
      locking/rtmutex: Implement lockless top-waiter wakeup
      locking/rtmutex: Update stale plist comments
      ipc,shm: move BUG_ON check into shm_lock
      ipc,msg: provide barrier pairings for lockless receive
      ipc: rename ipc_obtain_object
      ipc,sysv: make return -EIDRM when racing with RMID consistent
      ipc,sysv: return -EINVAL upon incorrect id/seqnum

Dean Lee (4):
      staging: wilc1000: modify odd print message
      staging: wilc1000: change WILC_BOOL to bool
      staging: wilc1000: change WILC_Char to char
      staging: wilc1000: rework include wilc_oswrapper.h

Deepak S (1):
      drm/i915: Update PM interrupts before updating the freq

Dennis O'Brien (1):
      usb, HID: Remove Vernier devices from lsusb and hid_ignore_list

Denys Vlasenko (26):
      x86/asm/entry/64: Implement better check for canonical addresses
      x86/asm/entry/64: Merge 32-bit execve stubs with x32 ones, as they are identical
      x86/asm/entry/32: Update -ENOSYS handling to match the 64-bit logic
      x86: Deinline dma_alloc_attrs()
      x86: Deinline dma_free_attrs()
      x86/asm/entry/64: Tidy up JZ insns after TESTs
      x86/asm/entry/64: Clean up usage of TEST insns
      x86: Force inlining of atomic ops
      x86/entry: Stop using PER_CPU_VAR(kernel_stack)
      x86/entry: Remove unused 'kernel_stack' per-cpu variable
      x86/entry: Define 'cpu_current_top_of_stack' for 64-bit code
      net: deinline netif_tx_stop_all_queues(), remove WARN_ON in netif_tx_stop_queue()
      netfilter: ipset: deinline ip_set_put_extensions()
      x86/asm/entry/64: Use shorter MOVs from segment registers
      mtd: cfi: deinline large functions
      x86/asm/entry/32: Simplify the zeroing of pt_regs->r8..r11 in the int80 code path
      x86/asm/entry/32: Open-code CLEAR_RREGS
      x86/asm/entry/32: Open-code LOAD_ARGS32
      x86/asm/entry/32: Do not use R9 in SYSCALL32 entry point
      x86/asm/entry/32: Explain the stub32_clone logic
      x86/asm/entry/32: Remove unnecessary optimization in stub32_clone
      x86/asm/entry/32: Reinstate clearing of pt_regs->r8..r11 on EFAULT path
      x86/asm/entry: Clean up entry*.S style, final bits
      x86/asm/entry/32: Fix fallout from the R9 trick removal in the SYSCALL code
      x86/asm/entry/32: Explain reloading of registers after __audit_syscall_entry()
      x86/asm/entry/32: Shorten __audit_syscall_entry() args preparation

Dexuan Cui (2):
      x86/mm/pageattr: Remove an unused variable in slow_virt_to_phys()
      hv: vmbus_free_channels(): remove the redundant free_channel()

Diego Viola (2):
      scripts/kconfig/Makefile: Fix spelling of Qt
      scripts/kconfig/Makefile: Cosmetic fixes

Ding Wang (1):
      mmc: card: Fixup request missing in mmc_blk_issue_rw_rq

Dinh Nguyen (19):
      ARM: socfpga: dts: add cpu1-start-addr for Arria 10
      ARM: socfpga: dts: disable the sdmmc, and uart nodes in the base arria10
      ARM: socfpga: dts: enable UART1 for the debug uart
      ARM: socfpga: dts: rename socdk board file to socdk_sdmmc
      ARM: socfpga: dts: Add a clock node for sdmmc CIU
      ARM: socfpga: dts: add clocks to the Arria10 platform
      ARM: socfpga: Add support for UART1 debug uart for earlyprintk
      ARM: socfpga: remove the need to map uart_io_desc
      ARM: socfpga: dts: add the a9-scu node
      ARM: socfpga: use of_iomap to map the SCU
      clk: socfpga: update clk.h so for Arria10 platform to use
      clk: socfpga: add a clock driver for the Arria 10 platform
      ARM: socfpga: dts: add the a9-scu node for arria10
      ARM: socfpga: dts: add enable-method property for cpu nodes
      clk: of: helper for filling parent clock array and return num of parents
      clk: socfpga: make use of of_clk_parent_fill helper function
      ARM: socfpga: use CPU_METHOD_OF_DECLARE for socfpga_cyclone5
      ARM: socfpga: add CPU_METHOD_OF_DECLARE for Arria 10
      ARM: socfpga: dts: enable ethernet for Arria10 devkit

Dmitry Eremin (8):
      staging/lustre/ldlm: move all remaining files from procfs to debugfs
      staging/lustre/ptlrpc: move sptlrpc procfs entry to debugfs
      staging/lustre/obdclass: remove unused sysctl enum definition
      staging/lustre/fid: move all files from procfs to debugfs
      staging/lustre/fld: move all files from procfs to debugfs
      staging/lustre/obd: move status files from procfs to debugfs
      staging/lustre/obd: remove unused proc_lustre_root
      staging/lustre/obd: final removal of procfs stuff

Dmitry Eremin-Solenikov (9):
      gpiolib: cleanup chained handler and data
      iio: add m62332 DAC driver
      ARM: 8361/1: sa1100: add platform functions to handle PWER settings
      ARM: 8362/1: sa1100: use sa11x0_sc_set_wake() in irq driver
      ARM: 8363/1: sa1100: use ioremapped memory to access SC registers
      pcmcia: fix a boot time warning in pcmcia cs code
      ARM: 8367/1: sa1100: prepare for moving irq driver to drivers/irqchip
      ARM: 8368/1: sa1100: move irq driver to drivers/irqchip/
      [media] saa7134: add AverMedia AverTV/505 card support

Dmitry Kalinkin (14):
      Documentation: mention vme_master_mmap() in VME API
      vme: tsi148: fix DMA lists longer that one item
      vme: tsi148: fix first DMA item mapping
      vme: stop DMA transfer on interruption
      staging: vme_user: refactor llseek to switch(){}
      vme: check for A64 overflow in vme_check_window()
      vme: export vme_check_window()
      vme: ca91cx42: return error code on DMA error
      vme: ca91cx42: fix LM_CTL address mask
      staging: vme_user: remove unused counters
      vme: tsi148: depend on HAS_DMA for Kconfig
      staging: vme_user: remove forward declarations
      staging: vme_user: remove open/release
      staging: vme_user: remove buf_unalloc helper

Dmitry Kasatkin (4):
      ima: limit file hash setting by user to fix and log modes
      ima: remove definition of IMA_X509_PATH
      evm: fix potential race when removing xattrs
      integrity: add validity checks for 'path' parameter

Dmitry Khromov (1):
      w1: introduce an ability to specify microseconds bus scanning intervals

Dmitry Monakhov (1):
      jbd2: use GFP_NOFS in jbd2_cleanup_journal_tail()

Dmitry Osipenko (1):
      ARM: tegra20: Store CPU "resettable" status in IRAM

Dmitry Torokhov (19):
      mwifiex: do not return success when command times out
      phy: phy-core: allow specifying supply at port level
      driver-core: add asynchronous probing support for drivers
      driver-core: platform_driver_probe() must probe synchronously
      module: add core_param_unsafe
      Input: atmel_mxt_ts - use BIT() macro when reporting button state
      Input: cyapa - do not set otherwise unused variable
      Input: stmpe-ts - fix automatic module loading
      driver-core: make __device_attach() static
      driver-core: fix build for !CONFIG_MODULES
      Input: stmpe-ts - enforce device tree only mode
      Input: goodix - do not explicitly set evbits in input device
      Merge branch 'next' into for-linus
      Input: edt-ft5x06 - mark as direct input device
      Input: improve parsing OF parameters for touchscreens
      Input: wdt87xx_i2c - fix format warning
      Input: wdt87xx_i2c - remove stray newline in diagnostic message
      Merge branch 'next' into for-linus
      Revert "Input: synaptics - allocate 3 slots to keep stability in image sensors"

Dmitry Tunin (5):
      ideapad_laptop: Lenovo G50-30 fix rfkill reports wireless blocked
      Input: focaltech - report finger width to userspace
      ath3k: Add support of 0489:e076 AR3012 device
      ath3k: add support of 13d3:3474 AR3012 device
      Bluetooth: ath3k: Add support of 04ca:300d AR3012 device

Dogukan Ergun (1):
      staging: rtl8712: fix else after break or return warning

Dominik Brodowski (3):
      ACPI / osl: use same type for acpi_predefined_names values as in definition
      ACPI: fix kernel-parameters ordering in Documentation
      pcmcia: do not break rsrc_nonstatic when handling anonymous cards

Dominik Dingel (6):
      s390/mm: make hugepages_supported a boot time decision
      mm/hugetlb: remove unused arch hook prepare/release_hugepage
      mm/hugetlb: remove arch_prepare/release_hugepage from arch headers
      s390/hugetlb: remove dead code for sw emulated huge pages
      s390/mm: forward check for huge pmds to pmd_large()
      s390/mm: change HPAGE_SHIFT type to int

Dominique Martinet (1):
      NFSv4: handle nfs4_get_referral failure

Don Brace (2):
      hpsa: add in new controller id
      hpsa: change driver version

Don Skidmore (18):
      ixgbe: add array of MAC type dependent values
      ixgbe: add support for WoL and autoneg FC for some X550 devices
      ixgbe: add define for X557 PHY ID
      ixgbe: Add a PHY power state method
      ixgbe: Restore ESDP settings after MAC reset
      ixgbe: add X550 support for external PHY and forced 1G/10G support
      ixgbe: Add reset for X550 device
      ixgbe: Add const string for overheat message
      ixgbe: add support for interrupts from X550 external PHY
      ixgbe: fix issue with sfp events with new X550 devices
      ixgbe: fix bug in not clearing counters for X550 devices
      ixgbe: fix X550 devices init flow
      ixgbe: fix X550 PHY function pointers
      ixgbe: Add support for another X550 device.
      ixgbe: add link check for X550 copper
      ixgbe: Set lan_id before using I2C
      ixgbe: fix X550 default set_phy_power method
      ixgbe: Remember to write ixfi changes after modifying

Don Zickus (32):
      staging: unisys: Move visorchannel into visorbus
      staging: unisys: Dissolve visorchannel.h
      staging: unisys: Move visorbus.h to public namespace
      staging: unisys: Remove unused driver
      staging: unisys: Temporarily add visorbus/ ccflags
      staging: unisys: Remove temporarily added visorbus/ include in Makefile
      staging: unisys: Embed struct device for easier handling of attr
      staging: unisys: Wire up proper device attr for bus
      staging: unisys: Move the visorbus device underneath devices
      staging: unisys: Properly move version file into bus attr
      staging: unisys: Remove dead kobj structs
      staging: unisys: Clean up device sysfs attributes
      staging: unisys: Prep for removing 'info' structs
      staging: unisys: Add visor device find routine
      staging: unisys: Add a function to set the clientpartition
      staging: unisys: Add checks for creation
      staging: unisys: Remove unused intr
      staging: unisys: Convert the device attributes to visor_device
      staging: unisys: Convert bus functions to pass bus_info pointer around
      staging: unisys: Convert device functions to pass dev_info pointer around
      perf/x86: Tweak broken BIOS rules during check_hw_exists()
      staging: unisys: Move channel creation up the stack
      staging: unisys: Convert pending_msg_hdr to a pointer
      staging: unisys: Prepare vbus_hdr_info to be public
      staging: unisys: Migrate bus from devdata to visor_device
      staging: unisys: Remove unused cruft
      staging: unisys: Remove server flags
      staging: unisys: Do not use 0 as the default bus root device number
      staging: unisys: Convert bus creation to use visor_device
      staging: unisys: Convert device creation to use visor_device
      staging: unisys: Fix double sysfs create for module version
      staging: unisys: Fix clean up path

Dong Aisheng (7):
      clk: Squash __clk_{enable,disable}() into callers
      mmc: sdhci-esdhc-imx: merge the same register check into one place
      mmc: sdhci-esdhc-imx: usdhc does not have missing card interrupt issue
      mmc: sdhci-esdhc-imx: add ADMA Length Mismatch errata fix
      mmc: sdhci-esdhc-imx: using specific compatible string in binding doc
      mmc: sdhci-esdhc-imx: add imx6sx support
      mmc: sdhci-esdhc-imx: add quirk SDHCI_QUIRK2_BROKEN_HS200 for imx6qdl

Doug Anderson (5):
      ARM: dts: rockchip: Specify VMMC and VQMMC on rk3288-evb
      i2c: rk3x: Increase wait timeout to 1 second
      mmc: core: Increase delay for voltage to stabilize from 3.3V to 1.8V
      watchdog: dw_wdt: No need for a spinlock
      watchdog: dw_wdt: keepalive the watchdog at write time

Doug Ledford (3):
      Merge branches 'bart-srp', 'generic-errors', 'ira-cleanups' and 'mwang-v8' into k.o/for-4.2
      Merge branch 'for-4.2-misc' into k.o/for-4.2
      Merge branch 'for-4.2-misc' into k.o/for-4.2

Doug Smythies (3):
      intel_pstate: Add tsc collection and keep previous target pstate
      intel_pstate: change some inconsistent debug information
      intel_pstate: Force setting target pstate when required

Douglas Barbonaglia Sathler Figueiredo (1):
      staging: wlan-ng: fix long line

Drew Fustini (1):
      staging: unisys: visorbus: add static declarations

Drew Richardson (1):
      ftrace: Provide trace clock monotonic raw

Duc Dang (4):
      PCI: xgene: Add APM X-Gene v1 PCIe MSI/MSIX termination driver
      arm64: dts: Add APM X-Gene PCIe MSI nodes
      PCI: xgene: Disable Configuration Request Retry Status for v1 silicon
      PCI: xgene: Allow config access to Root Port even when link is down

Duson Lin (1):
      Input: elan_i2c - add support for multi IC type and iap format

Dylan Reid (2):
      ASoC: Add jack types to dt-bindings
      ASoC: jack - add_gpiods accepts filled descriptors

Dzmitry Sledneu (1):
      staging: lustre: Make struct mdc_kuc_fops static

Eddie Huang (5):
      tty: serial: 8250: export early_serial8250_setup function
      tty: serial: 8250_mtk: Add earlycon
      I2C: mediatek: Add driver for MediaTek MT8173 I2C controller
      mfd: provide RTC resource in MT6397 MFD
      MAINTAINERS: add Mediatek RTC driver

Eduardo Valentin (2):
      thermal: support slope and offset coefficients
      thermal: of-thermal: add support for reading coefficients property

Edward Cree (10):
      sfc: Cope with permissions enforcement added to firmware for SR-IOV
      sfc: nicer log message on PTP probe fail
      sfc: suppress some MCDI error messages in PTP
      sfc: nicer log message on Siena SR-IOV probe fail
      sfc: protect filter table against use-after-free
      sfc: add ndo_set_vf_link_state() function for EF10
      sfc: add tracing of MCDI commands
      sfc: add sysfs entry to control MCDI tracing
      sfc: add module parameter to enable MCDI logging on new functions
      sfc: mark state UNINIT after unregister

Edward Lipinsky (1):
      Staging: rtl8723au: hal: Remove trailing whitespace in odm.c

Eliad Peller (6):
      iwlwifi: mvm: avoid use-after-free on iwl_mvm_d0i3_enable_tx() [BUGFIX]
      wl18xx: fallback to default conf in case of invalid conf file
      ARM: dts: am335x-evm: add bluetooth support
      iwlwifi: mvm: fix ROC reference accounting
      iwlwifi: tracing: add rx cmd header fields
      iwlwifi: pcie: re-enable interrupts on resume

Emmanuel Grumbach (16):
      iwlwifi: dvm: don't sleep in event_callback callback
      mac80211: notify the driver upon BAR Rx
      mac80211: notify the driver on reordering buffer timeout
      Merge remote-tracking branch 'iwlwifi-fixes/master' into iwlwifi-next
      iwlwifi: mvm: allow scheduled scan for all the firmwares
      iwlwifi: clarify the device / firmware mapping in Kconfig
      iwlwifi: allow to limit the size of the external buffer for firmware debugging
      Merge remote-tracking branch 'iwlwifi-fixes/master' into iwlwifi-next
      iwlwifi: bump API to 14
      iwlwifi: pcie: simplify return value
      iwlwifi: mvm: BT Coex - remove useless code
      iwlwifi: mvm: BT Coex - allocate a short command on the stack
      iwlwifi: mvm: BT Coex - fix shared antenna check with new API
      Merge tag 'mac80211-next-for-davem-2015-05-06' into iwlwifi-next
      iwlwifi: mvm: implement the BlockAck related debug triggers
      iwlwifi: bump the iwlmvm API number to 15

Enric Balletbo i Serra (2):
      of: Add vendor prefix for Toby Churchill Ltd.
      ARM: dts: am335x-sl50: Add Toby-Churchill SL50 board support.

Eran Ben Elisha (16):
      net/mlx4_core: Check before cleaning counters bitmap
      net/mlx4_core: Reset counters data when freed
      net/mlx4_core: Add sink counter
      net/mlx4_core: Remove counters table allocation from VF flow
      net/mlx4_core: Adjust counter grant policy in the resource tracker
      net/mlx4_core: Add port attribute when tracking counters
      net/mlx4_core: Allocate default counter per port
      IB/mlx4: Add RoCE/IB dedicated counters
      IB/mlx4: Set VF to read from QP counters
      net/mlx4_core: Add helper to query counters
      net/mlx4_en: Show PF own statistics via ethtool
      net/core: Add reading VF statistics through the PF netdevice
      net/mlx4_en: Support ndo_get_vf_stats
      net/mlx4_en: Fix off-by-four in ethtool
      net/mlx4_en: Use HW counters for rx/tx bytes/packets in PF device
      net/mlx4_en: Release TX QP when destroying TX ring

Eran Harary (1):
      iwlwifi: 8000: fallback to default NVM file

Eric Anholt (8):
      ARM: bcm2835: dt: Add the mailbox to the device tree
      ARM: bcm2835: dt: Use 0x4 prefix for DMA bus addresses to SDRAM.
      ARM: bcm2835: Skip doing our own iotable_init() initialization
      ARM: bcm2835: Drop the init_irq() hook
      ARM: bcm2835: Move the restart/power_off handling to the WDT driver
      mmc: sdhci-bcm2835: Clean up platform allocations if sdhci init fails.
      mmc: sdhci-bcm2835: Actually enable the clock
      mailbox/bcm2835: Fix mailbox full detection.

Eric Auger (5):
      VFIO: platform: add reset struct and lookup table
      VFIO: platform: add reset callback
      VFIO: platform: populate the reset function on probe
      VFIO: platform: Calxeda xgmac reset module
      VFIO: platform: enable ARM64 build

Eric B Munson (1):
      tcp: Return error instead of partial read for saved syn headers

Eric Dumazet (54):
      tcp_westwood: fix tcp_westwood_info()
      ipv4: speedup ip_idents_reserve()
      tcp: provide SYN headers for passive connections
      tcp: adjust window probe timers to safer values
      tcp: add TCPWinProbe and TCPKeepAlive SNMP counters
      codel: add ce_threshold attribute
      net: sched: deprecate enqueue_root()
      tcp/dccp: tw_timer_handler() is static
      ipv4: __ip_local_out_sk() is static
      tcp: syncookies: extend validity range
      net: fix sparse error in csum_replace4()
      ipip: fix one sparse error
      netfilter: synproxy: fix sparse errors
      net: fix two sparse errors
      net: fix sk_mem_reclaim_partial()
      tcp: rename sk_forced_wmem_schedule() to sk_forced_mem_schedule()
      tcp: introduce tcp_under_memory_pressure()
      tcp: fix behavior for epoll edge trigger
      tcp: allow one skb to be received per socket under memory pressure
      tcp: halves tcp_mem[] limits
      tcp: add a force_schedule argument to sk_stream_alloc_skb()
      inet_hashinfo: remove bsocket counter
      tcp: improve REUSEADDR/NOREUSEADDR cohabitation
      ipv6: ipv6_select_ident() returns a __be32
      pktgen: remove one sparse error
      net: remove a sparse error in secure_dccpv6_sequence_number()
      net: fix inet_proto_csum_replace4() sparse errors
      tcp: fix/cleanup inet_ehash_locks_alloc()
      tcp: tcp_tso_autosize() minimum is one packet
      tcp/dccp: try to not exhaust ip_local_port_range in connect()
      qla4xxx: add a missing include
      tcp: connect() from bound sockets can be faster
      tcp/dccp: warn user for preferred ip_local_port_range
      bridge: fix br_multicast_query_expired() bug
      udp: fix behavior of wrong checksums
      tcp: remove redundant checks
      inet: add IP_BIND_ADDRESS_NO_PORT to overcome bind(0) limitations
      tcp: remove redundant checks II
      tcp: get_cookie_sock() consolidation
      net: tcp: dctcp_update_alpha() fixes.
      tcp: reserve tcp_skb_mss() to tcp stack
      tcp: fill shinfo->gso_type at last moment
      tcp: tcp_set_skb_tso_segs() no longer need struct sock parameter
      tcp: fill shinfo->gso_size at last moment
      tcp: remove obsolete check in tcp_set_skb_tso_segs()
      flow_dissector: fix ipv6 dst, hop-by-hop and routing ext hdrs
      tcp: tcp_v6_connect() cleanup
      netfilter: x_tables: remove XT_TABLE_INFO_SZ and a dereference.
      net: make u64_stats_init() a function
      netfilter: x_tables: align per cpu xt_counter
      packet: read num_members once in packet_rcv_fanout()
      bnx2x: fix lockdep splat
      fs/file.c: don't acquire files->file_lock in fd_install()
      fs/file.c: __fget() and dup2() atomicity rules

Eric Nelson (2):
      ARM: dts: nitrogen6x: use simple-panel instead of display-timings for LVDS0
      ARM: dts: sabrelite: use simple-panel instead of display-timings for LVDS0

Eric Sandeen (2):
      xfs: don't cast string literals
      xfs: fix remote symlinks on V5/CRC filesystems

Eric Snowberg (1):
      sparc64: pci slots information is not populated in sysfs

Eric W Biederman (1):
      netfilter: Remove spurios included of netfilter.h

Eric W. Biederman (21):
      tun: Utilize the normal socket network namespace refcounting.
      net: Add a struct net parameter to sock_create_kern
      net: Pass kern from net_proto_family.create to sk_alloc
      net: Modify sk_alloc to not reference count the netns of kernel sockets.
      netlink: Create kernel netlink sockets in the proper network namespace
      net: kill sk_change_net and sk_release_kernel
      mnt: Refactor the logic for mounting sysfs and proc in a user namespace
      mnt: Modify fs_fully_visible to deal with locked ro nodev and atime
      netfilter: Kill unused copies of RCV_SKB_FAIL
      net: sched: Simplify em_ipset_match
      netfilter: nftables: Do not run chains in the wrong network namespace
      netfilter: nf_qeueue: Drop queue entries on nf_unregister_hook
      vfs: Ignore unlocked mounts in fs_fully_visible
      fs: Add helper functions for permanently empty directories.
      sysctl: Allow creating permanently empty directories that serve as mountpoints.
      proc: Allow creating permanently empty directories that serve as mount points
      kernfs: Add support for always empty directories.
      sysfs: Add support for permanently empty directories to serve as mount points.
      sysfs: Create mountpoints with sysfs_create_mount_point
      mnt: Update fs_fully_visible to test for permanently empty directories
      vfs: Remove incorrect debugging WARN in prepend_path

Eric Whitney (2):
      ext4: minor cleanup of ext4_da_reserve_space()
      ext4: make online defrag error reporting consistent

Eric Wong (1):
      ALSA: usb-audio: don't try to get Outlaw RR2150 sample rate

Erik Arfvidson (21):
      staging: unisys: add visorbus driver
      staging: unisys: remove visorchipset_umode.h
      staging: unisys: moving file.h functionality to visorchipset.h
      staging: unisys: remove file.c and pass functionality to visorchipset
      staging: unisys: move parser.[ch] functionality into visorchipset
      staging: unisys: remove typedef GUEST_PHYSICAL_ADDRESS to u64
      staging: unisys: remove unused enum from controlvmchannel.h
      staging: unisys: remove unused #define in controlvmchannel
      staging: unisys: remove unused #define MAX_SERIAL_NUM
      staging: unisys: remove unused CONTROL_VM messages from enum
      staging: unisys: rename variable to reserverd since it is unused
      staging: unisys: controlvmchannel.h comment aligment and cleanup
      staging: unisys: remove unused device_map and guest_devices struct
      staging: unisys: remove unused CONTROLVM_CHIPSET_SHUTDOWN
      staging: unisys: remove unused chipset feature PCIVBUS
      staging: unisys: remove unused #define in iochannel.h
      staging: unisys: cleanup and align iochannel.h comments
      staging: unisys: move timskmod.h functionality
      staging: unisys: remove charqueue.c
      staging: unisys: remove timskmod.h and procobjecttree.h
      staging: unisys: move periodic_work.c into the visorbus directory

Erik Hugne (1):
      tipc: disconnect socket directly after probe failure

Erik Kline (1):
      neigh: Better handling of transition to NUD_PROBE state

Eryu Guan (2):
      ext4: be more strict when migrating to non-extent based file
      ext4: correctly migrate a file with a hole at the beginning

Eugene Crosser (6):
      qeth: fix handling of IPA return codes
      qeth: remove locks from sysfs _show
      qeth: IFF_PROMISC flag to BRIDGE PORT mode
      qeth: OSA version of SETBRIDGEPORT command
      qeth: BRIDGEPORT "sanity check"
      qeth: replace ENOSYS with EOPNOTSUPP

Evgeniy Dushistov (2):
      Input: max7359_keypad - do not set MAX7359_CFG_INTERRUPT flag
      Input: max7359_keypad - switch to using matrix_keypad_build_keymap()

Eyal Reizer (3):
      wl18xx: wlan_irq: support platform dependent interrupt types
      ARM: dts: am437x-gp-evm: add wilink8 support
      ARM: dts: am335x-evm: add mmc3 and wlan definitions to dts

Eyal Shapira (2):
      iwlwifi: rs: cleanup last_txrate_idx
      iwlwifi: mvm: rs: pass rate directly to column checks

Ezequiel Garcia (6):
      spi: pl022: Specify 'num-cs' property as required in devicetree binding
      clk: pistachio: Add a pll_lock() helper for clarity
      clk: pistachio: Lock the PLL when enabled upon rate change
      MIPS: Pistachio: Support 8250-based early printk
      watchdog: imgpdc: Fix max timeout
      watchdog: imgpdc: Add some documentation about the timeout

Fabian Frederick (67):
      cpufreq: pxa: replace typedef pxa_freqs_t by structure
      cpufreq: pxa: make pxa_freqs arrays const
      gfs2: convert simple_str to kstr
      gfs2: kerneldoc warning fixes
      PNP / ACPI: use u8 instead of int in acpi_resource_extended_irq context
      PNP / ACPI: use unsigned int in pnpacpi_encode_resources()
      pinctrl: use ERR_CAST instead of ERR_PTR/PTR_ERR
      mtd: constify of_device_id array
      [media] constify of_device_id array
      [media] siano: define SRVM_MAX_PID_FILTERS only once
      udf: Return -ENOMEM when allocation fails in udf_get_filename()
      udf: remove unnecessary test in udf_build_ustr_exact()
      udf: unicode: update function name in comments
      udf: improve error management in udf_CS0toUTF8()
      udf: improve error management in udf_CS0toNLS()
      udf: bug on exotic flag in udf_get_filename()
      udf: Make udf_get_filename() return error instead of 0 length file name
      udf: Return error from udf_find_entry()
      udf: remove double err declaration in udf_file_write_iter()
      gfs2: fix shadow warning in gfs2_rbm_find()
      cdc-acm: use swap() in acm_probe()
      video: fbdev: matrox: use swap() in matroxfb_decode_var()
      video: fbdev: use swap() in ami_set_sprite()
      video/console: use swap() in newport_bmove()
      staging: xgifb: use swap() in XGI_WriteDAC()
      mmc: mmc-test: use swap() in mmc_test_nonblock_transfer()
      [media] omap_vout: use swap() in omapvid_init()
      ext4 crypto: fix sparse warnings in fs/ext4/ioctl.c
      staging: lustre: lnet: o2iblnd: use swap() in kiblnd_dev_failover()
      IB/mthca: use swap() in mthca_make_profile()
      net/mlx4: use swap() in mlx4_init_qp_table()
      net/mlx4_core: use swap() in mlx4_make_profile()
      net/ibm/emac: use swap() in emac_make_bootlist()
      net: fs_enet: use swap() in fs_enet_rx_napi()
      vxge: use swap() in vxge_hw_channel_dtr_alloc()
      Input: cyttsp4 - use swap() in cyttsp4_get_touch()
      ALSA: gus: use swap() in snd_ics_put_double()
      sound: oss/sb_audio: use swap() in sb_audio_close()
      Staging: rtl8188eu: use swap() in WMMOnAssocRsp()
      staging: rtl8712: use swap() in dequeue_xframe_ex()
      staging: rtl8723au: use swap() in WMMOnAssocRsp23a()
      staging: speakup: use swap() in get_highlight_color()
      ext4: use swap() in memswap()
      ext4: use swap() in mext_page_double_lock()
      fs/ufs: revert "ufs: fix deadlocks introduced by sb mutex merge"
      mmc: queue: use swap() in mmc_queue_thread()
      fs/ufs: restore s_lock mutex
      pnfs/flexfiles: use swap() in ff_layout_sort_mirrors()
      tpm_ibmvtpm: remove unneccessary message level.
      fs/ufs: restore s_lock mutex_init()
      HID: cypress: use swap() in cp_report_fixup()
      sunrpc: use sg_init_one() in krb5_rc4_setup_enc/seq_key()
      nfsd: use swap() in sort_pacl_range()
      net: rds: use for_each_sg() for scatterlist parsing
      sunrpc: use sg_init_one() in krb5_rc4_setup_enc/seq_key()
      pagemap.h: move dir_pages() over there
      ufs: use dir_pages instead of ufs_dir_pages()
      configfs: unexport/make static config_item_init()
      ocfs2: use swap() in dx_leaf_sort_swap()
      ocfs2: use swap() in swap_refcount_rec()
      ocfs2: use swap() in ocfs2_double_lock()
      fs/befs/btree.c: remove unneeded initializations
      fs/affs/inode.c: remove unneeded initialization
      fs/affs/amigaffs.c: remove unneeded initialization
      fs/affs/symlink.c: remove unneeded err variable
      drm/omap: replace ALIGN(PAGE_SIZE) by PAGE_ALIGN
      hwmon: (w83627ehf) Use swap() in w82627ehf_swap_tempreg()

Fabien Dessenne (4):
      [media] bdisp: add DT bindings documentation
      [media] bdisp: 2D blitter driver using v4l2 mem2mem framework
      [media] bdisp: add debug file system
      [media] bdisp: remove needless check

Fabio Estevam (61):
      regulator: max77686: Fix typo in email address
      serial: imx: Remove return value from imx_setup_ufcr()
      ARM: imx_v6_v7_defconfig: Enable RTC PCF8523 support
      ASoC: fsl_ssi: No need call of_device_is_available()
      ARM: imx_v6_v7_defconfig: Select LS1021A
      ARM: imx: Remove eukrea_mbimxsd35 non-dt support
      ARM: imx: gpc: Remove .owner field
      ASoC: fsl: fsl_dma: Use true/false for boolean init
      ASoC: fsl: imx-mc13783: Simplify trivial if-return sequence
      spi: spidev: Remove unneeded variable
      ASoC: wm8996: Pass the IRQF_ONESHOT flag
      ASoC: wm5100: Pass the IRQF_ONESHOT flag
      ASoC: wm8994: Pass the IRQF_ONESHOT flag
      ASoC: twl6040: Pass the IRQF_ONESHOT flag
      ASoC: max98095: Pass the IRQF_ONESHOT flag
      [media] ir-hix5hd2: Fix build warning
      [media] st_rc: fix build warning
      Input: twl4030-pwrbutton - pass the IRQF_ONESHOT flag
      Input: retu-pwrbutton - pass the IRQF_ONESHOT flag
      Input: twl6040-vibra - pass the IRQF_ONESHOT flag
      Input: wm831x-on - pass the IRQF_ONESHOT flag
      iio: accel: hid-sensor-accel-3d: Fix memory leak in probe()
      iio: gyro: hid-sensor-gyro-3d: Fix memory leak in probe()
      iio: light: hid-sensor-als.c: Fix memory leak in probe()
      iio: orientation: hid-sensor-incl-3d: Fix memory leak in probe()
      iio: orientation: hid-sensor-rotation: Fix memory leak in probe()
      iio: pressure: hid-sensor-press: Fix memory leak in probe()
      usb: phy: ab8500-usb: Pass the IRQF_ONESHOT flag
      usb: phy: tahvo: Pass the IRQF_ONESHOT flag
      mmc: sdhci-esdhc-imx: Move mmc_of_parse() to the dt probe
      mmc: sdhci-esdhc-imx: Do not break platform data boards
      mmc: card: mmc_test: Simplify a trivial if-return sequence
      mmc: host: mxcmmc: Simplify a trivial if-return sequence
      mmc: host: sdhci: Use BUG_ON()
      regulator: wm831x: Pass the IRQF_ONESHOT flag
      clk: imx: clk-cpu: Include "clk.h" header file
      ARM: imx: mmdc: Include "common.h" header file
      ARM: dts: hummingboard: Remove unneeded 'fsl,mode' property
      ARM: dts: imx6qdl-hummingboard: Add PCIe support
      ARM: dts: imx6sl-warp: Remove unused regulator
      ARM: dts: imx6sl-warp: Remove USB regulators
      ARM: dts: imx6sl-warp: Fix OTG roles
      ARM: dts: cubox-i: add support for Broadcom Wifi/Bluetooth devices
      ARM: dts: cubox-i/hummingboard: Fix the license text
      pinctrl: Remove .owner field
      pinctrl: samsung: Fix the pointer in PTR_ERR()
      [media] radio-si470x-i2c: Pass the IRQF_ONESHOT flag
      usb: chipidea: usbmisc_imx: Remove unneeded semicolon
      crypto: sahara - propagate the error on clk_disable_unprepare() failure
      mfd: documentation: dt: max77686: Fix typo
      mfd: twl4030-irq: Pass the IRQF_ONESHOT flag
      mfd: mc13xxx-core: Pass the IRQF_ONESHOT flag
      mfd: wm831x-auxadc: Pass the IRQF_ONESHOT flag
      mfd: htc-i2cpld: Pass the IRQF_ONESHOT flag
      mfd: wm8350-core: Pass the IRQF_ONESHOT flag
      mfd: ab8500-debugfs: Pass the IRQF_ONESHOT flag
      mfd: ab8500-gpadc: Pass the IRQF_ONESHOT flag
      mfd: si476x-i2c: Pass the IRQF_ONESHOT flag
      Input: imx_keypad - check for clk_prepare_enable() error
      watchdog: imx2_wdt: Check for clk_prepare_enable() error
      watchdog: imx2_wdt: Disable previously acquired clock on error path

Fabio Falzoi (8):
      Staging: rts5208: helper function to manage sd erase status
      Staging: rts5208: helper function to manage power off
      Staging: rts5208: helper function to manage ss
      Staging: rts5208: helper function to manage aspm
      Staging: rts5208: helper function to manage idle
      Staging: rts5208: helper function to manage 1lun and 2lun modes
      Staging: rts5208: helper function to manage delink states
      Staging: rts5208: fix CHANGE_LINK_STATE value

Faisal Latif (1):
      RDMA/nes: Enable the use of the tos field in the nes driver

Fanael Linithien (1):
      xfs: fix kernel version in docs

Fang, Yang A (13):
      ASoC: Intel: Add support rt5650 in sst driver
      ASoC: rt5645: set platform data base on DMI
      ASoC: rt5645: fixed kbuild err
      ASoC: Intel: Add support max98090 in sst driver
      ASoC: Intel: Add Cherrytrail & Braswell machine driver cht_bsw_max98090_ti
      ASoC: Intel: Support rt5650 codec for Cherrytrail & Braswell
      ASoC: Intel: Fixed kbuild warnings
      ASoC: Intel: Enabled button jack for BSW platform with rt5650 codec
      ASoC: max98090: read micbias from device property
      ASoC: ts3a227e: use device property api
      ASoC: Intel: Fixed incorrect sst pcm_delay
      ASoC: Intel: Added I2C dependency to two BSW machine drivers
      ASoC: Intel: fixed TI button detection

Fathi Boudra (2):
      ARM: dts: add HiSilicon hip04 ethernet controller resource
      ARM: defconfig: hisi: enable HiSilicon hip04 ethernet controller

Felipe Balbi (12):
      clk: add newline character after dumping all clocks
      phy: miphy28lp: fix sparse warnings
      phy: miphy365x: fix sparse warnings
      phy: fix Kconfig dependencies
      i2c: omap: implement bus recovery
      usb: dwc2: hcd: fix build warning
      usb: gadget: atmel: fix build warning
      usb: musb: am35x: fix build warnings
      usb: musb: ux500: fix build warnings
      usb: gadget: atmel: fix build warnings
      usb: dwc3: gadget: don't clear EP_BUSY too early
      cpufreq: dt: allow driver to boot automatically

Felix Fietkau (5):
      bcma: use absolute base for SoC GPIO pins
      bcma: enable 32 GPIO pins for BCM4707
      ARM: BCM5301X: Add USB LED for Buffalo WZR-1750DHP
      ARM: BCM5301X: Add DT for Buffalo WXR-1900DHP
      ath9k: fix DMA stop sequence for AR9003+

Feng Kan (2):
      i2c: add SLIMpro I2C device driver on APM X-Gene platform
      i2c: busses: xgene-slimpro: fix incorrect __init declation for probe

Feng Tang (1):
      x86/platform/intel/baytrail: Add comments about why we disabled HPET on Baytrail

Feng Wu (11):
      x86/irq/msi: Implement irq_set_vcpu_affinity for remapped MSI irqs
      x86/irq: Define a global vector for VT-d Posted-Interrupts
      x86/irq: Show statistics information for posted-interrupts
      iommu: Add new member capability to struct irq_remap_ops
      iommu, x86: Implement irq_set_vcpu_affinity for intel_ir_chip
      iommu, x86: Save the mode (posted or remapped) of an IRTE
      iommu, x86: Avoid migrating VT-d posted interrupts
      iommu, x86: Add cap_pi_support() to detect VT-d PI capability
      iommu, x86: Setup Posted-Interrupts capability for Intel iommu
      iommu, x86: Provide irq_remapping_cap() interface
      iommu, x86: Properly handle posted interrupts for IOMMU hotplug

Fernando Guzman Lugo (1):
      serial: sprd: check for NULL after calling devm_clk_get

Filipe Manana (23):
      Btrfs: incremental send, don't delay directory renames unnecessarily
      Btrfs: incremental send, check if orphanized dir inode needs delayed rename
      Btrfs: check pending chunks when shrinking fs to avoid corruption
      Btrfs: fix mutex unlock without prior lock on space cache truncation
      Btrfs: incremental send, fix clone operations for compressed extents
      Btrfs: fix block group ->space_info null pointer dereference
      Btrfs: fix chunk allocation regression leading to transaction abort
      Btrfs: wake up extent state waiters on unlock through clear_extent_bits
      Btrfs: fix -ENOSPC when finishing block group creation
      Btrfs: fix -ENOSPC on block group removal
      Btrfs: fix hang during inode eviction due to concurrent readahead
      Btrfs: avoid syncing log in the fast fsync path when not necessary
      Btrfs: don't attach unnecessary extents to transaction on fsync
      Btrfs: fix necessary chunk tree space calculation when allocating a chunk
      Btrfs: fix race between balance and unused block group deletion
      Btrfs: use kmem_cache_free when freeing entry in inode cache
      Btrfs: fix race between caching kthread and returning inode to inode cache
      Btrfs: fix crash on close_ctree() if cleaner starts new transaction
      Btrfs: fix fsync data loss after append write
      Btrfs: fix fsync xattr loss in the fast fsync path
      Btrfs: fix fsync after truncate when no_holes feature is enabled
      Btrfs: fix memory corruption on failure to submit bio for direct IO
      Btrfs: fix a comment in inode.c:evict_inode_truncate_pages()

Finn Thain (1):
      macintosh/nvram: Remove as unused

Firo Yang (10):
      ARM: meson: serial: convert iounmap to devm_iounmap
      fib_trie: coding style: Use pointer after check
      NFC: st21nfcb: Remove inappropriate kfree on a devm_kzalloc pointer
      ARM: KVM: Remove pointless void pointer cast
      md: fix a build warning
      fs/efs: femove unneeded cast
      fs/minix: remove unneeded cast
      fs/reiserfs: remove unneeded cast
      fs/adfs: remove unneeded cast
      hpfs: Remove unessary cast

Florian Echtler (4):
      [media] reduce poll interval to allow full 60 FPS framerate
      [media] add frame size/frame rate query functions
      [media] add extra debug output, remove noisy warning
      [media] return BUF_STATE_ERROR if streaming stopped during acquisition

Florian Fainelli (44):
      net: systemport: Implement TX coalescing control knobs
      net: systemport: Implement RX coalescing control knobs
      Documentation: DT: Add Broadcom BCM63138 PMB binding
      ARM: dts: BCM63xx: Add PMB busses nodes
      Documentation: DT: Document SMP DT nodes and properties for BCM63138
      ARM: dts: BCM63xx: Add SMP nodes and required properties
      dt-bindings: Add documentation for the BCM63138 timer and syscon-reboot
      ARM: dts: BCM63xx: Add timer and syscon-reboot nodes
      net: phy: Add phy_ignore_ta_mask to account for broken turn-around
      of: mdio: Add a "broken-turn-around" property
      net: phy: mdio-gpio: Handle phy_ignore_ta_mask
      net: phy: Add state machine state transitions debug prints
      net: dsa: bcm_sf2: properly propagate carrier down state for MoCA
      ARM: BCM63xx: Add Broadcom BCM63xx PMB controller helpers
      ARM: BCM63xx: Add secondary CPU PMB initialization sequence
      ARM: vfp: Add include guards
      ARM: vfp: Add vfp_disable for problematic platforms
      ARM: BCM63xx: Add SMP support for BCM63138
      net: phy: Add phy_interface_is_rgmii helper
      net: phy: Utilize phy_interface_is_rgmii
      ARM: dts: BCM63xx: re-parent NAND controller node
      net: systemport: Pre-calculate and utilize cb->bd_addr
      net: systemport: rewrite bcm_sysport_rx_refill
      net: systemport: Add a check for oversized packets
      net: dsa: Properly propagate errors from dsa_switch_setup_one
      net: bcmgenet: improve TX timeout
      net: bcmgenet: power on MII block for all MII modes
      net: phy: bcm7xxx: update workaround to fix 100BaseT corner cases
      net: phy: broadcom: include phy.h for brcmphy.h
      net: phy: broadcom: define Broadcom pseudo-PHY address in brcmphy.h
      b44: Utilize BRCM_PSEUDO_PHY_ADDR
      bgmac: Utilize BRCM_PSEUDO_PHY_ADDR
      net: dsa: bcm_sf2: Utilize BRCM_PSEUDO_PHY_ADDR
      net: bcmgenet: handle broken turn-around for specific PHYs
      net: phy: mdio-bcm-unimac: handle broken turn-around for specific PHYs
      MIPS: BMIPS: Define BMIPS_FIXADDR_TOP in asm/bmips-spaces.h
      MIPS: BCM63xx: Utilize asm/bmips-spaces.h
      MIPS: BMIPS: Add BCM7435 dtsi
      MIPS: BMIPS: Add support for Broadcom BCM97435SVMB
      net: phy: bcm7xxx: workaround MDIO management controller initial read
      net: bcmgenet: workaround initial read failures for integrated PHYs
      net: phy: mdio-bcm-unimac: workaround initial read failures for integrated PHYs
      ARM: BCM: Do not select CONFIG_MTD_NAND_BRCMNAND
      ARM: multi_v7_defconfig: Enable BRCMNAND driver

Florian Grandel (21):
      Bluetooth: mgmt: fix typos
      Bluetooth: hci_core/mgmt: Introduce multi-adv list
      Bluetooth: hci_core/mgmt: move adv timeout to hdev
      Bluetooth: mgmt: dry update_scan_rsp_data()
      Bluetooth: mgmt: rename update_*_data_for_instance()
      Bluetooth: mgmt: multi adv for read_adv_features()
      Bluetooth: mgmt: multi adv for get_current_adv_instance()
      Bluetooth: mgmt: multi adv for get_adv_instance_flags()
      Bluetooth: mgmt: improve get_adv_instance_flags() readability
      Bluetooth: mgmt: multi adv for enable_advertising()
      Bluetooth: mgmt: multi adv for create_instance_scan_rsp_data()
      Bluetooth: mgmt: multi adv for create_instance_adv_data()
      Bluetooth: mgmt: multi adv for set_advertising*()
      Bluetooth: mgmt: multi adv for clear_adv_instances()
      Bluetooth: mgmt/hci_core: multi-adv for add_advertising*()
      Bluetooth: mgmt: multi adv for remove_advertising*()
      Bluetooth: mgmt: program multi-adv on power on
      Bluetooth: mgmt: multi-adv for trigger_le_scan()
      Bluetooth: mgmt: multi-adv for mgmt_reenable_advertising()
      Bluetooth: hci_core: remove obsolete adv_instance
      Bluetooth: hci_core: increase max adv inst

Florian Westphal (18):
      net: sched: remove TC_MUNGED bits
      net: sched: use counter to break reclassify loops
      netfilter: bridge: neigh_head and physoutdev can't be used at same time
      netfilter: bridge: free nf_bridge info on xmit
      net: core: set qdisc pkt len before tc_classify
      ipv6: reject locally assigned nexthop addresses
      net: sched: pkt_cls: remove unused macros from uapi
      ip: reject too-big defragmented DF-skb when forwarding
      ipv6: don't increase size when refragmenting forwarded ipv6 skbs
      netfilter: remove unused comefrom hookmask argument
      net: ipv4: avoid repeated calls to ip_skb_dst_mtu helper
      ip_fragment: don't forward defragmented DF packet
      net: ip_fragment: remove BRIDGE_NETFILTER mtu special handling
      netfilter: bridge: restore vlan tag when refragmenting
      netfilter: xtables: use percpu rule counters
      netfilter: xtables: avoid percpu ruleset duplication
      net: ipv4: un-inline ip_finish_output2
      netfilter: xtables: fix warnings on 32bit platforms

Francisco Jerez (3):
      drm/i915: Fix command parser to validate multiple register access with the same command.
      drm/i915: Extend the parser to check register writes against a mask/value pair.
      drm/i915: Add SCRATCH1 and ROW_CHICKEN3 to the register whitelist.

Frank Li (9):
      pinctrl: add imx7d support
      ARM: config: imx_v6_v7_defconfig add imx7d support
      dt-bindings: add imx7d clock ID definitions
      ARM: clk: imx: update pllv3 to support imx7
      ARM: imx: add imx7d clk tree support
      ARM: dts: add pinfunc include file to support imx7d
      Document: dt: binding: imx: update document for imx7d support
      ARM: dts: add imx7d soc dtsi file
      ARM: dts: add imx7d-sdb support

Frank Praznik (6):
      HID: sony: Prevent the freeing of an unitialized ida value
      HID: sony: Add the product ID for the Sony Motion Controller
      HID: sony: Add support for the Sony Motion Controller
      HID: sony: Correct Sony device ordering
      HID: sony: Simplify LED initialization and eliminate redundant copies when updating LED states
      HID: sony: Correct a typo in a HID descriptor comment and explain the odd Sixaxis axis mapping

Franky Lin (3):
      brcmfmac: remove pci shared structure rev4 support
      brcmfmac: remove dummy cache flush/invalidate function
      brcmfmac: add support for dma indices feature

Frans Klaver (2):
      Doc: networking: txtimestamp: fix printf format warning
      sbs-battery: add option to always register battery

Frederic Danis (11):
      Bluetooth: Fix calls to __hci_cmd_sync()
      Bluetooth: btusb: Fix calls to __hci_cmd_sync()
      Bluetooth: btintel: Fix calls to __hci_cmd_sync()
      Bluetooth: btbcm: Fix calls to __hci_cmd_sync()
      Bluetooth: btbcm: Move request/release_firmware()
      Bluetooth: btbcm: Add BCM4324B3 UART device
      Bluetooth: hci_uart: Support operational speed during setup
      Bluetooth: btbcm: Add helper functions for UART setup
      Bluetooth: hci_uart: Update Broadcom UART setup
      Bluetooth: hci_uart: Add bcm_set_baudrate()
      Bluetooth: hci_uart: Fix speed selection

Frederic Weisbecker (12):
      workqueue: Create low-level unbound workqueues cpumask
      context_tracking: Protect against recursion
      context_tracking: Inherit TIF_NOHZ through forks instead of context switches
      sched/preempt: Merge preempt_mask.h into preempt.h
      sched/preempt: Rearrange a few symbols after headers merge
      sched/preempt: Rename PREEMPT_CHECK_OFFSET to PREEMPT_DISABLE_OFFSET
      sched/preempt: Optimize preemption operations on __schedule() callers
      sched/preempt: Fix out of date comment
      sched/preempt: Remove PREEMPT_ACTIVE unmasking off in_atomic()
      sched: Make preempt_schedule_context() function-tracing safe
      preempt: Use preempt_schedule_context() as the official tracing preemption point
      preempt: Reorganize the notrace definitions a bit

Frodo Lai (1):
      Input: pixcir_i2c_ts - fix receive error

Fu, Zhonghui (1):
      brcmfmac: prohibit ACPI power management for brcmfmac driver

Fugang Duan (1):
      net: fec: ptp: correct the ENET_ATCOR value

Gabor Juhos (2):
      mtd: spi-nor: add support for the Macronix MX25L512E SPI flash chip
      mtd: spi-nor: add support for the ISSI SI25CD512 SPI flash

Gabriel Fernandez (3):
      drivers: clk: st: Remove unused code
      drivers: clk: st: Fix FSYN channel values
      drivers: clk: st: Fix mux bit-setting for Cortex A9 clocks

Gabriel Krisman Bertazi (1):
      bnx2x: Alloc 4k fragment for each rx ring buffer element

Gabriele Martino (1):
      ALSA: hda/ca0132: support for Alienware 15 Creative Sound Core3D-EX

Gabriele Mazzotta (2):
      iio: acpi: Add support for ACPI0008 Ambient Light Sensor
      hwmon: (dell-smm-hwmon) Use a valid name attribute

Gal Pressman (1):
      net/mlx5e: Enforce max flow-tables level >= 3

Gaston Gonzalez (3):
      staging: rtl8192u: ieee80211: Fix sparse endianness warnings
      staging: rtl8192u: ieee80211: Fix sparse endianness warnings ieee80211_softmac.c
      staging: rtl8192u: ieee80211: Fix incorrect type in assignment

Gaurav K Singh (2):
      drm/i915: Support for higher DSI clk
      drm/i915: Changes required to enable DSI Video Mode on CHT

Gaurav Minocha (1):
      scripts/dtc: add missing libfdt and fixups to import script

Gavin Guo (1):
      mm/slab_common: support the slub_debug boot option on specific object size

Gavin Shan (3):
      powerpc/eeh: Move PE state constants around
      powerpc/eeh: Introduce eeh_pe_inject_err()
      drivers/vfio: Support EEH error injection

Gaël PORTAY (12):
      ARM: at91/dt: kizbox: rename to at91-kizbox
      ARM: at91/dt: at91-kizbox: sanitize file
      ARM: at91/dt: at91-kizbox: user proper serial uart
      ARM: at91/dt: at91-kizbox: gpio-keys related changes
      ARM: at91/dt: at91-kizbox: leds related changes
      ARM: at91/dt: at91-kizbox: re-size nand partitions
      ARM: at91/dt: at91-kizbox: update chosen node
      ARM: at91/dt: sam9x5: add pinctrl for pwm0
      ARM: at91/dt: add support for kizboxmini
      ARM: at91/dt: add support for kizbox2
      ARM: at91/dt: drop deprecated mainck node from pmc
      ARM: at91/dt: kizbox: fix mismatch LED PWM device

Gbenga Adalumo (1):
      Staging: comedi: fix code indent coding style issues in daqboard2000.c

Geert Uytterhoeven (141):
      ARM: shmobile: r8a7740 dtsi: Fix SCIFB naming
      ARM: shmobile: sh73a0 dtsi: Fix SCIFB naming
      usb: phy: Remove the phy-rcar-gen2-usb driver
      staging: fbtft: Disable DMA support if DMA is not available
      [media] v4l: xilinx: VIDEO_XILINX should depend on HAS_DMA
      [media] v4l: VIDEOBUF2_DMA_SG should depend on HAS_DMA
      [media] Input: TOUCHSCREEN_SUR40 should depend on HAS_DMA
      ARM: shmobile: defconfig: Replace USB_RCAR_GEN2_PHY by PHY_RCAR_GEN2
      ASoC: rsnd: Use generic names for device nodes
      irqchip: irqc: Remove platform data support
      spi: Allow compile test of GPIO consumers if !GPIOLIB
      regulator: Allow compile test of GPIO consumers if !GPIOLIB
      serial: ifx6x60: Remove dangerous spi_driver casts
      serial: ifx6x60: Remove superfluous casts when calling request_irq()
      serial: SERIAL_IFX6X60 should depend on HAS_DMA
      clk: emev2: Use generic names for device nodes
      usb: phy: Allow compile test of GPIO consumers if !GPIOLIB
      dmaengine: Remove Renesas Audio DMAC peri peri platform data
      usb: phy: Remove the phy-rcar-gen2-usb driver
      serial: sh-sci: Move private definitions to private header file
      serial: sh-sci: Add (H)SCIF RTS/CTS pin data register bit definitions
      serial: sh-sci: Add SCIFA/B SCPCR register definitions
      serial: sh-sci: Document remaining FIFO Control Register bits
      serial: sh-sci: Standardize on using the BIT() macro to define register bits
      serial: sh-sci: Replace hardcoded values in SCxSR_*_CLEAR macros
      serial: sh-sci: Replace hardcoded overrun bit values
      serial: sh-sci: Use the correct register for overrun checks
      serial: sh-sci: Don't set SCLSR bits in the SCxSR error mask
      serial: sh-sci: Remove obsolete comment about overrun detection
      iio: Allow compile test of GPIO consumers if !GPIOLIB
      Staging: iio: Allow compile test of GPIO consumers if !GPIOLIB
      ARM: shmobile: r8a73a4: Add IRQC clock to device tree
      ARM: shmobile: r8a7790: Add IRQC clock to device tree
      ARM: shmobile: r8a7791: Add IRQC clock to device tree
      ARM: shmobile: r8a7794: Add IRQC clock to device tree
      ARM: shmobile: r8a7740 dtsi: Use generic names for device nodes
      ARM: shmobile: r8a7778 dtsi: Use generic names for device nodes
      ARM: shmobile: r8a7779 dtsi: Use generic names for device nodes
      ARM: shmobile: r8a7790 dtsi: Use generic names for device nodes
      ARM: shmobile: r8a7791 dtsi: Use generic names for device nodes
      ARM: shmobile: sh73a0 dtsi: Use generic names for device nodes
      ARM: shmobile: ape6evm dts: Use generic names for device nodes
      ARM: shmobile: kzm9d dts: Use generic names for device nodes
      ARM: shmobile: marzen dts: Use generic names for device nodes
      ARM: shmobile: armadillo800eva dts: Use generic names for device nodes
      ARM: shmobile: henninger dts: Add "nor-jedec" compatible value
      ARM: shmobile: bockw-reference dts: Add "nor-jedec" compatible value
      ARM: shmobile: lager dts: Add "nor-jedec" compatible value
      ARM: shmobile: bockw dts: Add "nor-jedec" compatible value
      ARM: shmobile: koelsch dts: Add "nor-jedec" compatible value
      ARM: shmobile: bockw dts: Use generic names for device nodes
      ARM: shmobile: lager dts: Use generic names for device nodes
      ARM: shmobile: koelsch dts: Use generic names for device nodes
      ARM: shmobile: kzm9g dts: Configure the HOME key as wake-up source
      pinctrl: sh-pfc: Remove r8a73a4 platform_device_id entry
      pinctrl: Grammar s/used in as/used as/
      pinctrl: sh-pfc: r8a7740: Fix typo SCIFAB in comment
      pinctrl: sh-pfc: r8a73a4: Remove obsolete multi-platform check
      i2c: Allow compile test of GPIO consumers if !GPIOLIB
      ARM: multi_v7_defconfig: Replace USB_RCAR_GEN2_PHY by PHY_RCAR_GEN2
      Documentation/magic-number: Remove SCI_MAGIC
      Documentation/magic-number: Remove SCC_MAGIC
      extcon: Allow compile test of GPIO consumers if !GPIOLIB
      PM / clk: Fix clock error check in __pm_clk_add()
      mtd: Allow compile test of GPIO consumers if !GPIOLIB
      ARM: shmobile: lager dts: Replace "nor-jedec" by "jedec,spi-nor"
      ARM: shmobile: koelsch dts: Replace "nor-jedec" by "jedec,spi-nor"
      ARM: shmobile: bockw-reference dts: Replace "nor-jedec" by "jedec,spi-nor"
      ARM: shmobile: henninger dts: Replace "nor-jedec" by "jedec,spi-nor"
      ARM: shmobile: bockw dts: Replace "nor-jedec" by "jedec,spi-nor"
      mtd: maps: Spelling s/reseved/reserved/
      genirq: Set IRQCHIP_SKIP_SET_WAKE for no_irq_chip
      DMA-API: Spelling s/This/Think/
      gpiolib: Grammar s/an negative/a negative/
      xillybus: XILLYBUS_OF should depend on HAS_DMA
      serial: sh-sci: Add DMA support to the DT binding documentation
      ARM: shmobile: r8a7790 dtsi: Describe DMA for the serial ports
      ARM: shmobile: r8a7791 dtsi: Describe DMA for the serial ports
      ARM: shmobile: r8a7794 dtsi: Describe DMA for the serial ports
      tty: Spelling s/reseved/reserved/
      ARM: multi_v7_defconfig: Enable shmobile r8a7778/bockw platform
      enic: Grammar s/an negative/a negative/
      dmaengine: shdma: r8a73a4: Make dma_ts_shift[] static
      gpiolib: Add missing dummies for the unified device properties interface
      leds: leds-gpio: Add missing #include <linux/of.h>
      leds: leds-gpio: Allow compile test if !GPIOLIB
      ARM: shmobile: r8a7794 dtsi: Describe DMA for the serial ports
      ARM: shmobile: r8a7791 dtsi: Describe DMA for the serial ports
      ARM: shmobile: r8a7790 dtsi: Describe DMA for the serial ports
      ARM: KVM: fix comment
      isdn: fix grammar in comment
      drm/radeon: fix comment
      netfilter: ebtables: fix comment grammar
      megaraid_sas: fix kerneldoc
      lpfc: Grammar s/an negative/a negative/
      of: Grammar s/property exist/property exists/
      of/fdt: Make fdt blob input parameters of unflatten functions const
      of/overlay: Grammar s/an negative/a negative/
      ARM: multi_v7_defconfig: Make sound support modular
      ARM: multi_v7_defconfig: Make media support modular
      dm log writes: use ULL suffix for 64-bit constants
      [media] wl128x: Allow compile test of GPIO consumers if !GPIOLIB
      hwmon: Allow compile test of GPIO consumers if !GPIOLIB
      m68k/defconfig: Update defconfigs for v4.1-rc6
      clk: shmobile: r8a7794: Document DIV6 clock bindings
      clk: shmobile: div6: Document mandatory compatible fallback
      clk: shmobile: mstp: Document mandatory compatible fallback
      clk: shmobile: rcar-gen2: Document mandatory compatible fallback
      clk: shmobile: rz: Document mandatory compatible fallback
      gpio: rcar: Check for irq_set_irq_wake() failures
      pinctrl: Spelling s/reseved/reserved/
      gpio: pcf857x: Check for irq_set_irq_wake() failures
      ASoC: rsnd: Document r8a7778-specific binding
      kconfig: Wrap long "make help" text lines
      clk: at91: Use of_clk_get_parent_count() instead of open coding
      clk: st: Use of_clk_get_parent_count() instead of open coding
      clk: ti: Use of_clk_get_parent_count() instead of open coding
      of/dynamic: Fix test for PPC_PSERIES
      powerpc/85xx: Replace CONFIG_USB_ISP1760_HCD by CONFIG_USB_ISP1760
      irqchip: renesas: intc-irqpin: Improve binding documentation
      block/ps3vram: Remove obsolete reference to MTD
      s390/mm: s/specifiation/specification/, s/an specification/a specification/
      PM / Domains: Skip timings during syscore suspend/resume
      PM / clk: Print acquired clock name in addition to con_id
      Revert "staging: board: disable as it breaks the build"
      staging: board: Initialize staging board code earlier
      staging: board: Add support for translating hwirq to virq numbers
      staging: board: kzm9d: Translate hwirq numbers to virq numbers
      staging: board: Add support for devices with complex dependencies
      staging: board: armadillo800eva: Board staging for sh_mobile_lcdc_fb
      mfd: Allow compile test of GPIO consumers if !GPIOLIB
      watchdog: Allow compile test of GPIO consumers if !GPIOLIB
      backlight: Allow compile test of GPIO consumers if !GPIOLIB
      hexdump: Make test data really const
      bdi: Remove "inline" keyword from exported I_BDEV() implementation
      flow_dissector: Pre-initialize ip_proto in __skb_flow_dissect()
      drivers: net: xgene: Pre-initialize ret in xgene_enet_get_resources()
      net: via: VIA_RHINE and VIA_VELOCITY should depend on HAS_DMA
      of/irq: Rename "intc_desc" to "of_intc_desc" to fix OF on sh
      PM / Domains: Avoid infinite loops in attach/detach code
      i2c: I2C_MT65XX should depend on HAS_DMA

Geliang Tang (2):
      staging: comedi: fix checkpatch error
      staging: comedi: keep the consistency

Geoff Levand (3):
      block/ps3vram: Fix sparse warnings
      MAINTAINERS: Update ps3vram block driver
      net/ps3_gelic: Fix build error with DEBUG

George Beshers (1):
      locking/lockdep: Remove hard coded array size dependency

Gerd Hoffmann (1):
      virtio-pci: alloc only resources actually used.

Giedrius Statkevičius (6):
      staging: dgnc: remove some dead code from dgnc_tty.c
      staging: dgnc: clean up allocation of ->channels[i]
      staging: dgnc: don't forget to check if ->channels[i] is NULL in dgnc_tty_uninit()
      staging: dgnc: remove redundant !ch checks
      staging: dgnc: remove dead code in dgnc_tty_write()
      staging: dgnc: remove redundant check

Gilad Ben-Yossef (2):
      rocker: call correct unregister function on error
      dsa: fix promiscuity leak on slave dev open error

Giuseppe Cavallaro (1):
      drivers: clk: st: Fix flexgen lock init

Glenn Ruben Bakke (5):
      Bluetooth: 6lowpan: Enable delete_netdev to be scheduled when last peer is deleted
      Bluetooth: 6lowpan: Rename ambiguous variable
      Bluetooth: 6lowpan: Move netdev sysfs device reference
      Bluetooth: 6lowpan: Fix double kfree of netdev priv
      Bluetooth: 6lowpan: Fix module refcount

Gnanachandran Dhanapal (2):
      Staging: rtl8192e: Casting correct Endianness
      Staging: rtl8192e: Timer setup using macro rather assignment

Gobinda Charan Maji (1):
      sysfs: tightened sysfs permission checks

Goffredo Baroncelli (2):
      HID: Export hid_field_extract()
      HID: hidpp: Add driver for mouse logitech M560

Gong Zhaogang (1):
      workqueue: function name in the comment differs from the real function name

Govindarajulu Varadarajan (4):
      enic: unlock napi busy poll before unmasking intr
      enic: check return value for stat dump
      enic: fix memory leak in rq_clean
      enic: use atomic_t instead of spin_lock in busy poll

Graeme Gregory (1):
      drivers: PL011: add ACPI probing for SBSA UART

Grant Likely (1):
      Merge remote-tracking branch 'robh/for-next' into devicetree/next

Greg Donald (1):
      drivers: staging: sm750fb: Fix "'foo * bar' should be 'foo *bar'" errors

Greg Kroah-Hartman (66):
      Staging: iop.c: move assignment out of if () block
      Merge 4.1-rc2 into staging-next
      Merge tag 'iio-for-v4.2a' of git://git.kernel.org/.../jic23/iio into staging-next
      staging: remove i2o subsystem
      USB: ehci-dbg.c: move assignment out of if () block
      USB: fusbh200-hcd.c: move assignment out of if () block
      USB: hcd.c: move assignment out of if () block
      USB: hub.c: move assignment out of if () block
      USB: inode.c: move assignment out of if () block
      USB: isp116x-hcd.c: move assignment out of if () block
      USB: mon_bin.c: move assignment out of if () block
      USB: mon_main.c: move assignment out of if () block
      USB: mon_stat.c: move assignment out of if () block
      USB: ohci-dbg.c: move assignment out of if () block
      USB: ohci-hcd.c: move assignment out of if () block
      USB: ohci-q.c: move assignment out of if () block
      USB: sisusb.c: move assignment out of if () block
      USB: sisusb_con.c: move assignment out of if () block
      USB: speedtch.c: move assignment out of if () block
      USB: usbatm.c: move assignment out of if () block
      USB: usblp.c: move assignment out of if () block
      USB: uss720.c: move assignment out of if () block
      USB: xusbatm.c: move assignment out of if () block
      tty: 68328serial.c: move assignment out of if () block
      tty: amiserial.c: move assignment out of if () block
      tty: consolemap.c: move assignment out of if () block
      tty: crisv10.c: move assignment out of if () block
      tty: hvc_console.c: move assignment out of if () block
      tty: hvcs.c: move assignment out of if () block
      tty: icom.c: move assignment out of if () block
      tty: ioc3_serial.c: move assignment out of if () block
      tty: ioc4_serial.c: move assignment out of if () block
      tty: mpsc.c: move assignment out of if () block
      tty: synclink.c: move assignment out of if () block
      tty: synclink_gt.c: move assignment out of if () block
      tty: synclinkmp.c: move assignment out of if () block
      tty: tty_buffer.c: move assignment out of if () block
      tty: tty_ldsem.c: move assignment out of if () block
      Merge 4.1-rc4 into staging-next
      Merge 4.1-rc4 into tty-next
      Merge 4.1-rc4 into usb-next
      Merge tag 'for-4.1-rc' of git://git.kernel.org/.../kishon/linux-phy into usb-linus
      Merge tag 'iio-fixes-for-4.1b' of git://git.kernel.org/.../jic23/iio into staging-linus
      Merge tag 'iio-for-v4.2b' of git://git.kernel.org/.../jic23/iio into staging-next
      Merge tag 'fixes-for-v4.1-rc5' of git://git.kernel.org/.../balbi/usb into usb-linus
      mei: fix up uuid matching
      staging: lustre/obdclass/acl: remove unused variable
      Merge tag 'usb-for-v4.2' of git://git.kernel.org/.../balbi/usb into usb-next
      staging: wilc1000: remove unused data types
      staging: wilc100: remove WILC_NULL usage
      staging: wilc1000: remove WILC_Uint8
      Merge tag 'extcon-next-for-4.2' of git://git.kernel.org/.../chanwoo/extcon into char-misc-next
      Merge tag 'phy-for-v4.2' of git://git.kernel.org/.../kishon/linux-phy into usb-next
      Merge tag 'usb-serial-4.2-rc1' of git://git.kernel.org/.../johan/usb-serial into usb-next
      Merge tag 'usb-serial-4.1-rc7' of git://git.kernel.org/.../johan/usb-serial into usb-linus
      Merge 4.1-rc7 into driver-core-next
      Merge 4.1-rc7 into staging-testing
      Merge 4.1-rc7 into tty-next
      Merge 4.1-rc7 into usb-next
      Revert "base/platform: Remove code duplication"
      Revert "of/platform: Use platform_device interface"
      Revert "base/platform: Continue on insert_resource() error"
      Revert "base/platform: Only insert MEM and IO resources"
      Merge tag 'usb-ci-v4.2-rc1' of git://git.kernel.org/.../peter.chen/usb into usb-work
      Merge tag 'iio-for-v4.2c' of git://git.kernel.org/.../jic23/iio into staging-next
      staging: wilc1000: disable driver due to build warnings

Greg Kurz (8):
      virtio: introduce virtio_is_little_endian() helper
      tun: add tun_is_little_endian() helper
      macvtap: introduce macvtap_is_little_endian() helper
      vringh: introduce vringh_is_little_endian() helper
      vhost: introduce vhost_is_little_endian() helper
      virtio: add explicit big-endian support to memory accessors
      vhost: cross-endian support for legacy devices
      macvtap/tun: cross-endian support for little-endian hosts

Greg Rose (2):
      i40e: Disable offline diagnostics if VFs are enabled
      i40e/i40evf: Remove unneeded TODO

Greg Thelen (1):
      memcg: add per cgroup dirty page accounting

Greg Ungerer (2):
      m68k: improve m68knommu MAINTAINERS entry
      net: fec: don't access RACC register when not available

Gregory CLEMENT (3):
      spi: orion: Fix maximum baud rates for Armada 370/XP
      ARM: mvebu: use improved armada spi device tree compatible name for each SoC
      spi: orion: Fix extended baud rates for each Armada SoCs

Gregory Fong (4):
      dt-bindings: add brcmstb-gpio GPIO binding
      ARM: brcmstb: Select ARCH_WANT_OPTIONAL_GPIOLIB
      ARM: brcmstb: Add default gpio number
      gpio: Add GPIO support for Broadcom STB SoCs

Gregory Herrero (15):
      usb: dwc2: add controller hibernation support
      usb: dwc2: implement hibernation during bus suspend/resume
      usb: dwc2: controller must update lx_state before releasing lock
      usb: dwc2: add external_id_pin_ctl core parameter
      usb: dwc2: gadget: use reset detect interrupt
      usb: dwc2: gadget: ignore pm suspend/resume in L2
      usb: dwc2: gadget: prevent new request submission during suspend
      usb: dwc2: gadget: powerup controller if needed
      usb: dwc2: gadget: enable otg flag in dual role configuration
      usb: dwc2: host: add bus_suspend/bus_resume callback
      usb: dwc2: host: resume root hub on port connect
      usb: dwc2: host: spinlock urb_enqueue
      usb: dwc2: host: don't use dma_alloc_coherent with irqs disabled
      usb: dwc2: add hibernation core parameter
      usb: dwc2: host: ensure qtb exists before dereferencing it

Grigori Goronzy (4):
      drm/radeon: use RCU query for GEM_BUSY syscall
      drm/radeon: fix HDP flushing
      drm/radeon: default to 2048 MB GART size on SI+
      drm/radeon: unpin cursor BOs on suspend and pin them again on resume (v2)

Grygorii Strashko (10):
      PM / sleep: Add macro to define common noirq system PM callbacks
      bus: omap_l3_noc: add missed callbacks for suspend-to-disk
      ARM: omap-device: use SET_NOIRQ_SYSTEM_SLEEP_PM_OPS
      leds / PM: fix hibernation on arm when gpio-led used with CPU led trigger
      gpio: omap: fix omap_gpio_free to not clean up irq configuration
      gpio: omap: fix error handling in omap_gpio_irq_type
      gpio: omap: rework omap_x_irq_shutdown to touch only irqs specific registers
      gpio: omap: rework omap_gpio_request to touch only gpio specific registers
      gpio: omap: rework omap_gpio_irq_startup to handle current pin state properly
      gpio: pcf857x: handle only enabled irqs

Gu Zheng (2):
      mm/memory_hotplug.c: set zone->wait_table to null after freeing it
      mm/memory hotplug: init the zone's size when calculating node totalpages

Guenter Roeck (8):
      staging: wilc1000: Include linux/gpio.h instead of asm/gpio.h
      staging: wilc1000: Disable for S390
      hwmon: (atxp1) Drop FSF mailing address
      hwmon: (atxp1) Drop auto-detection
      blackfin: Fix build error
      score: Fix exception handler label
      ARM: Fix build if CLKDEV_LOOKUP is not configured
      crypto: asymmetric_keys/rsa - Use non-conflicting variable name

Guenther Hutzl (2):
      KVM: s390: make EDAT1 depend on host support
      KVM: s390: Enable guest EDAT2 support

Guido Martínez (2):
      Input: adp5589-keys - fix pull mask setting
      Input: adp5589-keys - fix event count mask

Guillaume Brogi (1):
      staging: vt6655: Checkpatch fix: lines longer than 80 columns

Gujulan Elango, Hari Prasath (H.) (13):
      staging: i2o: Remove unwanted semicolon
      staging: emxx_udc : remove stray semicolon
      staging: emxx_udc: Remove dead code
      staging: comedi: Remove unwanted lines of code
      staging: fsl-mc: Remove redundant initalization of the .owner field
      staging: gsc_hdpi: Remove dead code
      staging: rtl8192e: fix wrong assignment
      staging: rtl8192e: Use time_after macro
      staging: rtl8192e: use time_before() macro
      staging: lustre: check kzalloc return value
      staging: rtl8723au: remove redundant initialization
      staging: wlan-ng: check return value of kmalloc
      staging: dgnc: delete all references to 'flipbuf'

Gunasundar, Balamanikandan (B.) (1):
      Staging: lustre: Replace kzalloc and memcpy by kmemdup

Guo Zeng (2):
      ARM: dts: atlas7: add fixed frequency clocks in car node
      ARM: prima2: move to use REGMAP APIs for rtciobrg

Gustavo Zacarias (1):
      net: phy: davicom: add IDs for DM9161B and C variants

Gwendal Grignou (2):
      mfd: cros_ec: Remove parent field
      mfd: cros_ec: Support multiple EC in a system

H Hartley Sweeten (135):
      staging: comedi: comedi_bond: fix 'b_mask' calc in bonding_dio_insn_bits()
      staging: comedi: ni_nio_common: don't write non-existing caldac's
      staging: comedi: serial2002: fix Coverity "Explicit null dereference"
      staging: comedi: ni_mio_common: refactor m-series stc register handling
      staging: comedi: ni_mio_common: open code the M-Series regmap offsets
      staging: comedi: ni_mio_common: refactor gpct to stc register handling
      staging: comedi: ni_mio_common: open code the M-Series GPCT register offsets
      staging: comedi: ni_mio_common: simplify ni_m_series_set_pfi_routing()
      staging: comedi: ni_stc.h: tidy up M_Offset_* values
      staging: comedi: ni_stc.h: reg_type is not needed by MSeries_AI_Config_Bank_Bits()
      staging: comedi: ni_stc.h: simplify MSeries_AI_Config_Bank_Bits()
      staging: comedi: ni_stc.h: rename M_Offset_* symbols
      staging: comedi: ni_stc.h: tidy up NI_M_AI_CFG_FIFO_DATA_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_CLK_FOUT2_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_PLL_CTRL_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_PLL_STATUS_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_AI_CFG_BYPASS_FIFO_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_AO_CFG_BANK_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_AO_REF_ATTENUATION_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_CAL_PWM_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_PFI_OUT_SEL_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_PFI_FILTER_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_CDIO_DMA_SEL_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_CDIO_STATUS_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_CDIO_CMD_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_CDI_MODE_REG bits
      staging: comedi: ni_mio_common: remove BUG() check in ni_cdio_cmd()
      staging: comedi: ni_stc.h: tidy up NI_M_CDO_MODE_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_INTC_ENA_REG bits
      staging: comedi: ni_stc.h: tidy up NI_M_INTC_STATUS_REG bits
      staging: comedi: ni_mio_common: remove disabled GPCT functions
      staging: comedi: ni_stc.h: tidy up Interrupt_A_Ack_Register and bits
      staging: comedi: ni_stc.h: tidy up Interrupt_B_Ack_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Command_2_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_Command_2_Register and bits
      staging: comedi: ni_stc.h: tidy up G_Command_Register
      staging: comedi: ni_stc.h: tidy up AI_Command_1_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_Command_1_Register and bits
      staging: comedi: ni_stc.h: tidy up DIO_Output_Register and bits
      staging: comedi: ni_stc.h: tidy up DIO_Control_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Mode_1_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Mode_2_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_*_Load_[AB]_Registers
      staging: comedi: ni_stc.h: tidy up G_{Mode,Load,Input}*_Register
      staging: comedi: ni_stc.h: tidy up AO_Mode_1_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_Mode_2_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_*_Load_[AB]_Register
      staging: comedi: ni_stc.h: tidy up Clock_and_FOUT_Register and bits
      staging: comedi: ni_mio_common: use 'reg' in ni_set_bitfield()
      staging: comedi: ni_stc.h: tidy up IO_Bidirection_Pin_Register
      staging: comedi: ni_stc.h: remove unreachable code in RTSI_Output_Bit()
      staging: comedi: ni_stc.h: tidy up RTSI_Trig_Direction_Register and bits
      staging: comedi: ni_stc.h: tidy up Interrupt_Control_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Output_Control_Register and bits
      staging: comedi: ni_stc.h: tidy up Analog_Trigger_Etc_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_START_STOP_Select_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Trigger_Select_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_DIV_Load_A_Register
      staging: comedi: ni_stc.h: tidy up AO_Start_Select_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_Trigger_Select_Register and bits
      staging: comedi: ni_stc.h: tidy up G_Autoincrement_Register
      staging: comedi: ni_stc.h: tidy up AO_Mode_3_Register and bits
      staging: comedi: ni_stc.h: tidy up Joint_Reset_Register and bits
      staging: comedi: ni_stc.h: tidy up Interrupt_A_Enable_Register and bits
      staging: comedi: ni_stc.h: tidy up Second_IRQ_A_Enable_Register and bits
      staging: comedi: ni_stc.h: tidy up Interrupt_B_Enable_Register and bits
      staging: comedi: ni_stc.h: tidy up Second_IRQ_B_Enable_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Personal_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_Personal_Register and bits
      staging: comedi: ni_stc.h: tidy up RTSI_Trig_[AB]_Output_Register and bits
      staging: comedi: ni_stc.h: tidy up RTSI_Board_Register
      staging: comedi: ni_stc.h: tidy up Write_Strobe_*_Register
      staging: comedi: ni_stc.h: tidy up AO_Output_Control_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Mode_3_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_Status_1_Register and bits
      staging: comedi: ni_stc.h: tidy up AO_Status_1_Register and bits
      staging: comedi: ni_stc.h: tidy up G_Status_Register
      staging: comedi: ni_stc.h: tidy up AI_Status_2_Register
      staging: comedi: ni_stc.h: tidy up AO_Status_2_Register
      staging: comedi: ni_stc.h: tidy up DIO_Parallel_Input_Register
      staging: comedi: ni_stc.h: tidy up G_HW_Save_Register
      staging: comedi: ni_stc.h: tidy up G_Save_Register
      staging: comedi: ni_stc.h: tidy up AO_*_Save_Registers
      staging: comedi: ni_stc.h: tidy up Joint_Status_1_Register
      staging: comedi: ni_stc.h: tidy up DIO_Serial_Input_Register
      staging: comedi: ni_stc.h: tidy up Joint_Status_2_Register and bits
      staging: comedi: ni_stc.h: tidy up AI_*_Save_Registers
      staging: comedi: ni_stc.h: remove unused GPCT register bit defines
      staging: comedi: ni_stc.h: tidy up Window_{Address,Data} defines
      staging: comedi: ni_stc.h: tidy up XXX_Status register and bits
      staging: comedi: ni_stc.h: tidy up Serial_Command register and bits
      staging: comedi: ni_stc.h: tidy up Misc_Command register and bits
      staging: comedi: ni_stc.h: tidy up 8255 register defines
      staging: comedi: ni_stc.h: tidy up ADC_FIFO_Data_Register
      staging: comedi: ni_stc.h: tidy up Configuration_Memory_Low register and bits
      staging: comedi: ni_stc.h: tidy up Configuration_Memory_High register and bits
      staging: comedi: ni_stc.h: tidy up AO_Configuration register and bits
      staging: comedi: ni_stc.h: tidy up DAC_FIFO_Data register
      staging: comedi: ni_stc.h: tidy up DAC[01]_Direct_Data registers
      staging: comedi: ni_mio_common: remove BUG_ON(gpct_index ...) checks
      staging: comedi: ni_stc.h: tidy up AI_AO_Select register and bits
      staging: comedi: ni_stc.h: tidy up G0_G1_Select register and bits
      staging: comedi: ni_mio_common: move ni_stc_dma_channel_select_bitfield()
      staging: comedi: ni_stc.h: remove unused _bit* defines
      staging: comedi: ni_stc.h: rename the NI-611x register defines
      staging: comedi: ni_stc.h: rename the NI-6143 register defines
      staging: comedi: ni_stc.h: tidy up the cs5529_configuration_bits
      staging: comedi: ni_stc.h: tidy up the cs5529_command_bits
      staging: comedi: ni_stc.h: tidy up the windowed_regs_67xx_61xx
      staging: comedi: ni_stc.h: final cleanup
      staging: comedi: ni_mio_common: fix build warning
      staging: comedi: amplc_pc236_common: rename 'thisboard' variables
      staging: comedi: amplc_pci224: rename 'thisboard' variables
      staging: comedi: amplc_pci230: rename 'thisboard' variables
      staging: comedi: cb_pcidda: rename 'thisboard' variables
      staging: comedi: ni_670x: rename 'thisboard' variables
      staging: comedi: ni_at_a2150: cleanup a2150_probe()
      staging: comedi: ni_at_a2150: rename 'thisboard' variables
      staging: comedi: das08_isa: rename 'thisboard' variables
      staging: comedi: das08: rename 'thisboard' variables
      staging: comedi: das800: cleanup das800_probe()
      staging: comedi: das800: rename 'thisboard' variables
      staging: comedi: das1800: cleanup das1800_probe()
      staging: comedi: das1800: rename 'thisboard' variables
      staging: comedi: me4000: rename 'thisboard' variables
      staging: comedi: cb_pcidas: rename 'thisboard' variables
      staging: comedi: cb_pcidas64: rename 'thisboard' variables
      staging: comedi: adv_pci_dio: rename 'this_board' variables
      staging: comedi: dt3000: rename 'this_board' variables
      staging: comedi: vmk80xx: rename 'boardinfo' variables
      staging: comedi: vmk80xx: sanity check context used to get the boardinfo
      staging: comedi: ni_atmio: cleanup ni_getboardtype()
      staging: comedi: addi_apci_1516: rename 'this_board' variables
      staging: comedi: addi_apci_3120: rename 'this_board' variables
      rtc: ep93xx: Use readl/writel for io

H. Nikolaus Schaller (3):
      Documentation usb serial: fixed how to provide vendor and product id
      iio: adc: twl4030_madc: Fix calculation of the temperature sense current
      iio: adc: twl4030_madc: Fix description of twl4030_madc_set_current_generator()

H.J. Lu (1):
      x86/asm: Use -mskip-rax-setup if supported

HATAYAMA Daisuke (2):
      kernel/panic: call the 2nd crash_kexec() only if crash_kexec_post_notifiers is enabled
      kernel/panic/kexec: fix "crash_kexec_post_notifiers" option issue in oops path

Hadar Hen Zion (1):
      net/ethtool: Add current supported tunable options

Hagen Paul Pfeifer (1):
      x86/asm: Always inline atomics

Haggai Abramonvsky (4):
      net/mlx5_core: Apply proper name convention to helpers
      net/mlx5_core: Enable XRCs and SRQs when using ISSI > 0
      net/mlx5_core: Check the return bitmask when querying ISSI
      mlx5: Enable mutual support for IB and Ethernet

Haggai Eran (1):
      staging: rtl8712: prevent buffer overrun in recvbuf2recvframe

Hai Li (1):
      clk: qcom: Use parent rate when set rate to pixel RCG clock

Haikun Wang (5):
      spi: spi-fsl-dspi: remove clk reference when regmap_mmio initialize
      spi: spi-fsl-dspi: Bug fix incorrect CS de-assert
      spi: spi-fsl-dspi: Enable TCF interrupt mode support
      spi: spi-fsl-dspi: Change the way of increasing spi_message->actual_length
      spi: spi-fsl-dspi: Update DT binding documentation

Hamad Kadmany (1):
      wil6210: Support hidden SSID

Hanjun Guo (11):
      ACPI / processor: Introduce invalid_logical_cpuid()
      Xen / ACPI / processor: use invalid_logical_cpuid()
      Xen / ACPI / processor: Remove unneeded NULL check
      ACPI / processor: remove cpu_index in acpi_processor_get_info()
      ACPI / processor: remove phys_id in acpi_processor_get_info()
      ACPI / processor: return specific error instead of -1
      ACPI / processor: Introduce invalid_phys_cpuid()
      ACPI / PCI: remove stale list_head in struct acpi_prt_entry
      GPIO / ACPI: export acpi_gpiochip_request(free)_interrupts for module use
      ACPICA: ACPI 6.0: Add values for MADT GIC version field
      ARM64 / SMP: Switch pr_err() to pr_debug() for disabled GICC entry

Hannes Frederic Sowa (4):
      net: skbuff: add skb_append_pagefrags and use it
      net: af_unix: implement stream sendpage support
      net: make skb_splice_bits more configureable
      net: af_unix: implement splice for stream af_unix sockets

Hannes Reinecke (32):
      advansys: use host_reset
      advansys: use shared host tag map for command lookup
      advansys: use DMA-API for mapping sense buffer
      advansys: Use DMA-API for carrier buffer
      advansys: Use DMA-API for mapping request blocks
      advansys: Use dma_pool for sg elements
      advansys: use 'bool' instead of 'int'
      advansys: use standard data types
      advansys: Remove 'TRUE' and 'FALSE' definitions
      advansys: remove 'ERR' definition
      advansys: Make AscIsrChipHalted() a void function
      advansys: cleanup function return codes
      advansys: scsi_q1->data_addr is little endian
      advansys: Remove ASC_SCSI_REQ_Q
      advansys: Remove CC_VERY_LONG_SG_LIST
      advansys: rename 'ASC_RQ_XX' to 'ADV_RQ_XX'
      advansys: Remove 'a_flag'
      advansys: Remove obsolete virtual memory mapping comment
      advansys: Remove cmd_per_lun setting
      advansys: Check for DMA mapping errors
      advansys: use spin_lock_irqsave() in interrupt handler
      advansys: Remove call to dma_cache_sync()
      advansys: Update to version 3.5 and remove compilation warning
      scsi: Do not set cmd_per_lun to 1 in the host template
      target: use 64-bit LUNs
      target: Remove TARGET_MAX_LUNS_PER_TRANSPORT
      target_core_alua: Correct UA handling when switching states
      target: Remove 'ua_nacl' pointer from se_ua structure
      target: use 'se_dev_entry' when allocating UAs
      target: Send UA on ALUA target port group change
      target: Send UA upon LUN RESET tmr completion
      target: Send UA when changing LUN inventory

Hans Ulli Kroll (2):
      rtlwifi: fix tm_trigger usage
      rtc: driver for Cortina Gemini

Hans Verkuil (124):
      [media] v4l2-of: fix compiler errors if CONFIG_OF is undefined
      [media] vivid-tpg: add tpg_log_status()
      [media] vivid-tpg: add full range SMPTE 240M support
      [media] vivid-tpg: add full range BT.2020 support
      [media] vivid-tpg: add full range BT.2020C support
      [media] vivid-tpg: fix XV601/709 Y'CbCr encoding
      [media] DocBook/media: attemps -> attempts
      [media] s5c73m3/s5k5baf/s5k6aa: fix compiler warnings
      [media] s3c-camif: fix compiler warnings
      [media] cx24123/mb86a20s/s921: fix compiler warnings
      [media] radio-bcm2048: fix compiler warning
      [media] v4l2-ioctl: fill in the description for VIDIOC_ENUM_FMT
      [media] v4l2-pci-skeleton: drop format description
      [media] vim2m: drop format description
      [media] vivid: drop format description
      [media] cx88: v4l2-compliance fixes
      [media] bttv: fix missing irq after reloading driver
      [media] DocBook/media: fix typo
      [media] DocBook/media: Improve G_EDID specification
      [media] saa7164: fix querycap warning
      [media] cx18: add missing caps for the PCM video device
      [media] usbtv: fix v4l2-compliance issues
      [media] marvell-ccic: fix vb2 warning
      [media] marvell-ccic: fill in bus_info
      [media] marvell-ccic: webcam drivers shouldn't support g/s_std
      [media] ov7670: check for valid width/height in ov7670_enum_frame_interval
      [media] marvell-ccic: fill in colorspace
      [media] marvell-ccic: control handler fixes
      [media] marvell-ccic: switch to struct v4l2_fh
      [media] marvell-ccic: implement control events
      [media] marvell-ccic: use vb2 helpers and core locking
      [media] marvell-ccic: add create_bufs support
      [media] marvell-ccic: add DMABUF support for all three DMA modes
      [media] marvell-ccic: fix streaming issues
      [media] marvell-ccic: correctly requeue buffers
      [media] marvell-ccic: add planar support to dma-vmalloc
      [media] marvell-ccic: drop V4L2_PIX_FMT_JPEG dead code
      [media] ov7670: use colorspace SRGB instead of JPEG
      [media] marvell-ccic: fix the bytesperline and sizeimage calculations
      [media] marvell-ccic: drop support for PIX_FMT_422P
      [media] marvell-ccic: fix V4L2_PIX_FMT_SBGGR8 support
      [media] dt3155v4l: code cleanup
      [media] dt3155v4l: remove unused statistics
      [media] dt3155v4l: add v4l2_device support
      [media] dt3155v4l: remove pointless dt3155_alloc/free_coherent
      [media] dt3155v4l: remove bogus single-frame capture in init_board
      [media] dt3155v4l: move vb2_queue to top-level
      [media] dt3155v4l: drop CONFIG_DT3155_STREAMING
      [media] dt3155v4l: correctly start and stop streaming
      [media] dt3155v4l: drop CONFIG_DT3155_CCIR, use s_std instead
      [media] dt3155v4l: fix format handling
      [media] dt3155v4l: support inputs VID0-3
      [media] dt3155: move out of staging into drivers/media/pci
      [media] dt3155: add GFP_DMA32 flag to vb2 queue
      [media] v4l2: replace enum_mbus_fmt by enum_mbus_code
      [media] v4l2: replace video op g_mbus_fmt by pad op get_fmt
      [media] v4l2: replace try_mbus_fmt by set_fmt
      [media] v4l2: replace s_mbus_fmt by set_fmt
      [media] v4l2: replace try_mbus_fmt by set_fmt in bridge drivers
      [media] v4l2: replace s_mbus_fmt by set_fmt in bridge drivers
      [media] saa7164: fix compiler warning
      [media] marvell-ccic: fix RGB444 format
      [media] sta2x11: use monotonic timestamp
      [media] rcar-vin: use monotonic timestamps
      [media] DocBook/media: remove spurious space
      [media] DocBook/media: improve timestamp documentation
      [media] DocBook/media: fix syntax error
      [media] adv7842: Make output format configurable through pad format operations
      [media] vb2: allow requeuing buffers while streaming
      [media] adv7604/adv7842: replace FMT_CHANGED by V4L2_DEVICE_NOTIFY_EVENT
      [media] cobalt: add new driver
      [media] cobalt: fix irqs used for the adv7511 transmitter
      [media] cobalt: fix 64-bit division link error
      [media] cobalt: fix compiler warnings on 32 bit OSes
      [media] e4000: fix compiler warning
      [media] cobalt: fix sparse warnings
      [media] cobalt: fix sparse warnings
      [media] cobalt: fix sparse warnings
      [media] cobalt: fix sparse warnings
      [media] cobalt: fix sparse warnings
      [media] cx24120: fix sparse warning
      [media] saa7164: fix sparse warning
      [media] adv7604/cobalt: missing GPIOLIB dependency
      [media] DocBook/media: add missing entry for V4L2_PIX_FMT_Y16_BE
      [media] ivtv: fix incorrect audio mode report in log_status
      [media] videodev2.h: add COLORSPACE_DEFAULT
      [media] DocBook/media: document COLORSPACE_DEFAULT
      [media] videodev2.h: add COLORSPACE_RAW
      [media] DocBook/media: document COLORSPACE_RAW
      [media] videodev2.h: add macros to map colorspace defaults
      [media] vivid: use new V4L2_MAP_*_DEFAULT defines
      [media] DocBook media: fix typos
      [media] DocBook media: xmllint fixes
      [media] DocBook media: rewrite frontend open/close
      [media] videodev2.h: add support for transfer functions
      [media] DocBook/media: document new xfer_func fields
      [media] adv7511: add xfer_func support
      [media] am437x-vpfe: add support for xfer_func
      [media] vivid: add xfer_func support
      [media] vivid-tpg: precalculate colorspace/xfer_func combinations
      [media] cobalt: support transfer function
      [media] cobalt: simplify colorspace code
      [media] vivid.txt: update the vivid documentation
      [media] vivid: move PRINTSTR to separate functions
      [media] vivid: move video loopback control to the capture device
      [media] stk1160: add DMABUF support
      [media] vivid-tpg: improve Y16 color setup
      [media] v4l2-ioctl: clear the reserved field of v4l2_create_buffers
      [media] DocBook media: correct description of reserved fields
      [media] v4l2-ioctl: log buffer type 0 correctly
      [media] v4l2-mem2mem: add support for prepare_buf
      [media] vim2m: add create_bufs and prepare_buf support
      [media] adv7511: replace uintX_t by uX for consistency
      [media] adv7842: replace uintX_t by uX for consistency
      [media] adv7511: log the currently set infoframes
      [media] adv7604: log infoframes
      [media] adv7604: fix broken saturator check
      [media] adv7604: log alt-gamma and HDMI colorspace
      [media] v4l2-dv-timings: support interlaced in v4l2_print_dv_timings
      [media] cx231xx: fix compiler warning
      [media] bdisp: update MAINTAINERS
      [media] cobalt: fix 64-bit division
      [media] Revert "[media] vb2: Push mmap_sem down to memops"
      [media] videodev2.h: fix copy-and-paste error in V4L2_MAP_XFER_FUNC_DEFAULT

Hans de Goede (77):
      ARM: dts: sun4i: Enable tablet keys on Chuwi V7 CW0825
      ARM: dts: sun5i: Stop using different compatibles for ehci/ohci on a13 vs a10s
      ARM: dts: sun5i: Add mmc2 pinmux settings
      ARM: dts: sun5i: Add new Auxtek-t004 board
      ARM: dts: sun5i: Add new Utoo P66 board
      ARM: dts: sunxi: Adjust touchscreen compatible for sun5i and later
      ARM: dts: sunxi: Add address- and size-cells properties to the mmc ctrl nodes
      ARM: dts: sun7i: Add OOB irq support to boards with broadcom sdio wifi
      ARM: dts: sun6i: csq cs908 dts cleanup
      ARM: dts: sun5i: Add broken-hpi property for Utoo-P66 eMMC
      ARM: dts: sun4i: Add A10 SRAM and SRAM controller
      ARM: dts: sun5i: Add A13 and A10s SRAM and SRAM controller
      ARM: dts: sun7i: Add dts file for the Orangepi SBC
      ARM: dts: sun7i: Add dts file for the Orangepi mini SBC
      ARM: dts: sun5i: Enable touchscreen on Utoo P66
      ARM: dts: sun7i: Add dts file for the Jesurun Q5 top set box
      Input: alps - change alps_decode_rushmore to do all decoding itself
      Input: alps - only set fields that are actually present
      Input: alps - decode the position packet first
      Input: alps - use more accurate coordinates for first touch in semi-mt mode
      Input: alps - do not use input-mt finger tracking for semi-mt devices
      Input: alps - rename alps_set_abs_params_mt to alps_set_abs_params_semi_mt
      Input: alps - use the generic process_bitmap function for v5 touchpads
      usb: musb: Add pre and post root port reset end callbacks
      usb: musb: Make musb_write_rxfun* and musb_write_rxhub* work like their tx versions
      usb: musb: Make busctl_offset an io-op rather then a define
      usb: musb: Do not use musb_read[b|w] / _write[b|w] wrappers in generic fifo functions
      usb: musb: Fix platform code being unable to override ep access ops
      phy: sun4i-usb: Add a sunxi specific function for setting squelch-detect
      clk: sunxi: Add support for the usb-clk on sun8i a23 and a33 SoCs
      ARM: dts: sun8i: Add usb_clk node for a23/a33
      Input: elantech - fix detection of touchpads where the revision matches a known rate
      ARM: dts: sun8i: Add dts file for the GA10H-A33 tablet
      ARM: dts: sun6i: Add a dts file for the Mele A1000G quad top set box
      Input: alps - do not reduce trackpoint speed by half
      apple_gmux: Use acpi_video_unregister_backlight instead of acpi_video_unregister
      asus-wmi: Use acpi_video_unregister_backlight instead of acpi_video_unregister
      samsung-laptop: Use acpi_video_unregister_backlight instead of acpi_video_unregister
      power: Add devm_power_supply_get_by_phandle() helper function
      ACPI / video: Add enable_native_backlight quirk for MacbookPro12,1
      ACPI / video: Add a parameter to not register the backlight sysfs interface
      apple_gmux: Use acpi_video_unregister_backlight instead of acpi_video_unregister
      asus-wmi: Use acpi_video_unregister_backlight instead of acpi_video_unregister
      samsung-laptop: Use acpi_video_unregister_backlight instead of acpi_video_unregister
      apple-gmux: Stop using acpi_video_dmi_demote_vendor()
      acpi-video-detect: Remove the unused acpi_video_dmi_demote_vendor() function
      acpi-video-detect: Make acpi_video_get_capabilities a private function
      acpi-video-detect: Move acpi_is_video_device() to acpi/scan.c
      acpi-video-detect: Move acpi_osi_is_win8 to osl.c
      acpi-video-detect: video: Make video_detect code part of the video module
      acpi-video-detect: Rewrite backlight interface selection logic
      acpi-video-detect: Unregister acpi_video backlight when dmi quirks are added
      drm: i915: Port to new backlight interface selection API
      ACPI / video: Port to new backlight interface selection API
      ACPI / video: Move backlight notifier to video_detect.c
      ACPI / video: Move dmi_check_system from module_init to acpi_video_register
      ACPI / video: Fix acpi_video _register vs _unregister_backlight race
      acer-wmi: Port to new backlight interface selection API
      apple-gmux: Port to new backlight interface selection API
      asus-laptop: Port to new backlight interface selection API
      asus-wmi: Port to new backlight interface selection API
      compal-laptop: Port to new backlight interface selection API
      dell-laptop: Port to new backlight interface selection API
      dell-wmi: Port to new backlight interface selection API
      eeepc-laptop: Port to new backlight interface selection API
      fujitsu-laptop: Port to new backlight interface selection API
      ideapad-laptop: Port to new backlight interface selection API
      intel-oaktrail: Port to new backlight interface selection API
      msi-laptop: Port to new backlight interface selection API
      msi-wmi: Port to new backlight interface selection API
      samsung-laptop: Port to new backlight interface selection API
      sony-laptop: Port to new backlight interface selection API
      thinkpad-acpi: Port to new backlight interface selection API
      toshiba-acpi: Port to new backlight interface selection API
      acpi-video-detect: Remove old API
      ACPI / video: Make acpi_video_unregister_backlight() private
      Input: axp20x-pek - fix reporting button state as inverted

Hans-Christian Egtvedt (1):
      rtc: at32ap700x: update author email

Hans-Werner Hilse (3):
      um: Do not use __ptr_t type for stack_t's .ss pointer
      um: Do not use stdin and stdout identifiers for struct members
      um: Include sys/types.h for makedev(), major(), minor()

Hante Meuleman (5):
      brcmfmac: add additional 43602 pcie device id.
      brcmfmac: Add support for multiple PCIE devices in nvram.
      brcmfmac: Improve throughput by scheduling msbug flow worker.
      brcmfmac: Update msgbuf read pointer quicker.
      mips: bcm47xx: allow retrieval of complete nvram contents

Hao Liu (1):
      dmaengine: sirf: add CSRatlas7 SoC support

Harald Freudenberger (1):
      crypto: testmgr - Added one larger ghash testvector (400 bytes) to the testmgr.

Harald Geyer (4):
      framebuffer: don't link fb_devio into kernel image unconditionally
      timekeeping: Provide new API to get the current time resolution
      ARM: dts: imx23: Add i2c support
      ARM: dts: imx23-olinuxino: Add i2c support

Hari Prasath Gujulan Elango (24):
      staging: wilc1000: remove unnecessary typecast
      staging: wilc1000: remove dead code
      staging: wilc1000: remove ununsed function
      staging: wilc1000: remove commented code
      staging: sm750fb: Add missing Kconfig dependency
      staging: dgnc: remove ununsed Macro
      staging: rtl8188eu: remove unwanted assignment
      staging: rtl8712: fix indentation issue
      staging: rtl8188eu: use table to get channel plan from country code
      staging: wilc1000: fix build warning related to time_after_eq macro
      staging: wilc1000: use memdup_user
      staging: comedi: remove commented code
      staging: emxx_udc: remove commented code
      staging: wlan-ng: Replace hard coded values with MACRO's
      staging: rtl8188eu: fix typo in comments section
      staging: wilc1000: remove unwanted code
      staging: slicoss: remove unused macro
      staging: comedi: use BIT macro for bit shift operation
      staging: comedi: amplc_pci230: rename 'todo' variable
      staging: sm750fb: convert pr_err to pr_info
      staging: lustre: lnet: selftest: use min_t in place of min
      staging: wlan-ng: Remove ununsed debug print & associated macro
      staging: dgnc: fix typo in comments secion
      staging: dgnc: free memory allocated

Hari Prasath Gujulan yyElango (1):
      staging: wilc1000: use time_after_eq

Harini Katakam (4):
      devicetree: Add compatible string for Zynq Ultrascale+ MPSoC
      net: macb: Add compatible string for Zynq Ultrascale+ MPSoC
      net: macb: Add support for jumbo frames
      net: macb: Add change_mtu callback with jumbo support

Hariprasad S (2):
      cxgb4: Support for user mode bar2 mappings with T4
      iw_cxgb4: support for bar2 qid densities exceeding the page size

Hariprasad Shenai (34):
      cxgb4: Make sure that Freelist size is larger than Egress Congestion Threshold
      cxgb4: Enable congestion notification from SGE for IQs and FLs.
      cxgb4: Pass in a Congestion Channel Map to t4_sge_alloc_rxq()
      cxgb4: Add device node to ULD info
      cxgb4: Move SGE Ingress DMA state monitor code to a new routine
      cxgb4: Discard the packet if the length is greater than mtu
      cxgb4: Initialize RSS mode for all Ports
      cxgb4/cxgb4vf: Cleanup macros, add comments and add new MACROS
      cxgb4: Remove dead function t4_read_edc and t4_read_mc
      cxgb4: replace ntoh{s, l} and hton{s, l} calls with the generic byteorder
      cxgb4: Optimize and cleanup setup memory window code
      cxgb4: Update T4/T5 adapter register ranges
      cxgb4: Add PHY firmware support for T420-BT cards
      cxgb4: Add debugfs facility to inject FL starvation
      cxgb4/cxgb4vf: function and argument name cleanup
      cxgb4: remove unused fn to enable/disable db coalescing
      cxgb4: Add is_t6 macro and T6 register ranges
      cxgb4: Adds support for T6 adapter
      cxgb4vf: Adds SRIOV driver changes for T6 adapter
      cxgb4: Add ethtool support to get adapter stats
      cxgb4: Add support in ethtool to dump channel stats
      cxgb4: Add support to dump loopback port stats
      cxgb4: Remove WOL get/set ethtool support
      cxgb4: Free Virtual Interfaces in remove routine
      cxgb4: Add sge ec context flush service
      cxgb4: Rename t4_link_start() to t4_link_l1cfg
      cxgb4: Set mac addr from vpd, when we can't contact firmware
      cxgb4: program pci completion timeout
      cxgb4: Use FW LDST cmd to access TP_PIO_{ADDR, DATA} register first
      cxgb4: Fix static checker warning
      cxgb4: Add a debugfs entry to dump CIM MA logic analyzer logs
      cxgb4: Add debugfs entry to dump CIM PIF logic analyzer contents
      cxgb4: Add debugfs entry to dump channel rate
      cxgb4: Add PCI device ID for custom T522 & T520 adapter

Harisangam Sharvari (2):
      Staging: vt6655: Remove unnecessary equality checks in rxtx.c
      staging: rtl8192u: Removed redundant bool comparisons in r8192U_dm.c

Harout Hedeshian (1):
      netfilter: xt_socket: add XT_SOCKET_RESTORESKMARK flag

Hartmut Knaack (28):
      tools:iio:generic_buffer: fix order of freeing data
      tools:iio:generic_buffer: free dev_dir_name on exit
      tools:iio:iio_utils: free scan_el_dir on exit
      tools:iio: free channel-array completely
      tools:iio:iio_utils: fix allocation handling
      tools:iio:lsiio: add closedir before exit
      tools:iio: save errno first
      tools:iio:iio_event_monitor: save right errno
      tools:iio:generic_buffer: fix check of errno
      tools:iio:generic_buffer: pass up right error code
      tools:iio:generic_buffer: sign-extend and shift data
      tools:iio:iio_utils: check amount of matches
      tools:iio:iio_utils: implement digit calculation
      tools:iio:iio_utils: mark private function static
      tools:iio: catch errors in string allocation
      tools:iio:generic_buffer: catch errors for arguments conversion
      tools:iio:generic_buffer: add error handling
      tools:iio:iio_event_monitor: add error handling
      tools:iio:iio_utils: add error handling
      tools:iio:lsiio: add error handling
      tools:iio:iio_utils: add missing documentation
      tools:iio: return values directly
      tools:iio:iio_event_monitor: refactor events output
      tools:iio:iio_utils: refactor assignment of is_signed
      tools:iio:iio_utils: move up reset of sysfsfp
      tools:iio:iio_utils: initialize count during declaration
      tools:iio: rework program parameters
      tools:iio:iio_utils: pass strings as const

Hauke Mehrtens (15):
      mtd: brcmnand: remove double new line from print
      mtd: brcmnand: do not make local variable static
      PCI: iproc: Allow override of device tree IRQ mapping function
      PCI: iproc: Add BCMA PCIe driver
      bcma: add module_bcma_driver()
      PCI: iproc: Directly add PCI resources
      PCI: iproc: Free resource list after registration
      ARM: BCM5301X: add NAND flash chip description
      ARM: BCM5301X: add IRQ numbers for PCIe controller
      rhashtable: add missing import <linux/export.h>
      b44: call netif_napi_del()
      USB: ssb: fix error handling in ssb_hcd_create_pdev()
      USB: ssb: use devm_kzalloc
      SSB: Fix handling of ssb_pmu_get_alp_clock()
      ARM: 8391/1: l2c: add options to overwrite prefetching behavior

He Kuang (11):
      perf data: Show error message when conversion failed
      perf trace: Removed duplicated NULL test
      perf probe: Remove length limitation for showing available variables
      perf probe: Add --range option to show a variable's location range
      perf probe: Show better error message when failed to find variable
      perf tests: Fix to get negative exit codes
      tools lib traceevent: Export dynamic symbols used by traceevent plugins
      tools lib traceevent: Ignore libtrace-dynamic-list file
      perf record: Fix perf.data size in no-buildid mode
      perf tools: Fix build failure on 32-bit arch
      perf probe: Fix failure to probe events on arm

Heikki Krogerus (13):
      usb: add bus type for USB ULPI
      usb: dwc3: USB2 PHY register access bits
      usb: dwc3: ULPI or UTMI+ select
      usb: dwc3: store driver data earlier
      usb: dwc3: cache hwparams earlier
      usb: dwc3: soft reset to it's own function
      usb: dwc3: setup phys earlier
      usb: dwc3: add hsphy_interface property
      usb: dwc3: pci: add quirk for Baytrails
      usb: dwc3: add ULPI interface support
      phy: helpers for USB ULPI PHY registering
      phy: add driver for TI TUSB1210 ULPI PHY
      serial: 8250_dw: support ACPI platforms with integrated DMA engine

Heiko Carstens (3):
      KVM: remove pointless cpu hotplug messages
      s390: new default configuration
      s390/kdump: fix compile for !SMP

Heiko Schocher (1):
      ARM: dts: imx6: add DT for aristainetos2 board

Heiko Stuebner (12):
      ARM: dts: rockchip: add act8846 supplies on rk3288-firefly
      ARM: dts: rockchip: enable tsadc on rk3288 boards
      ARM: dts: rockchip: relicense rk3xxx.dtsi under GPLv2/X11
      ARM: dts: rockchip: relicense rk3066a.dtsi under GPLv2/X11
      ARM: dts: rockchip: relicense rk3188.dtsi under GPLv2/X11
      ARM: dts: rockchip: relicense rk3288-thermal.dtsi under GPLv2/X11
      ARM: dts: rockchip: relicense rk3066a-bqcurie2.dts under GPLv2/X11
      ARM: dts: rockchip: relicense rk3188-radxarock.dts under GPLv2/X11
      ARM: dts: rockchip: relicense rk3288.dtsi under GPLv2/X11
      ARM: dts: rockchip: relicense rk3288-evb* under GPLv2/X11
      regulator: fan53555: fill set_voltage_time_set callback
      iommu/rockchip: Silence attaching and detaching of devices

Heiko Stübner (9):
      power: reset: gpio-restart: increase priority slightly
      [media] rc: gpio-ir-recv: don't sleep in irq handler
      pinctrl: rockchip: generalize perpin driver-strength setting
      pinctrl: rockchip: add support for the rk3368
      net: stmmac: dwmac-rk: remove unused gpio register defines
      net: stmmac: dwmac-rk: Fix clk rate when provided by soc
      net: stmmac: dwmac-rk: abstract access to mac settings in GRF
      net: stmmac: dwmac-rk: add rk3368-specific data
      rtc: hym8563: make the irq optional

Heiner Kallweit (1):
      staging: fbtft: Add support for Himax HX8357D controller

Heinz Mauelshagen (3):
      dm raid: fixup documentation for discard support
      dm raid: a few cleanups
      dm raid: add support for the MD RAID0 personality

Helge Deller (1):
      compat: cleanup coding in compat_get_bitmap() and compat_put_bitmap()

Helmut Buchsbaum (1):
      pinctrl: zynq: configure SPI SSx pins separately

Herbert Xu (170):
      crypto: drbg - Initialise mutex in drbg_healthcheck_sanity
      crypto: drbg - Do not seed RNG in drbg_kcapi_init
      crypto: api - Add crypto_alg_extsize helper
      crypto: shash - Use crypto_alg_extsize helper
      crypto: pcomp - Use crypto_alg_extsize helper
      crypto: rng - Convert crypto_rng to new style crypto_type
      crypto: rng - Introduce crypto_rng_generate
      crypto: rng - Mark crypto_rng_reset seed as const
      crypto: rng - Convert low-level crypto_rng to new style
      crypto: rng - Add crypto_rng_set_entropy
      crypto: rng - Add multiple algorithm registration interface
      crypto: drbg - Convert to new rng interface
      crypto: ansi_cprng - Remove bogus inclusion of internal.h
      crypto: ansi_cprng - Convert to new rng interface
      crypto: krng - Convert to new rng interface
      crypto: rng - Remove old low-level rng interface
      crypto: algif_rng - Remove obsolete const-removal cast
      crypto: rng - Zero seed in crypto_rng_reset
      crypto: tcrypt - Handle async return from crypto_ahash_init
      crypto: api - Move module sig ifdef into accessor function
      crypto: drbg - Remove FIPS ifdef from drbg_healthcheck_sanity
      crypto: caam - Remove bogus references to crypto API internals
      crypto: api - Include linux/fips.h
      crypto: fips - Remove bogus inclusion of internal.h
      crypto: fips - Move fips_enabled sysctl into fips.c
      crypto: tcrypt - Include linux/fips.h for fips_enabled
      crypto: testmgr - Include linux/fips.h for fips_enabled
      crypto: api - Remove linux/fips.h from internal.h
      crypto: arm64/aes-ce-ccm - Include crypto/internal/aead.h
      crypto: algif_aead - Include crypto/aead.h
      crypto: tcrypt - Include crypto/aead.h
      crypto: testmgr - Include crypto/aead.h
      mac80211: Include crypto/aead.h
      mac802154: Include crypto/aead.h
      crypto: api - Fix build error when modules are disabled
      crypto: skcipher - Fix corner case in crypto_lookup_skcipher
      crypto: aead - Fix corner case in crypto_lookup_aead
      crypto: api - Add crypto_grab_spawn primitive
      crypto: authenc - Include internal/aead.h
      crypto: authencesn - Include internal/aead.h
      crypto: caam - Include internal/aead.h
      crypto: ixp4xx - Include internal/aead.h
      crypto: nx - Include internal/aead.h
      crypto: qat - Include internal/aead.h
      crypto: talitos - Include internal/aead.h
      crypto: blkcipher - Include crypto/aead.h
      crypto: caam - Remove unnecessary reference to crt_aead
      crypto: aead - Add crypto_aead_set_reqsize helper
      crypto: authenc - Use crypto_aead_set_reqsize helper
      crypto: authencesn - Use crypto_aead_set_reqsize helper
      crypto: ccm - Use crypto_aead_set_reqsize helper
      crypto: cryptd - Use crypto_aead_set_reqsize helper
      crypto: gcm - Use crypto_aead_set_reqsize helper
      crypto: pcrypt - Use crypto_aead_set_reqsize helper
      crypto: seqiv - Use crypto_aead_set_reqsize helper
      crypto: aesni - Use crypto_aead_set_reqsize helper
      crypto: ixp4xx - Use crypto_aead_set_reqsize helper
      crypto: picoxcell - Use crypto_aead_set_reqsize helper
      crypto: qat - Use crypto_aead_set_reqsize helper
      crypto: cryptd - Add missing aead.h inclusion
      crypto: aead - Convert top level interface to new style
      esp4: Use high-order sequence number bits for IV generation
      esp6: Use high-order sequence number bits for IV generation
      netlink: Use random autobind rover
      xfrm: Always zero high-order sequence number bits
      crypto: cryptd - Use crypto_grab_aead
      crypto: pcrypt - Use crypto_grab_aead
      crypto: scatterwalk - Add scatterwalk_ffwd helper
      crypto: aead - Add new interface with single SG list
      crypto: aead - Rename aead_alg to old_aead_alg
      crypto: caam - Use old_aead_alg
      crypto: aead - Add crypto_aead_maxauthsize
      crypto: ixp4xx - Use crypto_aead_maxauthsize
      crypto: nx - Remove unnecessary maxauthsize check
      crypto: aead - Add support for new AEAD implementations
      crypto: null - Add default null skcipher
      crypto: gcm - Use default null skcipher
      crypto: scatterwalk - Check for same address in map_and_copy
      crypto: seqiv - Add support for new AEAD interface
      crypto: seqiv - Add seqniv
      crypto: echainiv - Add encrypted chain IV generator
      crypto: aead - Add crypto_aead_alg_ivsize/maxauthsize
      crypto: pcrypt - Make tfm_count an atomic_t
      crypto: skcipher - Use tmpl->create
      crypto: aead - Use tmpl->create
      crypto: seqiv - Use aead_register_instance
      crypto: echainiv - Use aead_register_instance
      crypto: aead - Do not set cra_type for new style instances
      crypto: echainiv - Stop using cryptoff
      crypto: seqiv - Stop using cryptoff
      crypto: aead - Remove unused cryptoff parameter
      random: Wake up all getrandom(2) callers when pool is ready
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      crypto: aead - Document behaviour of AD in destination buffer
      crypto: scatterwalk - Add missing sg_init_table to scatterwalk_ffwd
      crypto: aead - Preserve in-place processing in old_crypt
      crypto: aead - Add common IV generation code
      crypto: echainiv - Copy AD along with plain text
      crypto: echainiv - Use common IV generation code
      crypto: echainiv - Fix IV size in context size calculation
      crypto: seqiv - Copy AD along with plain/cipher text
      crypto: seqiv - Use common IV generation code
      crypto: seqiv - Fix IV size in context size calculation
      crypto: seqiv - Fix module unload/reload crash
      crypto: testmgr - Switch to new AEAD interface
      xfrm: Add IV generator information to xfrm_algo_desc
      ipsec: Add IV generator information to xfrm_state
      esp4: Switch to new AEAD interface
      esp6: Switch to new AEAD interface
      mac802154: Switch to new AEAD interface
      mac80211: Switch to new AEAD interface
      crypto: tcrypt - Switch to new AEAD interface
      crypto: algif_aead - Switch to new AEAD interface
      Revert "crypto: algif_aead - Disable AEAD user-space for now"
      crypto: aead - Add type-safe init/exit functions
      crypto: aead - Add aead_alg_instance
      crypto: api - Include alignment in crypto_alg_extsize
      crypto: aead - Ignore return value from crypto_unregister_alg
      crypto: aead - Add multiple algorithm registration interface
      crypto: pcrypt - Add support for new AEAD interface
      crypto: cryptd - Add setkey/setauthsize functions for AEAD
      crypto: aesni - Convert top-level rfc4106 algorithm to new interface
      crypto: cryptd - Convert to new AEAD interface
      crypto: aesni - Convert rfc4106 to new AEAD interface
      crypto: scatterwalk - Hide PageSlab call to optimise away flush_dcache_page
      crypto: chainiv - Move IV seeding into init function
      crypto: echainiv - Move IV seeding into init function
      crypto: eseqiv - Move IV seeding into init function
      crypto: seqiv - Move IV seeding into init function
      crypto: drbg - Add stdrng alias and increase priority
      crypto: echainiv - Set Kconfig default to m
      crypto: rng - Make DRBG the default RNG
      crypto: rng - Remove krng
      crypto: caam - Clamp AEAD SG list by input length
      nios2: Export get_cycles
      random: Add callback API for random pool readiness
      random: Remove kernel blocking API
      crypto: drbg - Add select on sha256
      crypto: picoxcell - Include linux/sizes.h
      crypto: picoxcell - Make use of sg_nents_for_len
      crypto: picoxcell - Clamp AEAD SG list by input length
      crypto: vmx - Remove duplicate PPC64 dependency
      crypto: vmx - Reindent to kernel style
      crypto: testmgr - Disable rfc4543 test
      crypto: gcm - Convert to new AEAD interface
      crypto: testmgr - Update rfc4543 test vectors
      crypto: nx - Convert GCM to new AEAD interface
      crypto: caam - Handle errors in dma_map_sg_chained
      crypto: caam - Convert GCM to new AEAD interface
      Revert "crypto: testmgr - Disable rfc4543 test"
      crypto: testmgr - Add mcgrew test vectors for rfc4106
      crypto: tcrypt - Add rfc4309(ccm(aes)) speed test
      crypto: tcrypt - Fixed AEAD speed test setup
      crypto: drivers - Fix Kconfig selects
      crypto: api - Add CRYPTO_MINALIGN_ATTR to struct crypto_alg
      crypto: aead - Fix aead_instance struct size
      crypto: caam - Reintroduce DESC_MAX_USED_BYTES
      crypto: caam - Set last bit on src SG list
      Merge branch 'mvebu/drivers' of git://git.kernel.org/.../arm/arm-soc
      crypto: nx - Check for bogus firmware properties
      crypto: skcipher - Allow givencrypt to be NULL
      crypto: rng - Do not free default RNG when it becomes unused
      crypto: user - Move cryptouser.h to uapi
      crypto: user - Add CRYPTO_MSG_DELRNG
      crypto: chainiv - Offer normal cipher functionality without RNG
      crypto: eseqiv - Offer normal cipher functionality without RNG
      crypto: seqiv - Add compatibility support without RNG
      crypto: echainiv - Only hold RNG during initialisation
      crypto: af_alg - Forbid the use internal algorithms
      crypto: algif_aead - Temporarily disable all AEAD algorithms

Herton R. Krzesinski (2):
      HID: usbhid: add Chicony/Pixart usb optical mouse that needs QUIRK_ALWAYS_POLL
      cpupower: mperf monitor: fix output in MAX_FREQ_SYSFS mode

Hiep Cao Minh (3):
      spi: rspi: Re-do the returning value of rspi_dma_check_then_transfer
      spi: rspi: modify the name of "qspi_trigger_transfer_out_int" function
      spi: rspi: Re-do the returning value of qspi_transfer_out_in

Himangi Saraogi (3):
      pcmcia: Remove typedef tuple_flags
      pcmcia: Remove typedef in structs and emum
      pcmcia/vrc4171: Remove typedefs for enums and struct

Hiroaki SHIMODA (1):
      inet_diag: Remove _bh suffix in inet_diag_dump_reqs().

Hisashi Nakamura (1):
      pinctrl: sh-pfc: add R8A7794 PFC support

Hon Ching \(Vicky\) Lo (1):
      vTPM: set virtual device before passing to ibmvtpm_reset_crq

Hong Zhiguo (1):
      libceph: fix wrong name "Ceph filesystem for Linux"

Hongzhou Yang (8):
      ARM: dts: mt8135: Add pinctrl/GPIO/EINT node for mt8135.
      pinctrl: mediatek: Modify pinctrl bindings for mt6397.
      pinctrl: mt6397: Add pinfunc header file for mt6397.
      pinctrl: mediatek: add ies/smt control to common code.
      pinctrl: mediatek: Add Pinctrl/GPIO driver for mt6397.
      pinctrl: mediatek: Fix bug of ies/smt setting for mt8173.
      pinctrl: mediatek: Fix pinctrl register irq fail bug.
      mfd: mt6397-core: Add GPIO sub-module support

Horia Geant? (4):
      crypto: talitos - avoid memleak in talitos_alg_alloc()
      Revert "crypto: talitos - convert to use be16_add_cpu()"
      crypto: talitos - avoid out of bound scatterlist iterator
      crypto: talitos - static code checker fixes

Hou Pengyang (3):
      arm64: perf: Fix callchain parse error with kernel tracepoint events
      arm: perf: Fix callchain parse error with kernel tracepoint events
      perf unwind: Fix a compile error

Huacai Chen (3):
      staging: sm750: Fix lynxfb_ops_imageblit() if image->depth != 1
      MIPS: Loongson-3: Fix a cpu-hotplug issue in loongson3_ipi_interrupt()
      MIPS: Loongson: Naming style cleanup and rework

Huang Rui (1):
      sched/x86: Drop repeated word from mwait_idle() comment

Hugh Dickins (1):
      mm: shmem_zero_setup skip security check and lockdep conflict with XFS

Hui Wang (2):
      ALSA: hda - adding a DAC/pin preference map for a HP Envy TS machine
      ALSA: hda - restore the MIC FIXUP for some Dell machines

HungNien Chen (2):
      Input: add a driver for wdt87xx touchscreen controller
      Input: wdt87xx_i2c - add a scaling factor for TOUCH_MAJOR event

Hyungwon Hwang (2):
      clk: exynos5433: Add DIV_CPIF to the list of stored registers on suspend
      backlight: Change the return type of backlight_update_status() to int

Iago López Galeiras (1):
      fs, proc: introduce CONFIG_PROC_CHILDREN

Ian Abbott (62):
      staging: comedi: wrap COMEDI_SRF_FREE_SPRIV usage
      staging: comedi: move COMEDI_SRF_... macros to "comedi_fops.c"
      staging: comedi: mite: move #include <linux/slab.h>
      staging: comedi: mite.h: remove PCIMIO_COMPAT
      staging: comedi: mite.c: remove commented out USE_KMALLOC
      staging: comedi: mite.h: remove "../comedi_pci.h" and make self-reliant
      staging: comedi: mite.h: reformat some comments
      staging: comedi: mite.c: reformat comments
      staging: comedi: mite.h: whitespace changes in function declarations
      staging: comedi: mite: use a better MODULE_DESCRIPTION()
      staging: comedi: gsc_hpdi: tidy up comments
      staging: comedi: gsc_hpdi: remove multiple board type support
      staging: comedi: gsc_hpdi: usleep_range is preferred over udelay
      staging: comedi: gsc_hpdi: prefer using the BIT() macro
      staging: comedi: gsc_hpdi: use PCI_DEVICE_SUB()
      staging: comedi: gsc_hpdi: use a better MODULE_DESCRIPTION()
      staging: comedi: 8255: fix I/O region leak on failure
      staging: comedi: 8255.h: don't include "../comedidev.h"
      staging: comedi: 8255: document callback parameters better
      staging: comedi: comedi_8255: new module split from 8255
      staging: comedi: amplc_dio200.h: reformat copyright comment
      staging: comedi: amplc_dio200.h: make self-reliant
      staging: comedi: amplc_dio200.c: reformat copyright comment
      staging: comedi: amplc_dio200_common.c: reformat copyright comment
      staging: comedi: amplc_dio200_common.c: fix up brace style
      staging: comedi: amplc_dio200_pci.c: reformat copyright comment
      staging: comedi: addi_watchdog.h: don't include "../comedidev.h"
      staging: comedi: comedi_8254.h: make self-reliant
      staging: comedi: comedi_isadma.h: make self-reliant
      staging: comedi: cb_pcimdas: fix handlers for DI and DO subdevices
      staging: comedi: das08.h: reformat copyright comment
      staging: comedi: das08.h: change description in copyright header comment
      staging: comedi: das08.h: reformat remaining comments
      staging: comedi: das08: use indexed initializer for AI range table types
      staging: comedi: das08: improve test for programmable gain
      staging: comedi: das08.h: make self-reliant
      staging: comedi: das08: rename DAS08_LSB and DAS08_MSB
      staging: comedi: das08: rename DAS08_TRIG_12BIT
      staging: comedi: das08: rename DAS08_STATUS
      staging: comedi: das08: rename and rewrite DAS08_EOC
      staging: comedi: das08: rename and rewrite DAS08_IRQ
      staging: comedi: das08: rename and rewrite DAS08_IP
      staging: comedi: das08: rename DAS08_CONTROL
      staging: comedi: das08: rename DAS08_MUX() and DAS08_MUX_MASK
      staging: comedi: das08: rename and rewrite DAS08_INTE
      staging: comedi: das08: rename DAS08_OP() and DAS08_DO_MASK
      staging: comedi: das08: rename and split DAS08JR_DIO
      staging: comedi: das08: rename DAS08JR_AO_LSB() and DAS08JR_AO_MSB()
      staging: comedi: das08: add DAS08JR_AO_UPDATE_REG
      staging: comedi: das08: replace DAS08AO_GAIN_CONTROL/STATUS
      staging: comedi: das08: rename DAS08AO_AO_LSB() and DAS08AO_AO_MSB()
      staging: comedi: das08: rename DAS08AO_AO_UPDATE
      staging: comedi: das08: remove board register map comments
      staging: comedi: das08.c: reformat copyright comment
      staging: comedi: das08.c: reformat remaining comments
      staging: comedi: das08: rename ai range tables
      staging: comedi: das08: rename the gainlist variables
      staging: comedi: das08: rename das08_ai_rinsn()
      staging: comedi: das08: rename das08_di_rbits() & das08jr_di_rbits()
      staging: comedi: das08: rename das08_do_wbits() & das08jr_do_wbits()
      staging: comedi: das08: clarify sign-magnitude conversion
      staging: comedi: das08: use better MODULE_DESCRIPTION()

Ian Campbell (2):
      xen: netback: fix printf format string warning
      xen: netback: read hotplug script once at start of day.

Ian Molton (3):
      mmc: TMIO: Ensure MFD cell is disabled on probe error path
      mmc: TMIO: Fix I/O mapping leak on error using devm_ioremap()
      mmc: TMIO: Use devm_request_irq()

Ian Munsie (4):
      cxl: Use call_rcu to reduce latency when releasing the afu fd
      cxl: Re-order card init to check the VSEC earlier
      cxl: Fail mmap if requested mapping is larger than assigned problem state area
      cxl: Fix off by one error allowing subsequent mmap page to be accessed

Ido Shamay (3):
      net/mlx4_core: Move affinity hints to mlx4_core ownership
      net/mlx4_en: Wake TX queues only when there's enough room
      net/mlx4_en: Fix wrong csum complete report when rxvlan offload is disabled

Ido Yariv (1):
      iwlwifi: update thermal throttling values for 8000 devices

Igal Liberman (4):
      powerpc/dts: Unify B4 mux nodes
      dt/bindings: fsl/guts: Added global-utilities compatibles
      powerpc/dts: Fix incorrect clock-names property
      powerpc/fsl: Add FMan Port 10G compatibles

Ilan Peer (3):
      mac80211_hwsim: Set VHT capabilities only for the 5.2 GHz band
      mac80211_hwsim: Fix the supported VHT mcs rates
      iwlwifi: pcie: fix tracking of cmd_in_flight

Ilias Tsitsimpis (5):
      driver/user: Don't warn for DMA_NONE data direction
      target/loop: Enable VARLEN CDB support
      target/transport: Always initialize bidi fields in se_cmd
      target/user: Refactor data area allocation code
      target/user: Add support for bidirectional commands

Ilya Dryomov (16):
      libceph: use kvfree() instead of open-coding it
      libceph: nuke time_sub()
      libceph: store timeouts in jiffies, verify user input
      libceph: a couple tweaks for wait loops
      ceph: simplify two mount_timeout sites
      rbd: timeout watch teardown on unmap with mount_timeout
      crush: fix crash from invalid 'take' argument
      crush: sync up with userspace
      rbd: bump queue_max_segments
      rbd: terminate rbd_opts_tokens with Opt_err
      rbd: store rbd_options in rbd_device
      rbd: queue_depth map option
      crush: fix a bug in tree bucket decode
      rbd: use GFP_NOIO in rbd_obj_request_create()
      libceph: enable ceph in a non-default network namespace
      libceph: treat sockaddr_storage with uninitialized family as blank

Ilya Faenson (2):
      Bluetooth: btbcm: Support the BCM4354 Bluetooth UART device
      Bluetooth: hci_uart: Add new line discipline enhancements

Imre Deak (13):
      vt: fix console lock vs. kernfs s_active lock order
      drm/i915/bxt: fix DDI PHY vswing scale value setting
      drm/i915/vlv: fix RC6 residency time calculation
      drm/i915/gen9: fix typo when setting up the crtc scaler
      drm/i915/bxt: add missing DDI PLL registers to the state checking
      drm/i915/bxt: add PLL10 to the PLL state dumper
      drm/i915/vlv: move the vlv PLL helper next to its platform counterparts
      drm/i915: calculate the port clock rate along with other PLL params
      drm/i915/bxt: add DDI port HW readout support
      drm/i915/bxt: mask off the DPLL state checker bits we don't program
      drm/i915/chv: fix HW readout of the port PLL fractional divider
      drm/i915: avoid leaking DMA mappings
      drm/i915: remove unused has_dma_mapping flag

Imre Kaloz (3):
      ARM: mvebu: add alias for mdio on Armada 38x
      ARM: mvebu: add support for the new Armada 385 based Linksys boards
      ARM: mvebu: armada-xp-linksys-mamba: Disable internal RTC

Ingi Kim (3):
      of: Add vendor prefix for Kinetic technologies
      leds: ktd2692: add device tree bindings for ktd2692
      leds: Add ktd2692 flash LED driver

Ingo Molnar (348):
      Merge tag 'perf-core-for-mingo-3' of git://git.kernel.org/.../acme/linux into perf/core
      x86/platform/uv: Make SGI UV dependent on CONFIG_PCI
      Merge branch 'sched/urgent' into sched/core
      Merge branch 'sched/urgent' into sched/core, before applying new patches
      Merge branch 'linus' into x86/cleanups, before applying dependent patch
      x86/mm: Clean up types in xlate_dev_mem_ptr() some more
      Merge branch 'linus' into x86/asm, before applying dependent patch
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      x86/asm/entry: Fix remaining use of SYSCALL_VECTOR
      locking/pvqspinlock: Rename QUEUED_SPINLOCK to QUEUED_SPINLOCKS
      Merge tag 'ras_for_4.2' of git://git.kernel.org/.../ras/ras into x86/ras
      Merge branch 'perf/urgent' into perf/core, to resolve conflicts
      Merge branch 'x86/asm' into x86/apic, to resolve a conflict
      Revert f5d6a52f5111 ("x86/smpboot: Skip delays during SMP initialization similar to Xen")
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      x86: Align jump targets to 1-byte boundaries
      x86: Pack loops tightly as well
      Merge branch 'linus' into x86/asm, to resolve conflicts
      Merge branch 'x86/asm' into x86/apic, to resolve conflicts
      x86/smp/boot: Fix legacy SMP bootup slow-boot bug
      Merge tag 'v4.1-rc4' into sched/core, before applying new patches
      x86/fpu: Rename unlazy_fpu() to fpu__save()
      x86/fpu: Add comments to fpu__save() and restrict its export
      x86/fpu: Add debugging check to fpu__save()
      x86/fpu: Rename fpu_detect() to fpu__detect()
      x86/fpu: Remove stale init_fpu() prototype
      x86/fpu: Split an fpstate_alloc_init() function out of init_fpu()
      x86/fpu: Make init_fpu() static
      x86/fpu: Rename init_fpu() to fpu__unlazy_stopped() and add debugging check
      x86/fpu: Optimize fpu__unlazy_stopped()
      x86/fpu: Simplify fpu__unlazy_stopped()
      x86/fpu: Remove fpu_allocated()
      x86/fpu: Move fpu_alloc() out of line
      x86/fpu: Rename fpu_alloc() to fpstate_alloc()
      x86/fpu: Rename fpu_free() to fpstate_free()
      x86/fpu: Rename fpu_finit() to fpstate_init()
      x86/fpu: Rename fpu_init() to fpu__cpu_init()
      x86/fpu: Rename init_thread_xstate() to fpstate_xstate_init_size()
      x86/fpu: Move thread_info::fpu_counter into thread_info::fpu.counter
      x86/fpu: Improve the comment for the fpu::counter field
      x86/fpu: Move FPU data structures to asm/fpu_types.h
      x86/fpu: Clean up asm/fpu/types.h
      x86/fpu: Move i387.c and xsave.c to arch/x86/kernel/fpu/
      x86/fpu: Fix header file dependencies of fpu-internal.h
      x86/fpu: Split out the boot time FPU init code into fpu/init.c
      x86/fpu: Remove unnecessary includes from core.c
      x86/fpu: Move the no_387 handling and FPU detection code into init.c
      x86/fpu: Remove the free_thread_xstate() complication
      x86/fpu: Factor out fpu__flush_thread() from flush_thread()
      x86/fpu: Move math_state_restore() to fpu/core.c
      x86/fpu: Rename math_state_restore() to fpu__restore()
      x86/fpu: Factor out the FPU bug detection code into fpu__init_check_bugs()
      x86/fpu: Simplify the xsave_state*() methods
      x86/fpu: Remove fpu_xsave()
      x86/fpu: Move task_xstate_cachep handling to core.c
      x86/fpu: Factor out fpu__copy()
      x86/fpu: Uninline fpstate_free() and move it next to the allocation function
      x86/fpu: Make task_xstate_cachep static
      x86/fpu: Make kernel_fpu_disable/enable() static
      x86/fpu: Add debug check to kernel_fpu_disable()
      x86/fpu: Add kernel_fpu_disabled()
      x86/fpu: Remove __save_init_fpu()
      x86/fpu: Move fpu_copy() to fpu/core.c
      x86/fpu: Add debugging check to fpu_copy()
      x86/fpu: Print out whether we are doing lazy/eager FPU context switches
      x86/fpu: Eliminate the __thread_has_fpu() wrapper
      x86/fpu: Change __thread_clear_has_fpu() to 'struct fpu' parameter
      x86/fpu: Move 'PER_CPU(fpu_owner_task)' to fpu/core.c
      x86/fpu: Change fpu_owner_task to fpu_fpregs_owner_ctx
      x86/fpu: Remove 'struct task_struct' usage from __thread_set_has_fpu()
      x86/fpu: Remove 'struct task_struct' usage from __thread_fpu_end()
      x86/fpu: Remove 'struct task_struct' usage from __thread_fpu_begin()
      x86/fpu: Open code PF_USED_MATH usages
      x86/fpu: Document fpu__unlazy_stopped()
      x86/fpu: Get rid of PF_USED_MATH usage, convert it to fpu->fpstate_active
      x86/fpu: Remove 'struct task_struct' usage from drop_fpu()
      x86/fpu: Remove task_disable_lazy_fpu_restore()
      x86/fpu: Use 'struct fpu' in fpu_lazy_restore()
      x86/fpu: Use 'struct fpu' in restore_fpu_checking()
      x86/fpu: Use 'struct fpu' in fpu_reset_state()
      x86/fpu: Use 'struct fpu' in switch_fpu_prepare()
      x86/fpu: Use 'struct fpu' in switch_fpu_finish()
      x86/fpu: Move __save_fpu() into fpu/core.c
      x86/fpu: Use 'struct fpu' in __fpu_save()
      x86/fpu: Use 'struct fpu' in fpu__save()
      x86/fpu: Use 'struct fpu' in fpu_copy()
      x86/fpu: Use 'struct fpu' in fpu__copy()
      x86/fpu: Use 'struct fpu' in fpstate_alloc_init()
      x86/fpu: Use 'struct fpu' in fpu__unlazy_stopped()
      x86/fpu: Rename fpu__flush_thread() to fpu__clear()
      x86/fpu: Clean up fpu__clear() a bit
      x86/fpu: Rename i387.h to fpu/api.h
      x86/fpu: Move xsave.h to fpu/xsave.h
      x86/fpu: Rename fpu-internal.h to fpu/internal.h
      x86/fpu: Move MXCSR_DEFAULT to fpu/internal.h
      x86/fpu: Remove xsave_init() __init obfuscation
      x86/fpu: Remove assembly guard from asm/fpu/api.h
      x86/fpu: Improve FPU detection kernel messages
      x86/fpu: Print supported xstate features in human readable way
      x86/fpu: Rename 'pcntxt_mask' to 'xfeatures_mask'
      x86/fpu: Rename 'xstate_features' to 'xfeatures_nr'
      x86/fpu: Move XCR0 manipulation to the FPU code proper
      x86/fpu: Clean up regset functions
      x86/fpu: Rename 'xsave_hdr' to 'header'
      x86/fpu: Rename xsave.header::xstate_bv to 'xfeatures'
      x86/fpu: Clean up and fix MXCSR handling
      x86/fpu: Rename regset FPU register accessors
      x86/fpu: Explain the AVX register layout in the xsave area
      x86/fpu: Improve the __sanitize_i387_state() documentation
      x86/fpu: Rename fpu->has_fpu to fpu->fpregs_active
      x86/fpu: Rename __thread_set_has_fpu() to __fpregs_activate()
      x86/fpu: Rename __thread_clear_has_fpu() to __fpregs_deactivate()
      x86/fpu: Rename __thread_fpu_begin() to fpregs_activate()
      x86/fpu: Rename __thread_fpu_end() to fpregs_deactivate()
      x86/fpu: Remove fpstate_xstate_init_size() boot quirk
      x86/fpu: Remove xsave_init() bootmem allocations
      x86/fpu: Make setup_init_fpu_buf() run-once explicitly
      x86/fpu: Remove 'init_xstate_buf' bootmem allocation
      x86/fpu: Split fpu__cpu_init() into early-boot and cpu-boot parts
      x86/fpu: Make the system/cpu init distinction clear in the xstate code as well
      x86/fpu: Move CPU capability check into fpu__init_cpu_xstate()
      x86/fpu: Move legacy check to fpu__init_system_xstate()
      x86/fpu: Propagate once per boot quirk into fpu__init_system_xstate()
      x86/fpu: Remove xsave_init()
      x86/fpu: Do fpu__init_system_xstate only from fpu__init_system()
      x86/fpu: Set up the legacy FPU init image from fpu__init_system()
      x86/fpu: Remove setup_init_fpu_buf() call from eager_fpu_init()
      x86/fpu: Move all eager-fpu setup code to eager_fpu_init()
      x86/fpu: Move eager_fpu_init() to fpu/init.c
      x86/fpu: Clean up eager_fpu_init() and rename it to fpu__ctx_switch_init()
      x86/fpu: Split fpu__ctx_switch_init() into _cpu() and _system() portions
      x86/fpu: Do CLTS fpu__init_system()
      x86/fpu: Move the fpstate_xstate_init_size() call into fpu__init_system()
      x86/fpu: Call fpu__init_cpu_ctx_switch() from fpu__init_cpu()
      x86/fpu: Do system-wide setup from fpu__detect()
      x86/fpu: Remove fpu__init_cpu_ctx_switch() call from fpu__init_system()
      x86/fpu: Simplify fpu__cpu_init()
      x86/fpu: Factor out fpu__init_cpu_generic()
      x86/fpu: Factor out fpu__init_system_generic()
      x86/fpu: Factor out fpu__init_system_early_generic()
      x86/fpu: Move !FPU check ingo fpu__init_system_early_generic()
      x86/fpu: Factor out FPU bug checks into fpu/bugs.c
      x86/fpu: Make check_fpu() init ordering independent
      x86/fpu: Move fpu__init_system_early_generic() out of fpu__detect()
      x86/fpu: Remove the extra fpu__detect() layer
      x86/fpu: Rename fpstate_xstate_init_size() to fpu__init_system_xstate_size_legacy()
      x86/fpu: Reorder init methods
      x86/fpu: Add more comments to the FPU init code
      x86/fpu: Move fpu__save() to fpu/internals.h
      x86/fpu: Uninline kernel_fpu_begin()/end()
      x86/fpu: Move various internal function prototypes to fpu/internal.h
      x86/fpu: Uninline the irq_ts_save()/restore() functions
      x86/fpu: Rename fpu_save_init() to copy_fpregs_to_fpstate()
      x86/fpu: Optimize copy_fpregs_to_fpstate() by removing the FNCLEX synchronization with FP exceptions
      x86/fpu: Simplify FPU handling by embedding the fpstate in task_struct (again)
      x86/fpu: Remove failure paths from fpstate-alloc low level functions
      x86/fpu: Remove failure return from fpstate_alloc_init()
      x86/fpu: Rename fpstate_alloc_init() to fpstate_init_curr()
      x86/fpu: Simplify fpu__unlazy_stopped() error handling
      x86/fpu, kvm: Simplify fx_init()
      x86/fpu: Simplify fpstate_init_curr() usage
      x86/fpu: Rename fpu__unlazy_stopped() to fpu__activate_stopped()
      x86/fpu: Factor out FPU hw activation/deactivation
      x86/fpu: Simplify __save_fpu()
      x86/fpu: Eliminate __save_fpu()
      x86/fpu: Simplify fpu__save()
      x86/fpu: Optimize fpu__save()
      x86/fpu: Optimize fpu_copy()
      x86/fpu: Optimize fpu_copy() some more on lazy switching systems
      x86/fpu: Rename fpu/xsave.h to fpu/xstate.h
      x86/fpu: Rename fpu/xsave.c to fpu/xstate.c
      x86/fpu: Introduce cpu_has_xfeatures(xfeatures_mask, feature_name)
      x86/fpu: Simplify print_xstate_features()
      x86/fpu: Enumerate xfeature bits
      x86/fpu: Move xfeature type enumeration to fpu/types.h
      x86/fpu, crypto x86/camellia_aesni_avx: Simplify the camellia_aesni_init() xfeature checks
      x86/fpu, crypto x86/sha256_ssse3: Simplify the sha256_ssse3_mod_init() xfeature checks
      x86/fpu, crypto x86/camellia_aesni_avx2: Simplify the camellia_aesni_init() xfeature checks
      x86/fpu, crypto x86/twofish_avx: Simplify the twofish_init() xfeature checks
      x86/fpu, crypto x86/serpent_avx: Simplify the serpent_init() xfeature checks
      x86/fpu, crypto x86/cast5_avx: Simplify the cast5_init() xfeature checks
      x86/fpu, crypto x86/sha512_ssse3: Simplify the sha512_ssse3_mod_init() xfeature checks
      x86/fpu, crypto x86/cast6_avx: Simplify the cast6_init() xfeature checks
      x86/fpu, crypto x86/sha1_ssse3: Simplify the sha1_ssse3_mod_init() xfeature checks
      x86/fpu, crypto x86/serpent_avx2: Simplify the init() xfeature checks
      x86/fpu, crypto x86/sha1_mb: Remove FPU internal headers from sha1_mb.c
      x86/fpu: Move asm/xcr.h to asm/fpu/internal.h
      x86/fpu: Rename sanitize_i387_state() to fpstate_sanitize_xstate()
      x86/fpu: Simplify fpstate_sanitize_xstate() calls
      x86/fpu: Pass 'struct fpu' to fpstate_sanitize_xstate()
      x86/fpu: Rename save_xstate_sig() to copy_fpstate_to_sigframe()
      x86/fpu: Rename save_user_xstate() to copy_fpregs_to_sigframe()
      x86/fpu: Clarify ancient comments in fpu__restore()
      x86/fpu: Rename user_has_fpu() to fpregs_active()
      x86/fpu: Initialize fpregs in fpu__init_cpu_generic()
      x86/fpu: Better document fpu__clear() state handling
      x86/alternatives, x86/fpu: Add 'alternatives_patched' debug flag and use it in xsave_state()
      x86/fpu: Synchronize the naming of drop_fpu() and fpu_reset_state()
      x86/fpu: Rename restore_fpu_checking() to copy_fpstate_to_fpregs()
      x86/fpu: Move all the fpu__*() high level methods closer to each other
      x86/fpu: Move fpu__clear() to 'struct fpu *' parameter passing
      x86/fpu: Rename restore_xstate_sig() to fpu__restore_sig()
      x86/fpu: Move the signal frame handling code closer to each other
      x86/fpu: Merge fpu__reset() and fpu__clear()
      x86/fpu: Move is_ia32*frame() helpers out of fpu/internal.h
      x86/fpu: Split out fpu/signal.h from fpu/internal.h for signal frame handling functions
      x86/fpu: Factor out fpu/regset.h from fpu/internal.h
      x86/fpu: Remove run-once init quirks
      x86/fpu: Factor out the exception error code handling code
      x86/fpu: Harmonize the names of the fpstate_init() helper functions
      x86/fpu: Create 'union thread_xstate' helper for fpstate_init()
      x86/fpu: Generalize 'init_xstate_ctx'
      x86/fpu: Move restore_init_xstate() out of fpu/internal.h
      x86/fpu: Rename all the fpregs, xregs, fxregs and fregs handling functions
      x86/fpu: Factor out fpu/signal.c
      x86/fpu: Factor out the FPU regset code into fpu/regset.c
      x86/fpu: Harmonize FPU register state types
      x86/fpu: Change fpu->fpregs_active from 'int' to 'char', add lazy switching comments
      x86/fpu: Document the various fpregs state formats
      x86/fpu: Move debugging check from kernel_fpu_begin() to __kernel_fpu_begin()
      x86/fpu/xstate: Don't assume the first zero xfeatures zero bit means the end
      x86/fpu: Clean up xstate feature reservation
      x86/fpu/xstate: Clean up setup_xstate_comp() call
      x86/fpu/init: Propagate __init annotations
      x86/fpu: Pass 'struct fpu' to fpu__restore()
      x86/fpu: Fix the 'nofxsr' boot parameter to also clear X86_FEATURE_FXSR_OPT
      x86/fpu: Add CONFIG_X86_DEBUG_FPU=y FPU debugging code
      x86/fpu: Reorganize fpu/internal.h
      x86/fpu/xstate: Use explicit parameter in xstate_fault()
      x86/fpu/init: Move __setup() functions to fpu/init.c
      x86/fpu/init: Clean up and comment the __setup() functions
      Merge branch 'x86/urgent' into x86/fpu, to resolve a conflict
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      x86/fpu, crypto: Fix AVX2 feature tests
      x86/fpu: Fix FPU state save area alignment bug
      Merge branch 'linus' into x86/fpu
      x86/fpu: Move the xstate copying functions into fpu/internal.h
      x86/fpu: Fix fpu__init_system_xstate() comments
      x86/fpu: Rename xstate related 'fx' references to 'xstate'
      x86/fpu: Improve xstate_fault() handling
      x86/fpu: Improve the initialization logic of 'err' around xstate_fault() constraints
      x86/fpu: Micro-optimize the copy_xregs_to_kernel*() and copy_kernel_to_xregs*() functions
      Merge branch 'perf/urgent' into perf/core, before applying dependent patches
      x86/fpu: Fix FPU register read access to the current task
      x86/fpu: Split out the fpu__activate_fpstate_read() method
      x86/fpu: Optimize fpu__activate_fpstate_read()
      x86/fpu: Rename fpu__activate_fpstate() to fpu__activate_fpstate_write()
      x86/fpu: Optimize fpu__activate_fpstate_write()
      x86/fpu: Add debugging check to fpu__restore()
      x86/fpu: Add debugging checks to all copy_kernel_to_*() functions
      x86/fpu: Rename copy_fpstate_to_fpregs() to copy_kernel_to_fpregs()
      x86/fpu: Remove error return values from copy_kernel_to_*regs() functions
      x86/fpu: Standardize the parameter type of copy_kernel_to_fpregs()
      x86/fpu: Simplify copy_kernel_to_xregs_booting()
      x86/fpu: Make WARN_ON_FPU() more robust in the !CONFIG_X86_DEBUG_FPU case
      Merge tag 'v4.1-rc5' into x86/mm, to refresh the tree before applying new changes
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      x86/boot: Add CONFIG_PARAVIRT_SPINLOCKS quirk to arch/x86/boot/compressed/misc.h
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      x86/debug: Remove perpetually broken, unmaintainable dwarf annotations
      Merge branch 'linus' into sched/core, to resolve conflict
      Merge branch 'for-mingo' of git://git.kernel.org/.../paulmck/linux-rcu into core/rcu
      Merge tag 'efi-next' of git://git.kernel.org/.../mfleming/efi into x86/efi
      Merge branches 'x86/mm', 'x86/build', 'x86/apic' and 'x86/platform' into x86/core, to apply dependent patch
      Merge branch 'locking/core' into x86/core, to prepare for dependent patch
      x86/kconfig: Reorganize arch feature Kconfig select's
      Documentation/features/vm: Add feature description and arch support status file for 'numa-memblock'
      Documentation/features/vm: Add feature description and arch support status file for 'PG_uncached'
      Documentation/features/lib: Add feature description and arch support status file for 'strncasecmp'
      Documentation/features/io: Add feature description and arch support status file for 'sg-chain'
      Documentation/features/vm: Add feature description and arch support status file for 'huge-vmap'
      Documentation/features/vm: Add feature description and arch support status file for 'pte_special'
      Documentation/features/vm: Add feature description and arch support status file for 'pmdp_splitting_flush'
      Documentation/features/debug: Add feature description and arch support status file for 'KASAN'
      Documentation/features/time: Add feature description and arch support status file for 'modern-timekeeping'
      Documentation/features/time: Add feature description and arch support status file for 'virt-cpuacct'
      Documentation/features/time: Add feature description and arch support status file for 'irq-time-acct'
      Documentation/features/vm: Add feature description and arch support status file for 'THP'
      Documentation/features/locking: Add feature description and arch support status file for 'rwsem-optimized'
      Documentation/features/sched: Add feature description and arch support status file for 'numa-balancing'
      Documentation/features/io: Add feature description and arch support status file for 'dma-contiguous'
      Documentation/features/io: Add feature description and arch support status file for 'dma_map_attrs'
      Documentation/features/core: Add feature description and arch support status file for 'tracehook'
      Documentation/features/vm: Add feature description and arch support status file for 'ioremap_prot'
      Documentation/features/locking: Add feature description and arch support status file for 'lockdep'
      Documentation/features/debug: Add feature description and arch support status file for 'stackprotector'
      Documentation/features/core: Add feature description and arch support status file for 'jump-labels'
      Documentation/features/seccomp: Add feature description and arch support status file for 'seccomp-filter'
      Documentation/features/time: Add feature description and arch support status file for 'context-tracking'
      Documentation/features/debug: Add feature description and arch support status file for 'kgdb'
      Documentation/features/time: Add feature description and arch support status file for 'clockevents'
      Documentation/features/vm: Add feature description and arch support status file for 'ELF-ASLR'
      Documentation/features/time: Add feature description and arch support status file for 'arch-tick-broadcast'
      Documentation/features/debug: Add feature description and arch support status file for 'kprobes'
      Documentation/features/debug: Add feature description and arch support status file for 'optprobes'
      Documentation/features/debug: Add feature description and arch support status file for 'kprobes-on-ftrace'
      Documentation/features/debug: Add feature description and arch support status file for 'uprobes'
      Documentation/features/debug: Add feature description and arch support status file for 'kretprobes'
      Documentation/features/debug: Add feature description and arch support status file for 'user-ret-profiler'
      Documentation/features/core: Add feature description and arch support status file for 'generic-idle-thread'
      Documentation/features/perf: Add feature description and arch support status file for 'kprobes-event'
      Documentation/features/io: Add feature description and arch support status file for 'dma-api-debug'
      Documentation/features/perf: Add feature description and arch support status file for 'perf-regs'
      Documentation/features/perf: Add feature description and arch support status file for 'perf-stackdump'
      Documentation/features/locking: Add feature description and arch support status file for 'cmpxchg-local'
      Documentation/features/debug: Add feature description and arch support status file for 'gcov-profile-all'
      Documentation/features/locking: Add feature description and arch support status file for 'queued-spinlocks'
      Documentation/features/locking: Add feature description and arch support status file for 'queued-rwlocks'
      Documentation/features/core: Add feature description and arch support status file for 'BPF-JIT'
      Documentation/features: Explain kernel feature descriptions and add visualization script
      x86/asm/entry: Move entry_64.S and entry_32.S to arch/x86/entry/
      x86/asm/entry: Move the compat syscall entry code to arch/x86/entry/
      x86/asm/entry, x86/vdso: Move the vDSO code to arch/x86/entry/vdso/
      x86/asm/entry: Move the 'thunk' functions to arch/x86/entry/
      x86/asm/entry: Move arch/x86/include/asm/calling.h to arch/x86/entry/
      x86/asm/entry: Move the arch/x86/syscalls/ definitions to arch/x86/entry/syscalls/
      x86/asm/entry: Move the vsyscall code to arch/x86/entry/vsyscall/
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      x86/asm/entry/32: Improve code readability
      x86/asm/entry/64/compat: Rename ia32entry.S -> entry_64_compat.S
      Merge branch 'x86/ras' into x86/core, to fix conflicts
      x86/asm/entry: Rename compat syscall entry points
      x86/asm/entry: Untangle 'ia32_sysenter_target' into two entry points: entry_SYSENTER_32 and entry_SYSENTER_compat
      x86/asm/entry: Untangle 'system_call' into two entry points: entry_SYSCALL_64 and entry_INT80_32
      x86/asm/entry/32: Clean up entry_32.S
      Merge branch 'x86/asm' into x86/core, to prepare for new patch
      x86/asm/entry/64: Clean up entry_64.S
      x86/asm/entry: (Re-)rename __NR_entry_INT80_compat_max to __NR_syscall_compat_max
      Revert "perf/x86/intel/uncore: Move uncore_box_init() out of driver initialization"
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      Merge tag 'efi-next' of git://git.kernel.org/.../mfleming/efi into x86/efi
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      Merge tag 'perf-core-for-mingo-2' of git://git.kernel.org/.../acme/linux into perf/core
      Merge tag 'perf-urgent-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      Merge branches 'x86/apic', 'x86/asm', 'x86/mm' and 'x86/platform' into x86/core, to merge last updates
      x86: Load __USER_DS into DS/ES after resume
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/core
      Merge tag 'perf-urgent-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      x86/fpu: Fix FPU related boot regression when CPUID masking BIOS feature is enabled
      Merge branch 'x86/boot' into x86/urgent
      printk: Increase maximum CONFIG_LOG_BUF_SHIFT from 21 to 25
      Merge tag 'perf-core-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent
      x86/fpu: Fix boot crash in the early FPU code
      Merge branch 'perf/rbtree_copy' of git://git.kernel.org/.../acme/linux into perf/urgent

Ingo Tuchscherer (1):
      s390/zcrypt: Fixed reset and interrupt handling of AP queues

Inha Song (3):
      ARM: dts: support simple-audio-card for exynos5420 and exynos5422-odroidxu3
      extcon: arizona: Add support for select accessory detect mode when headphone detection
      mfd: arizona: Update DT binding to support hpdet channel

Ira Snyder (1):
      misc: remove drivers for CARMA hardware

Ira Weiny (29):
      IB/core: Create common start/end port functions
      IB/mad: Rename is_data_mad to is_rmpp_data_mad
      IB/mad: Clean up comments in smi.c
      IB/mad: Change validate_mad signature arguments
      IB/mad: Change ib_response_mad signature arguments
      IB/mad: Clean up rcv_has_same_class
      IB/mad: Add const qualifiers to query only functions
      IB/user_mad: Use new start/end port functions
      IB/user_mad: Fix buggy usage of port index
      IB/core: Add per port immutable struct to ib_device
      IB/core: Convert core to use bitfield for caps
      IB/core: Change rdma_protocol_iboe to roce
      IB/core cleanup: Add const to RDMA helpers
      IB/core cleanup: Add const on args - device->process_mad
      IB/core cleanup: Add const to args - agent_send_response
      IB/mad cleanup: Clean up function params -- find_mad_agent
      IB/mad cleanup: Generalize processing of MAD data
      IB/mad: Split IB SMI handling from MAD Recv handler
      IB/mad: Create a generic helper for DR SMP Send processing
      IB/mad: Create a generic helper for DR SMP Recv processing
      IB/mad: Create a generic helper for DR forwarding checks
      IB/mad: Support alternate Base Versions when creating MADs
      IB/core: Add ability for drivers to report an alternate MAD size.
      IB/mad: Convert allocations from kmem_cache to kzalloc
      IB/mad: Add support for additional MAD info to/from drivers
      IB/core: Add OPA MAD core capability flag
      IB/mad: Add partial Intel OPA MAD support
      IB/mad: Add partial Intel OPA MAD support
      IB/mad: Add final OPA MAD processing

Irina Tirdea (16):
      iio: accel: mma9551_core: wrong doc fixes
      iio: accel: mma9551_core: typo fix in RSC APP ID
      iio: accel: mma9553: check for error in reading initial activity and stepcnt
      iio: accel: mma9553: return 0 as indication of success
      iio: accel: mma9553: comment and error message fixes
      iio: accel: mma9553: use GENMASK
      iio: accel: mma9553: prefix naming fixes
      iio: accel: mma9553: refactor mma9553_read_raw
      iio: accel: mma9553: fix gpio bitnum init value
      iio: magn: Add support for BMC150 magnetometer
      iio: magn: bmc150_magn: Add devicetree binding documentation
      iio: core: Introduce IIO_CHAN_INFO_OVERSAMPLING_RATIO
      iio: magn: bmc150_magn: add oversampling ratio
      Input: goodix - fix alignment issues
      Input: goodix - fix variable length array warning
      Input: goodix - export id and version read from device

Isaac Assegai (20):
      Staging: sm750fb: Replace spaces with tabs at the start of lines
      Staging: sm750fb: sm750_hw.h: Insert spaces after commas.
      Staging: sm750fb: sm750_help.h: Insert spaces after commas.
      Staging: sm750fb: sm750.h: Insert spaces after commas.
      Staging: sm750fb: Inserted spaces after commas in four files.
      Staging: sm750fb: Inserted spaces after commas in three files.
      Staging: sm750fb: sm750_hw.c: Insert spaces after commas.
      Staging: sm750fb: sm750_accel.c: Insert spaces after commas.
      Staging: sm750fb: sm750_cursor.c: Insert spaces after commas.
      Staging: sm750fb: Insert spaces after commas in two files.
      Staging: sm750fb: Insert spaces after commas in two files.
      Staging: sm750fb: ddk750_display.c: Insert spaces after commas.
      Staging: sm750fb: modedb.h: Replace spaces with tabs
      Staging: sm750fb: modedb.h: Shorten lines to under 80 characters
      Staging: sm750fb: ddk750_swi2c.h: Replace spaces with tabs
      Staging: sm750fb: ddk750_swi2c.h: Shorten lines to under 80 characters
      Staging: sm750fb: ddk750_swi2c.c: Replace spaces with tabs
      Staging: sm750fb: ddk750_swi2c.c: Insert spaces around operators
      Staging: sm750fb: ddk750_swi2c.c: Place braces on correct lines
      Staging: sm750fb: ddk750_swi2c.c: Insert spaces before parenthesis

Ivan Khoronzhuk (3):
      firmware: dmi_scan: Rename dmi_table to dmi_decode_table
      firmware: dmi_scan: add SBMIOS entry and DMI tables
      Documentation: ABI: sysfs-firmware-dmi: add -entries suffix to file name

Ivan T. Ivanov (10):
      arm64: dts: qcom: Add SPMI PMIC Arbiter node for MSM8916
      arm64: dts: qcom: Add 8x16 chipset SPMI PMIC's nodes
      arm64: dts: qcom: Add MSM8916 restart device node
      arm64: dts: qcom: Add initial set of PMIC and SoC pins for APQ8016 SBC board
      ARM: dts: qcom: Add PM8841 functions device nodes
      ARM: dts: qcom: Add PM8941 functions device nodes
      ARM: dts: qcom: Add PMA8084 functions device nodes
      usb: phy: msm: Use extcon framework for VBUS and ID detection
      usb: phy: msm: Manual PHY and LINK controller VBUS change notification
      thermal: Add QPNP PMIC temperature alarm driver

Ivan Vecera (26):
      be2net: log link status
      bna: fix firmware loading on big-endian machines
      bna: remove unreasonable iocpf timer start
      bna: fix soft lock-up during firmware initialization failure
      bna: use ether_addr_copy instead of memcpy
      bna: get rid of mac_t
      bna: replace pragma(pack) with attribute __packed
      bna: get rid of duplicate and unused macros
      bna: use BIT(x) instead of (1 << x)
      bna: remove unused cbfn parameter
      bna: remove pause_cbfn from struct bna_enet
      bna: remove oper_state_cbfn from struct bna_rxf
      bna: remove prio_change_cbfn oper_state_cbfn from struct bna_tx
      bna: remove RXF_E_PAUSE and RXF_E_RESUME events
      bna: remove paused from bna_rx_config and flags from bna_rxf
      bna: remove TX_E_PRIO_CHANGE event and BNA_TX_F_PRIO_CHANGED flag
      bna: correct comparisons/assignments to bool
      bna: use memdup_user to copy userspace buffers
      bna: remove useless pointer assignment
      bna: get rid of private macros for manipulation with lists
      bna: use list_for_each_entry where appropriate
      bna: fix timeout API argument type
      bna: use netdev_* and dev_* instead of printk and pr_*
      bna: remove unnecessary cast of BIT value
      bna: make pointers to read-only inputs const
      bna: remove superfluous parentheses

Iyappan Subramanian (5):
      drivers: net: xgene: Change ring manager to use function pointers
      drivers: net: xgene: Add ring manager v2 functions
      drivers: net: xgene: Add 10GbE support with ring manager v2
      drivers: net: xgene: Add SGMII based 1GbE support with ring manager v2
      drivers: net: xgene: fix kbuild warnings

J. Bruce Fields (1):
      selinux: fix setting of security labels on NFS

Jacek Anaszewski (14):
      leds: gpio: Fix error handling for led name null pointer case
      leds: unify the location of led-trigger API
      leds: Add support for max77693 mfd flash cell
      DT: Add documentation for the Skyworks AAT1290
      leds: Add driver for AAT1290 flash LED controller
      ARM: dts: add JPEG codec device node for exynos3250
      ARM: dts: add support JPEG codec for exynos3250-rinato
      Documentation: leds: Add description of v4l2-flash sub-device
      mfd: documentation: dt: Add documentation for the mfd Maxim max77693
      media: Add registration helpers for V4L2 flash sub-devices
      leds: max77693: add support for V4L2 Flash sub-device
      DT: aat1290: Document handling external strobe sources
      leds: aat1290: add support for V4L2 Flash sub-device
      leds: fix max77693-led build errors

Jacob Keller (15):
      fm10k: ignore invalid multicast address entries
      fm10k: use correct ethernet driver Tx timestamp function
      fm10k: move setting shinfo inside ts_tx_enqueue
      fm10k: fix incorrect free on skb in ts_tx_enqueue
      fm10k: add call to fm10k_clean_all_rx_rings in fm10k_down
      fm10k: use an unsigned int for i in ethtool_get_strings
      fm10k: remove extraneous NULL check on l2_accel
      fm10k: trivial fixup message style to include a colon
      fm10k: use dma_set_mask_and_coherent in fm10k_probe
      fm10k: force LPORT delete when updating VLAN or MAC address
      fm10k: re-map all possible VF queues after a VFLR
      fm10k: pack TLV overlay structures
      fm10k: fix incorrect DIR_NEVATIVE bit in 1588 code
      fm10k: remove err_no reference in fm10k_mbx.c
      fm10k: fix iov_msg_lport_state_pf issue

Jaeden Amero (3):
      net/phy: micrel: Be more const correct
      net/phy: micrel: Comment MMD address of extended registers
      net/phy: micrel: Center FLP timing at 16ms

Jaedon Shin (2):
      MPI: MIPS: Fix compilation error with GCC 5.1
      MIPS: BMIPS: dts: Add uart device nodes to bcm7xxx platforms

Jaegeuk Kim (54):
      f2fs: export more enums for tracepoint
      f2fs: add missing version info in superblock
      f2fs: move existing definitions into f2fs.h
      f2fs: add feature facility in superblock
      f2fs: add f2fs_map_blocks
      f2fs: introduce f2fs_commit_super
      f2fs: expose f2fs_mpage_readpages
      f2fs: clean up f2fs_lookup
      f2fs: add f2fs_may_inline_{data, dentry}
      f2fs: add sbi and page pointer in f2fs_io_info
      f2fs: move get_page for gc victims
      f2fs: introduce dot and dotdot name check
      f2fs: fix race on allocating and deallocating a dentry block
      f2fs: add need_dentry_mark
      f2fs: fix counting the number of inline_data inodes
      f2fs: split find_data_page according to specific purposes
      f2fs: revmove spin_lock for write_orphan_inodes
      f2fs: introduce discard_map for f2fs_trim_fs
      f2fs: issue discard with finally produced len and minlen
      f2fs: avoid value overflow in showing current status
      f2fs: report unwritten area in f2fs_fiemap
      f2fs crypto: declare some definitions for f2fs encryption feature
      f2fs crypto: add f2fs encryption Kconfig
      f2fs crypto: add encryption xattr support
      f2fs crypto: add encryption policy and password salt support
      f2fs crypto: add f2fs encryption facilities
      f2fs crypto: add encryption key management facilities
      f2fs crypto: filename encryption facilities
      f2fs crypto: activate encryption support for fs APIs
      f2fs crypto: add encryption support in read/write paths
      f2fs crypto: add filename encryption for f2fs_add_link
      f2fs crypto: add filename encryption for f2fs_readdir
      f2fs crypto: add filename encryption for f2fs_lookup
      f2fs crypto: add filename encryption for roll-forward recovery
      f2fs crypto: add symlink encryption
      f2fs crypto: fix missing key when reading a page
      f2fs crypto: remove checking key context during lookup
      f2fs: do not issue next dnode discard redundantly
      f2fs: avoid buggy functions
      f2fs: truncate data blocks for orphan inode
      f2fs crypto: use slab caches
      f2fs crypto: get rid of ci_mode from struct f2fs_crypt_info
      f2fs crypto: shrink size of the f2fs_crypto_ctx structure
      f2fs crypto: split f2fs_crypto_init/exit with two parts
      f2fs crypto: check encryption for tmpfile
      f2fs crypto: use per-inode tfm structure
      f2fs crypto: avoid f2fs_inherit_context for symlink
      f2fs crypto: clean up error handling in f2fs_fname_setup_filename
      f2fs: fix a deadlock for summary page lock vs. sentry_lock
      f2fs crypto: remove alloc_page for bounce_page
      f2fs: fix to return exact trimmed size
      f2fs: drop the volatile_write flag only
      f2fs crypto: fix to handle errors likewise ext4
      f2fs crypto: add alloc_bounce_page

Jagan Teki (4):
      dma-buf: Minor coding style fixes
      staging: android: Add more help description on Kconfig
      staging: rtl8188eu: core: Fix line over 80 characters
      staging: rtl8712: Use ether_addr_copy() instead of memcpy()

Jaganath Kanakkassery (1):
      Bluetooth: Fix potential NULL dereference in RFCOMM bind callback

Jaime Arrocha (2):
      staging: comedi: coding style identation error fix
      staging: gdm724x: Remove test for host endian

Jakub Kicinski (15):
      add mt7601u driver
      sc16is7xx: remove RS-485 delay RTS handling
      sc16is7xx: add missing compatible strings
      sc16is7xx: use LSR_TEMT_BIT in .tx_empty()
      sc16is7xx: use kthread_worker for tx_work and irq
      sc16is7xx: move RTS delay to workqueue
      sc16is7xx: use kworker for md_proc
      sc16is7xx: use kworker to update ier bits
      sc16is7xx: use kworker for RS-485 configuration
      mt7601u: unify paged and non-paged RX dma paths
      mt7601u: watch out for invalid-length frames
      mt7601u: don't cleanup device second time after .resume()
      mt7601u: set promiscous mode based on FIF_OTHER_BSS
      mac80211: remove obsolete sentence from documentation
      mt7601u: don't warn about devices without per-rate power table

Jakub Sitnicki (3):
      staging: rtl8188eu: Remove redundant CONFIG_88EU_AP_MODE tests
      staging: rtl8188eu: Kill dead calls to kill_pid()
      staging: rtl8188eu: kill unused INCLUDE_MULTI_FUNC_* macros

Jamal Hadi Salim (2):
      tc: remove unused redirect ttl
      net: sched: flower fix typo

James Bottomley (2):
      scsi_scan: fix queue depth initialisation problem
      ips: remove pointless #warning

James C Boyd (4):
      HID: hid-input: Add parentheses to quell gcc warning
      HID: hid-input: Simplify conditional expression
      HID: hid-input: Fix coding style issue
      x86/asm/entry/64: Update path names

James Hogan (22):
      [media] rc: rc-ir-raw: Add scancode encoder callback
      [media] rc: ir-rc5-decoder: Add encode capability
      [media] rc: rc-core: Add support for encode_wakeup drivers
      [media] rc: rc-loopback: Add loopback of filter scancodes
      MIPS: Fix enabling of DEBUG_STACKOVERFLOW
      tty/metag_da: Avoid module_init/module_exit in non-modular code
      MIPS: Add SysRq operation to dump TLBs on all CPUs
      MIPS: hazards: Add hazard macros for tlb read
      MIPS: mipsregs.h: Add EntryLo bit definitions
      MIPS: dump_tlb: Use tlbr hazard macros
      MIPS: dump_tlb: Refactor TLB matching
      MIPS: dump_tlb: Make use of EntryLo bit definitions
      MIPS: dump_tlb: Take global bit into account
      MIPS: dump_tlb: Take EHINV bit into account
      MIPS: dump_tlb: Take RI/XI bits into account
      MIPS: dump_tlb: Take XPA into account
      MIPS: Fix KVM guest fixmap address
      MIPS: tlbex: Fix broken offsets on r2 without XPA
      MIPS: tlbex: Avoid unnecessary _PAGE_PRESENT shifts
      MIPS: Malta: Select 32bit DMA zone for 64-bit kernels
      MIPS: Malta: Make GIC FDC IRQ workaround Malta specific
      MIPS: Pistachio: Support CDMM & Fast Debug Channel

James Liao (4):
      clk: mediatek: Add initial common clock support for Mediatek SoCs.
      clk: mediatek: Add basic clocks for Mediatek MT8135.
      clk: mediatek: Add basic clocks for Mediatek MT8173.
      clk: mediatek: Fix apmixedsys clock registration

James Morris (4):
      Merge branch 'smack-for-4.2-stacked' of https://github.com/cschaufler/smack-next into next
      Merge branch 'smack-for-4.2-stacked' of https://github.com/cschaufler/smack-next into next
      Merge branch 'next' of git://git.kernel.org/.../zohar/linux-integrity into next
      Merge branch 'upstream' of git://git.infradead.org/users/pcmoore/selinux into for-linus2

James Simmons (15):
      staging:lustre: fixup LNet resource container api
      staging:lustre: cleanup libcfs lock handling
      staging:lustre: move tcpip abstraction
      staging:lustre: remove useless libcfs_sock_release
      staging:lustre: remove useless libcfs_sock_abort_accept
      staging:lustre: rename tcpip handling functions to lnet_* prefix
      staging:lustre: use available kernel wrappers in lib-socket.c
      staging:lustre: lib-socket.c code cleanup - indentation etc
      staging:lustre: Update license and copyright for lib-socket.c
      staging:lustre: Delete all obsolete LND drivers
      staging:lustre: move LNet NID macros to LNet layer
      staging:lustre: separate kernel and user land defines in the LNet headers
      staging:lustre: fix camel case for LNetInit and LNetFini
      staging:lustre: LNet header code cleanup - indentation etc
      staging:lustre: Update license and copyright for the LNET headers

James Smart (24):
      lpfc: The lpfc driver does not issue RFF_ID and RFT_ID in the correct sequence
      lpfc: Correct loss of target discovery after cable swap.
      lpfc: Add support for ELS LCB.
      lpfc: Correct reference counting of rport
      lpfc: Fix ABORTs WQ selection in terminate_rport_io
      lpfc: Add support for RDP ELS command.
      lpfc: Correct reporting of vport state on fdisc command failure.
      lpfc: Fix discovery issue when changing from Pt2Pt to Fabric.
      lpfc: Fix to remove IRQF_SHARED flag for MSI/MSI-X vectors.
      lpfc: Fix crash in vport_delete.
      lpfc: Correct loss of RSCNs during array takeaway/giveback testing.
      lpfc: Fix rport leak.
      lpfc: Fix incorrect log message reported for empty FCF record.
      lpfc: Change buffer pool empty message to miscellaneous category
      lpfc: Update driver version for upstream patch set 10.6.0.1.
      lpfc: Check for active portpeerbeacon.
      lpfc: Fix vport deletion failure.
      lpfc: Devices are not discovered during takeaway/giveback testing
      lpfc: Add support for using block multi-queue
      lpfc: Fix scsi prep dma buf error.
      lpfc: Fix cq_id masking problem.
      lpfc: Fix scsi task management error message.
      lpfc: Fix to drop PLOGIs from fabric node till LOGO processing completes
      lpfc: Update version to 10.7.0.0 for upstream patch set.

James Sullivan (2):
      kvm: x86: Extended struct kvm_lapic_irq with msi_redir_hint for MSI delivery
      kvm: x86: Deliver MSI IRQ to only lowest prio cpu if msi_redir_hint is true

Jan Beulich (10):
      x86/mm: Mark arch_ioremap_p{m,u}d_supported() __init
      xenbus: avoid uninitialized variable warning
      hvc_xen: avoid uninitialized variable warning
      xen/tmem: use BUILD_BUG_ON() in favor of BUG_ON()
      x86/asm/entry/32: Really make user_mode() work correctly for VM86 mode
      x86/asm/entry/64: Use negative immediates for stack adjustments
      x86/asm/entry/64: Fold identical code paths
      kconfig: don't silently ignore unhandled characters
      kconfig: allow use of relations other than (in)equality
      kconfig: re-generate *.c_shipped files after previous change

Jan H. Schönherr (1):
      x86/smpboot: Skip delays during SMP initialization similar to Xen

Jan Kara (18):
      [media] vb2: Push mmap_sem down to memops
      quota: Update documentation
      lib: Fix strnlen_user() to not touch memory after specified maximum
      lib: Clarify the return value of strnlen_user()
      jbd2: simplify code flow in do_get_write_access()
      jbd2: simplify error path on allocation failure in do_get_write_access()
      jbd2: more simplifications in do_get_write_access()
      jbd2: speedup jbd2_journal_get_[write|undo]_access()
      audit: Fix check of return value of strnlen_user()
      s390/keyboard: avoid off-by-one when using strnlen_user()
      ufs: Fix warning from unlock_new_inode()
      ufs: Fix possible deadlock when looking up directories
      jbd2: speedup jbd2_journal_dirty_metadata()
      fs: Fix S_NOSEC handling
      fs: Rename file_remove_suid() to file_remove_privs()
      fs: Provide function telling whether file_remove_privs() will do anything
      fs: Call security_ops->inode_killpriv on truncate
      xfs: Correctly lock inode when removing suid and file capabilities

Jan Kardell (1):
      rtc: pcf8563 fix: return -EINVAL if we read an invalid time.

Jan Kiszka (7):
      x86/x2apic: Acpi_gbl_FADT existence depends on CONFIG_ACPI
      x86: Let x2APIC support depend on interrupt remapping or guest support
      KVM: nVMX: Fix host crash when loading MSRs with userspace irqchip
      serial: 8250: Do nothing if nr_uarts=0
      KVM: x86: Allow ARAT CPU feature
      scripts/gdb: also allow list_head pointer as lx-list-check paramter
      scripts/gdb: enable completion for lx-list-check parameter

Jan Klötzke (5):
      [media] rc/keymaps: add RC keytable for TechniSat TS35
      [media] rc/keymaps: add keytable for Terratec Cinergy C PCI
      [media] rc/keymaps: add keytable for Terratec Cinergy S2 HD
      [media] rc/keymaps: add keytable for Twinhan DTV CAB CI
      [media] mantis: add remote control support

Jane Wan (1):
      spi: fsl-espi: Fix an error that can cause fsl espi task blocked

Janet Liu (2):
      arm64: kernel thread don't need to save fpsimd context.
      arm64: fix bug for reloading FPSIMD state after CPU hotplug.

Jani Nikula (31):
      drm/i915: reduce indent in i9xx_hpd_irq_handler
      drm/i915: reduce duplicate conditions in i9xx_hpd_irq_handler
      drm/i915: reduce indent in intel_hpd_irq_handler
      drm/i915: group all hotplug related fields into a new struct in dev_priv
      drm/i915: remove useless DP and DDI encoder ->hot_plug hooks
      drm/i915/dsi: remove non-op hot plug callback
      drm/i915: add for_each_hpd_pin to iterate over hotplug pins
      drm/i915: simplify conditions for skipping the 2nd hpd loop iterations
      drm/i915: put back the indent in intel_hpd_irq_handler
      drm/i915: merge the two hpd loops in intel_hpd_irq_handler to one
      drm/i915: simplify condition for digital port
      drm/i915: abstract away platform specific parts from hpd handling
      drm/i915/bxt: clear hpd status sticky bits earlier
      drm/i915: abstract hpd irq storm detection
      Merge remote-tracking branch 'drm-upstream/drm-next' into drm-intel-next-queued
      drm/i915: Fix DDC probe for passive adapters
      Revert "drm/i915: Don't skip request retirement if the active list is empty"
      drm/i915/irq: move hotplug even debug print to second connector loop
      drm/i915/irq: abstract irq storm hotplug disabling
      drm/i915/irq: clarify irq storm related function naming
      drm/i915: move generic hotplug code into new intel_hotplug.c file
      drm/i915: reduce line width in {pch, i9xx}_get_hpd_pins()
      drm/i915: fix backlight after resume on 855gm
      drm/i915/dsi: abstract dsi bpp derivation from pixel format
      drm/i915/audio: clarify HD audio documentation wrt modeset
      drm/i915/hotplug: document the hotplug handling in the driver
      drm/i915/opregion: use BUILD_BUG_ON to verify mailbox struct sizes
      drm/i915/opregion: add new opregion stuff
      drm/i915/opregion: prefer DRM logging functions over pr_warn and dev_dbg
      drm/i915/opregion: abstract didl and did2 getter and setter
      drm/i915/opregion: start using extended didl

Janusz Dziedzic (8):
      ath10k: add WMI support for WOW
      ath10k: add WOW disconnect/magic-packet support
      ath10k: add WMI support for WOW patterns
      ath10k: add WOW patterns support
      ath10k: disable burst mode
      ath10k: enable ibss-rsn
      ath10k: enable ASPM
      ath10k: fix idle power consumption

Janusz.Dziedzic@tieto.com (1):
      ath10k: setup maximum number of supported stations

Jarkko Nikula (4):
      i2c: core: Reduce stack size of acpi_i2c_space_handler()
      spi: pxa2xx: Differentiate Intel LPSS types
      spi: pxa2xx: Prepare for new Intel LPSS SPI type
      spi: pxa2xx: Make LPSS SPI general register optional

Jarkko Sakkinen (1):
      tpm, tpm_crb: fix le64_to_cpu conversions in crb_acpi_add()

Jarod Wilson (2):
      block: export blkdev_reread_part() and __blkdev_reread_part()
      s390/block/dasd: remove obsolete while -EBUSY loop

Jason A. Donenfeld (4):
      ozwpan: Use proper check to prevent heap overflow
      ozwpan: Use unsigned ints to prevent heap overflow
      ozwpan: divide-by-zero leading to panic
      ozwpan: unchecked signed subtraction leads to DoS

Jason Baron (2):
      tcp: set SOCK_NOSPACE under memory pressure
      tcp: ensure epoll edge trigger wakeup when write queue is empty

Jason Gerecke (11):
      HID: wacom: Simplify check for presence of single-finger touch
      HID: wacom: Do not add suffix to name of devices with an unknown type
      HID: wacom: Discover device_type from HID descriptor for all devices
      HID: wacom: Fail probe if HID_GENERIC device has unknown device_type
      HID: wacom: Have wacom_{get,set}_report retry on -EAGAIN, not -EPIPE
      HID: wacom: Handle failing HID_DG_CONTACTMAX requests
      HID: wacom: Simplify 'wacom_update_name'
      HID: wacom: Treat features->device_type values as flags
      HID: wacom: Introduce a new WACOM_DEVICETYPE_PAD device_type
      HID: wacom: Split apart 'wacom_setup_pentouch_input_capabilites'
      HID: wacom: Introduce new 'touch_input' device

Jason Low (6):
      sched, timer: Convert usages of ACCESS_ONCE() in the scheduler to READ_ONCE()/WRITE_ONCE()
      sched/numa: Document usages of mm->numa_scan_seq
      sched, timer: Replace spinlocks with atomics in thread_group_cputimer(), to improve scalability
      sched, timer: Provide an atomic 'struct task_cputime' data structure
      sched, timer: Use the atomic task_cputime in thread_group_cputimer
      sched, timer: Fix documentation for 'struct thread_group_cputimer'

Javi Merino (14):
      thermal: fair_share: use the weight from the thermal instance
      thermal: fair_share: fix typo
      thermal: export weight to sysfs
      thermal: fair_share: generalize the weight concept
      thermal: let governors have private data for each thermal zone
      thermal: extend the cooling device API to include power information
      thermal: cpu_cooling: implement the power cooling device API
      thermal: introduce the Power Allocator governor
      thermal: add trace events to the power allocator governor
      thermal: x86_pkg_temp: drop const for thermal_zone_parameters
      thermal: remove stale THERMAL_POWER_ACTOR select
      thermal: cpu_cooling: Check memory allocation of power_table
      thermal: export thermal_zone_parameters to sysfs
      thermal: power_allocator: round the division when divvying up power

Javier Martinez Canillas (16):
      pwm: samsung: Use MODULE_DEVICE_TABLE() to include OF modalias
      ARM: multi_v7_defconfig: Enable support for Samsung S3C64XX SPI
      ARM: multi_v7_defconfig: Enable max77802 regulator, rtc and clock drivers
      ARM: multi_v7_defconfig: Enable Exynos USB PHY drivers support
      ARM: multi_v7_defconfig: Enable support for missing ChromeOS EC drivers
      ARM: multi_v7_defconfig: Enable Samsung PWM support
      ARM: multi_v7_defconfig: Enable Samsung S3C SoC RTC
      ARM: multi_v7_defconfig: Enable options for Exynos display support
      ARM: multi_v7_defconfig: Enable GPIO-based I2C arbitration support
      ARM: multi_v7_defconfig: Enable Cypress APA I2C Trackpad support
      mfd: cros_ec: Use a zero-length array for command data
      mfd: cros_ec: Move protocol helpers out of the MFD driver
      irqchip: exynos-combiner: Save IRQ enable set on suspend
      mfd: max77686: Remove unused struct max77686_opmode_data
      rtc: max77686: Report platform modalias to fix module autoload
      rtc: max77802: Report platform modalias to fix module autoload

Jean Delvare (9):
      efi: dmi: List SMBIOS3 table before SMBIOS table
      watchdog: hpwdt: Add support for WDIOC_SETOPTIONS
      rtc: xgene: Set hardware dependency
      firmware: dmi_scan: Only honor end-of-table for 64-bit tables
      firmware: dmi: List my quilt tree
      firmware: dmi_scan: Trim DMI table length before exporting it
      firmware: dmi_scan: Coding style cleanups
      firmware: dmi: struct dmi_header should be packed
      hwmon: Document which I2C addresses can be probed

Jean Sacren (1):
      e100: don't initialize int object to zero

Jean-Baptiste Theou (2):
      watchdog: watchdog_core: Add watchdog registration deferral mechanism
      watchdog: gpio_wdt: Add option for early registration

Jeeja KP (6):
      ALSA: hda - moved alloc/free stream pages function to controller library
      ALSA: hda - add generic functions to set hdac stream params
      ALSA: hda - add new HDA registers
      ALSA: hdac_ext: add extended HDA bus
      ALSA: hdac_ext: add hdac extended controller
      ALSA: hdac_ext: add extended stream capabilities

Jeff Kirsher (1):
      e1000e: fix call to do_div() to use u64 arg

Jeff Layton (14):
      sunrpc: keep a count of swapfiles associated with the rpc_clnt
      sunrpc: make xprt->swapper an atomic_t
      sunrpc: if we're closing down a socket, clear memalloc on it first
      sunrpc: lock xprt before trying to set memalloc on the sockets
      sunrpc: turn swapper_enable/disable functions into rpc_xprt_ops
      nfs: deny backchannel RPCs with an incorrect authflavor instead of dropping them
      nfs: increase size of EXCHANGE_ID name string buffer
      nfs: convert setclientid and exchange_id encoders to use clp->cl_owner_id
      nfs: update maxsz values for SETCLIENTID and EXCHANGE_ID
      nfs: make nfs4_init_nonuniform_client_string use a dynamically allocated buffer
      nfs: make nfs4_init_uniform_client_string use a dynamically allocated buffer
      nfs: fix potential credential leak in ff_layout_update_mirror_cred
      nfs: always update creds in mirror, even when we have an already connected ds
      nfs: take extra reference to fl->fl_file when running a LOCKU operation

Jeff Moyer (1):
      blk-mq: fix plugging in blk_sq_make_request

Jeffrey Rogers (1):
      kbuild: edit explanation of clean-files variable

Jemma Denson (28):
      [media] Add support for TechniSat Skystar S2
      [media] cx24120: Fix minor style typo in Kconfig
      [media] cx24120: Move clock set to read_status
      [media] cx24120: Add missing command to cx24120_check_cmd
      [media] cx24120: Fix hexdump length in writeregs
      [media] cx24120: Rework vco function to remove xxyyzz variable
      [media] cx24120: Add DVBv5 signal strength stats
      [media] cx24120: Enable DVBv5 signal strength stats
      [media] cx24120: Remove additional calls to read_status
      [media] cx24120: Return DVBv3 signal strength from cache
      [media] cx24120: Improve cooked signal strength value
      [media] cx24120: More coding style fixes
      [media] cx24120: Fix disecq_send_burst command
      [media] cx24120: Move CNR to DVBv5 stats
      [media] cx24120: Tidy up calls to dev_dbg
      [media] cx24120: Remove unneccesary assignments in cx24120_init
      [media] cx24120: Tidy cx24120_init
      [media] cx24120: More tidying in cx24120_init
      [media] b2c2: Reset no_base_addr on skystarS2 attach failure
      [media] cx24120: Complete modfec_table
      [media] cx24120: Add in dvbv5 stats for bit error rate
      [media] cx24120: Convert read_ber to retrieve from cache
      [media] cx24120: Convert ucblocks to dvbv5 stats
      [media] cx24120: Check for lock before updating BER & UCB
      [media] cx24120: Update comment & fix typo
      [media] cx24120: Assume ucb registers is a counter
      [media] b2c2: Mismatch in config ifdefs for SkystarS2
      [media] b2c2: Add option to skip the first 6 pid filters

Jens Axboe (16):
      bio: skip atomic inc/dec of ->bi_remaining for non-chains
      bio: skip atomic inc/dec of ->bi_cnt for most use cases
      block: collapse bio bit space
      Merge branch 'for-4.2/core' into for-4.2/drivers
      loop: remove (now) unused 'out' label
      block: only honor SG gap prevention for merges that contain data
      block: don't honor chunk sizes for data-less IO
      Merge branch 'for-4.2/core' into for-4.2/drivers
      buffer: remove unusued 'ret' variable
      block: add blk_set_queue_dying() to blkdev.h
      Merge branch 'for-4.2/core' into for-4.2/drivers
      cfq-iosched: fix the setting of IOPS mode on SSDs
      cfq-iosched: move group scheduling functions under ifdef
      cfq-iosched: fix sysfs oops when attempting to read unconfigured weights
      cfq-iosched: fix other locations where blkcg_to_cfqgd() can return NULL
      Merge branch 'stable/for-jens-4.2' of git://git.kernel.org/.../konrad/xen into for-linus

Jens Freimann (2):
      KVM: s390: optimize interrupt handling round trip time
      KVM: s390: clear floating interrupt bitmap and parameters

Jens Kuske (3):
      dmaengine: sun6i: Add support for Allwinner H3 (sun8i) variant
      Documentation: sunxi: Update Allwinner SoC documentation
      ARM: sunxi: Introduce Allwinner H3 support

Jeremiah Mahler (1):
      crypto: aesni - fix crypto_fpu_exit() section mismatch

Jeremy Kerr (5):
      powerpc/powernv: Merge common platform device initialisation
      powerpc/powernv: Expose OPAL APIs required by PRD interface
      powerpc/powernv: Add opal-prd channel
      powerpc/powernv: fix construction of opal PRD messages
      powerpc/include: Add opal-prd to installed uapi headers

Jeremy Linton (1):
      of/irq: Fix pSeries boot failure

Jerry Snitselaar (1):
      tracing: Export tracing clock functions

Jes Sorensen (70):
      staging: unisys: visorchipset: Remove unused NONULLSTR()
      staging: unisys: visorchipset: Avoid struct typedef abuse
      staging: unisys: visorchipset: Get rid of ugly BOOL/TRUE/FALSE usage
      staging: unisys: visorchipset: Do not use confuse size of long with size of u32
      staging: unisys: visorchipset: Use correct type for dev_no
      staging: unisys: visorchipset: Remove unused get_channel_info notifier
      staging: unisys: visorchipset: Get rid of ulong usage
      staging: unisys: Move module parameters around and mark static
      staging: unisys: Move MYDRVNAME to visorchipset.h
      staging: unisys: Eliminate globals.h
      staging: unisys: Fix up a few cases of bad formatting
      staging: unisys: Remove some unnecessary parenthesis
      staging: unisys: Remove unncessary parenthesis
      staging: unisys: Don't zero struct elements which will be memset away
      staging: unisys: Do not initialize variables unnecessarily
      staging: unisys: Get rid of uint usage
      staging: unisys: Remove unused cache object counter
      staging: unisys: Don't include timskmod.h
      staging: unisys: Remove a couple of unnecessary blank lines
      staging: unisys: buffer_list_pool isn't used for anything
      staging: unisys: Remove write-only visorchipset_bus_info.dev_no
      staging: unisys: findbus() doesn't need to be inline
      staging: unisys: Remove unused typedef SPARREPORTEVENT_COMPLETE_FUNC
      staging: unisys: finddevice() doesn't need to be inline
      staging: unisys: delbusdevices() doesn't need to be inline
      staging: unisys: Avoid some == 0 checks
      staging: unisys: No point in checking != 0
      staging: unisys: Remove write-only variable g_diag_msg_hdr
      staging: unisys: Remove write-only variable g_del_dump_msg_hdr
      staging: unisys: visorchannel: visorchannel_create_overlap() is never used
      staging: unisys: visorbus: visorchannel_create_guts() always has parent == NULL
      staging: unisys: memregion: Nothing uses overlap allocations, so nuke it
      staging: unisys: memregion: Use proper errno for mapit()
      staging: unisys: memregion: Eliminate unnecessary 'requested' flag
      staging: unisys: visorchipset: parser_init_guts(): Localize memregion usage
      staging: unisys: visorchipset: Use ioremap direction rather than heavy visor_memregion
      staging: unisys: visorchipset.c: No need to include memregion.h anymore
      staging: unisys: visorchannel_clear(): Avoid 64KB memory leak
      staging: unisys: visorchannel_clear(): No need to use vmalloc here
      staging: unisys: decouple visor_memregion_{read, write}()
      staging: unisys: memregion: move struct memregion to memregion.h
      staging: unisys: memregion: Embed memregion in struct channel
      staging: unisys: visorchannel_get_memregion() isn't used
      staging: unisys: Eliminate unused visorchannel_dump_section()
      staging: unisys: Eliminate visor_memregion_create()
      staging: unisys: memregion: Eliminate visor_memregion_destroy()
      staging: unisys: memregion: Eliminate visor_memregion_resize()
      staging: unisys: memregion: Eliminate visor_memregion_get_*() functions
      staging: unisys: memregion: {un, }mapit() are no longer used
      staging: unisys: visorchannel_write(): Use memcpy_toio() directly
      staging: unisys: visorchannel_read(): Use memcpy_fromio() directly
      staging: unisys: visorchannel_write(): Handle partial channel_header writes
      staging: unisys: visorchannel_create_guts(): Use visorchannel_read()
      staging: unisys: Eliminate visor_memregion_read()
      staging: unisys: Eliminate visor_memregion_write()
      staging: unisys: Finally remove the last remnants of memregion
      staging: unisys: visorchannel: Make visorchannel_create take a gfp_t
      staging: unisys: visorchipset: Declare parser_init_byte_stream() static
      staging: unisys: parser: Remove unused functions and mark others static
      staging: unisys: parser_init_guts(): standard_payload_header is always false
      staging: unisys: Remove wrapper around parser_init_guts()
      staging: unisys: visorchipset: Remove unused cache allocator
      staging: unisys: uislib.h: Remove unused cache allocation prototypes
      staging: unisys: visorchipset_file_{init, cleanup}(): mark static
      staging: unisys: Remove unused visorchipset_save_message()
      staging: unisys: visorchipset_init(): Simplify initial checks
      staging: unisys: Remove unused livedump_info
      staging: unisys: Include missing headers
      staging: unisys: Removed unused entries from struct visor_channeltype_descriptor
      staging: unisys: visorchannel_write() fix potential memory corruption

Jesper Dangaard Brouer (11):
      pktgen: adjust flag NO_TIMESTAMP to be more pktgen compliant
      pktgen: remove obsolete "max_before_softirq" from pktgen doc
      pktgen: adjust spacing in proc file interface output
      pktgen: doc were missing several config options
      pktgen: document ability to add same device to several threads
      pktgen: make /proc/net/pktgen/pgctrl report fail on invalid input
      pktgen: new pktgen helper functions for samples scripts
      pktgen: add sample script pktgen_sample01_simple.sh
      pktgen: add sample script pktgen_sample02_multiqueue.sh
      pktgen: add sample script pktgen_sample03_burst_single_flow.sh
      pktgen: add benchmark script pktgen_bench_xmit_mode_netif_receive.sh

Jesse Brandeburg (3):
      i40evf: skb->xmit_more support
      i40e/i40evf: force inline transmit functions
      i40e/i40evf: remove time_stamp member

Jiada Wang (1):
      serial: imx: protect Soft Reset of port with lock

Jiang Liu (82):
      x86/irq: Save destination CPU ID in irq_cfg
      x86/irq: Use hierarchical irqdomain to manage CPU interrupt vectors
      x86/hpet: Use new irqdomain interfaces to allocate/free IRQ
      x86/MSI: Use new irqdomain interfaces to allocate/free IRQ
      x86/uv: Use new irqdomain interfaces to allocate/free IRQ
      x86/htirq: Use new irqdomain interfaces to allocate/free IRQ
      x86/dmar: Use new irqdomain interfaces to allocate/free IRQ
      irq_remapping: Introduce new interfaces to support hierarchical irqdomains
      irq_remapping/vt-d: Change prototypes to prepare for hierarchical irqdomain
      irq_remapping/vt-d: Enhance Intel IR driver to support hierarchical irqdomains
      irq_remapping/amd: Enhance AMD IR driver to support hierarchical irqdomains
      x86/hpet: Enhance HPET IRQ to support hierarchical irqdomains
      x86/MSI: Use hierarchical irqdomains to manage MSI interrupts
      x86/irq: Directly call native_compose_msi_msg() for DMAR IRQ
      irq_remapping/vt-d: Clean up unused MSI related code
      irq_remapping/amd: Clean up unused MSI related code
      irq_remapping: Clean up unused MSI related code
      x86/MSI: Clean up unused MSI related code and interfaces
      iommu/vt-d: Refine the interfaces to create IRQ for DMAR unit
      x86/irq: Use hierarchical irqdomain to manage DMAR interrupts
      x86/htirq: Use hierarchical irqdomain to manage Hypertransport interrupts
      x86/uv: Use hierarchical irqdomain to manage UV interrupts
      x86/irq: Normalize x86 irq_chip name
      x86/MSI: Simplify the way to deal with remapped MSI interrupts
      x86/MSI: Replace msi_update_msg() with irq_chip_compose_msi_msg()
      x86/irq: Implement irq_chip.irq_write_msi_msg for MSI/DMAR/HPET irq_chips
      x86/irq: Simplify MSI/DMAR/HPET implementation by using common code
      irq_remapping: Remove unused function irq_remapping_print_chip()
      x86/intel-mid, trivial: Refine code syntax for sfi_parse_mtmr()
      x86/irq: Remove unused pre_init_apic_IRQ0()
      x86/irq: Prepare IOAPIC interfaces to support hierarchical irqdomains
      x86/irq: Implement callbacks to enable hierarchical irqdomains on IOAPICs
      x86/irq: Refine the way to allocate irq_cfg for legacy IRQs
      x86/irq: Simplify the way to print IOAPIC entry
      x86/irq: Introduce helper functions to support hierarchical irqdomains for IOAPIC
      x86/irq: Convert IOAPIC to use hierarchical irqdomain interfaces
      x86/irq: Remove unused old IOAPIC irqdomain interfaces
      x86/irq: Remove unused struct mp_pin_info
      x86/irq: Remove x86_io_apic_ops.print_entries and related interfaces
      x86/irq: Remove x86_io_apic_ops.setup_entry and related interfaces
      x86/irq: Remove x86_io_apic_ops.set_affinity and related interfaces
      x86/irq: Remove x86_io_apic_ops.eoi_ioapic_pin and related interfaces
      x86/irq: Remove GENERIC_IRQ_LEGACY_ALLOC_HWIRQ
      x86/irq: Clean up unused forward declarations in x86_init.h
      irq_remapping: Clean up unsued code to support IOAPIC
      irq_remapping/vt-d: Clean up unsued code
      irq_remapping/amd: Clean up unsued code
      irq_remapping: Clean up unused interfaces
      x86/irq: Remove irq_cfg.irq_remapped
      irq_remapping/vt-d: Move struct irq_2_iommu into intel_irq_remapping.c
      irq_remapping/amd: Move struct irq_2_irte into amd_iommu.c
      x86/irq: Move irq_cfg.irq_2_pin into io_apic.c
      x86/irq: Remove struct io_apic_irq_attr
      x86/irq: Remove x86_io_apic_ops.write and x86_io_apic_ops.modify
      x86/irq: Clean up io_apic.h
      x86/irq: Use cached IOAPIC entry instead of reading from hardware
      x86/irq: Remove unused alloc_irq_and_cfg_at()
      x86/irq: Make functions only used in vector.c static
      x86/irq: Remove function apic_set_affinity()
      x86/irq: Move check of cfg->move_in_progress into send_cleanup_vector()
      x86/irq: Move private data in struct irq_cfg into dedicated data structure
      x86/irq: Refine the way to calculate NR_IRQS
      x86/irq, ACPI: Remove private function mp_register_gsi()/ mp_unregister_gsi()
      x86/irq: Move irqdomain specific code into asm/irqdomain.h
      x86/irq: Avoid memory allocation in __assign_irq_vector()
      x86, irq: Allocate CPU vectors from device local CPUs if possible
      genirq: Introduce irq_set_vcpu_affinity() to target an interrupt to a VCPU
      powerpc: Use irq_desc_get_xxx() to avoid redundant lookup of irq_desc
      virtio_pci: Clear stale cpumask when setting irq affinity
      genirq: Enhance irq_data_to_desc() to support hierarchy irqdomain
      genirq: Prevent crash in irq_move_irq()
      genirq: Introduce struct irq_common_data to host shared irq data
      genirq: Introduce helper function irq_data_get_node()
      genirq: Introduce helper function irq_data_get_affinity_mask()
      genirq: Enhance irq_data_to_desc() to support hierarchy irqdomain
      genirq: Prevent crash in irq_move_irq()
      x86/hpet: Check for irq==0 when allocating hpet MSI interrupts
      MIPS, IRQ: Use irq_desc_get_xxx() to avoid redundant lookup of irq_desc
      mn10300: Fix incorrect use of irq_data->affinity
      genirq: Clean up outdated comments related to include/linux/irqdesc.h
      genirq: Remove irq_node()
      genirq: Introduce helper irq_desc_get_irq()

Jie Yang (17):
      ALSA: jack: implement kctl creating for jack devices
      ALSA: Jack: handle jack embedded kcontrol creating within ctljack
      ALSA: jack: extend snd_jack_new to support phantom jack
      ALSA: hda - Update to use the new jack kctls method
      ASoC: jack: create kctls according to jack pins info
      ALSA: jack: remove exporting ctljack functions
      ALSA: Docs: Add documentation for Jack kcontrols
      ALSA: jack: don't report input event for phantom jack
      ALSA: jack: fix a randconfig build issue
      ALSA: Kconfig: add config item SND_PROC_FS for expert
      ALSA: replace CONFIG_PROC_FS with CONFIG_SND_PROC_FS
      ASoC: Intel: remove unused function hsw_pcm_free_modules()
      ASoC: Intel: don't need compress offload for broadwell
      ASoC: intel: Revert "ASoC: Intel: remove unused function hsw_pcm_free_modules()"
      ASoC: intel: Revert "ASoC: Intel: fix broadwell module removing failed issue"
      ASoC: Intel: check and clear runtime module pointer
      ASoC: Intel: handle haswell pcm suspend including runtime modules freeing

Jim Bride (1):
      drm/i915/hsw: Fix workaround for server AUX channel clock divisor

Jim Cromie (1):
      pcmcia: replace open-coded ARRAY_SIZE with macro

Jim Davis (1):
      MAINTAINERS: alsa-devel@alsa-project.org is moderated for non-subscribers

Jimmy Devine (1):
      staging: rtl8188eu: remove space before open square bracket '['

Jin Qian (2):
      PM / wakeup: validate wakeup source before activating it.
      PM / wakeup: add a dummy wakeup_source to record statistics

Jin Yao (1):
      ASoC: Intel: Remove invalid kfree of devm allocated data

Jingoo Han (2):
      of/address: use atomic allocation in pci_register_io_range()
      rtc: rtc-v3020: use gpio_request_array()

Jingwu Lin (1):
      usb: dwc2: host: implement test mode

Jiri Benc (1):
      openvswitch: disable LRO

Jiri Kosina (8):
      GHES: Make NMI handler have a single reader
      x86: introduce kaslr_offset()
      livepatch: x86: make kASLR logic more accurate
      x86: kaslr: fix build due to missing ALIGN definition
      Merge branches 'for-4.1/upstream-fixes', 'for-4.2/upstream' and 'for-4.2/logitech' into for-linus
      Merge branches 'for-4.2/i2c-hid', 'for-4.2/lenovo', 'for-4.2/plantronics', 'for-4.2/rmi', 'for-4.2/sensor-hub', 'for-4.2/sjoy', 'for-4.2/sony' and 'for-4.2/wacom' into for-linus
      Merge branches 'for-4.1/upstream-fixes', 'for-4.2/kaslr' and 'for-4.2/upstream' into for-linus
      thp: cleanup how khugepaged enters freezer

Jiri Olsa (70):
      tools lib traceevent: Add alias field to struct format_field
      tools build: No need to make libapi for perf explicitly
      tools build: Fix Makefile(s) to properly invoke tools build
      perf tests: Add build tests for building perf from kernel source root and tools
      perf data: Enable stream flush within processing
      perf data: Add support for setting ordered_events queue size
      perf tools: Add parse_events_error interface
      perf tools: Add flex support for parse_events_error
      perf tools: Always bail out when config_attr function fails
      perf tools: Change parse_events_add_pmu interface
      perf tools: Add location to pmu event terms
      perf tools: Add term support for parse_events_error
      perf tools: Add static terms support for parse_events_error
      perf tools: Add tracepoint support for parse_events_error
      perf tools: Add symbolic events support for parse_events_error
      perf stat: Change metrics context calculation
      perf stat: Add metrics support for exclude_hv
      perf stat: Add metrics support for exclude_(host|guest)
      perf stat: Add metrics support for exclude_idle
      perf tools: Make flex/bison calls honour V=1
      perf tools: Fix dwarf-aux.c compilation on i386
      perf tools: Fix "Command" sort_entry's cmp and collapse function
      perf tools: Add hint for 'Too many events are opened.' error message
      perf build: Do not fail on missing Build file
      perf stat: Add id into perf_stat struct
      perf stat: Replace transaction event possition check with id check
      perf stat: Remove setup_events function
      perf stat: Remove transaction_run from shadow update/print code
      perf stat: Introduce reset_shadow_stats function
      perf stat: Introduce print_shadow_stats function
      perf stat: Add output file argument to print_shadow_stats function
      perf stat: Add aggr_mode argument to print_shadow_stats function
      perf stat: Move shadow stat counters into separate object
      perf tools: Introduce xyarray__reset function
      perf tools: Add thread_map__(alloc|realloc) helpers
      perf tools: Move perf_evsel__(alloc|free|reset)_counts into stat object
      perf stat: Introduce perf_counts__(new|delete|reset) functions
      perf tests: Add testing for Makefile.perf
      perf tests: Add test for make install with prefix
      perf build: Fix single target build dependency check
      perf thread_map: Don't access the array entries directly
      perf thread_map: Change map entries into a struct
      perf tools: Add reference counting for cpu_map object
      perf tools: Add reference counting for thread_map object
      perf evlist: Propagate cpu maps to evsels in an evlist
      perf evlist: Propagate thread maps through the evlist
      perf tools: Make perf_evsel__(nr_)cpus generic
      perf thread_map: Introduce thread_map__reset function
      perf thrad_map: Add comm string into array
      perf tests: Add thread_map object tests
      perf stat: Introduce perf_counts function
      perf stat: Use xyarray for cpu evsel counts
      perf stat: Make stats work over the thread dimension
      perf stat: Rename struct perf_counts::cpu member to values
      perf stat: Introduce perf_evlist__reset_stats
      perf stat: Move perf_evsel__(alloc|free|reset)_stat_priv into stat object
      perf stat: Move perf_evsel__(alloc|free)_prev_raw_counts into stat object
      perf stat: Move perf_evlist__(alloc|free|reset)_stats into stat object
      perf stat: Introduce perf_evsel__alloc_stats function
      perf stat: Introduce perf_evsel__read function
      perf stat: Introduce read_counters function
      perf stat: Separate counters reading and processing
      perf stat: Move zero_per_pkg into counter process code
      perf stat: Move perf_stat initialization counter process code
      perf stat: Remove perf_evsel__read_cb function
      perf stat: Rename print_interval to process_interval
      perf stat: Using init_stats instead of memset
      perf stat: Introduce print_counters function
      perf stat: Introduce --per-thread option
      perf tools: Add missing break for PERF_RECORD_ITRACE_START

Jiri Pirko (19):
      switchdev: s/netdev_switch_/switchdev_/ and s/NETDEV_SWITCH_/SWITCHDEV_/
      switchdev: s/swdev_/switchdev_/
      net: change name of flow_dissector header to match the .c file name
      flow_dissector: remove unused function flow_get_hlen declaration
      net: move *skb_get_poff declarations into correct header
      flow_dissector: fix doc for __skb_get_hash and remove couple of empty lines
      net: move __skb_get_hash function declaration to flow_dissector.h
      net: move __skb_tx_hash to dev.c
      net: move netdev_pick_tx and dependencies to net/core/dev.c
      flow_dissector: fix doc for skb_get_poff
      flow_dissector: introduce programable flow_dissector
      flow_dissect: use programable dissector in skb_flow_dissect and friends
      flow_dissector: add missing header includes
      flow_dissector: introduce support for ipv6 addressses
      flow_dissector: introduce support for Ethernet addresses
      flow_dissector: change port array into src, dst tuple
      tc: introduce Flower classifier
      flow_dissector: remove bogus return in tipc section
      flow_dissector: do not break if ports are not needed in flowlabel

Jiri Slaby (5):
      HID: prodikeys: remove unused variable
      livepatch: introduce patch/func-walking helpers
      tty: move linux/gsmmux.h to uapi
      bna: remove obsolete use of EXTRA_CFLAGS
      mmc: sdhci: fix low memory corruption

Jisheng Zhang (5):
      PCI: designware: Consolidate outbound iATU programming functions
      PCI: designware: Use iATU0 for cfg and IO, iATU1 for MEM
      i2c: designware: Avoid unnecessary resuming during system suspend
      mmc: sdhci-pxav3: fix device wakeup initialization
      arm64: cpuidle: add __init section marker to arm_cpuidle_init

Joachim Eastwood (38):
      gpio: add lpc18xx gpio driver
      gpio: add DT bindings for lpc1850-gpio driver
      pinctrl: add lpc18xx pinctrl driver
      pinctrl: add DT bindings for lpc1850-scu pinctrl driver
      pinctrl: lpc18xx: create pin cap lookup helper
      stmmac: add dwmac glue for NXP 18xx/43xx family
      doc: dt: add documentation for nxp,lpc1850-dwmac
      stmmac: prepare stmmac platform to support stand alone drivers
      stmmac: add a generic dwmac driver
      stmmac: convert dwmac-lpc18xx to a platform driver
      stmmac: convert dwmac-meson to platform driver
      stmmac: convert dwmac-rk to platform driver
      stmmac: convert dwmac-socfpga to platform driver
      stmmac: convert dwmac-sti to platform driver
      stmmac: convert dwmac-sunxi to platform driver
      stmmac: drop driver from stmmac platform code
      ARM: lpc18xx: add basic support for NXP LPC18xx/43xx SoCs
      ARM: lpc18xx: add kernel config
      ARM: dts: Add base DT for NXP LPC18xx
      ARM: dts: Add DT for Embedded Artists LPC4357 Developers Kit
      pinctrl: lpc18xx: add the missing group function map
      MAINTAINERS: Add entry for NXP LPC18xx/43xx MCUs
      ARM: lpc18xx: define low-level debug symbol for LPC18xx/43xx
      stmmac: move driver data setting into stmmac_dvr_probe
      stmmac: let stmmac_dvr_probe take a struct of resources
      stmmac: change the stmmac_dvr_probe return type to int
      stmmac: drop unnecessary dt checks in stmmac_probe_config_dt
      USB: ehci-platform: support EHCIs with transaction translator
      of: add helper function to retrive match data
      ARM: lpc18xx: remove DEBUG_LL_UART_8250 from defconfig
      serial: 8250: add LPC18xx/43xx UART driver
      doc: dt: add documentation for nxp,lpc1850-uart
      clocksource/drivers/lpc32xx: Add the lpc32xx timer driver
      doc: dt: Add documentation for lpc3220-timer
      clk: add lpc18xx cgu clk driver
      doc: dt: add documentation for lpc1850-cgu clk driver
      clk: add lpc18xx ccu clk driver
      doc: dt: add documentation for lpc1850-ccu clk driver

Joakim Nordell (1):
      serial: core: cleanup in uart_get_baud_rate()

Joakim Tjernlund (1):
      mmc: sdhci-of-esdhc: Pre divider starts at 1

Joe Handzik (3):
      hpsa: use ioaccel2 path to submit IOs to physical drives in HBA mode.
      hpsa: Get queue depth from identify physical bmic for physical disks.
      hpsa: add more ioaccel2 error handling, including underrun statuses.

Joe Konno (1):
      intel_pstate: set BYT MSR with wrmsrl_on_cpu()

Joe Perches (46):
      timer_list: Reduce SEQ_printf footprint
      serial: kgdb_nmi: Use bool function return values of true/false not 1/0
      usb: gadget: s3c2410_udc: Remove static char buffer, use vsprintf extension %pV
      usb: storage: scsiglue: Remove SPRINTF macro use
      netfilter: Use correct return for seq_show functions
      regulator: max77686: fix gpio_enabled shift wrapping bug
      spider_net: Use DECLARE_BITMAP
      qlge: Move jiffies_to_usecs immediately before loop
      goldfish_pipe: Fix unlikely() misuse
      dmaengine: rcar-dmac: Use DECLARE_BITMAP
      neterion: s2io: Fix kernel doc formatting
      rcu: tree_plugin: Use bool function return values of true/false not 1/0
      tps6507x.txt: Remove executable permission
      pcmcia: Convert dev_printk to dev_<level>
      ARM: mach-imx: iomux-imx31: Use DECLARE_BITMAP
      NFC: nci: hci: Fix releasing uninitialized skbs
      clocksource: Use current logging style
      checkpatch: fix "GLOBAL_INITIALISERS" test
      s390/sclp: Use DECLARE_BITMAP
      m68k: Use vsprintf %pM extension
      ocfs2: reduce object size of mlog uses
      compiler-gcc.h: neatening
      compiler-gcc: integrate the various compiler-gcc[345].h files
      stddef.h: move offsetofend inside #ifndef/#endif guard, neaten
      get_maintainer.pl: add .get_maintainer.ignore file capability
      get_maintainer: emit longer section headers
      get_maintainer: fix perl 5.22/5.24 deprecated/incompatible "\C" use
      MAINTAINERS: add quotation marks around names with periods
      MAINTAINERS: Add quotation marks around names with commas
      checkpatch: check for uncommented waitqueue_active()
      checkpatch: add --strict warning for c99 fixed size typedefs : int<size>_t
      checkpatch: use $String consistently
      checkpatch: categorize some long line length checks
      checkpatch: improve output with multiple command-line files
      checkpatch: colorize output to terminal
      checkpatch: add --showfile to allow input via pipe to show filenames
      checkpatch: avoid NOT_UNIFIED_DIFF errors on cover-letter.patch files
      checkpatch: emit an error when there's a diff in a changelog
      checkpatch: emit "NOTE: <types>" message only once after multiple files
      MAINTAINERS: update sound soc intel patterns
      MAINTAINERS: update brcm dts pattern
      MAINTAINERS: update brcm gpio filename pattern
      MAINTAINERS: remove unused nbd.h pattern
      MAINTAINERS: move Jens Osterkamp to CREDITS
      MAINTAINERS: BCACHE: Kent Overstreet has changed email address
      hpfs: hpfs_error: Remove static buffer, use vsprintf extension %pV instead

Joe Thornber (18):
      dm cache: fix race when issuing a POLICY_REPLACE operation
      dm cache: add io_tracker
      dm cache: track IO to the origin device using io_tracker
      dm cache: pass a new 'critical' flag to the policies when requesting writeback work
      dm cache: pull out some bitset utility functions for reuse
      dm bio prison: add dm_cell_promote_or_release()
      dm cache: defer whole cells
      dm cache: boost promotion of blocks that will be overwritten
      dm cache: add stochastic-multi-queue (smq) policy
      dm cache: wake the worker thread every time we free a migration object
      dm cache: add fail io mode and needs_check flag
      dm cache: age and write back cache entries even without active IO
      dm btree: add dm_btree_remove_leaves()
      dm thin metadata: add dm_thin_find_mapped_range()
      dm thin metadata: add dm_thin_remove_range()
      dm thin: range discard support
      dm thin metadata: fix a race when entering fail mode
      dm space map metadata: fix occasional leak of a metadata block on resize

Joel Porquet (1):
      irqchip: Move IRQCHIP_DECLARE macro to include/linux/irqchip.h

Joel Stanley (1):
      powerpc/powernv: Silence SYSPARAM warning on boot

Joerg Roedel (52):
      iommu/amd: Handle integer overflow in dma_ops_area_alloc
      Revert "iommu/amd: Don't allocate with __GFP_ZERO in alloc_coherent"
      iommu: Remove function name from pr_fmt()
      iommu: Add a few printk messages to group handling code
      iommu: Propagate error in add_iommu_group
      iommu: Clean up after a failed bus initialization
      iommu: Call remove_device call-back after driver release
      iommu: Allocate a default domain for iommu groups
      iommu: Limit iommu_attach/detach_device to devices with their own group
      iommu: Make sure a device is always attached to a domain
      iommu: Add iommu_get_domain_for_dev function
      iommu: Introduce direct mapped region handling
      iommu: Create direct mappings in default domains
      iommu: Add function to query the default domain of a group
      swiotlb: Warn on allocation failure in swiotlb_alloc_coherent()
      x86/swiotlb: Try coherent allocations with __GFP_NOWARN
      x86/crash: Allocate enough low memory when crashkernel=high
      iommu: Introduce iommu_request_dm_for_dev()
      iommu/amd: Implement dm_region call-backs
      iommu/amd: Use default domain if available for DMA-API
      iommu/amd: Implement add_device and remove_device
      iommu/amd: Support IOMMU_DOMAIN_DMA type allocation
      iommu/amd: Support IOMMU_DOMAIN_IDENTITY type allocation
      iommu/amd: Put IOMMUv2 devices in a direct mapped domain
      iommu/amd: Get rid of device_dma_ops_init()
      iommu/amd: Remove unused fields from struct dma_ops_domain
      iommu/amd: Propagate errors from amd_iommu_init_api
      iommu/amd: Handle errors returned from iommu_init_device
      iommu/vt-d: Cleanup log messages
      iommu/vt-d: Init QI before root entry is allocated
      iommu/vt-d: Make root entry visible for hardware right after allocation
      iommu/vt-d: Detect pre enabled translation
      iommu/vt-d: Copy translation tables from old kernel
      iommu/vt-d: Do not re-use domain-ids from the old kernel
      iommu/vt-d: Mark copied context entries
      iommu/vt-d: Allocate si_domain in init_dmars()
      iommu/vt-d: Don't do early domain assignment if kdump kernel
      iommu/vt-d: Don't copy translation tables if RTT bit needs to be changed
      iommu/vt-d: Don't disable translation prior to OS handover
      iommu/vt-d: Enable Translation only if it was previously disabled
      iommu/vt-d: Move EIM detection to intel_prepare_irq_remapping
      iommu/vt-d: Move QI initializationt to intel_setup_irq_remapping
      iommu/vt-d: Disable IRQ remapping in intel_prepare_irq_remapping
      iommu/vt-d: Set IRTA in intel_setup_irq_remapping
      iommu/vt-d: Copy IR table from old kernel when in kdump mode
      iommu/vt-d: Make sure copied over IR entries are not reused
      iommu/vt-d: Don't disable IR when it was previously enabled
      kvm: irqchip: Break up high order allocations of kvm_irq_routing_table
      iommu/amd: Handle large pages correctly in free_pagetable
      Merge branches 'arm/rockchip', 'arm/exynos', 'arm/smmu', 'x86/vt-d', 'x86/amd', 'default-domains' and 'core' into next
      iommu: Ignore -ENODEV errors from add_device call-back
      iommu/amd: Introduce protection_domain_init() function

Joglekar Tejas (3):
      Staging: comedi: ni_at_a2150: remove extra spaces before tab
      staging:rtl8723au:ioctl_cfg80211:spaces required around '>'
      staging:rtl8723au:rtw_ap:space prohibited between function name & '('

Johan Hedberg (11):
      Bluetooth: Add debug logs for legacy SMP crypto functions
      Bluetooth: Fix encryption key size handling for LTKs
      Bluetooth: Fix exposing full value of shortened LTKs
      Bluetooth: Add debugfs support for max LE encryption key size
      Bluetooth: Add debugfs support for min LE encryption key size
      Bluetooth: Move SC-only check outside of BT_CONFIG branch
      Bluetooth: Read encryption key size for BR/EDR connections
      Bluetooth: Use actual encryption key size for SMP over BR/EDR
      Bluetooth: Remove redundant check for ACL_LINK
      Bluetooth: Use zalloc when possible
      Bluetooth: Fix warning of potentially uninitialized adv_instance variable

Johan Hovold (30):
      usb: musb: fix inefficient copy of unaligned buffers
      staging: octeon-usb: fix unaligned isochronous transfers
      USB: musb: fix inefficient copy of unaligned buffers
      USB: ehci-tegra: fix inefficient copy of unaligned buffers
      gpio: clean up gpiochip_remove
      gpio: sysfs: fix redundant lock-as-irq handling
      gpio: sysfs: preparatory clean ups
      gpio: sysfs: reduce gpiochip-export locking scope
      gpio: sysfs: clean up chip class-device handling
      gpio: sysfs: rename gpiochip registration functions
      gpio: remove gpiod_sysfs_set_active_low
      gpio: sysfs: use DEVICE_ATTR macros
      gpio: sysfs: release irq after class-device deregistration
      gpio: sysfs: remove redundant export tests
      gpio: sysfs: add gpiod class-device data
      gpio: sysfs: remove redundant gpio-descriptor parameters
      gpio: sysfs: clean up interrupt-interface implementation
      gpio: sysfs: only call irq helper if needed
      gpio: sysfs: split irq allocation and deallocation
      gpio: sysfs: clean up edge_store
      gpio: sysfs: clean up gpiod_export_link locking
      gpio: sysfs: use per-gpio locking
      gpio: sysfs: fix race between gpiod export and unexport
      gpio: sysfs: rename active-low helper
      gpio: sysfs: remove FLAG_SYSFS_DIR
      gpio: sysfs: move irq trigger flags to class-device data
      gpio: dln2: fix build breakage
      USB: cdc-acm: use idr to manage minor numbers
      USB: cdc-acm: add support for up to 256 devices
      Revert "ARM: dts: am335x-boneblack: disable RTC-only sleep"

Johan Rastén (1):
      ALSA: usb-audio: Set correct type for some UAC2 mixer controls.

Johannes Berg (54):
      mac80211: lock rate control
      mac80211: add TX fastpath
      mac80211_hwsim: enable IEEE80211_HW_SUPPORT_FAST_XMIT
      mac80211: extend fast-xmit to driver fragmentation
      mac80211: extend fast-xmit for more ciphers
      mac80211: extend fast-xmit to cover IBSS
      wlcore: enable IEEE80211_HW_SUPPORT_FAST_XMIT
      ath10k: enable IEEE80211_HW_SUPPORT_FAST_XMIT
      mac80211: allow checksum offload only in fast-xmit
      mac80211: allow drivers to support S/G
      mac80211: allow segmentation offloads
      mac80211: make station hash table max_size configurable
      mac80211: remove support for IFF_PROMISC
      mac80211: remove IEEE80211_RX_RA_MATCH
      mac80211: clean up/rename prepare_for_handlers()
      mac80211: OCB: remove pointless check for broadcast BSSID
      mac80211: don't update dev->trans_start
      mac80211: use per-CPU TX/RX statistics
      iwlwifi: mvm: don't reset key index on HW restart
      mac80211: clean up global debugfs statistics
      mac80211: move dot11 counters under MAC80211_DEBUG_COUNTERS
      mac80211: remove sta->tx_fragments counter
      mac80211: clean up station debugfs
      mac80211: make LED trigger names const
      mac80211: make LED triggering depend on activation
      mac80211: remove useless skb->encapsulation check
      mac80211: extend get_tkip_seq to all keys
      mac80211: extend get_key() to return PN for all ciphers
      mac80211: validate cipher scheme PN length better
      mac80211: add missing documentation for rate_ctrl_lock
      mac80211: check fast-xmit if IBSS STA QoS changed
      mac80211: fix throughput LED trigger
      mac80211: fix memory leak
      mac80211: add missing drv_priv description for TXQ struct
      cfg80211: properly send NL80211_ATTR_DISCONNECTED_BY_AP in disconnect
      iwlwifi: refactor common transport alloc/init code
      iwlwifi: mvm: advertise randomised netdetect MAC address
      iwlwifi: mvm: handle device start failure correctly
      mac80211: act upon and report deauth while associating
      mac80211: move TX PN to public part of key struct
      mac80211: copy nl80211 mgmt TX SKB for status
      mac80211: stop using pointers as userspace cookies
      mac80211: remove short slot/short preamble incapable flags
      mac80211: rename single hw-scan flag to follow naming convention
      iwlwifi: mvm: enable IEEE80211_HW_SUPPORT_FAST_XMIT
      iwlwifi: dvm: enable IEEE80211_HW_SUPPORT_FAST_XMIT
      iwlwifi: mvm: simplify iwl_mvm_stop_roc()
      iwlwifi: prepare for higher API/CAPA bits
      iwlwifi: mvm: advertise only HW-supported ciphers
      mac80211: handle aggregation session timeout on fast-xmit path
      cfg80211: wext: clear sinfo struct before calling driver
      Merge remote-tracking branch 'net-next/master' into mac80211-next
      mac80211: convert HW flags to unsigned long bitmap
      mac80211: fix locking in update_vlan_tailroom_need_count()

Johannes Thumshirn (3):
      MAINTAINERS: Change Johannes Thumshirn's email address
      advansys: fix compilation errors and warnings when CONFIG_PCI is not set
      watchdog: mena21_wdt: Fix possible NULL pointer dereference

Johannes Weiner (8):
      mm: memcontrol: fix false-positive VM_BUG_ON() on -rt
      mm: oom_kill: remove unnecessary locking in oom_enable()
      mm: oom_kill: clean up victim marking and exiting interfaces
      mm: oom_kill: switch test-and-clear of known TIF_MEMDIE to clear
      mm: oom_kill: generalize OOM progress waitqueue
      mm: oom_kill: remove unnecessary locking in exit_oom_victim()
      mm: oom_kill: simplify OOM killer locking
      mm: page_alloc: inline should_alloc_retry()

John D. Blair (1):
      USB: cp210x: add ID for HubZ dual ZigBee and Z-Wave dongle

John David Anglin (1):
      parisc: Fix some PTE/TLB race conditions and optimize __flush_tlb_range based on timing results

John Fastabend (2):
      ethtool: Add helper routines to pass vf to rx_flow_spec
      ixgbe: Allow flow director to use entire queue space

John Harrison (54):
      drm/i915: Reserve ring buffer space for i915_add_request() commands
      drm/i915: i915_add_request must not fail
      drm/i915: Early alloc request in execbuff
      drm/i915: Set context in request from creation even in legacy mode
      drm/i915: Merged the many do_execbuf() parameters into a structure
      drm/i915: Simplify i915_gem_execbuffer_retire_commands() parameters
      drm/i915: Update alloc_request to return the allocated request
      drm/i915: Add request to execbuf params and add explicit cleanup
      drm/i915: Update the dispatch tracepoint to use params->request
      drm/i915: Update move_to_gpu() to take a request structure
      drm/i915: Update execbuffer_move_to_active() to take a request structure
      drm/i915: Add flag to i915_add_request() to skip the cache flush
      drm/i915: Update i915_gpu_idle() to manage its own request
      drm/i915: Split i915_ppgtt_init_hw() in half - generic and per ring
      drm/i915: Moved the for_each_ring loop outside of i915_gem_context_enable()
      drm/i915: Don't tag kernel batches as user batches
      drm/i915: Add explicit request management to i915_gem_init_hw()
      drm/i915: Update ppgtt_init_ring() & context_enable() to take requests
      drm/i915: Update i915_switch_context() to take a request structure
      drm/i915: Update do_switch() to take a request structure
      drm/i915: Update deferred context creation to do explicit request management
      drm/i915: Update init_context() to take a request structure
      drm/i915: Update render_state_init() to take a request structure
      drm/i915: Update i915_gem_object_sync() to take a request structure
      drm/i915: Update overlay code to do explicit request management
      drm/i915: Update queue_flip() to take a request structure
      drm/i915: Update add_request() to take a request structure
      drm/i915: Update [vma|object]_move_to_active() to take request structures
      drm/i915: Update l3_remap to take a request structure
      drm/i915: Update mi_set_context() to take a request structure
      drm/i915: Update a bunch of execbuffer helpers to take request structures
      drm/i915: Update workarounds_emit() to take request structures
      drm/i915: Update flush_all_caches() to take request structures
      drm/i915: Update switch_mm() to take a request structure
      drm/i915: Update ring->flush() to take a requests structure
      drm/i915: Update some flush helpers to take request structures
      drm/i915: Update ring->emit_flush() to take a request structure
      drm/i915: Update ring->add_request() to take a request structure
      drm/i915: Update ring->emit_request() to take a request structure
      drm/i915: Update ring->dispatch_execbuffer() to take a request structure
      drm/i915: Update ring->emit_bb_start() to take a request structure
      drm/i915: Update ring->sync_to() to take a request structure
      drm/i915: Update ring->signal() to take a request structure
      drm/i915: Update cacheline_align() to take a request structure
      drm/i915: Update intel_ring_begin() to take a request structure
      drm/i915: Update intel_logical_ring_begin() to take a request structure
      drm/i915: Add *_ring_begin() to request allocation
      drm/i915: Remove the now obsolete intel_ring_get_request()
      drm/i915: Remove the now obsolete 'outstanding_lazy_request'
      drm/i915: Move the request/file and request/pid association to creation time
      drm/i915: Remove 'faked' request from LRC submission
      drm/i915: Update a bunch of LRC functions to take requests
      drm/i915: Remove the now obsolete 'i915_gem_check_olr()'
      drm/i915: Reserve space improvements

John L. Hammond (4):
      staging:lustre: assume a kernel build
      staging:lustre: remove lnet/include/lnet/linux/
      staging:lustre: rename socklnd_lib-linux.c
      staging:lustre: merge socklnd_lib-linux.h into socklnd.h

John Lin (5):
      ASoC: rt5645: improve headphone depop function
      ASoC: rt5645: remove unnecessary power in JD function
      ASoC: rt5645: fix IRQ error in jack detection
      ASoC: rt5645: fix jack type detect error
      ASoC: rt5645: fix kernel hang when call rt5645_set_jack_detect()

John Ogness (3):
      ARM: common: edma: clear completion interrupts on stop
      dmaenegine: edma: allow pause/resume for non-cyclic mode
      tty: serial: 8250: omap: synchronize rx_running

John Soni Jose (6):
      be2iscsi : Fix the retry count for boot targets
      be2iscsi : Fix the PCI request region reserving.
      be2iscsi : Fix memory leak in the unload path
      be2iscsi : Fix memory check before unmapping.
      be2iscsi : Logout of FW Boot Session
      be2iscsi : Bump the driver version

John Stultz (11):
      time: Rework debugging variables so they aren't global
      kselftests: timers: Increase delay between suspends in alarmtimer-suspend
      kselftests: timers: Ease alarmtimer-suspend unreasonable latency value
      kselftests: timers: Check _ALARM clockids are supported before suspending
      time: Move clock_was_set_seq update before updating shadow-timekeeper
      ntp: Introduce and use SECS_PER_DAY macro instead of 86400
      time: Prevent early expiry of hrtimers[CLOCK_REALTIME] at the leap second edge
      ntp: Do leapsecond adjustment in adjtimex read path
      selftests: timers: Add leap-second timer edge testing to leap-a-day.c
      timekeeping: Copy the shadow-timekeeper over the real timekeeper last
      selftest: Timers: Avoid signal deadlock in leap-a-day

John W. Linville (7):
      geneve: remove MODULE_ALIAS_RTNL_LINK from net/ipv4/geneve.c
      geneve: move definition of geneve_hdr() to geneve.h
      geneve: Rename support library as geneve_core
      geneve_core: identify as driver library in modules description
      geneve: add initial netdev driver for GENEVE tunnels
      geneve: allow user to specify TTL for tunnel frames
      geneve: allow user to specify TOS info for tunnel frames

Johnny Kim (4):
      staging: wilc1000: Add SDIO/SPI 802.11 driver
      staging: MAINTAINERS: add maintainer for wilc1000 device
      staging: wilc1000: modify printk format
      staging: wilc1000: remove uninitialized warnings

Jon Cooper (3):
      sfc: Add use of shared RSS contexts.
      sfc: Initialise MCDI buffers to 0 on declaration.
      sfc: Change entity reset on MC reboot to a new datapath-only reset.

Jon Derrick (2):
      NVMe: Memory barrier before queue_count is incremented
      NVMe: Fix irq freeing when queue_request_irq fails

Jon Hunter (9):
      serial: tegra: Correct delay after TX flush
      serial: tegra: Add delay after enabling FIFO mode
      serial: tegra: Use unsigned types for RX and TX byte counts
      serial: tegra: Fix cookie used by TX channel
      serial: tegra: Correct shutdown of UARTs
      serial: tegra: Correct error handling on DMA setup
      serial: tegra: Fix memory leak on DMA setup failure
      pinctrl: tegra-xusb: Remove unused structure
      pinctrl: tegra-xusb: Fix allocation of pins

Jon Mason (1):
      ntb: iounmap MW reg and vbase in error path

Jon Paul Maloy (10):
      tipc: simplify resetting and disabling of bearers
      tipc: simplify link timer handling
      tipc: simplify include dependencies
      tipc: simplify packet sequence number handling
      tipc: rename fields in struct tipc_link
      tipc: simplify link supervision checkpointing
      tipc: improve link congestion algorithm
      tipc: add packet sequence number at instant of transmission
      tipc: fix bug in link protocol message create function
      tipc: purge backlog queue counters when broadcast link is reset

Jonas Gorski (5):
      MIPS: ralink: Fix clearing the illegal access interrupt
      MIPS: Add support for vmlinux.bin appended dtb
      MIPS: Add support for vmlinuz.bin appended dtb
      MIPS: BMIPS: Build all dtbs if no builtin dtb
      MIPS: BMIPS: Accept UHI interface for passing a dtb

Jonathan Cameron (4):
      iio:light:ltr501 bug in parameter sanity check.
      staging:iio:light: Add some missing brackets to make sure code works as intended.
      iio:prox:sx9500 trivial simplification of return path in init function.
      iio:temp:mlx90614 trivial drop of unnecessary ret return from write_raw.

Jonathan Corbet (4):
      mac80211: Fix mac80211.h docbook comments
      mac80211: Fix mac80211.h docbook comments
      Merge branch 'core/documentation' of git://git.kernel.org/.../tip/tip into docs-next
      Merge branch 'doc/4.2' into docs-next

Jonghwa Lee (1):
      clk: exynos5433: Add CLK_IGNORE_UNUSED flag to clocks for SMC

Joonwoo Park (1):
      timer: Use timer->base for flag checks

Joonyoung Shim (1):
      clk: exynos5433: Add clock flag to support the DVFS of GPU

Josef Bacik (5):
      perf sched: Add option to merge like comms to lat output
      Btrfs: set UNWRITTEN for prealloc'ed extents in fiemap
      Btrfs: use received_uuid of parent during send
      ext4: only call ext4_truncate when size <= isize
      tmpfs: truncate prealloc blocks past i_size

Joseph Qi (6):
      jbd2: fix ocfs2 corrupt when updating journal superblock fails
      ocfs2: fix a tiny race when truncate dio orohaned entry
      ocfs2/dlm: cleanup unused function __dlm_wait_on_lockres_flags_set
      ocfs2: do not BUG if jbd2_journal_dirty_metadata fails
      ocfs2: fix wrong check in ocfs2_direct_IO_get_blocks
      ocfs2: mark local functions as static

Josh Cartwright (2):
      ARM: zynq: Use restart_handler mechanism for slcr reset
      ARM: zynq: Drop use of slcr_unlock in zynq_slcr_system_restart

Josh Hunt (1):
      ipv6: Fix protocol resubmission

Josh Stone (1):
      arm64: fix missing syscall trace exit

Josh Triplett (3):
      clone: support passing tls argument via C rather than pt_regs magic
      devpts: if initialization failed, don't crash when opening /dev/ptmx
      x86: opt into HAVE_COPY_THREAD_TLS, for both 32-bit and 64-bit

Josh Wu (2):
      ARM: at91/dt: sama5d4: add usart0, usart1 dt nodes
      ARM: at91/dt: sama5: reduce the NFC command register map

Joshua Kinard (4):
      MIPS: c-r4k: Fix typo in probe_scache()
      MIPS: IP27: Update/restructure CPU overrides
      MIPS: R12000: Enable branch prediction global history
      MIPS: Xtalk: Update xwidget.h with known Xtalk device numbers

Joshua Scott (1):
      gpio: Prevent an integer overflow in the pca953x driver

Jozsef Kadlecsik (13):
      netfilter: ipset: Fix sparse warning
      netfilter: ipset: Give a better name to a macro in ip_set_core.c
      netfilter: ipset: Use better include files in xt_set.c
      netfilter: ipset: Use MSEC_PER_SEC consistently
      netfilter: ipset: Fix cidr handling for hash:*net* types
      netfilter: ipset: Fix parallel resizing and listing of the same set
      netfilter: ipset: Make sure listing doesn't grab a set which is just being destroyed.
      netfilter:ipset Remove rbtree from hash:net,iface
      netfilter: ipset: Prepare the ipset core to use RCU at set level
      netfilter: ipset: Introduce RCU locking in bitmap:* types
      netfilter: ipset: Introduce RCU locking in hash:* types
      netfilter: ipset: Introduce RCU locking in list type
      netfilter: ipset: Fix coding styles reported by checkpatch.pl

Juergen Borleis (5):
      rtc: imxdi: avoid the __raw* register access functions
      rtc: imxdi: add some background info about the states the machine can be in
      rtc: imxdi: add the unit recovery code
      rtc: imxdi: monitor a security violation at runtime
      rtc: imxdi: when locked, do not fail silently

Juergen Gier (1):
      [media] saa7134: switch tuner FMD1216ME_MK3 to analog

Julia Lawall (62):
      staging: lustre: llite: drop uses of OBD free functions
      staging: lustre: drop uses of some OBD alloc and free functions
      staging: emxx_udc: test returned value
      [media] si4713: fix error return code
      [media] as102: fix error return code
      [media] radio: fix error return code
      KVM: x86: drop unneeded null test
      staging: lustre: fid: Use kzalloc and kfree
      Staging: lustre: fld: Use kzalloc and kfree
      staging: lustre: lclient: Use kzalloc and kfree
      staging: lustre: ldlm: Use kzalloc and kfree
      staging: lustre: lmv: Use kzalloc and kfree
      staging: lustre: mdc: Use kzalloc and kfree
      staging: lustre: mgc: Use kzalloc and kfree
      staging: lustre: obdclass: Use kzalloc and kfree
      staging: lustre: obdecho: Use kzalloc and kfree
      staging: lustre: osc: Use kzalloc and kfree
      staging: lustre: ptlrpc: Use kzalloc and kfree
      staging: lustre: ldlm: ldlm_lib: remove unneeded null test before free
      staging: lustre: ldlm: ldlm_lock: remove unneeded null test before free
      staging: lustre: ldlm: ldlm_resource: remove unneeded null test before free
      staging: lustre: libcfs: linux: remove unneeded null test before free
      Staging: lustre: llite: dir: remove unneeded null test before free
      Staging: lustre: llite: file: remove unneeded null test before free
      Staging: lustre: llite: llite_lib: remove unneeded null test before free
      staging: lustre: llite: statahead: remove unneeded null test before free
      staging: lustre: lmv: remove unneeded null test before free
      staging: lustre: lov: lov_dev: remove unneeded null test before free
      staging: lustre: mdc: remove unneeded null test before free
      staging: lustre: obdclass: genops: remove unneeded null test before free
      staging: lustre: obdclass: llog: remove unneeded null test before free
      staging: lustre: obdclass: obd_config: remove unneeded null test before free
      staging: lustre: obdclass: obd_mount: remove unneeded null test before free
      staging: lustre: obdecho: remove unneeded null test before free
      Staging: lustre: osc: remove unneeded null test before free
      Staging: lustre: ptlrpc: lproc_ptlrpc: remove unneeded null test before free
      staging: lustre: ptlrpc: sec_plain: remove unneeded null test before free
      staging: lustre: ptlrpc: service: remove unneeded null test before free
      staging: lustre: ptlrpc: Replace OBD_CPT_ALLOC etc by kzalloc_node
      drivers: staging: lustre: lustre: Replace OBD_CPT_ALLOC etc by kzalloc_node
      staging/lustre/ptlrpc: Replace OBD_CPT_ALLOC etc by kzalloc_node
      staging: lustre: cl_page: drop unneeded variable
      staging: lustre: cl_page: delete empty macros
      block: fix returnvar.cocci warnings
      regmap: drop unneeded goto
      drivers/pcmcia/electra_cf.c: add missing iounmap and kfree
      staging/lustre/mdc: drop unneeded goto
      ipv6: drop unneeded goto
      NFS: drop unneeded goto
      wl1251: drop unneeded goto
      lustre: lov: Drop lov_lock_handles structure
      lustre: lov: Drop unneeded set_oabufs and set_pga fields
      lustre: lov: Drop rq_buflen field
      lustre: llite: Replace uses of OBD_{ALLOC,FREE}_LARGE
      lustre: lmv: Replace uses of OBD_{ALLOC,FREE}_LARGE
      lustre: lov: Replace uses of OBD_{ALLOC,FREE}_LARGE
      lustre: mdc: Replace uses of OBD_{ALLOC,FREE}_LARGE
      lustre: obdclass: Replace uses of OBD_{ALLOC,FREE}_LARGE
      lustre: obdclass: linux: Replace uses of OBD_{ALLOC, FREE}_LARGE
      lustre: ptlrpc: Replace uses of OBD_{ALLOC,FREE}_LARGE
      regulator: fix simple_return.cocci warnings
      rtc: simplify use of devm_ioremap_resource

Julian Anastasov (2):
      neigh: do not modify unlinked entries
      ip: report the original address of ICMP messages

Julian Scheel (1):
      ASoC: wm8523: Set bclk ratio for master mode

Julien Grall (11):
      arm/xen: Correctly check if the event channel interrupt is present
      xen: Include xen/page.h rather than asm/xen/page.h
      xen/xenbus: client: Fix call of virt_to_mfn in xenbus_grant_ring
      xen/grant-table: Remove unused macro SPP
      arm/xen: Drop duplicate define mfn_to_virt
      block/xen-blkfront: Remove unused macro MAXIMUM_OUTSTANDING_BLOCK_REQS
      block/xen-blkfront: Remove invalid comment
      block/xen-blkback: s/nr_pages/nr_segs/
      net/xen-netfront: Correct printf format in xennet_get_responses
      net/xen-netback: Remove unused code in xenvif_rx_action
      net/xen-netback: Don't mix hexa and decimal with 0x in the printf format

Jun Nie (14):
      ASoC: simple-card: support platform in dts parse
      ARM: zx: add basic support for ZTE ZX296702
      ARM: zx: add low level debug support for zx296702
      ARM: zx: enable SMP and hotplug for zx296702
      MAINTAINERS: add entry for ARM ZTE architecture
      ARM: zx: Add basic defconfig support for ZX296702
      ASoC: zx: Add zx296702 SPDIF support
      ASoC: zx: Add ZTE zx296702 I2S DAI driver
      dt: Add documentation for the ZTE SPDIF controller
      dt: Add documentation for the ZTE I2S controller
      dt-bindings: Add #defines for ZTE ZX296702 clocks
      clk: zx: add clock support to zx296702
      ARM: dts: zx: add an initial zx296702 dts and doc
      ARM: zx: Add basic defconfig support for ZX296702

Jurgen Kramer (1):
      ALSA: usb-audio: add native DSD support for JLsounds I2SoverUSB

Justin Cormack (2):
      macvtap add missing ioctls - fix wrapping
      fix missing copy_from_user in macvtap

Juston Li (2):
      staging: rtl8723au: fix sparse warning
      staging: sm750fb: fix c99 comments

Jyri Sarha (5):
      ASoC: davinci-mcasp: Calculate BCLK using TDM slots and remove channels rule
      ASoC: davinci-mcasp: Channel count constraints for multi-serializer case
      ASoC: davinci-macsp: Optimize implicit BLCK sample-rate rule
      ASoC: core: If component doesn't have of_node use parent's node instead
      ASoC: davinci-mcasp: Choose PCM driver based on configured DMA controller

Jérôme Glisse (3):
      drm/radeon: fix freeze for laptop with Turks/Thames GPU.
      drm/radeon: compute ring fix hibernation (CI GPU family) v2.
      drm/radeon: SDMA fix hibernation (CI GPU family).

K. Y. Srinivasan (7):
      drivers: hv: vmbus: Get rid of some unused definitions
      Drivers: hv: vmbus: Implement the protocol for tearing down vmbus state
      hv_netvsc: Allocate the receive buffer from the correct NUMA node
      hv_netvsc: Allocate the sendbuf in a NUMA aware way
      Drivers: hv: vmbus: Use the vp_index map even for channels bound to CPU 0
      Drivers: hv: vmbus: Implement NUMA aware CPU affinity for channels
      Drivers: hv: vmbus: Allocate ring buffer memory in NUMA aware fashion

KY Srinivasan (2):
      hv_netvsc: Use the xmit_more skb flag to optimize signaling the host
      hv_netvsc: Properly size the vrss queues

Kailang Yang (3):
      ALSA: hda/realtek - Support headset mode for ALC298
      ALSA: hda/realtek - Support Dell headset mode for ALC298
      ALSA: hda/realtek - Suooprt Dell headset mode for ALC256

Kalesh AP (3):
      be2net: add support for spoofchk setting
      be2net: check for INSUFFICIENT_VLANS error
      be2net: use be_virtfn() instead of !be_physfn()

Kalle Valo (10):
      ath10k: bump up FW API to 5
      Merge mac80211-next into ath-next
      ath10k: fix HTT op backwards compatibility for QCA6174
      Merge ath-next from ath.git
      ath10k: add ATH10K_FW_FEATURE_IGNORE_OTP_RESULT
      Merge tag 'iwlwifi-next-for-kalle-2015-05-03' of https://git.kernel.org/.../iwlwifi/iwlwifi-next
      Merge ath-next from ath.git
      Merge tag 'iwlwifi-for-kalle-2015-05-28' of https://git.kernel.org/.../iwlwifi/iwlwifi-fixes
      Merge tag 'iwlwifi-next-for-kalle-2015-06-03' of https://git.kernel.org/.../iwlwifi/iwlwifi-next
      Merge ath-next from ath.git

Kamal Dasu (1):
      i2c: brcmstb: Add Broadcom settop SoC i2c controller driver

Kamlakant Patel (2):
      gpio: Add Netlogic XLP GPIO devicetree bindings documentation
      gpio: xlp: GPIO controller for Netlogic XLP SoCs

Kan Liang (6):
      perf/x86/intel/uncore: Fix CBOX bit wide and UBOX reg on Haswell-EP
      perf/x86/intel: Introduce PERF_RECORD_LOST_SAMPLES
      perf tools: handle PERF_RECORD_LOST_SAMPLES
      perf stat: Error out unsupported group leader immediately
      perf tools: Add time out to force stop proc map processing
      perf tools: Configurable per thread proc map processing time out

Kapileshwar Singh (3):
      thermal: of: fix cooling device weights in device tree
      thermal: cpu_cooling: Remove cpu_dev update on policy CPU update
      thermal: cpu_cooling: Fix power calculation when CPUs are offline

Karen Xie (4):
      cxgbi: use per-connection link-speed dependent send/recv windows
      cxgbi: update copyright to 2015
      cxgbi: update driver versions
      cxgb4i: set the initial sequence number

Karim BEN BELGACEM (1):
      ARM: STi: DT: STiH407: Fix retime pin mask for PIO5 and PIO35

KarimAllah Ahmed (1):
      x86/kexec: prepend elfcorehdr instead of appending it to the crash-kernel command-line.

Kast Bernd (1):
      asus-wmi: add fan control

Kazuya Mizuguchi (2):
      usb: renesas_usbhs: Fix fifo unclear in usbhsf_prepare_pop
      usb: renesas_usbhs: Fix fifo unclear in usbhsf_prepare_pop

Kees Cook (4):
      fbdev: omap2: remove potential format string leak
      selftests: add seccomp suite
      cxgb3: avoid needless buffer copy for firmware
      mailmap: add rdunlap email auto-correction

Keith Busch (13):
      NVMe: Fix obtaining command result
      NVMe: Use requested sync command timeout
      NVMe: End sync requests immediately on failure
      blk-mq: Shared tag enhancements
      NVMe: Remove hctx reliance for multi-namespace
      NVMe: add sysfs and ioctl controller reset
      NVMe: Automatic namespace rescan
      NVMe: Fix IO for extended metadata formats
      NVMe: Fix device cleanup on initialization failure
      NVMe: Don't use fake status on cancelled command
      NVMe: Unify controller probe and resume
      NVMe: Failed controller initialization fixes
      NVMe: Fix filesystem deadlock on removal

Keith Mange (1):
      Drivers: hv: vmbus:Update preferred vmbus protocol version to windows 10.

Kenneth Klette Jonassen (6):
      tcp: move struct tcp_sacktag_state to tcp_ack()
      tcp: improve RTT from SACK for CC
      tcp: invoke pkts_acked hook on every ACK
      tcp: export tcp_enter_cwr()
      tcp: add CDG congestion control
      tcp: cdg: use div_u64()

Kent Overstreet (1):
      md/raid10: make sync_request_write() call bio_copy_data()

Kevin Cernekee (8):
      ASoC: tas571x: Add DT binding document
      ASoC: tas571x: New driver for TI TAS571x power amplifiers
      MAINTAINERS: Add entry for tas571x ASoC codec driver
      ASoC: tas571x: Eliminate redundant dev->of_node NULL check
      regmap: Add a helper function for regcache sync test
      regmap: Use regcache_mark_dirty() to indicate power loss or reset
      bus: brcmstb_gisb: Honor the "big-endian" and "native-endian" DT properties
      clk: pistachio: Add sanity checks on PLL configuration

Kevin Hao (1):
      powerpc: mpc85xx: flush the l1 cache before cpu down in kexec

Kevin Hilman (36):
      Merge tag 'omap-for-v4.1/fixes-rc6' of git://git.kernel.org/.../tmlind/linux-omap into fixes
      Merge tag 'socfpga_dts_for_v4.2_part_3' of git://git.kernel.org/.../dinguyen/linux into next/dt
      Merge tag 'sunxi-dt-for-4.2-2' of https://git.kernel.org/.../mripard/linux into next/dt
      Merge branch 'socfpga/soc' into next/soc
      Merge tag 'arm-soc/for-4.2/dts-part3' of https://github.com/Broadcom/stblinux into next/dt
      Merge tag 'keystone-dts-for-4.2' of git://git.kernel.org/.../ssantosh/linux-keystone into next/dt
      Merge tag 'imx-soc-4.2' of git://git.kernel.org/.../shawnguo/linux into next/soc
      Merge tag 'imx-dt-4.2' of git://git.kernel.org/.../shawnguo/linux into next/dt
      Merge tag 'at91-dt4' of git://git.kernel.org/.../nferre/linux-at91 into next/dt
      Merge tag 'hi6620-dt-for-4.2' of git://github.com/hisilicon/linux-hisi into next/dt
      Merge tag 'hi6220-soc-for-4.2' of git://github.com/hisilicon/linux-hisi into next/soc
      Merge tag 'samsung-defconfig-2' of git://git.kernel.org/.../kgene/linux-samsung into next/defconfig
      Merge tag 'samsung-dt-3' of git://git.kernel.org/.../kgene/linux-samsung into next/dt
      Merge tag 'v4.1-rc6' into next/dt
      Merge tag 'samsung-dt-4' of git://git.kernel.org/.../kgene/linux-samsung into next/dt
      Merge tag 'samsung-mach-1' of git://git.kernel.org/.../kgene/linux-samsung into next/soc
      ARM: socfpga: fix build error due to secondary_startup
      Merge branch 'socfpga/soc' into next/soc
      Merge branch 'zte/soc' into next/soc
      Merge tag 'omap-for-v4.2/dt-pt2' of git://git.kernel.org/.../tmlind/linux-omap into next/dt
      Merge tag 'omap-for-v4.2/o2_dc' of git://git.kernel.org/.../tmlind/linux-omap into next/defconfig
      Merge tag 'omap-for-v4.2/soc-pt1-take2' of git://git.kernel.org/.../tmlind/linux-omap into next/soc
      ARM: multi_v7_defconfig: remove duplicate CONFIG_COMMON_CLK_QCOM=y
      Merge branch 'for-arm-soc' of http://ftp.arm.linux.org.uk/pub/armlinux/kernel/git-cur/linux-2.6-arm into next/cleanup
      Merge tag 'armsoc-cleanup' into test-merge
      Merge tag 'armsoc-soc' into test-merge
      Merge tag 'armsoc-dt' into test-merge
      Merge tag 'armsoc-drivers' into test-merge
      Merge tag 'armsoc-defconfig' into test-merge
      Merge tag 'omap-for-v4.2/wakeirq-drivers-v2' of git://git.kernel.org/.../tmlind/linux-omap into next/late
      Merge tag 'mvebu-dt-4.2-3' of git://git.infradead.org/linux-mvebu into next/late
      Merge tag 'mvebu-fixes-4.2-0' of git://git.infradead.org/linux-mvebu into next/late
      Merge tag 'atlas7-pinctrl-dts-for-4.2' of git://git.kernel.org/.../baohua/linux into fixes
      Merge tag 'sirf-iobrg2regmap-for-4.2' of git://git.kernel.org/.../baohua/linux into fixes
      Merge tag 'sunxi-late-for-4.2' of https://git.kernel.org/.../mripard/linux into fixes
      Merge tag 'omap-for-v4.2/fixes-rc1' of git://git.kernel.org/.../tmlind/linux-omap into fixes

Kevin Lo (1):
      rtlwifi: fix typos in Kconfig

Kevin Mulvey (2):
      KVM: fix checkpatch.pl errors in kvm/async_pf.h
      KVM: fix checkpatch.pl errors in kvm/coalesced_mmio.h

Khalid Aziz (1):
      sparc: Resolve conflict between sparc v9 and M7 on usage of bit 9 of TTE

Khem Raj (1):
      Input: sentelic - use "static inline" instead of "inline"

Kim Phillips (1):
      KVM: arm/arm64: Enable the KVM-VFIO device

Kinglong Mee (13):
      nfsd: Reset cb_status in nfsd4_cb_prepare() at retrying
      nfsd: Update callback sequnce id only CB_SEQUENCE success
      nfs: Only update callback sequnce id when CB_SEQUENCE success
      nfs: Initialize cb_sequenceres information before validate_seqid()
      nfs: Fix showing truncated fsid/dev in, /proc/net/nfsfs/volumes
      nfs: Fix a memory leak when meeting an unsupported state protect
      nfs: Remove unused argument in nfs_server_set_fsinfo()
      nfs: Use remove_proc_subtree() instead remove_proc_entry()
      nfs: Don't setting FILE_CREATED flags always
      nfs: Remove unneeded micro checking of CONFIG_PROC_FS
      nfs: Drop bad comment in nfs41_walk_client_list()
      nfs: Remove invalid NFS_ATTR_FATTR_V4_REFERRAL checking in nfs4_get_rootfh
      nfs: Remove invalid tk_pid from debug message

Kirill A. Shutemov (7):
      x86/kconfig: Bump default NR_CPUS from 8 to 64 for 64-bit configuration
      x86/kconfig: Fix the CONFIG_NR_CPUS description
      mm: fix mprotect() behaviour on VM_LOCKED VMAs
      mm: drop bogus VM_BUG_ON_PAGE assert in put_page() codepath
      mm: avoid tail page refcounting on non-THP compound pages
      radix-tree: replace preallocated node array with linked list
      mm: avoid setting up anonymous pages into file mapping

Kishon Vijay Abraham I (1):
      phy: omap-usb2: invoke pm_runtime_disable on error path

Konstantin Khlebnikov (2):
      pstore: do not use message compression without lock
      of: return NUMA_NO_NODE from fallback of_node_to_nid()

Koro Chen (3):
      ASoC: mediatek: Add AFE platform driver
      ASoC: mediatek: Add machine driver for MAX98090 codec
      ASoC: mediatek: Add machine driver for rt5650 rt5676 codec

Kristina Martsenko (1):
      arm64: dts: add device tree for ARM SMM-A53x2 on LogicTile Express 20MG

Krzysztof Kolasa (1):
      lz4: fix system halt at boot kernel on x86_64

Krzysztof Kozlowski (149):
      regulator: Fix the function name in documentation
      regulator: max14577: Reduce duplication of regulator_desc initializers
      regulator: max77843: Reduce duplication of regulator_desc initializers
      regmap: Constify irq_domain_ops
      regulator: Remove unneeded semicolons
      regulator: max77693: Use core code for charger's is_enabled
      spi: imx: Constify platform_device_id
      spi: rspi: Constify platform_device_id
      spi: s3c64xx: Constify platform_device_id
      spi: sh-msiof: Constify platform_device_id
      regulator: 88pm8607: Constify platform_device_id
      ASoC: au1x: Constify platform_device_id
      ASoC: bt-sco: Constify platform_device_id
      ASoC: fsl: Constify platform_device_id
      ASoC: samsung: Constify platform_device_id
      clk: at91: Constify irq_domain_ops
      libata: samsung_cf: Constify platform_device_id
      dmaengine: ep93xx: Constify platform_device_id
      dmaengine: imx: Constify platform_device_id
      dmaengine: mxs: Constify platform_device_id
      dmaengine: nbpfaxi: Constify platform_device_id
      dmaengine: s3c24xx: Constify platform_device_id
      genirq: MSI: Constify irq_domain_ops
      irqchip: Constify irq_domain_ops
      clk: rockchip: Staticize file-scope declarations
      clk: exynos: Staticize file-scope declarations
      clk: cdce706: Constify parent names in clock init data
      clk: sirf: Constify parent names in clock init data
      clk: ls1x: Fix duplicate const for parent names
      clk: s3c2410: Staticize local symbols
      clk: s3c2410: Constify platform_device_id
      pinctrl: single: Constify irq_domain_ops
      gpio: Constify irq_domain_ops
      Input: samsung-keypad - constify platform_device_id
      Input: s3c2410_ts - constify platform_device_id
      mtd: mxc-nand: Constify platform_device_id
      mtd: s3c2410: Constify platform_device_id
      mtd: samsung: Constify platform_device_id
      usb: phy-ab8500-usb: Constify platform_device_id
      staging: iio: ad7606_par: Constify platform_device_id
      ARM: 8346/1: sa1100: Constify irq_domain_ops
      usb: phy-ab8500-usb: Constify platform_device_id
      serial: imx: Constify platform_device_id
      serial: mxs: Constify platform_device_id
      serial: samsung: Staticize local symbol
      serial: samsung: Constify platform_device_id
      iio: hid-sensor-accel-3d: Constify platform_device_id
      iio: adc: axp288: Constify platform_device_id
      iio: hid-sensor-gyro-3d: Constify platform_device_id
      iio: light: hid-sensor-als: Constify platform_device_id
      iio: light: hid-sensor-prox: Constify platform_device_id
      iio: hid-sensor-magn-3d: Constify platform_device_id
      iio: hid-sensor-incl-3d: Constify platform_device_id
      iio: hid-sensor-rotation: Constify platform_device_id
      iio: hid-sensor-press: Constify platform_device_id
      powerpc: Constify irq_domain_ops
      [media] media: platform: exynos-gsc: Constify platform_device_id
      [media] media: platform: exynos4-is: Constify platform_device_id
      [media] media: platform: s3c-camif: Constify platform_device_id
      [media] media: platform: s5p: Constify platform_device_id
      gpio: Constify platform_device_id
      i2c: constify platform_device_id
      ARM: pxa: Constify irq_domain_ops
      [media] staging: media: omap4iss: Constify platform_device_id
      clk: ti: dra7-atl-clock: Fix possible ERR_PTR dereference
      ARM: dts: fix pinctrl for s2mps11-irq on exynos5420-arndale-octa
      ARM: dts: Use s3c6410-rtc instead of exynos3250-rtc for exynos3250/4415
      ARM: dts: Use define for s3c-rtc clock id for exynos3250-rinato
      ARM: dts: Use define for s3c-rtc clock id for exynos3250-monk
      ARM: dts: Enable S3C RTC on exynos4412-trats2 and exynos5420-arndale-octa
      ARM: OMAP2+: Constify irq_domain_ops
      power_supply: Fix NULL pointer dereference during bq27x00_battery probe
      power_supply: Fix possible NULL pointer dereference on early uevent
      dmaengine: pl330: Fix hang on dmaengine_terminate_all on certain boards
      ARM: multi_v7_defconfig: Enable CPU idle for exynos SoCs
      ARM: multi_v7_defconfig: Enable PMIC and MUIC drivers for exynos
      ARM: multi_v7_defconfig: Enable TMU for exynos SoCs
      ARM: multi_v7_defconfig: Enable OHCI on exynos SoCs
      ARM: exynos_defconfig: Enable display on Trats2 board
      power: axp288_fuel_gauge: Constify platform_device_id
      power: at91-reset: Constify platform_device_id
      power_supply: Use wrappers to avoid races when registering power supply
      power_supply: charger-manager: Add parent for power supply
      hwmon: (max197) Constify platform_device_id
      hwmon: (sht15) Constify platform_device_id
      mmc: davinci: Constify platform_device_id
      mmc: mxs: Constify platform_device_id
      mmc: rtsx: Constify platform_device_id
      mmc: s3cmci: Constify platform_device_id
      mmc: sdhci-imx: Constify platform_device_id
      mmc: sdhci-s3c: Constify platform_device_id
      clocksource/drivers/exynos_mct: Change exynos4_mct_tick_clear return type to void
      clocksource/drivers/exynos_mct: Staticize struct clocksource
      clocksource/drivers/exynos_mct: Remove old platform mct_init()
      ARM: dts: Use last parent for clocks during power domain on/off
      ARM: dts: Remove obsolete MIPI DPHY 'reg' property for exynos4
      ARM: dts: Add syscon property to the MIPI DPHY for exynos4415
      ARM: dts: Add labels to exynos4 nodes
      ARM: dts: Use labels for overriding nodes in exynos4210
      ARM: dts: Use labels for overriding nodes in exynos4210-origen
      ARM: dts: Use labels for overriding nodes in exynos4210-smdkv310
      ARM: dts: Use labels for overriding nodes in exynos4210-trats
      ARM: dts: Use labels for overriding nodes in exynos4212
      ARM: dts: Use labels for overriding nodes in exynos4x12
      ARM: dts: Use labels for overriding nodes in exynos4412
      ARM: dts: Use labels for overriding nodes in exynos4412-odroid
      ARM: dts: Use labels for overriding nodes in exynos4412-smdk4412
      ARM: dts: Use labels for overriding nodes in exynos4412-trats2
      ARM: dts: Use labels for overriding nodes in exynos4412-origen
      ARM: dts: Use labels for overriding nodes in exynos4412-tiny4412
      ARM: dts: Add labels to exynos5 nodes
      ARM: dts: Use labels for overriding nodes in exynos5250
      ARM: dts: Remove duplicated I2C7 nodes in exynos5250-snow
      ARM: dts: Use labels for overriding nodes in exynos5420-arndale-octa
      ARM: dts: Use labels for overriding nodes in exynos542x
      ARM: dts: Use labels for overriding nodes in exynos5420-smdk5420
      ARM: dts: Use labels for overriding nodes in exynos5440 boards
      ARM: dts: Use labels for overriding nodes in exynos5422-odroidxu3
      ARM: dts: s3c2416: Add labels to S3C2416 nodes
      ARM: dts: s3c2416: Use labels for overriding nodes in SMDK2416
      ARM: dts: odroidxu3: Enable wake alarm of S2MPS11 RTC
      of: Add vendor prefix for Hardkernel
      ARM: dts: Add Odroid XU3 Lite support
      ARM: imx: Constify irq_domain_ops
      ARM: EXYNOS: Handle of of_iomap() failure
      ARM: EXYNOS: Handle of_find_device_by_node() and kstrdup() failures
      ARM: EXYNOS: Add missing of_node_put() when parsing power domains
      ARM: EXYNOS: Get current parent clock for power domain on/off
      ARM: EXYNOS: Constify irq_domain_ops
      ARM: SAMSUNG: Constify platform_device_id
      Documentation: ARM: EXYNOS: Describe boot loaders interface
      power_supply: sysfs: Bring back write to writeable properties
      power_supply: max17042: Add OF support for setting thresholds
      ARM: multi_v7_defconfig: Enable display on Trats2 board
      MAINTAINERS: ARM64: EXYNOS: Extend entry for ARM64 DTS
      video: fbdev: imxfb: Constify platform_device_id
      video: fbdev: mxsfb: Constify platform_device_id
      dmaengine: Fix choppy sound because of unimplemented resume
      rtc: ab8500: Constify platform_device_id
      rtc: hid-sensor-time: Constify platform_device_id
      rtc: mxc: Constify platform_device_id
      rtc: interface: Fix coding style violations
      rtc: interface: Remove unused return value from rtc_timer_cancel()
      rtc: s3c: Integrate Exynos3250 into S3C6410
      MIPS: Alchemy: Remove unneeded cast removing const
      mfd: Constify regmap and irq configuration data
      MAINTAINERS: Extend Samsung MFD drivers entry and add Krzysztof Kozlowski
      watchdog: max63xx_wdt: Constify platform_device_id
      video: backlight: da9052: Constify platform_device_id

Krzysztof Opasiak (2):
      usb: gadget: g_ffs: Fix counting of missing_functions
      usb: gadget: ffs: fix: Always call ffs_closed() in ffs_data_clear()

Ksenija Stanojevic (2):
      Staging: rts5208: Replace timeval with timespec64
      [media] Staging: media: lirc: Replace timeval with ktime_t

Kukjin Kim (2):
      ARM: exynos_defconfig: savedefconfig
      Merge branch 'v4.2-next/dt-samsung-3rd' into v4.2-next/dt-samsung-4th

Kumar Gala (2):
      firmware: qcom: scm: Split out 32-bit specific SCM code
      powerpc/mpc85xx: Add FSL QorIQ DPAA QMan support to device tree(s)

Kuninori Morimoto (47):
      ASoC: rsnd: add rsnd_dai_to_priv() macro
      ASoC: rsnd: make sure it uses lock when it calls rsnd_dai_call
      ASoC: rsnd: tidyup SSI parent related function/macro names
      ASoC: rsnd: make sure SSI parent/child uses same number of sound channel.
      ASoC: rsnd: care snd_kcontrol's index
      ASoC: soc.h: tidyup struct snd_soc_dai_link definition order
      usb: renesas_usbhs: tidyup usbhs_for_each_dfifo macro
      ASoC: rsnd: revert lock for calls to rsnd_dai_call
      ASoC: rsnd: indicate unknown HW start
      ASoC: rsnd: add rsnd_dai_stream_quit()
      ASoC: soc-pcm: DPCM cares BE format
      ASoC: rsnd: rsrc-card uses FE/BE merged format when DPCM
      dmaengine: rcar-dmac: fixup spinlock in rcar-dmac
      ASoC: rsnd: spin lock for interrupt handler
      ARM: shmobile: r8a7790: add MMCIF max-frequency
      ARM: shmobile: r8a7791: add MMCIF max-frequency
      mmc: sh_mmcif: move mmcif_of_match to upside
      mmc: sh_mmcif: cleanup to use dev instead of &pdev->dev
      mmc: sh_mmcif: remove unnecessary int clk from struct sh_mmcif_host
      mmc: sh_mmcif: separate sh_mmcif_clk_update() into setup and prepare
      mmc: cast u8 to unsigned long long to avoid unexpected error
      mmc: cast unsigned int to typeof(sector_t) to avoid unexpected error
      mmc: sh_mmcif: add sh_mmcif_host_to_dev() macro and use it.
      mmc: sh_mmcif: use sh_mmcif_xxx prefix for all functions
      mmc: sh_mmcif: calculate best clock with parent clock
      ASoC: rsnd: don't care under/over run error when PIO
      ASoC: rsnd: don't call snd_pcm_period_elapsed() under spin lock
      ASoC: rsrc-card: used "fe.xxx"/"be.xxx" name for dai_link
      ASoC: rsrc-card: tidyup priv->snd_card setup timing
      ASoC: rsrc-card: enable multi cpu/codec for DPCM
      ASoC: rsrc-card: remove unused name
      ASoC: rsnd-card: tidyup rsrc_priv_to_()
      ASoC: rsrc-card: move rsrc_card_parse_daifmt() to upper side
      ASoC: rsrc-card: tidyup return value of clock error
      ASoC: rsrc-card: cleanup for DPCM
      ASoC: rsnd: count each mod (SSI/SRC/DVC)
      ASoC: rsnd: rsnd_mod has rsnd_priv
      ASoC: rsnd: adds struct rsnd_dai_stream as on each fuction as parameter
      ASoC: rsnd: add common interrupt handler for SSI/SRC/DMA
      ASoC: rsnd: don't use rsnd_mod_to_io() on rsnd_get_adinr()
      ASoC: rsnd: don't use rsnd_mod_to_io() on rsnd_dma_xxx()
      ASoC: rsnd: don't use rsnd_mod_to_io() on rsnd_ssi_xxx()
      ASoC: rsnd: don't use rsnd_mod_to_io() on rsnd_src_xxx()
      ASoC: rsnd: don't use rsnd_mod_to_io() on snd_kcontrol
      ASoC: rsnd: move rsnd_mod_is_working() to rsnd_io_is_working()
      ASoC: rsnd: remove io from rsnd_mod
      ASoC: rsrc-card: remove unused ret

Kuppuswamy Sathyanarayanan (7):
      iio: ltr501: Add regmap support.
      iio: ltr501: Add integration time support
      iio: ltr501: Add interrupt support
      iio: ltr501: Add interrupt rate control support
      iio: ltr501: Add ACPI enumeration support
      iio: ltr501: Fix proximity threshold boundary check
      iio: ltr501: Add light channel support

LABBE Corentin (7):
      crypto: md5 - add MD5 initial vectors
      crypto: md5 - use md5 IV MD5_HX instead of their raw value
      crypto: powerpc/md5 - use md5 IV MD5_HX instead of their raw value
      crypto: sparc/md5 - use md5 IV MD5_HX instead of their raw value
      crypto: n2 - use md5 IV MD5_HX instead of their raw value
      crypto: octeon - use md5 IV MD5_HX instead of their raw value
      crypto: testmgr - Document struct cipher_testvec

LEROY Christophe (26):
      crypto: talitos - Use zero entry to init descriptors ptrs to zero
      crypto: talitos - Refactor the sg in/out chain allocation
      crypto: talitos - talitos_ptr renamed ptr for more lisibility
      crypto: talitos - Add a helper function to clear j_extent field
      crypto: talitos - remove param 'extent' in map_single_talitos_ptr()
      crypto: talitos - helper function for ptr len
      crypto: talitos - enhanced talitos_desc struct for SEC1
      crypto: talitos - add sub-choice in talitos CONFIG for SEC1
      crypto: talitos - Add a feature to tag SEC1
      crypto: talitos - fill in talitos descriptor iaw SEC1 or SEC2+
      crypto: talitos - adaptation of talitos_submit() for SEC1
      crypto: talitos - base address for Execution Units
      crypto: talitos - adapt interrupts and reset functions to SEC1
      crypto: talitos - implement scatter/gather copy for SEC1
      crypto: talitos - SEC1 bugs on 0 data hash
      crypto: talitos - Add fsl,sec1.0 compatible
      crypto: talitos - Update DT bindings with SEC1
      powerpc/8xx: mmu_virtual_psize incorrect for 16k pages
      powerpc/8xx: macro for handling CPU15 errata
      powerpc/8xx: Handle CR out of exception PROLOG/EPILOG
      powerpc/8xx: dont save CR in SCRATCH registers
      powerpc/8xx: Use SPRG2 instead of DAR for saving r3
      powerpc/8xx: Add support for TASK_SIZE greater than 0x80000000
      powerpc/8xx: mark _PAGE_SHARED all types of kernel pages
      powerpc/8xx: Handle PAGE_USER via APG bits
      powerpc/8xx: Implementation of PAGE_EXEC

Lad, Prabhakar (6):
      [media] media: i2c: ov2659: Use v4l2_of_alloc_parse_endpoint()
      ARM: dts: am437x-gp-evm: add DT nodes for ov2659 sensor
      [media] media: davinci_vpfe: clear the output_specs
      [media] media: davinci_vpfe: set minimum required buffers to three
      [media] media: davinci_vpfe: use monotonic timestamp
      [media] media: davinci: vpbe: use v4l2_get_timestamp()

Lai Jiangshan (9):
      workqueue: split apply_workqueue_attrs() into 3 stages
      workqueue: Allow modifying low level unbound workqueue cpumask
      workqueue: wq_pool_mutex protects the attrs-installation
      workqueue: simplify wq_update_unbound_numa()
      workqueue: separate out and refactor the locking of applying attrs
      workqueue: ensure attrs changes are properly synchronized
      workqueue: remove the declaration of copy_workqueue_attrs()
      workqueue: remove the lock from wq_sysfs_prep_attrs()
      workqueue: move flush_scheduled_work() to workqueue.h

Larry Finger (1):
      mm: kmemleak_alloc_percpu() should follow the gfp from per_alloc()

Lars Poeschel (3):
      watchdog: omap_wdt: implement get_timeleft
      watchdog: docs: omap_wdt also understands nowayout
      watchdog: omap_wdt: early_enable module parameter

Lars-Peter Clausen (135):
      ALSA: Close holes in struct snd_pcm_hw_rule
      ALSA: Close holes in struct snd_pcm_constraint_list
      ASoC: at91sam9g20ek: Automatically disconnect non-connected pins
      ASoC: dmaengine_pcm: Make FLAG_NO_RESIDUE internal
      ASoC: Add helper function getting CODEC's DAPM context
      ASoC: Add helper functions bias level management
      ASoC: Route all bias level updates through the core
      ASoC: Move bias level update to the core
      ASoC: samsung: wolfson: Improve compile test coverage
      ASoC: lowland: Use card DAPM context to access widgets
      ASoC: smdk_wm8994: Use card DAPM context to access widgets
      ASoC: smartq: Remove unnecessary snd_soc_dapm_disable_pin()
      ASoC: smartq: Use card DAPM context to access widgets
      ASoC: speyside: Use snd_soc_codec_get_dapm()
      ASoC: ad1836: Replace direct snd_soc_codec dapm field access
      ASoC: adau17x1: Replace direct snd_soc_codec dapm field access
      ASoC: adau1977: Replace direct snd_soc_codec dapm field access
      ASoC: adav80x: Replace direct snd_soc_codec dapm field access
      ASoC: ssm2518: Replace direct snd_soc_codec dapm field access
      ASoC: ssm2602: Replace direct snd_soc_codec dapm field access
      ASoC: ssm4567: Replace direct snd_soc_codec dapm field access
      ASoC: dapm: Add new widgets to the end of the widget list
      ASoC: dapm: Add new widgets to the end of the widget list
      ASoC: dapm: Add demux support
      ASoC: lm4857: Use DAPM demux
      ASoC: lm4857: Convert to component
      ASoC: omap-twl4030: Use card DAPM context to access widgets
      mtd: Switch to PM ops
      ASoC: brownstone: Automatically disconnect non-connected pins
      ASoC: poodle: Automatically disconnect non-connected pins
      ASoC: tosa: Automatically disconnect non-connected pins
      ASoC: z2: Automatically disconnect non-connected pins
      ASoC: 88pm860x: Replace direct snd_soc_codec dapm field access
      ASoC: ab8500: Replace direct snd_soc_codec dapm field access
      ASoC: ak4641: Replace direct snd_soc_codec dapm field access
      ASoC: cx20442: Replace direct snd_soc_codec dapm field access
      ASoC: es8328: Replace direct snd_soc_codec dapm field access
      ASoC: jz4740: Replace direct snd_soc_codec dapm field access
      ASoC: ml26124: Replace direct snd_soc_codec dapm field access
      ASoC: uda134x: Replace direct snd_soc_codec dapm field access
      ASoC: uda1380: Replace direct snd_soc_codec dapm field access
      ASoC: sgtl5000: Replace direct snd_soc_codec dapm field access
      ASoC: sirf-audio-codec: Replace direct snd_soc_codec dapm field access
      ASoC: sn95031: Replace direct snd_soc_codec dapm field access
      ASoC: lm49453: Replace direct snd_soc_codec dapm field access
      ASoC: pcm512x: Replace direct snd_soc_codec dapm field access
      ASoC: tlv320aix31xx: Replace direct snd_soc_codec dapm field access
      ASoC: tlv320aic3x: Replace direct snd_soc_codec dapm field access
      ASoC: tlv320dac33: Replace direct snd_soc_codec dapm field access
      ASoC: twl4030: Replace direct snd_soc_codec dapm field access
      ASoC: twl6040: Replace direct snd_soc_codec dapm field access
      ASoC: Drop unnecessary bias level check on resume
      iio: adis16400: Report pressure channel scale
      iio: adis16400: Fix burst transfer for adis16448
      iio: Replace printk in __iio_update_buffers with dev_dbg
      iio: __iio_update_buffers: Slightly refactor scan mask memory management
      iio: __iio_update_buffers: Perform request_update() only for new buffers
      ASoC: sta32x: Replace direct snd_soc_codec dapm field access
      ASoC: sta350: Replace direct snd_soc_codec dapm field access
      ASoC: sta529: Replace direct snd_soc_codec dapm field access
      ASoC: da7213: Replace direct snd_soc_codec dapm field access
      ASoC: da732x: Replace direct snd_soc_codec dapm field access
      ASoC: da9055: Replace direct snd_soc_codec dapm field access
      ASoC: max98088: Replace direct snd_soc_codec dapm field access
      ASoC: max98090: Remove unnecessary snd_soc_dapm_sync()
      ASoC: max98090: Replace direct snd_soc_codec dapm field access
      ASoC: max98095: Replace direct snd_soc_codec dapm field access
      ASoC: max9850: Replace direct snd_soc_codec dapm field access
      ASoC: alc5623: Replace direct snd_soc_codec dapm field access
      ASoC: rt286: Replace direct snd_soc_codec dapm field access
      ASoC: rt5631: Replace direct snd_soc_codec dapm field access
      ASoC: rt5640: Replace direct snd_soc_codec dapm field access
      ASoC: rt5651: Replace direct snd_soc_codec dapm field access
      ASoC: rt5670: Replace direct snd_soc_codec dapm field access
      ASoC: rt5677: Replace direct snd_soc_codec dapm field access
      net: rfkill: Switch to PM ops
      cfg80211: Switch to PM ops
      iio: Add I/Q modifiers
      iio: __iio_update_buffers: Verify configuration before starting to apply it
      iio: __iio_update_buffers: Split enable and disable path into helper functions
      iio: __iio_update_buffers: Leave device in sane state on error
      mmc: Add support for disabling write-protect detection
      mmc: dt: Allow to specify that no write protect signal is present
      mmc: dw_mmc: Use core to handle absent write protect line
      iio: Always compute masklength
      iio: Specify supported modes for buffers
      iio: Require strict scan mask matching in hardware mode
      ASoC: cs42l52: Replace direct snd_soc_codec dapm field access
      ASoC: cs42l56: Replace direct snd_soc_codec dapm field access
      ASoC: cs42l73: Replace direct snd_soc_codec dapm field access
      ASoC: cs42xx8: Replace direct snd_soc_codec dapm field access
      ASoC: arizona: Replace direct snd_soc_codec dapm field access
      ASoC: wm0010: Replace direct snd_soc_codec dapm field access
      ASoC: wm5100: Replace direct snd_soc_codec dapm field access
      ASoC: wm5102: Replace direct snd_soc_codec dapm field access
      ASoC: wm5110: Replace direct snd_soc_codec dapm field access
      ASoC: wm8350: Replace direct snd_soc_codec dapm field access
      ASoC: wm8400: Replace direct snd_soc_codec dapm field access
      ASoC: wm8510: Replace direct snd_soc_codec dapm field access
      ASoC: wm8523: Replace direct snd_soc_codec dapm field access
      ASoC: wm8580: Replace direct snd_soc_codec dapm field access
      ASoC: wm8711: Replace direct snd_soc_codec dapm field access
      ASoC: wm8728: Replace direct snd_soc_codec dapm field access
      ASoC: wm8731: Replace direct snd_soc_codec dapm field access
      ASoC: wm8737: Replace direct snd_soc_codec dapm field access
      ASoC: wm8750: Replace direct snd_soc_codec dapm field access
      ASoC: wm8753: Replace direct snd_soc_codec dapm field access
      ASoC: wm8770: Replace direct snd_soc_codec dapm field access
      ASoC: wm8776: Replace direct snd_soc_codec dapm field access
      ASoC: wm8804: Replace direct snd_soc_codec dapm field access
      ASoC: wm8900: Replace direct snd_soc_codec dapm field access
      ASoC: wm8903: Replace direct snd_soc_codec dapm field access
      ASoC: wm8904: Replace direct snd_soc_codec dapm field access
      ASoC: wm8940: Replace direct snd_soc_codec dapm field access
      ASoC: wm8955: Replace direct snd_soc_codec dapm field access
      ASoC: wm8960: Replace direct snd_soc_codec dapm field access
      ASoC: wm8961: Replace direct snd_soc_codec dapm field access
      ASoC: wm8962: Replace direct snd_soc_codec dapm field access
      ASoC: wm8971: Replace direct snd_soc_codec dapm field access
      ASoC: wm8974: Replace direct snd_soc_codec dapm field access
      ASoC: wm8978: Replace direct snd_soc_codec dapm field access
      ASoC: wm8983: Replace direct snd_soc_codec dapm field access
      ASoC: wm8985: Replace direct snd_soc_codec dapm field access
      ASoC: wm8988: Replace direct snd_soc_codec dapm field access
      ASoC: wm8990: Replace direct snd_soc_codec dapm field access
      ASoC: wm8991: Replace direct snd_soc_codec dapm field access
      ASoC: wm8993: Replace direct snd_soc_codec dapm field access
      ASoC: wm8994: Replace direct snd_soc_codec dapm field access
      ASoC: wm8995: Replace direct snd_soc_codec dapm field access
      ASoC: wm8996: Replace direct snd_soc_codec dapm field access
      ASoC: wm8997: Replace direct snd_soc_codec dapm field access
      ASoC: wm9081: Replace direct snd_soc_codec dapm field access
      ASoC: wm9090: Replace direct snd_soc_codec dapm field access
      ASoC: wm_hubs: Replace direct snd_soc_codec dapm field access
      ASoC: rx51: Automatically disconnect non-connected pins

Laura Abbott (2):
      n_tty: Fix auditing support for cannonical mode
      ARM: 8394/1: update memblock limit after mapping lowmem

Laurent Dufour (4):
      powerpc: Enable sys_kcmp() for CRIU
      mm: new mm hook framework
      mm: new arch_remap() hook
      powerpc/mm: tracking vDSO remap

Laurent Navet (5):
      [media] fc0013: remove unneeded test
      drivers: pcmcia: ds.c fix checkpatch errors
      drivers: pcmcia: electra_cf.c fix checkpatch error and warnings
      iio: buffer: remove unneeded test
      MAINTAINERS: update Emulex ocrdma email addresses

Laurent Pinchart (7):
      DT: i2c: Deprecate adi,adxl34x compatible string
      Input: adxl34x - add OF match support
      [media] uvcvideo: Implement DMABUF exporter role
      [media] uvcvideo: Fix incorrect bandwidth with Chicony device 04f2:b50b
      [media] uvcvideo: Remove unneeded device disconnected flag
      [media] MAINTAINERS: Add entry for the Renesas VSP1 driver
      [media] vb2: Don't WARN when v4l2_buffer.bytesused is 0 for multiplanar buffers

Laurentiu Palcu (4):
      power_supply: Add TI BQ24257 charger driver
      Documentation: devicetree: Add TI BQ25890 bindings
      power_supply: Add support for TI BQ25890 charger chip
      power_supply: bq25890: make chip_id int

Lauri Kenttä (1):
      rtlwifi: rtl8188ee: Fix pwrseqcmd.h include path.

Laxman Dewangan (8):
      regulator: max8973: set startup time for rail based on BIAS control
      regulator: max8973: make default/unset dvs gpio as invalid gpio
      regulator: max8973: get rid of extra variable for gpio validity
      regulator: max8973: add DT parsing of platform specific parameter
      regulator: max8973: add mechanism to enable/disable through GPIO
      regulator: max8973: configure ramp delay through callback
      regulator: max8973: add support for MAX77621
      rtc: palmas: Initialise bb_charging flag before using it

Lee Campbell (1):
      MAINTAINERS: Fix typo in Android drivers git path

Lee Jones (36):
      ARM: sti: Provide DT nodes for SSC[0..4]
      ARM: sti: Provide DT nodes for SBC SSC[0..2]
      mfd: dt-bindings: Provide human readable defines for LPC mode choosing
      watchdog: bindings: Provide ST bindings for ST's LPC Watchdog device
      ARM: multi_v7_defconfig: Enable support for ST's LPC Watchdog
      ARM: multi_v7_defconfig: Enable support for ST's LPC RTC
      watchdog: st_wdt: Add new driver for ST's LPC Watchdog
      rtc: bindings: Provide ST bindings for ST's LPC RTC device
      rtc: st: Add new driver for ST's LPC RTC
      MAINTAINERS: Add Watchdog and RTC files to STI's maintainer entry
      ARM: STi: DT: STiH407: Add Device Tree node for the LPC
      ARM: STi: STiH407: Add DT nodes for for PWM
      ARM: STi: STiH416: Add Pinctrl settings for PWM
      ARM: STi: STiH416: Add DT nodes for PWM
      ARM: STi: STiH416-b2020e: Enable PWM on the B2020 Rev-E
      ARM: STi: STiH407: Add Restart support for STiH407
      ARM: STi: STiH416: Add Restart support for STiH416
      ARM: STi: STiH416: Enable Cortex-A9 PMU support
      ARM: STi: STiH416: Enable PMU IRQs
      ARM: STi: STiH407: Enable Cortex-A9 PMU support
      ARM: STi: STiH407: Enable PMU IRQs
      ARM: STi: Ensure requested STi's SysCfg Controlled IRQs are enabled at boot
      ARM: STi: DT: STih407: Re-order #include <*.dtsi> files
      regulator: pwm-regulator: Remove unnecessary descriptor attribute from ddata
      regulator: pwm-regulator: Remove superfluous is_enabled check
      regulator: pwm-regulator: Diffientiate between dev (device) and rdev (regulator_dev)
      mailbox: Add ability for clients to request channels by name
      dt: mailbox: Remove 'mbox-names property is discouraged' message from binding
      mfd: cros_ec: Staticise some newly introduced structures
      Merge branches 'ib-mfd-watchdog-rtc-4.2', 'ib-mfd-regulator-4.2' and 'ib-mfd-i2c-input-chrome-4.2' into ibs-for-mfd-merged
      MAINTAINERS: Add Arnd Bergmann as Syscon reviewer
      mfd: dt-bindings: Provide human readable defines for LPC mode choosing
      ARM: multi_v7_defconfig: Enable support for ST's LPC Watchdog
      watchdog: bindings: Provide ST bindings for ST's LPC Watchdog device
      watchdog: st_wdt: Add new driver for ST's LPC Watchdog
      watchdog: st_wdt: Update IP layout information to include Clocksource

Leilei Zhao (1):
      tty/serial: at91: handle IRQ status more safely

Len Brown (2):
      x86/smp/boot: Add cmdline "cpu_init_udelay=N" to specify cpu_up() delay
      x86/smp/boot: Remove 10ms delay from cpu_up() on modern processors

Lendacky, Thomas (11):
      amd-xgbe: Add additional stats to be reported via ethtool
      amd-xgbe: Add netif_* message support to the driver
      amd-xgbe: Rework the Rx path SKB allocation
      amd-xgbe: Move the PHY support into amd-xgbe
      amd-xgbe: Support defining PHY resources in ETH device node
      amd-xgbe: Fix flow control setting logic
      amd-xgbe: Remove manual check and set of dma_mask pointer
      amd-xgbe: Add setting of a missing hardware feature
      amd-xgbe: Fix initial mode when auto-negotiation is disabled
      amd-xgbe: Add more netif_dbg output to the driver
      amd-xgbe: Use disable_irq_nosync from within timer function

Lennert Buytenhek (10):
      mac802154: Avoid rtnl deadlock in mac802154_wpan_ioctl().
      ieee802154 socket: Return EMSGSIZE from raw_sendmsg() if packet too big.
      Documentation/networking/ieee802154.txt: fix various inaccuracies.
      ieee802154: Remove ieee802154_reduced_mlme_ops references.
      ieee802154: Remove 802.15.4/6LoWPAN checks for interface MTU.
      ieee802154 socket: No need to check for ARPHRD_IEEE802154 in raw_bind().
      mac802154: mac802154_mlme_start_req() optimisation.
      ieee802154: Fix generation of random EUI-64 addresses.
      ieee802154: Fix EUI-64 station address validation.
      ieee802154: Fix sockaddr_ieee802154 implicit padding information leak.

Leo Yan (1):
      Bluetooth: btwilink: remove DEBUG define

Leon Romanovsky (1):
      mm: nommu: refactor debug and warning prints

Leonardo Carreras (1):
      dmaengine: pl08x: Suppress spaces in indentation

Leonid Yegoshin (1):
      MIPS: Replace smp_mb with release barrier function in unlocks.

Leonidas Da Silva Barbosa (2):
      crypto: nx - Fixing NX data alignment with nx_sg list
      crypto: nx - Fixing SHA update bug

Ley Foon Tan (1):
      nios2: check number of timer instances

Li RongQing (9):
      xfrm: remove the xfrm_queue_purge definition
      xfrm: optimise the use of walk list header in xfrm_policy/state_walk
      xfrm: fix the return code when xfrm_*_register_afinfo failed
      xfrm: slightly optimise xfrm_input
      xfrm: fix a race in xfrm_state_lookup_byspi
      ipv4: remove the unnecessary codes in fib_info_hash_move
      xfrm: remove the unnecessary checking before call xfrm_pol_hold
      xfrm: move the checking for old xfrm_policy hold_queue to beginning
      xfrm: optimise to search the inexact policy list

Li Zhang (1):
      perf symbols: Check access permission when reading symbol files

Li, Liang Z (1):
      xen-netfront: Remove the meaningless code

Liad Kaufman (5):
      iwlwifi: rs: remove code duplication when filling lq cmd
      iwlwifi: pcie: support marbh fw dbg mode
      iwlwifi: rs: remove unneeded check of average tpt in window
      iwlwifi: nvm: fix otp parsing in 8000 hw family
      iwlwifi: wrt: add mipi type to debug types

Liam Girdwood (6):
      ASoC: Intel: fix broadwell module removing failed issue
      ASoC: DAPM: Add APIs to create individual DAPM controls.
      ASoC: topology: Add topology UAPI header
      ASoC: topology: Add topology core
      ALSA: topology: Export ID types for TLV controls.
      ASoC: dapm: fix build errors for missing snd_soc_dapm_new_control symbol

Libin Yang (4):
      ALSA: hda - add hdac stream trace
      ALSA: hda - rename hda_intel_trace.h to hda_controller_trace.h
      ALSA: hda - add hda_intel_trace.h
      ALSA: hda - remove controller dependency on i915 power well for SKL

Lidong Zhong (1):
      dm raid1: keep issuing IO after leg failure

Lina Iyer (3):
      ARM: qcom: Add Subsystem Power Manager (SPM) driver
      ARM: config: Update qcom_defconfig to enable cpuidle
      ARM: config: multi_v7: Update to enable cpuidle for QCOM SoCs

Linus Lüssing (4):
      bridge: multicast: call skb_checksum_{simple_, }validate
      net: Export IGMP/MLD message validation code
      net: fix two sparse warnings introduced by IGMP/MLD parsing exports
      bridge: allow setting hash_max + multicast_router if interface is down

Linus Torvalds (260):
      Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
      Merge git://git.kernel.org/.../davem/sparc
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'please-pull-rusty' of git://git.kernel.org/.../aegl/linux
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge branch 'fixes' of git://git.infradead.org/users/vkoul/slave-dma
      Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 'iommu-fixes-v4.1-rc6' of git://git.kernel.org/.../joro/iommu
      Merge tag 'sound-4.1-rc7' of git://git.kernel.org/.../tiwai/sound
      Merge tag 'pci-v4.1-fixes-2' of git://git.kernel.org/.../helgaas/pci
      Merge branch 'stable/for-linus-4.1' of git://git.kernel.org/.../konrad/ibft
      Merge branch 'stable/for-linus-4.1' of git://git.kernel.org/.../konrad/swiotlb
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'devicetree-for-linus' of git://git.kernel.org/.../glikely/linux
      Merge tag 'usb-4.1-rc7' of git://git.kernel.org/.../gregkh/usb
      Merge tag 'tty-4.1-rc7' of git://git.kernel.org/.../gregkh/tty
      Merge tag 'staging-4.1-rc7' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'driver-core-4.1-rc7' of git://git.kernel.org/.../gregkh/driver-core
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Linux 4.1-rc7
      Merge branch 'for-4.1-fixes' of git://git.kernel.org/.../tj/libata
      Merge git://git.infradead.org/intel-iommu
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge branch 'akpm' (patches from Andrew)
      Merge tag 'misc-for-linus-4.1-rc8' of git://git.kernel.org/.../groeck/linux-staging
      Merge tag 'trace-rb-bm-fix-4.1-rc7' of git://git.kernel.org/.../rostedt/linux-trace
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge git://git.infradead.org/intel-iommu
      Merge tag 'md/4.1-rc7-fixes' of git://neil.brown.name/md
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'sound-4.1-rc8' of git://git.kernel.org/.../tiwai/sound
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'locking-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'irq-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge tag 'ntb-4.1' of git://github.com/jonmason/ntb
      Merge branch 'fixes' of git://git.infradead.org/users/vkoul/slave-dma
      Linux 4.1-rc8
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'trace-fix-filter-4.1-rc8' of git://git.kernel.org/.../rostedt/linux-trace
      Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
      Merge tag 'sound-4.1' of git://git.kernel.org/.../tiwai/sound
      Merge tag 'clk-fixes-for-linus' of git://git.kernel.org/.../clk/linux
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge git://git.kernel.org/.../nab/target-pending
      Linux 4.1
      Merge branch 'for-linus-1' of git://git.kernel.org/.../viro/vfs
      Merge branch 'core-rcu-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'locking-core-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'perf-core-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-core-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-cleanups-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-cpu-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-debug-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-efi-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-fpu-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-kdump-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-microcode-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-core-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-core-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-nohz-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'irq-core-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'for-4.2' of git://git.kernel.org/.../geert/linux-m68k
      Merge tag 'please-pull-paravirt' of git://git.kernel.org/.../aegl/linux
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge tag 'pwm/for-4.2-rc1' of git://git.kernel.org/.../thierry.reding/linux-pwm
      Merge tag 'gpio-v4.2-1' of git://git.kernel.org/.../linusw/linux-gpio
      Merge tag 'mmc-v4.2' of git://git.linaro.org/people/ulf.hansson/mmc
      Merge tag 'hwmon-for-linus-v4.2' of git://git.kernel.org/.../groeck/linux-staging
      Merge tag 'pci-v4.2-changes' of git://git.kernel.org/.../helgaas/pci
      Merge git://git.kernel.org/.../brodo/pcmcia
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/hid
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/livepatching
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/trivial
      Merge tag 'pm+acpi-4.2-rc1' of git://git.kernel.org/.../rafael/linux-pm
      Merge branch 'for-linus-clk' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      Merge tag 'for-linus' of git://git.kernel.org/.../dledford/rdma
      Merge tag 'scsi-misc' of git://git.kernel.org/.../jejb/scsi
      Merge tag 'hsi-for-4.2' of git://git.kernel.org/.../sre/linux-hsi
      Merge tag 'for-4.2' of git://git.infradead.org/battery-2.6
      Merge tag 'fbdev-4.2' of git://git.kernel.org/.../tomba/linux
      Merge tag 'regmap-v4.2' of git://git.kernel.org/.../broonie/regmap
      Merge tag 'spi-v4.2' of git://git.kernel.org/.../broonie/spi
      Merge tag 'regulator-v4.2' of git://git.kernel.org/.../broonie/regulator
      Merge tag 'mfd-for-linus-4.2' of git://git.kernel.org/.../lee/mfd
      Merge tag 'for-linus-20150623' of git://git.infradead.org/linux-mtd
      Merge tag 'iommu-updates-v4.2' of git://git.kernel.org/.../joro/iommu
      Merge tag 'microblaze-4.2-rc1' of git://git.monstr.eu/linux-2.6-microblaze
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge tag 'powerpc-4.2-1' of git://git.kernel.org/.../mpe/linux
      Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'arm64-upstream' of git://git.kernel.org/.../arm64/linux
      Merge branch 'sched-locking-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-hrtimers-for-linus' of git://git.kernel.org/.../tip/tip
      Merge git://git.kernel.org/.../davem/net-next
      Merge tag 'backlight-for-linus-4.2' of git://git.kernel.org/.../lee/backlight
      Merge tag 'pinctrl-v4.2-1' of git://git.kernel.org/.../linusw/linux-pinctrl
      Merge tag 'edac_for_4.2_2' of git://git.kernel.org/.../bp/bp
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge tag 'docs-for-linus' of git://git.lwn.net/linux-2.6
      Merge branch 'for_linus' of git://git.kernel.org/.../jack/linux-fs
      Merge tag 'for-f2fs-4.2' of git://git.kernel.org/.../jaegeuk/f2fs
      Merge tag 'please-pull-pstore' of git://git.kernel.org/.../aegl/linux
      Merge branch 'akpm' (patches from Andrew)
      Merge tag 'for-4.2' of git://git.sourceforge.jp/gitroot/uclinux-h8/linux
      Merge git://git.kernel.org/.../davem/sparc
      Merge tag 'ext4_for_linus' of git://git.kernel.org/.../tytso/ext4
      Merge tag 'upstream-4.2-rc1' of git://git.infradead.org/linux-ubifs
      Merge branch 'for-4.2/core' of git://git.kernel.dk/linux-block
      Merge branch 'for-4.2/drivers' of git://git.kernel.dk/linux-block
      Merge branch 'for-4.2/sg' of git://git.kernel.dk/linux-block
      Merge branch 'for-4.2/writeback' of git://git.kernel.dk/linux-block
      Merge tag 'dm-4.2-changes' of git://git.kernel.org/.../device-mapper/linux-dm
      Merge branch 'for-4.2' of git://git.kernel.org/.../tj/libata
      Merge branch 'dmi-for-linus' of git://git.kernel.org/.../jdelvare/staging
      Merge tag 'sound-4.2-rc1' of git://git.kernel.org/.../tiwai/sound
      Merge branch 'next' of git://git.kernel.org/.../rzhang/linux
      Merge tag 'media/v4.2-1' of git://git.kernel.org/.../mchehab/linux-media
      Merge tag 'edac/v4.2-1' of git://git.kernel.org/.../mchehab/linux-edac
      Merge branch 'mailbox-for-next' of git://git.linaro.org/landing-teams/working/fujitsu/integration
      Merge branch 'i2c/for-4.2' of git://git.kernel.org/.../wsa/linux
      Merge tag 'rtc-v4.2-1' of git://git.kernel.org/.../abelloni/linux
      Merge branch 'akpm' (patches from Andrew)
      Merge tag 'armsoc-cleanup' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'armsoc-soc' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'armsoc-dt' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'armsoc-drivers' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'armsoc-defconfig' of git://git.kernel.org/.../arm/arm-soc
      Merge branch 'for-linus' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge tag 'dm-4.2-fixes' of git://git.kernel.org/.../device-mapper/linux-dm
      Merge branch 'drm-next' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'trace-fixes-4.1' of git://git.kernel.org/.../rostedt/linux-trace
      Merge tag 'trace-v4.2' of git://git.kernel.org/.../rostedt/linux-trace
      Merge tag 'char-misc-4.2-rc1' of git://git.kernel.org/.../gregkh/char-misc
      Merge tag 'driver-core-4.2-rc1' of git://git.kernel.org/.../gregkh/driver-core
      Merge tag 'staging-4.2-rc1' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'tty-4.2-rc1' of git://git.kernel.org/.../gregkh/tty
      Merge tag 'usb-4.2-rc1' of git://git.kernel.org/.../gregkh/usb
      Merge tag 'dma-buf-for-4.2' of git://git.kernel.org/.../sumits/dma-buf
      Merge branch 'for-4.2' of git://git.kernel.org/.../tj/cgroup
      Merge branch 'for-4.2' of git://git.kernel.org/.../tj/wq
      Revert "jbd2: speedup jbd2_journal_dirty_metadata()"
      Merge tag 'gfs2-merge-window' of git://git.kernel.org:/.../gfs2/linux-gfs2
      Merge branch 'for-4.2' of git://linux-nfs.org/~bfields/linux
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge branch 'next' of git://git.kernel.org/.../jmorris/linux-security
      Merge branch 'upstream' of git://git.infradead.org/users/pcmoore/audit
      Merge tag 'vfio-v4.2-rc1' of git://github.com/awilliam/linux-vfio
      Merge branch 'for-linus-4.2-rc1' of git://git.kernel.org/.../rw/uml
      Merge branch 'for-next' of git://git.kernel.org/.../gerg/m68knommu
      Merge tag 'linux-kselftest-4.2-rc1' of git://git.kernel.org/.../shuah/linux-kselftest
      Merge tag 'please-pull-misc-4.2' of git://git.kernel.org/.../aegl/linux
      Merge tag 'dmaengine-4.2-rc1' of git://git.infradead.org/users/vkoul/slave-dma
      Merge tag 'libnvdimm-for-4.2' of git://git.kernel.org/.../djbw/nvdimm
      Merge tag 'md/4.2' of git://neil.brown.name/md
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge branch 'for-linus-4.2' of git://git.kernel.org/.../mason/linux-btrfs
      Merge tag 'xfs-for-linus-4.2-rc1' of git://git.kernel.org/.../dgc/linux-xfs
      Merge branch 'for-next' of git://git.samba.org/sfrench/cifs-2.6
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge git://git.kernel.org/.../cmetcalf/linux-tile
      Merge tag 'arc-4.2-rc1' of git://git.kernel.org/.../vgupta/arc
      Merge tag 'modules-next-for-linus' of git://git.kernel.org/.../rusty/linux
      Merge tag 'for-linus-4.2-rc0-tag' of git://git.kernel.org/.../xen/tip
      Merge tag 'pm+acpi-4.2-rc1-2' of git://git.kernel.org/.../rafael/linux-pm
      Merge tag 'sound-fix-4.2-rc1' of git://git.kernel.org/.../tiwai/sound
      Merge tag 'iommu-fixes-v4.2' of git://git.kernel.org/.../joro/iommu
      Merge git://git.kernel.org/.../davem/net
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge branch 'irq-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'akpm' (patches from Andrew)
      Merge tag 'platform-drivers-x86-v4.2-1' of git://git.infradead.org/users/dvhart/linux-platform-drivers-x86
      Merge branch 'for-next' of git://git.kernel.org/.../cooloney/linux-leds
      Merge tag 'clk-for-linus-4.2' of git://git.kernel.org/.../clk/linux
      Merge git://www.linux-watchdog.org/linux-watchdog
      Merge tag 'devicetree-for-linus' of git://git.kernel.org/.../glikely/linux
      Merge tag 'cpuinit-v4.1-rc8' of git://git.kernel.org/.../paulg/linux
      Merge tag 'module-implicit-v4.1-rc8' of git://git.kernel.org/.../paulg/linux
      Merge tag 'module_init-device_initcall-v4.1-rc8' of git://git.kernel.org/.../paulg/linux
      Merge tag 'module_init-alternate_initcall-v4.1-rc8' of git://git.kernel.org/.../paulg/linux
      Merge tag 'module-builtin_driver-v4.1-rc8' of git://git.kernel.org/.../paulg/linux
      Merge tag 'module-misc-v4.1-rc8' of git://git.kernel.org/.../paulg/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../mszeredi/fuse
      Merge branch 'overlayfs-next' of git://git.kernel.org/.../mszeredi/vfs
      Merge tag 'nfs-for-4.2-1' of git://git.linux-nfs.org/projects/trondmy/linux-nfs
      Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
      Merge tag 'drm-intel-next-fixes-2015-07-02' of git://anongit.freedesktop.org/drm-intel
      Merge branch 'drm-next-4.2' of git://people.freedesktop.org/~agd5f/linux
      Merge tag 'armsoc-late' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'please-pull-put_kernel_page' of git://git.kernel.org/.../aegl/linux
      Merge tag 'hwmon-for-linus-v4.2-rc1' of git://git.kernel.org/.../groeck/linux-staging
      Merge branch 'kconfig' of git://git.kernel.org/.../mmarek/kbuild
      Merge branch 'kbuild' of git://git.kernel.org/.../mmarek/kbuild
      make certificate list change message more useful
      Merge tag 'acpica-4.2-rc1' of git://git.kernel.org/.../rafael/linux-pm
      Merge tag 'edac_urgent_for_4.2' of git://git.kernel.org/.../bp/bp
      Merge tag 'fbdev-fixes-4.2' of git://git.kernel.org/.../tomba/linux
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge branch 'hwmon-for-linus' of git://git.kernel.org/.../jdelvare/staging
      Merge tag 'nios2-v4.2' of git://git.rocketboards.org/linux-socfpga-next
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge tag 'hwspinlock-4.2' of git://git.kernel.org/.../ohad/hwspinlock
      Merge tag 'remoteproc-4.2' of git://git.kernel.org/.../ohad/remoteproc
      Merge branch 'for-linus' of git://git.kernel.org/.../ebiederm/user-namespace
      Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
      Merge tag 'topic/drm-fixes-2015-07-04' of git://anongit.freedesktop.org/drm-intel
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge branch 'core-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'irq-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'ntb-4.2' of git://github.com/jonmason/ntb
      Merge branch 'for-next' of git://git.kernel.org/.../nab/target-pending
      bluetooth: fix list handling
      Merge branch 'for-linus' of git://git.kernel.org/.../viro/vfs
      Merge tag 'platform-drivers-x86-v4.2-2' of git://git.infradead.org/users/dvhart/linux-platform-drivers-x86
      Linux 4.2-rc1
      Merge tag 'ext4_for_linus_stable' of git://git.kernel.org/.../tytso/ext4
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'for-linus' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      Fix broken audit tests for exec arg len
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../rusty/linux
      Merge branch 'stable' of git://git.kernel.org/.../cmetcalf/linux-tile
      Merge tag 'pm+acpi-4.2-rc2' of git://git.kernel.org/.../rafael/linux-pm
      Fix firmware loader uevent buffer NULL pointer dereference
      Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
      Merge branch 'hpfs-patches' (patches from Mikulas Patocka)
      Merge tag 'powerpc-4.2-2' of git://git.kernel.org/.../powerpc/linux
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge branch 'parisc-4.2-1' of git://git.kernel.org/.../deller/parisc-linux
      Merge tag 'armsoc-fixes' of git://git.kernel.org/.../arm/arm-soc
      Merge branch 'for-linus-4.2' of git://git.kernel.org/.../mason/linux-btrfs
      Merge branch 'for-linus2' of git://git.kernel.org/.../jmorris/linux-security
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'clk-fixes-for-linus' of git://git.kernel.org/.../clk/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
      Merge branch 'libnvdimm-fixes' of git://git.kernel.org/.../djbw/nvdimm
      Merge branch 'irq-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge branch 'for-linus' of git://git.kernel.org/.../viro/vfs
      Revert "drm/i915: Use crtc_state->active in primary check_plane func"
      Linux 4.2-rc2

Linus Walleij (61):
      ARM: ux500: define CPU topology
      pinctrl: move strict option to pinmux_ops
      pinctrl: nomadik: activate strict mux mode
      pinctrl: nomadik: assign chips dynamically
      iio: st_sensors: print error when failing to get IRQ
      iio: st_sensors: make interrupt optional
      iio: st_sensors: make BDU optional
      iio: st_sensors: make detection more helpful
      iio: magnetometer: support for lsm303dlh
      clk: ux500: ape[ate|trace]clk are scaleable
      iio: make tools more cross-compilation friendly
      MFD/OF: document MFD devices and handle simple-mfd
      ARM: dts: update syscons to use simple-mfd
      leds: syscon: instantiate from platform device
      arm64: juno: Add APB registers and LEDs using syscon
      arm64: add LEDs and some trigger support to defconfig
      Merge tag 'v4.1-rc3' into devel
      ARM: ux500: add CoreSight blocks to DTS file
      ARM: ux500: fix lsm303dlh magnetometer compat string
      ARM: ux500: assign the sensor trigger IRQs
      ARM: ux500: add the sensors to the STUIB board
      ARM: ux500: add PERF_EVENTS to defconfig
      ARM: ux500: enable CoreSight in defconfig
      ARM: ux500: get rid of static maps
      gpio: discourage passing base to gpio_chip
      ARM: ux500: add SCU and WD to device tree
      ARM: ux500: delete UART static map
      ARM: ux500: remove static maps from platsmp
      ARM: ux500: remap BB offset dynamically
      ARM: ux500: get SCU base from device tree
      ARM: ux500: get rid of static GIC dist base
      ARM: ux500: get rid of SCU and backupram static maps
      ARM: ux500: kill off L2CC static map
      ARM: ux500: look up PRCMU resource from DT
      ARM: ux500: rename ux500_map_io
      ARM: ux500: delete static resource defines
      ARM: scu: document Snoop Control Unit DT bindings
      ARM: ux500: add board documentation
      ARM: ux500: define the backupram in the device tree
      pinctrl: mediatek: add OF dependency to MT6397
      coresight: etm: print what version of ETM/PTM is detected
      coresight: support the TPIU version found in Ux500
      coresight: etm: let runtime PM handle core clock
      coresight: tpiu: let runtime PM handle core clock
      coresight: etb: let runtime PM handle core clock
      coresight: funnel: let runtime PM handle core clock
      coresight: tmc: let runtime PM handle core clock
      coresight: etm: retrieve and handle atclk
      coresight: tpiu: retrieve and handle atclk
      coresight: etb: retrieve and handle atclk
      coresight: funnel: retrieve and handle atclk
      coresight: replicator: retrieve and handle atclk
      coresight: document the bindings for the ATCLK
      pinctrl: improve debugfs for strict controllers
      iio: st_accel: support 8bit channel data
      iio: st_accel: support the LIS331DL sensor
      gpio: promote own request failure to pr_err()
      gpio: improve error reporting on own descriptors
      ARM64: juno: add GPIO keys
      ARM64: add GPIO keys to the defconfig
      ARM: nomadik: set proper compatible for accelerometer

Lior Amsalem (4):
      dmaengine: mv_xor: bug fix for racing condition in descriptors cleanup
      dmaengine: mv_xor: add support for a38x command in descriptor mode
      dmaengine: mv_xor: Enlarge descriptor pool size
      dmaengine: mv_xor: improve descriptors list handling and reduce locking

Liu Bo (6):
      Btrfs: remove csum_bytes_left
      Btrfs: add missing free_extent_buffer
      Btrfs: fix up read_tree_block to return proper error
      Btrfs: fix use-after-free in btrfs_replay_log
      Btrfs: fix hang when failing to submit bio of directIO
      Btrfs: fix warning of bytes_may_use

Liu Ying (1):
      video: mxsfb: Make sure axi clock is enabled when accessing registers

Liviu Dudau (6):
      arm64: Juno: Fix the GIC node address label and the frequency of FAXI clock.
      arm64: Juno: Split juno.dts into juno-base.dtsi and juno.dts.
      arm64: Juno: Add memory mapped timer node
      arm64: Juno: Add GICv2m support in device tree.
      arm64: Add DT support for Juno r1 board.
      Documentation: bindings: Add DT bindings for ARM Juno boards.

Loc Ho (5):
      arm64: Enable EDAC on ARM64
      MAINTAINERS: Add entry for APM X-Gene SoC EDAC driver
      Documentation: Add documentation for the APM X-Gene SoC EDAC DTS binding
      EDAC: Add APM X-Gene SoC EDAC driver
      arm64: Add APM X-Gene SoC EDAC DTS entries

Loic Poulain (2):
      Bluetooth: Reorder HCI user channel socket release
      Bluetooth: Don't call shutdown when leaving user channel

Lorenzo Pieralisi (5):
      ACPI / proc: make ACPI_PROCFS_POWER X86 only
      ARM64: kernel: make cpu_ops hooks DT agnostic
      ARM64: kernel: unify ACPI and DT cpus initialization
      ARM: kvm: psci: fix handling of unimplemented functions
      mfd: Check ACPI device companion before checking resources

Lorenzo Stoakes (1):
      gcov: add support for GCC 5.1

Lu, Han (3):
      drm/i915/audio: add codec wakeup override enabled/disable callback
      ALSA: hda - reset display codec when power on
      ALSA: hda: Intel: enable automatic runtime pm for HDMI codecs by default

Lubomir Rintel (2):
      dt/bindings: Add binding for the BCM2835 mailbox driver
      mailbox: Enable BCM2835 mailbox support

Luca Abeni (8):
      sched/dl/Documentation: Switch to American English
      sched/dl/Documentation: Fix typos
      sched/dl/Documentation: Use consistent naming
      sched/dl/Documentation: Clarify indexing notation
      sched/dl/Documentation: Add some notes on EDF schedulability
      sched/dl/Documentation: Add some references
      sched/dl/Documentation: Clarify the relationship between tasks' deadlines and absolute scheduling deadlines
      sched/dl/Documentation: Split Section 3

Luca Ceresoli (26):
      staging: rtl8188eu: remove duplicated comments
      staging: rtl8188eu: fix comments over 80 characters
      staging: rtl8188eu: document enum where it is declared
      staging: rtl8188eu: simplify nested ifs
      staging: rtl8188eu: fix lines over 80 characters
      staging: rtl8188eu: remove useless comment
      staging: rtl8188eu: remove commented code
      staging: rtl8723au: remove useless return value
      staging: rtl8723au: remove useless comment
      staging: rtl8712: remove useless comment
      staging: rtl8712: remove unneeded forward declaration
      staging: rtl8712: remove useless return value
      staging: rtl8188eu: cosmetic: remove useless spaces
      staging: rtl8188eu: add missing blank lines after declarations
      staging: rtl8188eu: remove useless return value
      staging: rtl8188eu: declare internal symbols as static
      staging: rtl8188eu: return an error code, not a boolean
      staging: rtl8188eu: rtw_mlme_ext.c: reorder message callbacks and tables
      staging: rtl8188eu: rtw_mlme_ext.c: unexport message callbacks
      staging: rtl8188eu: unexport internal functions
      staging: rtl8188eu: issue_probersp(): remove unused parameter
      staging: rtl8723au: issue_probersp(): remove unused parameter
      staging: rtl8188eu: issue_probersp(): remove unused variable and dead code
      staging: rtl8188eu: fix wrong debug print
      staging: rtl8188eu: don't define issue_asocrsp() in non-AP mode
      staging: rtl8188eu: don't define OnAuth() in non-AP mode

Lucas Stach (1):
      ARM: dts: imx6qdl: move HDMI controller to right location in DT

Luciano Coelho (44):
      iwlwifi: mvm: add scan parameters debugging info
      iwlwifi: mvm: don't increase max_out_time when low priority scan is requested
      iwlwifi: mvm: convert scan_status to a bitmap
      iwlwifi: mvm: don't wait for scan stopped work when cancelling scans
      iwlwifi: mvm: check if scan can be started before cancelling other scans
      iwlwifi: mvm: generalize the other-scan stopping code
      iwlwifi: mvm: rename unified_scan symbols to just scan
      iwlwifi: mvm: move scan code from mac80211.c to scan.c
      iwlwifi: mvm: differentiate net-detect from sched scan
      iwlwifi: mvm: some clean ups in fw-api-scan.h
      iwlwifi: mvm: always use iwl_mvm_scan_size to calculate the scan size
      iwlwifi: mvm: combine scan size checks into a common function
      iwlwifi: mvm: combine parts of UMAC and LMAC scans
      iwlwifi: mvm: combine parts of UMAC and LMAC sched scans
      iwlwifi: mvm: add common scan params to thw iwl_mvm_scan_params struct
      iwlwifi: mvm: combine ssid_bitmap setting for regular scans
      iwlwifi: mvm: revert order of SSIDs for sched scans
      iwlwifi: mvm: combine SSID functions for sched and regular scans
      iwlwifi: mvm: rename scan_calc_params to scan_calc_dwell
      iwlwifi: mvm: combine LMAC and UMAC preq generation
      iwlwifi: mvm: add number of scan iterations and multiplier to params
      iwlwifi: mvm: combine LMAC scans into one
      iwlwifi: mvm: trim sched scan delay down to 16-bit for LMAC as well
      iwlwifi: mvm: combine UMAC scans into one
      iwlwifi: mvm: move all UMAC scan flags setting into the relevant function
      iwlwifi: mvm: move all LMAC scan flags into a single funtion
      iwlwifi: mvm: rename generic_scan_cmd functions to dwell
      iwlwifi: mvm: remove some unused stuff from scan.c
      iwlwifi: pcie: don't call set_pwr functions for family 8000
      iwlwifi: mvm: small fix in a comment about UMAC scan schedules
      iwlwifi: mvm: remove the UMAC specific scan types
      iwlwifi: mvm: fix the net-detect SSIDs report order
      iwlwifi: mvm: make iwl_mvm_config_sched_scan_profiles() static
      iwlwifi: mvm: reorganize scan stopping functions
      iwlwifi: mvm: don't stop regular scans when going out of idle state
      iwlwifi: mvm: combine part of the scan stop flows
      iwlwifi: mvm: rename umac scan stop function
      iwlwifi: mvm: rename some LMAC-specific scan functions
      iwlwifi: mvm: refactor UMAC scan UID handling
      iwlwifi: mvm: remove code that stops multiple UMAC scans of a type
      iwlwifi: mvm: combine UMAC and LMAC scan_stop functions
      iwlwifi: mvm: combine regular and sched scan stop functions
      iwlwifi: mvm: make UMAC scans use the stopping scan status
      iwlwifi: mvm: treat scan races also on UMAC scans

Ludek Hlavacek (1):
      w1: ds2482: Add i2c module alias name

Ludovic Desroches (6):
      ARM: at91/dt: sama5d4: update pinctrl ranges
      ARM: at91/dt: sama5d4 xplained: add regulators for v(q)mmc1 supplies
      ARM: at91/dt: sama5d4ek: mci0 uses slot 0
      dmaengine: at_xdmac: lock fixes
      dmaengine: at_xdmac: rework slave configuration part
      pinctrl: don't print unavailable function groups

Luis Henriques (4):
      ACPI / battery: abort initialization earlier if acpi_disabled
      ACPI / battery: drop useless return statements
      ACPI / battery: ensure acpi_battery_init() has finish
      dm stripe: drop useless exit point from dm_stripe_init()

Luis R. Rodriguez (52):
      x86/mm: Add ioremap_uc() helper to map memory uncacheable (not UC-)
      module: add extra argument for parse_params() callback
      driver-core: add driver module asynchronous probe support
      driver-core: enable drivers to opt-out of async probe
      amd64_edac: enforce synchronous probe
      firmware: fix __getname() missing failure check
      firmware: check for file truncation on direct firmware loading
      firmware: fix possible use after free on name on asynchronous request
      firmware: use const for remaining firmware names
      staging: sm750fb: use arch_phys_wc_add() and ioremap_wc()
      x86/mm/pat: Convert to pr_*() usage
      x86/mm/mtrr, pat: Document Write Combining MTRR type effects on PAT / non-PAT pages
      x86/mm/mtrr: Avoid #ifdeffery with phys_wc_to_mtrr_index()
      x86/mm/mtrr: Generalize runtime disabling of MTRRs
      x86/mm/pat: Wrap pat_enabled into a function API
      x86/mm/pat: Export pat_enabled()
      kernel/params: constify struct kernel_param_ops uses
      kernel/module.c: use generic module param operaters for sig_enforce
      kernel/params.c: generalize bool_enable_only
      kernel/params.c: export param_ops_bool_enable_only
      kernel/workqueue.c: remove ifdefs over wq_power_efficient
      kernel/module.c: avoid ifdefs for sig_enforce declaration
      video: fbdev: radeonfb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: gbefb: add missing mtrr_del() calls
      staging: xgifb: use arch_phys_wc_add() and ioremap_wc()
      firmware: add missing kfree for work on async call
      video: fbdev: gbefb: use arch_phys_wc_add() and devm_ioremap_wc()
      video: fbdev: intelfb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: matrox: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: neofb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: nvidia: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: savagefb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: sisfb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: aty: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: i810: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: pm2fb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: pm3fb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: rivafb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: tdfxfb: use arch_phys_wc_add() and ioremap_wc()
      video: fbdev: atmel_lcdfb: use ioremap_wc() for framebuffer
      video: fbdev: geode gxfb: use ioremap_wc() for framebuffer
      Documentation: extend use case for EXPORT_SYMBOL_GPL()
      ia64: remove paravirt code
      fusion: remove dead MTRR code
      ia64: export flush_icache_range for module use
      video: fbdev: vesafb: only support MTRR_TYPE_WRCOMB
      video: fbdev: vesafb: add missing mtrr_del() for added MTRR
      video: fbdev: vesafb: use arch_phys_wc_add()
      kconfig: clarify kvmconfig is for kvm
      kconfig: add xenconfig defconfig helper
      x86/mm/pat, drivers/media/ivtv: Use arch_phys_wc_add() and require PAT disabled
      x86/mm/pat, drivers/infiniband/ipath: Use arch_phys_wc_add() and require PAT disabled

Luiz Capitulino (2):
      kvmclock: set scheduler clock stable
      x86: kvmclock: set scheduler clock stable

Lukas Czerner (6):
      ext4: verify block bitmap even after fresh initialization
      ext4: try to initialize all groups we can in case of failure on ppc64
      ext4: return error code from ext4_mb_good_group()
      ext4: recalculate journal credits as inode depth changes
      ext4: wait for existing dio workers in ext4_alloc_file_blocks()
      ext4: fix reservation release on invalidatepage for delalloc fs

Lukas Wunner (1):
      perf tools: Fix build breakage if prefix= is specified

Lukasz Pawelczyk (1):
      smack: pass error code through pointers

Luke Dashjr (1):
      SubmittingPatches: Clarify requirements for patches directed toward stable

Lv Zheng (30):
      ACPI / EC: Update acpi_ec_is_gpe_raised() with new GPE status flag.
      ACPI / EC: Remove storming threashold enlarging quirk.
      ACPI / EC: Remove irqs_disabled() check.
      ACPI / EC: Fix and clean up register access guarding logics.
      ACPI / EC: Add module params for polling modes.
      ACPI / EC: Remove non-root-caused busy polling quirks.
      ACPICA: Divergence: Remove redundant definitions.
      ACPICA: Dispatcher: Fix a resource leak issue in acpi_ds_auto_serialize_method().
      ACPICA: Hardware: Fix a resource leak issue in acpi_hw_build_pci_list().
      ACPICA: ACPI 6.0: Add ACPI_SUB_PTR().
      ACPICA: ACPI 6.0: Add support for IORT table.
      ACPICA: ACPI 6.0: Add changes for DRTM table.
      ACPI / EC: Cleanup transaction state transition.
      ACPI / EC: Convert event handling work queue into loop style.
      ACPI / EC: Add event clearing variation support.
      ACPI / EC: Fix EC_FLAGS_QUERY_HANDSHAKE platforms using new event clearing timing.
      ACPI / EC: Fix a code coverity issue when QR_EC transactions are failed.
      ACPICA: Linuxize: Reduce divergences for 20150616 release
      ACPICA: Linuxize: Replace __FUNCTION__ with __func__
      ACPICA: Hardware: Enable 64-bit firmware waking vector for selected FACS
      ACPICA: Tables: Enable both 32-bit and 64-bit FACS
      ACPICA: Hardware: Enable firmware waking vector for both 32-bit and 64-bit FACS
      ACPICA: Tables: Fix an issue that FACS initialization is performed twice
      ACPICA: Tables: Enable default 64-bit FADT addresses favor
      ACPICA: MSVC6: Fix build issue for variable argument macros
      ACPICA: EFI: Add EFI interface definitions to eliminate dependency of GNU EFI
      ACPICA: Namespace: Add support to allow overriding objects
      ACPICA: acpidump: Allow customized tables to be dumped without accessing /dev/mem
      ACPICA: acpidump: Convert the default behavior to dump from /sys/firmware/acpi/tables
      ACPI / acpidump: Update acpidump manual

Maarten Lankhorst (70):
      drm/i915: get rid of put_shared_dpll
      drm/i915: get rid of intel_crtc_disable and related code, v3
      drm/i915: add intel_display_suspend, v2
      drm/i915: use intel_crtc_control everywhere, v3.
      drm/i915: Use drm_atomic_helper_update_legacy_modeset_state, v2.
      drm/i915: Use crtc_state->active instead of crtc_state->enable
      drm/i915: Make sure all planes and connectors are added on modeset.
      drm/i915: update plane state during init
      drm/i915: do not wait for vblank when crtc is off
      drm/i915: calculate primary visibility changes instead of calling from set_config
      drm/i915: Zap call to drm_plane_helper_disable, v2.
      drm/i915: Use global atomic state for staged pll, config, v3.
      drm/i915: Use drm_atomic_helper_swap_state in intel_atomic_commit.
      drm/i915: Swap planes on each crtc separately, v2.
      drm/i915: Implement intel_crtc_control using atomic state, v4
      drm/i915: Make intel_display_suspend atomic, v2.
      drm/i915: move swap state to the right place
      drm/i915: Use crtc->hwmode for vblanks, v2.
      drm/i915: Remove use of crtc->config from i915_debugfs.c
      drm/i915: Calculate haswell plane workaround, v5.
      drm/i915: Use atomic state for calculating DVO_2X_MODE on i830.
      drm/i915: use calculated state for vblank evasion
      Revert "drm/i915: Make intel_display_suspend atomic, v2."
      Revert "drm/i915: Read hw state into an atomic state struct, v2."
      drm/i915: Do not use atomic modesets in hw readout.
      drm/i915: get rid of intel_plane_restore in intel_crtc_page_flip
      drm/i915: Set hwmode during readout.
      drm/i915: Only enable cursor if it can be enabled.
      drm/i915: Use crtc state in intel_modeset_pipe_config
      drm/i915: Clean up intel_atomic_setup_scalers slightly.
      drm/i915: Add a simple atomic crtc check function, v2.
      drm/i915: Move scaler setup to check crtc function, v2.
      drm/i915: Assign a new pll from the crtc check function, v2.
      drm/i915: Split skl_update_scaler, v4.
      drm/i915: Split plane updates of crtc->atomic into a helper, v2.
      drm/i915: clean up plane commit functions
      drm/i915: clean up atomic plane check functions, v2.
      drm/i915: remove force argument from disable_plane
      drm/i915: move detaching scalers to begin_crtc_commit, v2.
      drm/i915: Move crtc commit updates to separate functions.
      drm/i915: Do not run most checks when there's no modeset.
      drm/i915: Handle disabling planes better, v2.
      drm/i915: atomic plane updates in a nutshell
      drm/i915: Update less state during modeset.
      drm/i915: Make setting color key atomic.
      drm/i915: Remove transitional references from intel_plane_atomic_check.
      drm/i915: Make cdclk part of the atomic state.
      drm/i915: Do not call intel_crtc_disable if the crtc is already disabled.
      drm/i915: Only update state on crtc's that are part of the atomic state.
      drm/i915: Do not update pfit state when toggling crtc enabled.
      drm/i915: Do not use plane_config in intel_fbdev.c
      drm/i915: Allow fuzzy matching in pipe_config_compare, v2.
      drm/i915: Update missing properties in find_initial_plane_obj
      drm/i915: Remove plane_config from struct intel_crtc, v2.
      drm/i915: Rework plane readout.
      drm/i915: Fix reference leak in intel_modeset_readout_hw_state.
      drm/i915: Zero the mode in intel_sanitize_crtc when force disabling.
      drm/i915: Calculate vblank timestamping constants before enabling vblank.
      drm/i915: Readout initial hw mode.
      drm/i915: Convert resume to atomic.
      drm/i915: Get rid of unused transitional members.
      drm/i915: Update power domains on readout.
      drm/i915: Always force a modeset in intel_crtc_restore_mode, v2.
      drm/i915: Make intel_display_suspend atomic, try 2.
      drm/i915: fill in more mode members
      drm/i915: Fix noatomic crtc disabling, v2.
      drm/i915: Use full atomic modeset.
      drm/i915: Call plane update functions directly from intel_atomic_commit.
      drm/i915: Remove use of runtime pm in atomic commit functions
      drm/i915: always disable irqs in intel_pipe_update_start

Maciej S. Szmigiero (4):
      ASoC: ac97: Remove rate constraints
      ASoC: ac97: make selectable in config
      ASoC: codecs: use SNDRV_PCM_FMTBIT_* for format bitmask
      hwmon: add driver for Microchip TC74

Maciej W. Rozycki (5):
      MIPS: Avoid an FPE exception in FCSR mask probing
      MIPS: tlb-r3k: Also invalidate wired TLB entries on boot
      MIPS: tlb-r3k: Move CP0.Wired register initialisation to `tlb_init'
      MIPS: tlb-r3k: Optimise a TLBWI barrier in TLB invalidation
      MIPS: DEC: Update CPU overrides

Madhavan Srinivasan (1):
      perf tools: Remove newline char when reading event scale and unit

Madhusudhanan Ravindran (3):
      staging: fbtft: replace fbtft_dev_dbg with standard dev_dbg call
      staging: emxx_udc: remove commented code
      staging: wilc1000: remove unused variables

Mahesh Bandewar (6):
      ipvlan: Defer multicast / broadcast processing to a work-queue
      ipvlan: Always set broadcast bit in multicast filter
      bonding: Allow userspace to set actors' system_priority in AD system
      bonding: Allow userspace to set actors' macaddr in an AD-system.
      bonding: Implement user key part of port_key in an AD system.
      bonding: Display LACP info only to CAP_NET_ADMIN capable user

Majd Dibbiny (9):
      net/mlx5_core: Make the vport helpers available for the IB driver too
      net/mlx5_core: Add new query HCA vport commands
      net/mlx5_core: Get vendor-id using the query adapter command
      net/mlx5_core: Use port number in the query port mtu helpers
      net/mlx5_core: Use port number when querying port ptys
      net/mlx5_core: Add more query port helpers
      IB/mlx5: Avoid using the MAD_IFC command under ISSI > 0 mode
      IB/mlx5: Don't create IB instance over Ethernet ports
      net/mlx5_core: Fix static checker warnings around system guid query flow

Malcolm Priestley (14):
      staging: vt6655: device_intr check for vif on while loop
      staging: vt6655: implement ieee80211_low_level_stats
      staging: vt6655: dead code remove STAvUpdate802_11Counter
      staging: vt6655: Remove call to STAvUpdateIsrStatCounter.
      staging: vt6655: remove mib.c/h dead code.
      staging: vt6655: use workqueue for interrupt handling
      staging: vt6655: vnt_interrupt_process remove page 0 select
      staging: vt6655: vnt_interrupt_process remove camel case.
      staging: vt6655: replace and resize dwIsr
      staging: vt6655: device_rx_srv check sk_buff is NULL
      [media] lmedm04: Enable dont_poll for TS2020 tuner
      [media] lmedm04: implement dvb v5 statistics
      staging: vt6655: remove suspend struct notifier_block.
      staging: vt6655: use module_pci_driver helper

Maninder Singh (8):
      dmaengine: pl330: Initialize pl330 for pl330_prep_dma_memcpy after NULL check of pch
      packet: remove handling of tx_ring
      arm64/hw_breakpoint.c: remove unnecessary header
      drm/radeon: use kzalloc for allocating one thing
      drm/amdgpu: use kzalloc for allocating one thing
      drm/amdgpu: remove unnecessary check before kfree
      cxl/vphb.c: Use phb pointer after NULL check
      drm/amdkfd: validate pdd where it acquired first

Manish Chopra (1):
      bnx2x: Don't notify about scratchpad parities

Marc Kleine-Budde (3):
      can: flexcan: add documentation about mailbox organization
      can: flexcan: rename struct flexcan_regs::crl2 -> ctrl2
      can: flexcan: replace open coded "mailbox code" by proper define

Marc Zyngier (13):
      ARM: exynos: Fix wake-up interrupts for Exynos3250
      arm64: insn: Add aarch64_{get,set}_branch_offset
      arm64: Rework alternate sequence for ARM erratum 845719
      arm64: alternative: Allow immediate branch as alternative instruction
      arm64: alternative: Merge alternative-asm.h into alternative.h
      arm64: alternative: Work around .inst assembler bugs
      arm64: alternative: Introduce feature for GICv3 CPU interface
      arm64: KVM: Switch vgic save/restore to alternative_insn
      arm: KVM: force execution of HCPTR access on VM exit
      KVM: arm: vgic: Drop useless Group0 warning
      arm/arm64: KVM: vgic: Do not save GICH_HCR / ICH_HCR_EL2
      KVM: arm/arm64: vgic: Avoid injecting reserved IRQ numbers
      KVM: arm/arm64: vgic: Remove useless arm-gic.h #include

Marcel Holtmann (6):
      Bluetooth: Fix race condition with user channel and setup stage
      Bluetooth: btusb: Fix memory leak in Intel setup routine
      Bluetooth: btusb: Remove double error check from local version command
      Bluetooth: btusb: Remove unneeded btusb_read_local_version function
      Bluetooth: btusb: Fix secure send command length alignment on Intel 8260
      Bluetooth: Increment management interface revision

Marcel Ziswiler (4):
      ARM: tegra: Cardhu device-tree comment spelling fix
      ARM: tegra: Add Tegra30 HDA support
      ARM: tegra: Fix hda2codec_2x clock and reset names
      clk: tegra: Fix hda2codec_2x clock name for Tegra30

Marcelo Ricardo Leitner (4):
      tcp: add tcpi_segs_in and tcpi_segs_out to tcp_info
      netfilter: conntrack: warn the user if there is a better helper to use
      sctp: allow authenticating DATA chunks that are bundled with COOKIE_ECHO
      sctp: fix ASCONF list handling

Marcelo Tosatti (4):
      x86: kvmclock: drop rdtsc_barrier()
      KVM: x86: add module parameter to disable periodic kvmclock sync
      x86: kvmclock: add flag to indicate pvclock counts from zero
      KVM: x86: zero kvmclock_offset when vcpu0 initializes kvmclock system MSR

Marcin Jabrzyk (2):
      zram: remove obsolete ZRAM_DEBUG option
      zsmalloc: remove obsolete ZSMALLOC_DEBUG

Marcus Cooper (3):
      ARM: sun6i: dt: Add new Mele I7 device
      ARM: sun7i: dt: Add new MK808C device
      ARM: sunxi: dts: split IR pins for A10 and A20

Marcus Folkesson (1):
      staging: lustre: fix non-static symbol warnings reported by sparse

Marek Belisko (4):
      ASoC: gtm601: Document GTM601 bindings
      ASoC: bt-sco: Add devicetree support for bt-sco codec
      ARM: dts: omap3-gta04: Add hdqw1 support
      ARM: dts: omap3-gta04: Add GSM audio support

Marek Lindner (2):
      batman-adv: checkpatch - comparison to NULL could be rewritten
      batman-adv: checkpatch - spaces preferred around that '*'

Marek Milkovic (1):
      selinux: Print 'sclass' as string when unrecognized netlink message occurs

Marek Puzyniak (5):
      ath10k: make peer type configurable
      ath10k: store max tdls vdevs that fw can handle
      ath10k: update station counting
      ath10k: add wmi support for tdls
      ath10k: introduce basic tdls functionality

Marek Szyprowski (24):
      ARM: dts: add jpeg-codec node for exynos4 and exynos4x12
      iommu: Init iommu-groups support earlier, in core_initcall
      iommu/exynos: Don't read version register on every tlb operation
      iommu/exynos: Remove unused functions
      iommu/exynos: Remove useless members from exynos_iommu_owner structure
      iommu/exynos: Refactor function parameters to simplify code
      iommu/exynos: Rename variables to reflect their purpose
      iommu/exynos: Use struct exynos_iommu_domain in internal structures
      iommu/exynos: Remove excessive includes and sort others alphabetically
      iommu/exynos: Document internal structures
      iommu/exynos: Add/remove callbacks should fail if no iommu is available
      iommu/exynos: Add support for binding more than one sysmmu to master device
      iommu/exynos: Add support for runtime_pm
      iommu/exynos: Add system suspend/resume support
      iommu/exynos: Init from dt-specific callback instead of initcall
      iommu/exynos: Add callback for initializing devices from device tree
      ARM: dts: add sysmmu nodes for exynos4
      ARM: dts: add sysmmu nodes for exynos3250
      ARM: dts: add sysmmu nodes for exynos4415
      ARM: dts: add sysmmu nodes for exynos5250
      ARM: dts: add sysmmu nodes for exynos5420
      [media] media: s5p-mfc: fix sparse warnings
      ARM: EXYNOS: use PS_HOLD based poweroff for all supported SoCs
      ARM: EXYNOS: register power domain driver from core_initcall

Marek Vasut (2):
      Input: smtpe-ts - use msecs_to_jiffies() instead of HZ
      Input: smtpe-ts - wait 50mS until polling for pen-up

Mario Kleiner (2):
      drm/radeon: Handle irqs only based on irq ring, not irq status regs.
      drm/amdgpu: Handle irqs only based on irq ring, not irq status regs.

Mark A. Greer (1):
      NFC: trf7970a: Handle extra byte in response to Type 5 RMB commands

Mark Brown (79):
      Merge branch 'fix/bcm2835-code' of git://git.kernel.org/.../broonie/spi into spi-bcm2835
      Merge branch 'fix/rt5645' of git://git.kernel.org/.../broonie/sound into asoc-rt5645
      Merge branch 'topic/dapm' of git://git.kernel.org/.../broonie/sound into asoc-tas751x
      ASoC: tas751x: Factor setting of new bias level into the core
      Merge branch 'topic/rt5645' of git://git.kernel.org/.../broonie/sound into asoc-intel
      Merge remote-tracking branch 'spi/fix/omap2-mcspi' into spi-omap2-mcspi
      coresight: etb10: Print size of buffer we fail to allocate
      coresight: etb10: Fix check for bogus buffer depth
      Merge branch 'topic/dpcm' of git://git.kernel.org/.../broonie/sound into asoc-rcar
      Merge branch 'topic/rcar-dma' of git://git.kernel.org/.../broonie/sound into asoc-rcar
      Merge branch 'topic/adsp' of git://git.kernel.org/.../broonie/sound into asoc-arizona
      ASoC: zte: Fix missing dev in devm operation
      Merge remote-tracking branch 'asoc/fix/rt5645' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/arizona', 'asoc/fix/fmtbit', 'asoc/fix/max98925', 'asoc/fix/rcar' and 'asoc/fix/ux500' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/wm8737', 'asoc/fix/wm8903' and 'asoc/fix/wm8955' into asoc-linus
      Merge remote-tracking branch 'asoc/topic/core' into asoc-next
      Merge remote-tracking branch 'asoc/topic/dapm' into asoc-next
      Merge remote-tracking branch 'asoc/topic/dma' into asoc-next
      Merge remote-tracking branch 'asoc/topic/rt5645' into asoc-next
      Merge remote-tracking branches 'asoc/topic/ac97', 'asoc/topic/ad1836', 'asoc/topic/ada1977', 'asoc/topic/adau1701' and 'asoc/topic/adau17x1' into asoc-next
      Merge remote-tracking branches 'asoc/topic/adau1977', 'asoc/topic/adav80x', 'asoc/topic/arizona' and 'asoc/topic/atmel' into asoc-next
      Merge remote-tracking branches 'asoc/topic/au1x', 'asoc/topic/bt-sco', 'asoc/topic/cs35l32' and 'asoc/topic/da7210' into asoc-next
      Merge remote-tracking branches 'asoc/topic/davinci' and 'asoc/topic/dpcm' into asoc-next
      Merge remote-tracking branches 'asoc/topic/fsl', 'asoc/topic/fsl-sai', 'asoc/topic/fsl-spdif', 'asoc/topic/fsl-ssi' and 'asoc/topic/gpio-jack' into asoc-next
      Merge remote-tracking branches 'asoc/topic/gpiod-flags', 'asoc/topic/gtm601', 'asoc/topic/intel', 'asoc/topic/lm3857' and 'asoc/topic/max98090' into asoc-next
      Merge remote-tracking branches 'asoc/topic/max98095', 'asoc/topic/omap', 'asoc/topic/pxa', 'asoc/topic/qcom' and 'asoc/topic/rcar' into asoc-next
      Merge remote-tracking branches 'asoc/topic/rt5677', 'asoc/topic/samsung' and 'asoc/topic/sgtl5000' into asoc-next
      Merge remote-tracking branches 'asoc/topic/simple', 'asoc/topic/ssm2518', 'asoc/topic/ssm2602', 'asoc/topic/ssm4567' and 'asoc/topic/sta32x' into asoc-next
      Merge remote-tracking branches 'asoc/topic/sta350', 'asoc/topic/tas2552', 'asoc/topic/tas3a227e' and 'asoc/topic/tas571x' into asoc-next
      Merge remote-tracking branches 'asoc/topic/topology', 'asoc/topic/twl6040', 'asoc/topic/wm5100', 'asoc/topic/wm8741' and 'asoc/topic/wm8960' into asoc-next
      Merge remote-tracking branches 'asoc/topic/wm8994', 'asoc/topic/wm8996' and 'asoc/topic/zx' into asoc-next
      Merge branch 'fix/fsl-dspi' of git://git.kernel.org/.../broonie/spi into spi-fsl-dspi
      regulator: core: Don't corrupt display when printing uV offsets
      Merge branch 'fix/core' of git://git.kernel.org/.../broonie/regulator into regulator-core
      Merge tag 'asoc-v4.2' into asoc-rt5645
      Merge remote-tracking branch 'regmap/fix/core' into regmap-linus
      Merge remote-tracking branch 'regmap/fix/field' into regmap-linus
      Merge remote-tracking branch 'regmap/topic/core' into regmap-next
      Merge remote-tracking branches 'regmap/topic/defaults', 'regmap/topic/irq' and 'regmap/topic/reg-params' into regmap-next
      spi: zynq: Remove execute bit
      Merge remote-tracking branch 'spi/fix/core' into spi-linus
      Merge remote-tracking branches 'spi/fix/fsl-dspi', 'spi/fix/fsl-espi', 'spi/fix/orion' and 'spi/fix/pl022' into spi-linus
      Merge remote-tracking branch 'spi/topic/bcm2835' into spi-next
      Merge remote-tracking branch 'spi/topic/omap2-mcspi' into spi-next
      Merge remote-tracking branches 'spi/topic/ath79', 'spi/topic/atmel' and 'spi/topic/davinci' into spi-next
      Merge remote-tracking branches 'spi/topic/fsl-dspi', 'spi/topic/gpio', 'spi/topic/imx' and 'spi/topic/orion' into spi-next
      Merge remote-tracking branches 'spi/topic/pxa', 'spi/topic/rb4xx', 'spi/topic/rspi', 'spi/topic/s3c64xx' and 'spi/topic/sh-msiof' into spi-next
      Merge remote-tracking branches 'spi/topic/sirf', 'spi/topic/spidev' and 'spi/topic/zynq' into spi-next
      Merge branches 'topic/adsp' and 'topic/dapm' of git://git.kernel.org/.../broonie/sound into asoc-arizona
      Merge remote-tracking branch 'asoc/fix/rt5645' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/arizona', 'asoc/fix/fmtbit', 'asoc/fix/intel', 'asoc/fix/max98925', 'asoc/fix/rcar' and 'asoc/fix/ux500' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/wm8737', 'asoc/fix/wm8903', 'asoc/fix/wm8955' and 'asoc/fix/wm8960' into asoc-linus
      Merge tag 'asoc-v4.2' into asoc-next
      Merge remote-tracking branch 'asoc/topic/rt5645' into asoc-next
      Merge remote-tracking branch 'asoc/topic/rt5670' into asoc-next
      Merge remote-tracking branches 'asoc/topic/adsp' and 'asoc/topic/atmel' into asoc-next
      Merge remote-tracking branch 'asoc/topic/davinci' into asoc-next
      Merge remote-tracking branch 'asoc/topic/fsl' into asoc-next
      Merge remote-tracking branches 'asoc/topic/intel' and 'asoc/topic/max98090' into asoc-next
      Merge remote-tracking branches 'asoc/topic/mediatek', 'asoc/topic/ml26124' and 'asoc/topic/omap' into asoc-next
      Merge remote-tracking branches 'asoc/topic/qcom', 'asoc/topic/rcar', 'asoc/topic/rt286' and 'asoc/topic/rt5640' into asoc-next
      Merge remote-tracking branch 'asoc/topic/simple' into asoc-next
      Merge remote-tracking branch 'asoc/topic/tas2552' into asoc-next
      Merge remote-tracking branches 'asoc/topic/wm8523' and 'asoc/topic/wm8741' into asoc-next
      Merge remote-tracking branch 'asoc/topic/wm8995' into asoc-next
      Merge remote-tracking branch 'asoc/fix/rt5645' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/arizona', 'asoc/fix/fmtbit', 'asoc/fix/intel', 'asoc/fix/max98925', 'asoc/fix/rcar' and 'asoc/fix/ux500' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/wm8737', 'asoc/fix/wm8903', 'asoc/fix/wm8955' and 'asoc/fix/wm8960' into asoc-linus
      Merge tag 'asoc-v4.2' into asoc-next
      Merge tag 'asoc-v4.2-2' into asoc-next
      Merge remote-tracking branch 'asoc/topic/arizona' into asoc-next
      Merge remote-tracking branch 'asoc/topic/rcar' into asoc-next
      Merge remote-tracking branch 'regulator/fix/core' into regulator-linus
      Merge remote-tracking branches 'regulator/fix/doc', 'regulator/fix/max77686' and 'regulator/fix/wm831x' into regulator-linus
      Merge remote-tracking branch 'regulator/topic/core' into regulator-next
      Merge remote-tracking branches 'regulator/topic/88pm8607', 'regulator/topic/arizona', 'regulator/topic/cleanup' and 'regulator/topic/da9062' into regulator-next
      Merge remote-tracking branches 'regulator/topic/da9063', 'regulator/topic/doc', 'regulator/topic/fan53555', 'regulator/topic/gpio' and 'regulator/topic/ilim' into regulator-next
      Merge remote-tracking branches 'regulator/topic/lp8755', 'regulator/topic/max14577', 'regulator/topic/max77693', 'regulator/topic/max77843' and 'regulator/topic/max8973' into regulator-next
      Merge remote-tracking branches 'regulator/topic/of', 'regulator/topic/pwm', 'regulator/topic/qcom' and 'regulator/topic/soft-start' into regulator-next

Mark Fasheh (5):
      btrfs: Handle unaligned length in extent_same
      btrfs: pass unaligned length to btrfs_cmp_data()
      btrfs: fix deadlock with extent-same and readpage
      btrfs: allow dedupe of same inode
      btrfs: don't update mtime/ctime on deduped inodes

Mark Rustad (3):
      ixgbe: Fix IOSF SB access issues
      ixgbe: Release semaphore bits in the right order
      ixgbe: Use a signed type to hold error codes

Mark Rutland (27):
      arm64: dts: kill skeleton.dtsi
      arm64: kill flush_cache_all()
      arm/arm64: kvm: add missing PSCI include
      arm64: smp_plat: add get_logical_index
      arm64: smp: consistently use error codes
      arm64: psci: remove unnecessary id indirection
      arm64: psci: support unsigned return values
      arm64: psci: account for Trusted OS instances
      arm64: psci: kill psci_power_state
      arm64: psci: remove ACPI coupling
      perf: allow for PMU-specific event filtering
      arm: perf: make of_pmu_irq_cfg take arm_pmu
      arm: perf: treat PMUs as CPU affine
      arm: perf: filter unschedulable events
      arm: perf: probe number of counters on affine CPUs
      arm: perf: remove singleton PMU restriction
      arm: perf: kill off unused pm callbacks
      arm: perf: share arm_pmu_device_probe
      arm: perf: factor out xscale pmu driver
      arm: perf: factor out armv6 pmu driver
      arm: perf: factor out armv7 pmu driver
      arm: perf: unify perf_event{,_cpu}.c
      arm64: defconfig: enable memtest
      arm64: entry: fix context tracking for el0_sp_pc
      arm64: entry: handle debug exceptions in el*_inv
      arm: dts: vexpress: describe all PMUs in TC2 dts
      arm64: entry32: remove pointless register assignment

Mark Tomlinson (1):
      n_tty: Fix calculation of size in canon_copy_from_read_buf

Markos Chandras (20):
      MIPS: BPF: Fix stack pointer allocation
      MIPS: pgtable-bits: Fix XPA damage to R6 definitions.
      MIPS: BPF: Free up some callee-saved registers
      MIPS: net: BPF: Replace RSIZE with SZREG
      MIPS: BPF: Move register definition to the BPF header
      MIPS: BPF: Use BPF register names to describe the ABI
      MIPS: BPF: Introduce BPF ASM helpers
      MIPS: asmmacro: Ensure 64-bit FP registers are used with MSA
      MIPS: spinlock: Adjust arch_spin_lock back-off time
      MIPS: kernel: traps: Fix broken indentation
      MIPS: Fix branch emulation for BLTC and BGEC instructions
      MIPS: Fix erroneous JR emulation for MIPS R6
      MIPS: kernel: smp-cps: Fix 64-bit compatibility errors due to pointer casting
      MIPS: kernel: cps-vec: Replace 'la' macro with PTR_LA
      MIPS: kernel: cps-vec: Replace mips32r2 ISA level with mips64r2
      MIPS: kernel: cps-vec: Use ta0-ta3 pseudo-registers for 64-bit
      MIPS: kernel: cps-vec: Replace KSEG0 with CKSEG0
      MIPS: cps-vec: Use macros for various arithmetics and memory operations
      Revert "MIPS: Kconfig: Disable SMP/CPS for 64-bit"
      MIPS: c-r4k: Fix cache flushing for MT cores

Markus Böhme (1):
      staging: rtl8188eu: core/rtw_led.c: fix coding style issue

Markus Elfring (8):
      crypto: jitterentropy - Delete unnecessary checks before the function call "kzfree"
      perf header: Delete an unnecessary check before the calling free_event_desc()
      tc1100-wmi: Delete an unnecessary check before the function call "kfree"
      crypto: qat - Deletion of unnecessary checks before two function calls
      ALSA: hda: Delete an unnecessary check before the function call "snd_info_free_entry"
      net-Liquidio: Delete unnecessary checks before the function call "vfree"
      iommu/arm-smmu: Delete an unnecessary check before the function call "free_io_pgtable_ops"
      GPU-DRM: Delete an unnecessary check before drm_property_unreference_blob()

Markus Pargmann (20):
      pinctrl: imx: Allow parsing DT without function nodes
      batman-adv: debugfs, avoid compiling for !DEBUG_FS
      batman-adv: tvlv realloc, move error handling into if block
      batman-adv: Makefile, Sort alphabetically
      batman-adv: iv_ogm_iface_enable, direct return values
      batman-adv: iv_ogm_aggr_packet, bool return value
      batman-adv: iv_ogm_send_to_if, declare char* as const
      batman-adv: iv_ogm_can_aggregate, code readability
      batman-adv: iv_ogm_orig_update, remove unnecessary brackets
      batman-adv: iv_ogm_aggregate_new, simplify error handling
      batman-adv: iv_ogm_queue_add, Simplify expressions
      batman-adv: iv_ogm_orig_update, style, add missing brackets
      batman-adv: iv_ogm, Fix dup_status comment
      batman-adv: iv_ogm, fix coding style
      batman-adv: iv_ogm, fix comment function name
      batman-adv: types, Fix comment on bcast_own
      batman-adv: main, Convert is_my_mac() to bool
      batman-adv: main, batadv_compare_eth return bool
      batman-adv: Remove unnecessary ret variable
      batman-adv: Remove unnecessary ret variable in algo_register

Markus Reichl (4):
      ARM: dts: Add LEDs for exynos5422-odroidxu3
      ARM: dts: Add HS400 support for exynos5422-odroidxu3
      clk: samsung: Add bindings for 32kHz clocks from s2mps11
      ARM: dts: add 'rtc_src' clock to rtc node for exynos5422-odroidxu3

Marti Bolivar (1):
      staging: rtl8192u: don't redefine container_of()

Martin Blumenstingl (2):
      gpio-stp-xway: Fix enabling the highest bit of the PHY LEDs
      gpio: stp-xway: Use the of_property_read_u32 helper

Martin Fuzzey (8):
      iio: mma8452: Initialise before activating
      iio: mma8452: Add access to registers via DebugFS
      iio: core: add high pass filter attributes
      ARM: imx53: Set DDR pins to high impedance when in suspend to RAM.
      iio: mma8452: Basic support for transient events.
      iio: mma8452: Add support for transient event debouncing
      iio: mma8452: Add highpass filter configuration.
      iio: mma8452: Add support for interrupt driven triggers.

Martin K. Petersen (7):
      libata: Allow NCQ TRIM to be enabled or disabled with a module parameter
      libata: Expose TRIM capability in sysfs
      libata: READ LOG DMA EXT support can be in either page 119 or 120
      libata: Fall back to unqueued READ LOG EXT if the DMA variant fails
      libata: Fix sysfs documentation bug
      libata: Fix regression when the NCQ Send and Receive log page is absent
      libata: Do not blacklist Micron M500DC

Martin KaFai Lau (16):
      ipv6: Consider RTF_CACHE when searching the fib6 tree
      ipv6: Stop /128 route from disappearing after pmtu update
      ipv6: Stop rt6_info from using inet_peer's metrics
      ipv6: Remove DST_METRICS_FORCE_OVERWRITE and _rt6i_peer
      ipv6: Check RTF_LOCAL on rt->rt6i_flags instead of rt->dst.flags
      ipv6: Clean up ipv6_select_ident() and ip6_fragment()
      ipv6: Remove external dependency on rt6i_dst and rt6i_src
      ipv6: Remove external dependency on rt6i_gateway and RTF_ANYCAST
      ipv6: Combine rt6_alloc_cow and rt6_alloc_clone
      ipv6: Only create RTF_CACHE routes after encountering pmtu exception
      ipv6: Add rt6_get_cookie() function
      ipv6: Set FLOWI_FLAG_KNOWN_NH at flowi6_flags
      ipv6: Create RTF_CACHE clone when FLOWI_FLAG_KNOWN_NH is set
      ipv6: Keep track of DST_NOCACHE routes in case of iface down/unregister
      ipv6: Break up ip6_rt_copy()
      ipv6: Create percpu rt6_info

Martin Kelly (1):
      selftest, x86: fix incorrect comment

Martin Liska (1):
      perf tools: Improve setting of gcc debug option

Martin Liška (3):
      perf tools: Assign default value for some pointers
      perf annotate: Fix -i option, which is currently ignored.
      perf annotate: Display total number of samples with --show-total-period

Martin Schwidefsky (2):
      s390/smp: fix sigp cpu detection loop
      s390/smp: cleanup core vs. cpu in the SCLP interface

Martin Sperl (7):
      spi: spidev: use spi_sync instead of spi_async
      spi: bcm2835: change timeout of polling driver to 1s
      spi: bcm2835: fallback to interrupt for polling timeouts exceeding 2 jiffies
      spi: fix race freeing dummy_tx/rx before it is unmapped
      spi: bcm2835: enable dma modes for transfers meeting certain conditions
      spi: bcm2835: fix kbuild compile warnings/errors and a typo
      spi: restore rx/tx_buf in case of unset CONFIG_HAS_DMA

Martin Townsend (1):
      mac802154: fakelb: Fix potential NULL pointer dereference.

Martin Walch (1):
      Kconfig: Remove bad inference rules expr_eliminate_dups2()

Martin Willi (10):
      crypto: chacha20 - Add a generic ChaCha20 stream cipher implementation
      crypto: testmgr - Add ChaCha20 test vectors from RFC7539
      crypto: poly1305 - Add a generic Poly1305 authenticator implementation
      crypto: testmgr - Add Poly1305 test vectors from RFC7539
      crypto: chacha20poly1305 - Add a ChaCha20-Poly1305 AEAD construction, RFC7539
      crypto: testmgr - Add ChaCha20-Poly1305 test vectors from RFC7539
      crypto: chacha20poly1305 - Add an IPsec variant for RFC7539 AEAD
      crypto: testmgr - Add draft-ietf-ipsecme-chacha20-poly1305 test vector
      xfrm: Define ChaCha20-Poly1305 AEAD XFRM algo for IPsec users
      crypto: poly1305 - Pass key as first two message blocks to each desc_ctx

Masahiro Yamada (25):
      serial: xuartps: add __init to earlycon write method
      serial: 8250: do not copy port.fifosize member twice
      serial: of_serial: do not set port.type twice
      ARM: UniPhier: add basic support for UniPhier architecture
      MAINTAINERS: add myself as ARM/UniPhier maintainer
      ARM: dts: UniPhier: add support for UniPhier SoCs and boards
      ARM: multi_v7_defconfig: enable UniPhier SoC family
      pinctrl: zynq: add static to platform_driver remove callback
      tty: rocket: fix comment of ROCKET_SPD_HI
      tty: fix comment of ASYNCB_SPD_HI
      serial: 8250: include <linux/serial_reg.h> from serial_8250.h
      serial: 8250: remove return statements from void function
      serial: of_serial: use devm_clk_get() instead of clk_get()
      serial: of_serial: check the return value of clk_prepare_enable()
      serial: 8250_uniphier: add UniPhier serial driver
      pinctrl: zynq: fix DEFINE_ZYNQ_PINMUX_FUNCTION_MUX macro
      pinctrl: zynq: fix offset address for {SD0,SD1}_WP_CD_SEL
      pinctrl: remove useless const qualifier
      pinctrl: use "const struct ..." rather than "struct ... const"
      pinctrl: zynq: add static to zynq_pins
      pinctrl: zynq: add static const to zynq_pctrl_groups
      pinctrl: fix confusing debug message in pinctrl_register_map()
      of/fdt: fix argument name and add comments of unflatten_dt_node()
      pinctrl: make pinctrl_register() return proper error code
      serial: 8250_uniphier: add bindings document for UniPhier UART

Masami Hiramatsu (27):
      perf probe: Make --funcs option exclusive
      perf probe: Remove all probes matches given pattern at once
      perf tools: Improve strfilter to append additional rules
      perf tools: Add strfilter__string to recover rules string
      perf probe: Accept multiple filter options
      perf probe: Accept filter argument for --list
      perf probe: Allow to use filter on --del command
      perf probe: Accept filter argument for --funcs
      perf probe: Remove redundant cleanup of params.filter
      perf probe: Cleanup and consolidate command parsers
      perf probe: Fix to close probe_events file in error
      perf probe: Fix a typo for the flags of open
      perf probe: Fix to return 0 when positive value returned
      perf probe: Make --line checks validate C-style function name
      perf probe: Skip kernel symbols which is out of .text
      perf probe: Support $params special probe argument
      perf probe: Use perf_probe_event.target instead of passing as an argument
      perf probe: Introduce probe_conf global configs
      perf probe: Add --no-inlines option to avoid searching inline functions
      perf probe: Support glob wildcards for function name
      perf probe: Show the error reason comes from invalid DSO
      perf probe: Fix an error when deleting probes successfully
      perf probe: Cut off the gcc optimization postfixes from function name
      perf probe: List probes in stdout
      perf probe: Fix to return error if no probe is added
      perf probe: Show usage even if the last event is skipped
      perf probe: Speed up perf probe --list by caching debuginfo

Masanari Iida (20):
      wireless: fix typos in mwifiex and rtlwifi
      Doc: Fix description of nomce in kernel-parameters.txt
      treewide: Kconfig: fix wording / spelling
      qla4xxx: Fix printk() in qla4_83xx_read_reset_template() and qla4_83xx_pre_loopback_config()
      rapidio: Fix kerneldoc and comment
      Doc: libata: Fix spelling typo found in libata.xml
      staging: gdm72xx: Fix typos in printk
      staging: sm750fb: Fix typo in sm750.c
      crypto: doc - Fix typo in crypto-API.tmpl
      crypto: doc - Fix typo in crypto-API.xml
      Doc:nfc: Fix typo in nfc-hci.txt
      NFC: Fix typo in nfc-hci.txt
      Doc: ja_JP: Fix typo in HOWTO
      Doc: sound:oss: Fix typo in sound/oss
      Doc: networking: Fix URL for wiki.wireshark.org in udplite.txt
      Doc:pps: Fix typo in pps.txt
      Doc: Change wikipedia's URL from http to https
      Doc: Docbook: Change wikipedia's URL from http to https in scsi.tmpl
      Doc:ABI/testing: Fix typo in sysfs-bus-fcoe
      arch/unicore32/kernel/fpu-ucf64.c: remove unnecessary KERN_ERR

Matan Barak (11):
      net/mlx4_core: Demote simple multicast and broadcast flow steering rules
      net/mlx4: Add EQ pool
      net/mlx4_core: Make sure there are no pending async events when freeing CQ
      IB/core: Change provider's API of create_cq to be extendible
      IB/core: Change ib_create_cq to use struct ib_cq_init_attr
      IB/core: Add CQ creation time-stamping flag
      IB/core: Extend ib_uverbs_create_cq
      IB/core: Add timestamp_mask and hca_core_clock to query_device
      IB/core: Pass hardware specific data in query_device
      IB/mlx4: Add mmap call to map the hardware clock
      IB/mlx4: Add support for CQ time-stamping

Mateusz Kulikowski (74):
      staging: rtl8192e: Fix SPACE_BEFORE_TAB warnings
      staging: rtl8192e: Copy comments from r819XE_phyreg.h to r8192E_phyreg.h
      staging: rtl8192e: remove r819xE_phyreg.h
      staging: rtl8192e: Fix SPACING errors
      staging: rtl8192e: Remove bb tx gains from r8192_priv
      staging: rtl8192e: Fix LINE_SPACING warning
      staging: rtl8192e: Fix DEEP_INDENTATION warnings in rtllib_parse_info_param()
      staging: rtl8192e: Fix DEEP_INDENTATION warnings in rtl_dm.c
      staging: rtl8192e: Fix DeviceID in rtl8192_pci_findadapter()
      staging: rtl8192e: accept const MAC address
      staging: rtl8192e: Make ethernet addresses properly aligned
      staging: rtl8192e: Fix PREFER_ETHER_ADDR_COPY warnings
      staging: rtl8192e: Fix DEEP_INDENTATION warning in rtllib_parse_info_param()
      staging: rtl8192e: Replace memcmp() with ether_addr_equal()
      staging: rtl8192e: Remove rtllib_crypt.[ch]
      staging: rtl8192e: Replace RTLLIB_DEBUG(DL_ERR) with netdev_*()
      staging: rtl8192e: Remove RTLLIB_ERROR() and RTLLIB_WARNING()
      staging: rtl8192e: Remove RTLLIB_DEBUG_WX()
      staging: rtl8192e: Simplify rtllib_process_probe_response()
      staging: rtl8192e: Remove RTLLIB_DEBUG_SCAN()
      staging: rtl8192e: Remove RTLLIB_DEBUG_(FRAG|EAP|DROP|STATE|TX|RX)()
      staging: rtl8192e: Remove RTLLIB_DEBUG_QOS()
      staging: rtl8192e: Remove RTLLIB_DEBUG_MGMT()
      staging: rtl8192e: Remove RTLLIB_DEBUG_INFO()
      staging: rtl8192e: Remove RTLLIB_DEBUG()
      staging: rtl8192e: Remove RTLLIB_DEBUG_DATA()
      staging: rtl8192e: Remove remains of RTLLIB_*_DEBUG() (including proc entry)
      staging: rtl8192e: Remove assert() macro
      staging: rtl8192e: Fix PREFER_PR_LEVEL warnings
      staging: rtl8192e: Fix LONG_LINE warnings
      staging: rtl8192e: Fix LONG_LING in rtllib_parse_info_param()
      staging: rtl8192e: Remove unimplemented iwpriv handlers
      staging: rtl8192e: Fix OOM_MESSAGE warnings
      staging: rtl8192e: Remove unused rtl_crypto.h
      staging: rtl8192e: Replace ?: with max_t
      staging: rtl8192e: Replace ?: with min_t
      staging: rtl8192e: Replace ?: with max
      staging: rtl8192e: Remove unneeded RT_TRACE(COMP_ERR,...)
      staging: rtl8192e: rtl8192_phy_checkBBAndRF(): Don't check MAC
      staging: rtl8192e: Replace RT_TRACE(COMP_ERR, ...) with netdev_*
      staging: rtl8192e: Fix trivial LONG_LINE errors
      staging: rtl8192e: rtl8192E_suspend(): Fix WOL reporting
      staging: rtl8192e: Fix SPACING error
      staging: rtl8192e: Remove dead code
      staging: rtl8192e: Remove dead code: cmpk_handle_query_config_rx()
      staging: rtl8192e: Remove dead code: cmpk_message_handle_rx()
      staging: rtl8192e: Remove dead code: read/write_cam
      staging: rtl8192e: Remove unused macros/structures in rtl_core.h
      staging: rtl8192e: Remove dead code: rtl_dm.[ch]
      staging: rtl8192e: Remove dead code: undefined arrays
      staging: rtl8192e: Remove dead code: rt_stats
      staging: rtl8192e: Remove dead code: r8192_priv members
      staging: rtl8192e: Remove dead code: mp_adapter
      staging: rtl8192e: remove dm_shadow
      staging: rtl8192e: Remove dead code: dig_t::dbg_mode, drx_path_sel::DbgMode
      staging: rtl8192e: Remove unused code in rtl819x_HT.h
      staging: rtl8192e: Remove unused code in rtllib.h
      staging: rtl8192e: Remove rt_hi_throughput::ChnkOp
      staging: rtl8192e: Remove ENABLE_LOCK_DEBUG reference
      staging: rtl8192e: Remove rtllib_stop_queue()
      staging: rtl8192e: Remove rtllib_wake_queue()
      staging: rtl8192e: Remove rtllib_is_shortslot()
      staging: rtl8192e: Remove rtllib_wx_get_encode_ext()
      staging: rtl8192e: Remove rtllib_device::get_nic_desc_num()
      staging: rtl8192e: Remove WIRELESS_EXT checks
      staging: rtl8192e: Remove rtllib_network::last_associate
      staging: rtl8192e: Remove rt_pwr_save_ctrl members
      staging: rtl8192e: Remove unused rtllib_device members
      staging: rtl8192e: Remove softmac_hint11d_wq queue
      staging: rtl8192e: Remove rtllib_device::agregation
      checkpatch: suggest using ether_addr_equal*()
      checkpatch: fix processing of MEMSET issues
      checkpatch: suggest using eth_zero_addr() and eth_broadcast_addr()
      checkpatch: add multi-line handling for PREFER_ETHER_ADDR_COPY

Mathias Krause (15):
      spi: pxa2xx: Constify ACPI device ids
      ASoC: rt5640: Constify ACPI device ids and register defaults
      ASoC: max98090: Constify ACPI device ids and register defaults
      ASoC: rt5670: Constify ACPI device ids and register defaults
      ASoC: intel: Constify ACPI device ids
      ACPI / video: constify ACPI and DMI id tables
      ACPI / AC: constify DMI system id table
      ACPI / battery: constify the offset tables
      ACPI / battery: minor tweaks to acpi_battery_units()
      ACPI / battery: mark DMI table as __initconst
      ACPI / LPSS: constify device descriptors
      ACPI / HED: constify ACPI device ids
      ACPI / processor: constify DMI system id table
      ACPI / scan: constify ACPI device ids
      ACPI: Constify ACPI device IDs in documentation

Mathias Nyman (2):
      xhci: optimize xhci bus resume time
      xhci: Return correct number of tranferred bytes for stalled control endpoints

Mathieu Desnoyers (1):
      sched/preempt: Fix preempt notifiers documentation about hlist_del() within unsafe iteration

Mathieu Olivari (4):
      stmmac: add phy-handle support to the platform layer
      stmmac: add fixed-link device-tree support
      stmmac: add ipq806x glue layer
      net: stmmac: ipq806x: document device tree bindings

Mathieu Poirier (3):
      coresight-etm4x: Read only access to the main management registers
      coresight-etm4x: Read only access to the tracer's ID registers
      coresight-etm3x: use module_amba_driver to simplify the code

Matias Bjørling (1):
      nvme: don't overwrite req->cmd_flags on sync cmd

Matt Campbell (1):
      w1: Add support for DS28EA00 sequence to w1-therm

Matt Fleming (2):
      perf/x86/intel/cqm: Use 'u32' data type for RMIDs
      efi: Add 'systab' information to Documentation/ABI

Matt Ranostay (2):
      ALSA: hda-beep: Update authors dead email address
      ALSA: hda-beep: Update authors dead email address

Matt Roper (2):
      drm/i915: Use helper to set CRTC state's mode
      drm/i915: Don't dereference NULL plane while setting up scalers

Matthew Garrett (2):
      usb: Prefer firmware values when determining whether a port is removable
      usb: Set unused ports to "fixed" rather than "unknown"

Matthew Needes (2):
      staging: comedi/drivers/pcl.* coding style fixes
      staging: comedi/drivers/pcl.* coding style fixes

Matthew Wilcox (4):
      dax: Add block size note to documentation
      dax: Use copy_from_iter_nocache
      block: Add support for DAX reads/writes to block devices
      dax: bdev_direct_access() may sleep

Matthias Brugger (1):
      soc: mediatek: Add compile dependency to pmic-wrapper

Matthijs van Duin (1):
      ARM: dts: am335x-boneblack: disable RTC-only sleep to avoid hardware damage

Matti Gottlieb (5):
      mac80211: force off channel transmission for public action frames
      iwlwifi: mvm: ROC: Reduce the aux roc max delay
      iwlwifi: mvm: Add debugfs entry for Tx power limit
      iwlwifi: mvm: Remove old scan commands
      iwlwifi: mvm: Add DC2DC_CONFIG_CMD (0x83) cmd & TLV

Matwey V. Kornilov (3):
      uio: pruss: Include <linux/sizes.h>
      uio: pruss: Add CONFIG_HAS_IOMEM dependence
      uio: pruss: Drop depends on ARCH_DAVINCI_DA850 from config

Mauro Carvalho Chehab (206):
      Merge tag 'v4.1-rc1' into patchwork
      [media] dib8000: fix compiler warning
      [media] am437x-vpfe: really update the vpfe_ccdc_update_raw_params data
      [media] am437x: Fix a wrong identation
      [media] am437x: remove unused variable
      [media] rc: fix bad indenting
      [media] cx18: avoid going past input/audio array
      [media] saa7134: fix indent issues
      [media] ngene: preventing dereferencing a NULL pointer
      [media] saa7164: Check if dev is NULL before dereferencing it
      [media] saa717x: fix multi-byte read code
      [media] radio-si476x: Fix indent
      [media] ivtv: avoid going past input/audio array
      [media] zoran: fix indent
      [media] s3c-camif: Check if fmt is NULL before use
      [media] s5p_mfc: remove a dead code
      [media] ir-sony-decoder: shutup smatch warnings
      [media] wl128x: fix int type for streg_cbdata
      [media] qt1010: Reduce text size by using static const
      [media] go7007: don't use vb before test if it is not NULL
      [media] benq: fix indentation
      [media] bcm3510: fix indentation
      [media] dib3000mc: Fix indentation
      [media] lgdt3306a: fix indentation
      [media] stv0288: fix indentation
      [media] s5h1420: fix a buffer overflow when checking userspace params
      [media] cx24116: fix a buffer overflow when checking userspace params
      [media] af9013: Don't accept invalid bandwidth
      [media] cx24117: fix a buffer overflow when checking userspace params
      [media] zc3xx: don't go past quality array
      [media] zc3xx: remove dead code and uneeded gotos
      [media] vivid-radio-rx: Don't go past buffer
      [media] qt1010: avoid going past array
      [media] mantis: remove dead code
      [media] tda1004x: fix identation
      [media] r820t: fix identing
      [media] bttv: fix indenting
      [media] zl10353: fix indenting
      [media] stv0297: change typecast to u64 to avoid smatch warnings
      [media] ov7670: check read error also for REG_AECHH on ov7670_s_exp()
      [media] cx231xx: fix bad indenting
      [media] dib3000mc: fix bad indenting
      [media] dib0070: Fix indenting
      [media] go7007: Comment some dead code
      [media] vp702x: comment dead code
      [media] redrat3: change return argument on redrat3_send_cmd() to int
      [media] sonixj: fix bad indenting
      [media] stk014: fix bad indenting
      [media] pvrusb2: fix inconsistent indenting
      [media] cx25840: fix bad identing
      [media] stv0900: fix bad indenting
      [media] s5h1420: use only one statement per line
      [media] tda10086: change typecast to u64 to avoid smatch warnings
      [media] bttv: fix audio hooks
      [media] ttusb-dec: fix bad indentation
      [media] s5p-mfc: fix bad indentation
      [media] usbvision: fix bad indentation
      [media] saa7134: fix bad indenting
      [media] dib0700: fix bad indentation
      [media] af9005: fix bad indenting
      [media] dw2102: fix bad indenting
      [media] xirlink_cit: comment unreachable code
      [media] vivid: fix bad indenting
      [media] cx23885: fix bad indentation
      [media] m2m-deinterlace: remove dead code
      v4l2-ioctl: add a missing break at v4l_fill_fmtdesc()
      saa7164: Fix CodingStyle issues added on previous patches
      dt3155: fix CodingStyle issues
      media: replace bellow -> below
      media controller: add EXPERIMENTAL to Kconfig option for DVB support
      Merge tag 'v4.1-rc3' into patchwork
      [media] saa7134: prepare to use pr_foo macros
      [media] saa7134: instead of using printk KERN_foo, use pr_foo
      [media] saa7134: fix a few other occurrences of KERN_INFO/KERN_WARNING
      [media] saa7134-alsa: use pr_debug() instead of printk
      [media] saa7134-dvb: get rid of wprintk() macro
      [media] saa7134-dvb: use pr_debug() for the saa7134 dvb module
      [media] saa7134-empress: use pr_debug() for the saa7134 empress module
      [media] saa7134: use pr_warn() on some places where no KERN_foo were used
      [media] saa7134: better handle core debug messages
      [media] saa7134-i2c: make debug macros to use pr_fmt()
      [media] saa7134-ts: use pr_fmt() at the debug macro
      [media] saa7134: change the debug macros for saa7134-tvaudio
      [media] saa7134: change the debug macros for video and vbi
      [media] saa7134: change the debug macros for IR input
      [media] saa7134-i2c: simplify debug dump and use pr_info()
      [media] saa7134: replace remaining occurences or printk()
      [media] saa7134: avoid complex macro warnings
      [media] saa7134: fix CodingStyle issues on the lines touched by pr_foo refactor
      [media] dib0700: avoid the risk of forgetting to add the adapter's size
      [media] cx24120: don't initialize a var that won't be used
      [media] cx24120: declare cx24120_init() as static
      [media] cx24120: constify static data
      [media] e4000: Fix rangehigh value
      [media] DocBook: Update DVB supported standards at introduction
      [media] DocBook: add a note about the ALSA API
      [media] DocBook: add drawing with a typical media device
      [media] DocBook: fix emphasis at the DVB documentation
      [media] DocBook: Improve DVB frontend description
      [media] DocBook: move DVBv3 frontend bits to a separate section
      [media] dvb: split enum from typedefs at frontend.h
      [media] DocBook: reformat FE_GET_INFO ioctl documentation
      [media] DocBook: move FE_GET_INFO to a separate xml file
      [media] DocBook: improve documentation for FE_READ_STATUS
      [media] DocBook: move DVB properties to happen earlier at the document
      [media] DocBook: rewrite FE_GET_PROPERTY/FE_SET_PROPERTY to use the std way
      [media] DocBook: fix xref to the FE open() function
      [media] DocBook: Merge FE_SET_PROPERTY/FE_GET_PROPERTY ioctl description
      [media] DocBook: Improve the description of the properties API
      [media] DocBook: Add xref links for DTV propeties
      [media] DocBook: Improve xref check for undocumented ioctls
      [media] DocBook: remove duplicated ioctl from v4l2-subdev
      [media] DocBook: Fix false positive undefined ioctl references
      [media] DocBook: Rename ioctl xml files
      [media] DocBook: move FE_GET_PROPERTY to its own xml file
      [media] DocBook: reformat FE_SET_FRONTEND_TUNE_MODE ioctl
      [media] DocBook: reformat FE_ENABLE_HIGH_LNB_VOLTAGE ioctl
      [media] DocBook: better document FE_SET_VOLTAGE ioctl
      [media] DocBook: better document FE_SET_TONE ioctl
      [media] DocBook: better document FE_DISEQC_SEND_BURST ioctl
      [media] DocBook: better document FE_DISEQC_RECV_SLAVE_REPLY
      [media] DocBook: better document FE_DISEQC_SEND_MASTER_CMD
      [media] DocBook: better document FE_DISEQC_RESET_OVERLOAD
      [media] DocBook: better organize the function descriptions for frontend
      [media] DocBook: fix FE_READ_STATUS argument description
      [media] DocBook: Provide a high-level description for DVB frontend
      [media] DocBook: add a proper description for dvb_frontend_info.fe_type
      [media] DocBook: Better document enum fe_modulation
      [media] DocBook: some fixes at FE_GET_INFO
      [media] DocBook/Makefile: improve typedef parser
      [media] DocBook: cross-reference enum fe_modulation where needed
      [media] DocBook: improve documentation for DVB spectral inversion
      [media] DocBook: improve documentation for OFDM transmission mode
      [media] DocBook: move fe_bandwidth to the frontend legacy section
      [media] DocBook: improve documentation for FEC fields
      [media] DocBook: improve documentation for guard interval
      [media] DocBook: improve documentation for hierarchy
      [media] DocBook: improve documentation of the properties structs
      [media] DocBook: Add an example for using FE_SET_PROPERTY
      [media] DocBook: cleaup the notes about DTV properties
      [media] DocBook: Fix arguments on some ioctl documentation
      [media] DocBook: Update DocBook version and fix a few legacy things
      [media] DocBook: some fixes for DVB FE open()
      [media] DocBook: fix FE_SET_PROPERTY ioctl arguments
      [media] vivid: don't use more than 1024 bytes of stack
      [media] drxk: better handle errors
      [media] em28xx: remove dead code
      [media] sh_vou: avoid going past arrays
      [media] dib0090: Remove a dead code
      [media] bt8xx: remove needless check
      [media] ivtv: fix two smatch warnings
      [media] tm6000: remove needless check
      [media] ir: Fix IR_MAX_DURATION enforcement
      [media] rc: set IR_MAX_DURATION to 500 ms
      [media] usbvision: cleanup the code
      [media] lirc_imon: simplify error handling code
      [media] DocBook: document DVB net API
      [media] DocBook: specify language and encoding for the document
      [media] DocBook: Change DTD schema to version 4.5
      [media] Docbook: typo fix: use note(d) instead of notice(d)
      [media] DocBook: fix some syntax issues at dvbproperty.xml
      [media] DocBook: Use constant tag for monospaced fonts
      [media] DocBook: handle enums on frontend.h
      [media] DocBook: Add entry IDs for enum fe_caps
      [media] DocBook: add entry IDs for enum fe_sec_mini_cmd
      [media] DocBook: add entry IDs for enum fe_status
      [media] DocBook: add entry IDs for enum fe_sec_tone_mode
      [media] Docbook: add entry IDs for enum fe_sec_voltage
      [media] DocBook: Add entry IDs for the enums defined at dvbproperty.xml
      [media] DocBook: Better document DTMB time interleaving
      [media] DocBook: add IDs for enum fe_bandwidth
      [media] DocBook: remove a wrong cut-and-paste data
      [media] DocBook: add placeholders for ATSC M/H properties
      [media] DocBook: Add documentation for ATSC M/H properties
      [media] DocBook: document DVB-S2 pilot in a table
      [media] DocBook: Remove duplicated documentation for SEC_VOLTAGE_*
      [media] DocBook: better document the DVB-S2 rolloff factor
      [media] DocBook: properly document the delivery systems
      [media] DocBook: add xrefs for enum fe_type
      [media] dvb: Get rid of typedev usage for enums
      [media] frontend: Move legacy API enums/structs to the end
      [media] frontend: move legacy typedefs to the end
      [media] DocBook: Remove comments before parsing enum values
      [media] frontend: Fix a typo at the comments
      [media] dvb: frontend.h: improve dvb_frontent_parameters comment
      [media] dvb: frontend.h: add a note for the deprecated enums/structs
      [media] dvb: dmx.h: don't use anonymous enums
      [media] DocBook: Change format for enum dmx_output documentation
      [media] ov2659: Don't depend on subdev API
      [media] usb drivers: use BUG_ON() instead of if () BUG
      [media] Documentation: update cardlists
      [media] mantis: cleanup CodingStyle issues due to last commit
      ts2020: fix compilation on i386
      [media] bdisp: remove unused var
      [media] cx88: don't declare restart_video_queue if not used
      [media] bdisp-debug: don't try to divide by s64
      Kconfig: disable Media Controller for DVB
      [media] mantis: cleanup a warning
      [media] bdisp: prevent compiling on random arch
      [media] si470x: cleanup define namespace
      [media] tuner-i2c: be consistent with I2C declaration
      [media] use CONFIG_PM_SLEEP for suspend/resume
      [media] saa7134: fix page size on some archs
      [media] omap3isp: remove unused var
      [media] lmedm04: use u32 instead of u64 for relative stats
      [media] lmedm04: fix the range for relative measurements

Max Filippov (1):
      arc: fix use of uninitialized arc_pmu

Maxim Uvarov (1):
      checkpatch: remove local from codespell path

Maxime Coquelin (16):
      Merge tag 'ib-mfd-arm-4.2' of git://git.kernel.org/.../lee/mfd.git
      ARM: Add STM32 family machine
      MAINTAINERS: Add entry for STM32 MCUs
      ARM: configs: Add STM32 defconfig
      ARM: dts: Add ARM System timer as clocksource in armv7m
      scripts: link-vmlinux: Don't pass page offset to kallsyms if XIP Kernel
      ARM: Kconfig: Select clocksource in STM32 entry
      dt-bindings: Document the ARM System timer bindings
      clocksource/drivers/armv7m_systick: Add ARM System timer driver
      dt-bindings: Document the STM32 timer bindings
      clockevents/drivers: Add STM32 Timer driver
      clockevents/drivers/timer-stm32: Fix build warning spotted by kbuild test robot
      clk: Move debug_node field under DEBUG_FS flag in struct clk_core
      ARM: dts: Introduce STM32F429 MCU
      serial: stm32-usart: Add STM32 USART Driver
      regmap: Fix possible shift overflow in regmap_field_init()

Maxime Coquelin stm32 (1):
      ARM: 8340/1: ARMv7-M: Enlarge vector table up to 256 entries

Maxime Lorrillere (1):
      staging:lustre fix lines starting with spaces in libcfs/hash.c

Maxime Ripard (64):
      ARM: sun4i: Relicense the A10 DTSI under GPLv2/X11
      ARM: sun5i: Relicense the A10s/A13 DTSI under GPLv2/X11
      ARM: sun7i: hummingbird: Relicense the device tree under GPLv2/X11
      ARM: sun7i: olinuxino micro: Relicense the device tree under GPLv2/X11
      ARM: sun5i: Add a DTSI common to A10s and A13
      ARM: sun5i: a13: Move to the common sun5i DTSI
      ARM: sun5i: a10s: Move to the common sun5i DTSI
      ARM: sun4i: a1000: Convert to DT label based syntax
      ARM: sun4i: ba10 tvbox: Convert to DT label based syntax
      ARM: sun4i: cubieboard: Convert to DT label based syntax
      ARM: sun4i: hackberry: Convert to DT label based syntax
      ARM: sun4i: inet97fv2: Convert to DT label based syntax
      ARM: sun4i: mini xplus: Convert to DT label based syntax
      ARM: sun4i: olinuxino lime: Convert to DT label based syntax
      ARM: sun4i: pcduino: Convert to DT label based syntax
      ARM: sun5i: a10s: olinuxino micro: Convert to DT label based syntax
      ARM: sun5i: a10s: r7 tv dongle: Convert to DT label based syntax
      ARM: sun5i: a13: hsg h702: Convert to DT label based syntax
      ARM: sun5i: a13: olinuxino micro: Convert to DT label based syntax
      ARM: sun5i: a13: olinuxino: Convert to DT label based syntax
      ARM: sun6i: app4 evb1: Convert to DT label based syntax
      ARM: sun6i: colombus: Convert to DT label based syntax
      ARM: sun6i: m9: Convert to DT label based syntax
      ARM: sun7i: bananapi: Convert to DT label based syntax
      ARM: sun7i: cubieboard2: Convert to DT label based syntax
      ARM: sun7i: cubietruck: Convert to DT label based syntax
      ARM: sun7i: hummingbird: Convert to DT label based syntax
      ARM: sun7i: i12 tvbox: Convert to DT label based syntax
      ARM: sun7i: m3: Convert to DT label based syntax
      ARM: sun7i: olinuxino lime: Convert to DT label based syntax
      ARM: sun7i: olinuxino lime2: Convert to DT label based syntax
      ARM: sun7i: olinuxino micro: Convert to DT label based syntax
      ARM: sun7i: pcduino3: Convert to DT label based syntax
      ARM: sun8i: ippo q8h v5: Convert to DT label based syntax
      ARM: sunxi: DT: Add stdout-path property
      ARM: dts: sun7i: Add A20 SRAM and SRAM controller
      clocksource: asm9260: Fix of_io_request_and_map error check
      clocksource: integrator: Fix of_io_request_and_map error check
      clocksource: sun5i: Fix of_io_request_and_map error check
      irqchip: vf610: Fix of_io_request_and_map error check
      clk: sunxi: Fix of_io_request_and_map error check
      mtd: nand: pxa3xx: Switch FIFO draining to jiffies-based timeout
      ARM: sunxi: dt: Remove the FSF address
      ARM: sunxi: DT: Fix lines over 80 characters
      ARM: sunxi: dt: Fix whitespace errors
      ARM: sunxi: dt: Split the SPI pinctrl groups
      clk: asm9260: Fix of_io_request_and_map error check
      dmaengine: Support different source and destination stride
      dmaengine: xdmac: Handle descriptor's view 3 registers
      dmaengine: xdmac: Add function to align width
      dmaengine: xdmac: Rework the chaining logic
      dmaengine: xdmac: Add interleaved transfer support
      ARM: sun8i: Introduce A23 Evaluation Board Support
      ARM: dts: sunxi: Revert SRAM controller drivers patches
      ARM: dts: sun4i: Add A10 SRAM and SRAM controller
      ARM: dts: sun5i: Add A10s and A13 SRAM and SRAM controller
      ARM: dts: sun7i: Add A20 SRAM and SRAM controller
      drivers: soc: sunxi: Introduce SoC driver to map SRAMs
      dmaengine: mv_xor: Rename function for consistent naming
      dmaengine: Move icg helpers to global header
      dmaengine: hdmac: Implement interleaved transfers
      dmaengine: Revert "drivers/dma: remove unused support for MEMSET operations"
      dmaengine: xdmac: Add memset support
      ARM: sunxi: Enable simplefb in the defconfig

Mel Gorman (14):
      sched, numa: do not hint for NUMA balancing on VM_MIXEDMAP mappings
      net, swap: Remove a warning and clarify why sk_mem_reclaim is required when deactivating swap
      mm, memcg: Try charging a page before setting page up to date
      mm: page_alloc: pass PFN to __free_pages_bootmem
      mm: meminit: make __early_pfn_to_nid SMP-safe and introduce meminit_pfn_in_nid
      mm: meminit: inline some helper functions
      mm: meminit: initialise a subset of struct pages if CONFIG_DEFERRED_STRUCT_PAGE_INIT is set
      mm: meminit: initialise remaining struct pages in parallel with kswapd
      mm: meminit: minimise number of pfn->page lookups during initialisation
      x86: mm: enable deferred struct page initialisation on x86-64
      mm: meminit: free pages in large chunks where possible
      mm: meminit: reduce number of times pageblocks are set during struct page init
      mm: meminit: remove mminit_verify_page_links
      mm: meminit: finish initialisation of struct pages before basic setup

Mengdong Lin (6):
      ALSA: hda - implement a refcount for i915 power well switch
      ALSA: hda - allow a codec to control the link power
      ALSA: hda - implement link_power ops for i915 display power control
      ALSA: hda - divide controller and codec dependency on i915 gfx power well
      ALSA: hda - remove controller dependency on i915 power well for Baytrail/Braswell
      ALSA: hda - Move hda_i915.c from sound/pci/hda to sound/hda

Mian Yousaf Kaukab (16):
      usb: dwc2: move debugfs code to a separate file
      usb: dwc2: debugfs: add support for complete register dump
      usb: dwc2: set parameter values in probe function
      usb: dwc2: gadget: remove s3c_hsotg_ep_disable_force
      usb: dwc2: host: register handle to the phy
      usb: dwc2: remove dwc2_platform.ko
      usb: gadget: net2280: fix ep_cfg for defect7374
      usb: gadget: net2280: reset sequence number on ep enable
      usb: gadget: net2280: unconditionally reset dma in usb_reset
      usb: gadget: net2280: don't set ep_cfg.direction bit
      usb: gadget: net2280: set all byte enables on start
      usb: gadget: net2280: check interrupts for all endpoints
      usb: gadget: net2280: fix use of GPEP in both directions
      usb: gadget: autoconf: net2280: match hardware and usb ep address
      usb: gadget: net2280: physically disable endpoint on disable operation
      usb: gadget: net2280: fix pullup handling

Michael Ellerman (17):
      perf_event: Don't allow vmalloc() backed perf on powerpc
      powerpc: Make STRICT_MM_TYPECHECKS a config option
      powerpc/pasemi: Only the build the pasemi MSI code for PASEMI=y
      powerpc: Show utsname->machine in boot-up banner
      powerpc: Don't do gcc version checks if we're building with clang
      powerpc: Reject binutils 2.24 when building little endian
      powerpc/vdso: Remove unused debug code
      powerpc/vdso: Combine start/size variables
      powerpc/vdso: Disable building the 32-bit VDSO on little endian
      powerpc/cell: Drop cbe-oss-dev mailing list from MAINTAINERS
      powerpc: Little endian should depend on PPC_BOOK3S_64
      powerpc/mm: Fix build break with STRICT_MM_TYPECHECKS && DEBUG_PAGEALLOC
      selftests/powerpc: Add install support to more powerpc tests
      selftests/powerpc: Add thread based stress test for DSCR sysfs interfaces
      powerpc/powernv: pnv_init_idle_states() should only run on powernv
      Merge branch 'next' of git://git.kernel.org/.../scottwood/linux into next
      powerpc: Update MAINTAINERS to point at shared tree

Michael Grzeschik (1):
      watchdog: imx2_wdt: also set wdog->timeout to new_timeout

Michael Holzheu (9):
      test_bpf: add 173 new testcases for eBPF
      test_bpf: fix sparse warnings
      test_bpf: Add backward jump test case
      s390/bpf: fix stack allocation
      s390/bpf: fix bpf frame pointer setup
      s390/bpf: implement bpf_tail_call() helper
      s390/bpf: Fix backward jumps
      s390/kdump: fix REGSET_VX_LOW vector register ELF notes
      s390/kdump: fix nosmt kernel parameter

Michael Neuling (26):
      powerpc/copro: Fix faulting kernel segments
      powerpc/pci: Add release_device() hook to phb ops
      powerpc: Add cxl context to device archdata
      powerpc/pci: Add shutdown hook to pci_controller_ops
      powerpc/pci: Add pcibios_disable_device() hook
      cxl: Document external user of existing API
      cxl: Add shutdown hook
      cxl: Remove unnecessarily verbose print in cxl_remove()
      cxl: Fix error path on probe
      cxl: Dump debug info on the AFU configuration record
      cxl: Add cookie parameter to afu_release_irqs()
      cxl: Rework detach context functions
      cxl: cxl_afu_reset() -> __cxl_afu_reset()
      cxl: Export some symbols
      cxl: Only check pid for userspace contexts
      cxl: Split afu_register_irqs() function
      cxl: Configure PSL for kernel contexts and merge code
      cxl: Rework context lifetimes
      cxl: Cleanup Makefile
      cxl: Move include file cxl.h -> cxl-base.h
      cxl: Export file ops for use by API
      cxl: Add AFU virtual PHB and kernel API
      cxl: Reset default context for vPHB on release
      cxl: Add CXL_KERNEL_API config option
      cxl: Fix typo in debug print
      cxl: Fix refcounting in kernel API

Michael Niewöhner (1):
      ARM: dts: rockchip: add system-power-controller to act8846 on radxarock

Michael Ring (2):
      ARM: dts: sun7i: Add uart4_pins_b definition
      ARM: dts: sun7i: Add uart4 support for BananaPro, disable uart2

Michael S. Tsirkin (7):
      PCI/MSI: Rename msi_set_enable(), msix_clear_and_set_ctrl()
      PCI/MSI: Export pci_msi_set_enable(), pci_msix_clear_and_set_ctrl()
      PCI/MSI: Disable MSI at enumeration even if kernel doesn't support MSI
      virtio_pci: drop pci_msi_off() call during probe
      ntb: Drop pci_msi_off() call during probe
      PCI/MSI: Drop pci_msi_off() calls from quirks
      [media] media/fintek: drop pci_ids dependency

Michael Trimarchi (1):
      usb: gadget: f_uac1: check return code from config_ep_by_speed

Michael Turquette (9):
      Merge branch 'clk-fixes' into clk-next
      Merge branch 'clk-next-hi6220' into clk-next
      Merge remote-tracking branch 'clk/clk-next' into clk-next
      Merge branch 'clk-shmobile-for-4.2' of git://git.kernel.org/.../geert/renesas-drivers into clk-next
      MAINTAINERS: update email for Michael Turquette
      Merge tag 'sunxi-clocks-for-4.2' of https://git.kernel.org/.../mripard/linux into clk-next
      Merge branch 'ccf/atmel-fixes-for-4.1' of https://github.com/bbrezillon/linux-at91 into clk-fixes
      Merge branch 'clk-exynos-cpu-clk' into clk-next
      Merge tag 'tegra-for-4.2-clk' of git://git.kernel.org/.../tegra/linux into clk-next

Michael Wang (24):
      IB/Verbs: Implement new callback query_protocol()
      IB/Verbs: Implement raw management helpers
      IB/Verbs: Reform IB-core mad/agent/user_mad
      IB/Verbs: Reform IB-core cm
      IB/Verbs: Reform IB-core sa_query
      IB/Verbs: Reform IB-core multicast
      IB/Verbs: Reform IB-ulp ipoib
      IB/Verbs: Reform IB-ulp xprtrdma
      IB/Verbs: Reform IB-core verbs
      IB/Verbs: Reform cm related part in IB-core cma/ucm
      IB/Verbs: Reform route related part in IB-core cma
      IB/Verbs: Reform mcast related part in IB-core cma
      IB/Verbs: Reform cma_acquire_dev()
      IB/Verbs: Reform rest part in IB-core cma
      IB/Verbs: Use management helper rdma_cap_ib_mad()
      IB/Verbs: Use management helper rdma_cap_ib_smi()
      IB/Verbs: Use management helper rdma_cap_ib_cm()
      IB/Verbs: Use management helper rdma_cap_iw_cm()
      IB/Verbs: Use management helper rdma_cap_ib_sa()
      IB/Verbs: Use management helper rdma_cap_ib_mcast()
      IB/Verbs: Use management helper rdma_cap_read_multi_sge()
      IB/Verbs: Use management helper rdma_cap_af_ib()
      IB/Verbs: Use management helper rdma_cap_eth_ah()
      IB/Verbs: Improve docs for rdma-helpers

Michael Welling (7):
      spi: omap2-mcspi: Switch driver to use transfer_one
      spi: omap2-mcspi: Add gpio_request and init CS
      spi: omap2-mcspi: Fix native cs with new set_cs
      spi: omap2-mcspi: Remove unnecessary delay
      spi: omap2-mcspi: Fix set_cs function for active high
      spi: omap2-mcspi: Fix GPIO chip select support
      spi: omap2-mcspi: Handle error on gpio_request

Michael van der Westhuizen (2):
      ARM: 8388/1: tcm: Don't crash when TCM banks are protected by TrustZone
      crypto: picoxcell - Update to the current clk API

Michal Hocko (6):
      jbd2: revert must-not-fail allocation loops back to GFP_NOFAIL
      jbd2: get rid of open coded allocation retry loop
      hugetlb: do not account hugetlb pages as NR_FILE_PAGES
      Documentation/vm/unevictable-lru.txt: clarify MAP_LOCKED behavior
      mm: do not ignore mapping_gfp_mask in page cache allocation paths
      ext4: replace open coded nofail allocation in ext4_free_blocks()

Michal Kazior (71):
      ath10k: fix AP/IBSS CSA with template based fw
      ath10k: fix broken traffic for 802.1x in client mode
      ath10k: fix broken traffic for static WEP in IBSS
      ath10k: fix ap u-apsd cmd on qca6174 w/ wmi-tlv
      ath10k: fix TxBF VHT capabilities for 2+ RF-chain devices
      ath10k: refactor p2p noa code
      ath10k: implement handling of p2p noa event
      ath10k: improve 11b coex
      ath10k: fix some pci wake/sleep issues
      ath10k: save/restore pci config space properly
      ath10k: fix patching mistake for AP/IBSS CSA
      ath10k: fix CAC regression
      ath10k: fix WPA crypto
      ath10k: implement wmi roam event
      ath10k: add hw connection monitor support
      ath10k: strip qos data bit always
      ath10k: protect driver from unsolicited htc ep0 events
      ath10k: clear htt.freq
      ath10k: unify tx mode and dispatch
      ath10k: move rate definitions to file start
      ath10k: derive rate from bitrate
      ath10k: drop RATETAB_ENT macro
      ath10k: add hw rate definitions
      ath10k: use hw rate definitions for fixed rate
      ath10k: simplify fixed rate selection
      ath10k: rework legacy rx rate decoding
      ath10k: deduplicate bitrate to rate idx conversion
      ath10k: document ofdm/5ghz rate offset with a macro
      ath10k: fix aid setup in station mode
      ath10k: fix survey reporting
      ath10k: don't call quiet mode if it's not implemented
      ath10k: allow empty ssid vdev config
      ath10k: implement chanctx API
      ath10k: implement adaptive qcs command
      ath10k: rework tx queue locking
      ath10k: implement tx pause wmi event
      ath10k: enable multi-channel on supported devices
      ath10k: allocate fw resources for iface combinations
      ath10k: clean up set_bitrate_mask handling
      ath10k: fix IBSS scanning on wmi-tlv and qca6174
      ath10k: fix insufficient tracing buffer size
      ath10k: replenish htc tx credits always
      ath10k: fix arvif->wep_keys clearing
      ath10k: fix static wep with more than 1 key in client mode
      ath10k: fix hw roc expiration
      ath10k: use scan burst for hw roc
      ath10k: fix error handling in pci_probe
      ath10k: add extra check for frame tracing
      ath10k: change static wep key handling for client mode
      ath10k: don't use reassoc flag
      ath10k: fix multiple key static wep with ibss
      ath10k: set def key idx for ibss
      ath10k: share board file loading code across FW APIs
      ath10k: allow loading device specific board files
      ath10k: fix qca61x4 hw2.1 support
      ath10k: implement more versatile set_bitrate_mask
      mac80211: check fast-xmit on station change
      ath10k: enable pci soc powersaving
      ath10k: add new 4addr related fw_feature
      mac80211: prevent possible crypto tx tailroom corruption
      cfg80211: ignore netif running state when changing iftype
      ath10k: move cycle_count macro
      ath10k: handle cycle counter wraparound
      ath10k: fix inconsistent survey reports
      ath10k: add missing firmware declarations
      ath10k: fix possible ps sleep crash
      ath10k: fix ar->rx_channel updating logic
      ath10k: remove ath10k_chanctx struct
      ath10k: fix channel switching
      ath10k: prevent memory leak in wmi rx ops
      mac80211: release channel on auth failure

Michal Malý (15):
      HID: hid-lg4ff: Remove double underscore prefix from numeric types
      HID: hid-lg4ff: Remove "hid_" prefix from some functions' names
      HID: hid-lg4ff: Replace DEVICE_ATTR_RW with DEVICE_ATTR to have all internal functions prefixed with "lg4ff_"
      HID: hid-lg4ff: Remove unused variable from the "lg4ff_device_entry" struct.
      HID: hid-lg4ff: Explicit casts from void * are not necessary
      HID: hid-lg4ff: Update a warning message
      HID: hid-lg: Check return values from lg[N]ff_init()
      HID: hid-lg4ff: Make lg4ff_wheel_data a separate structure
      HID: hid-lg4ff: Stop the hid device from lg4ff
      HID: hid-lg4ff: Protect concurrent access to output HID report
      HID: hid-lg4ff: Store pointer to the output HID report struct in the device entry struct
      HID: hid-lg4ff: Constify members of lg4ff_device_entry
      HID: hid-lg4ff: Allow the driver to continue without sysfs interface
      HID: hid-lg4ff: Update respective sysfs interface documentation
      HID: hid-lg: Only one of LG_FF flags can be set for a given device

Michal Schmidt (1):
      bnx2x: fix DMA API usage

Michal Simek (13):
      net: axienet: Fix comments blocks
      net: axienet: Fix kernel-doc warnings
      net: ll_temac: Use one return statement instead of two
      gpio: xilinx: Fix kernel-doc warnings
      arm64: Rename temp variable in read*_relaxed()
      ARM: dts: zynq: Add missing alias node for zybo and parallella
      ARM: dts: zynq: Fix stdout-path and bootargs
      PCI: xilinx: Trivial fix in DT example
      of: Add vendor prefix for Ceva
      net: ll_temac: Remove sparse warnings
      microblaze: Add missing release version code
      microblaze: Label local function static
      drivers/firmware/memmap.c: fix kernel-doc format

Michal Suchanek (1):
      dmaengine: pl330: fix wording in mcbufsz message

Michał Kępień (1):
      staging: ft1000: ft1000-usb: ft1000_hw.c: fix long lines

Michel Dänzer (4):
      drm/radeon: Make sure radeon_vm_bo_set_addr always unreserves the BO
      drm/radeon: Add RADEON_INFO_VA_UNMAP_WORKING query
      drm/radeon: Clean up reference counting and pinning of the cursor BOs
      drm/radeon: Fold radeon_set_cursor() into radeon_show_cursor()

Michel Thierry (3):
      drm/i915/ppgtt: Break loop in gen8_ppgtt_clear_range failure path
      drm/i915/lrc: Update PDPx registers with lri commands
      drm/i915/gtt: Switch gen8_free_page_tables params

Michel von Czettritz (1):
      staging: sm750fb: remove duplicate from fb_videomode

Michele Curti (1):
      ASoC: rt5645: move RT5650 muxes to rt5650_specific_dapm_widgets

Mika Kahola (1):
      drm/i915: Limit CHV max cdclk

Mika Kuoppala (29):
      drm/i915/gtt: Don't leak scratch page on mapping error
      drm/i915/gtt: Remove _single from page table allocator
      drm/i915: Reset request handling for gen8+
      drm/i915/gtt: Mark TLBS dirty for gen8+
      drm/i915/gtt: Check va range against vm size
      drm/i915/gtt: Allow >= 4GB sizes for vm.
      drm/i915/gtt: Introduce i915_page_dir_dma_addr
      drm/i915/gtt: Introduce struct i915_page_dma
      drm/i915/gtt: Rename unmap_and_free_px to free_px
      drm/i915/gtt: Remove superfluous free_pd with gen6/7
      drm/i915/gtt: Introduce fill_page_dma()
      drm/i915/gtt: Introduce kmap|kunmap for dma page
      drm/i915/gtt: Use macros to access dma mapped pages
      drm/i915/gtt: Make scratch page i915_page_dma compatible
      drm/i915/gtt: Pin vma during virtual address allocation
      drm/i915/gtt: Cleanup page directory encoding
      drm/i915/gtt: Move scratch_pd and scratch_pt into vm struct
      drm/i915/gtt: Use nonatomic bitmap ops
      drm/i915/gtt: Reorder page alloc/free/init functions
      drm/i915/gtt: Return struct i915_scratch_page from alloc_scratch
      drm/i915/gtt: Per ppgtt scratch page
      drm/i915: Convert execlist_submit_contexts() for requests
      drm/i915: Convert execlists_update_context() for requests
      drm/i915: Assign request ringbuf before pin
      drm/i915: Convert intel_lr_context_pin() for requests
      drm/i915: Convert execlists_elsp_writ() for requests
      drm/i915: Convert execlists_ctx_descriptor() for requests
      drm/i915: Mark elsps submitted when they are pushed to hw
      drm/i915: Fix divide by zero on watermark update

Mika Westerberg (8):
      gpio / ACPI: Add support for retrieving GpioInt resources from a device
      pinctrl: intel: sunrisepoint: Add Intel Sunrisepoint-H support
      i2c / ACPI: Use 0 to indicate that device does not have interrupt assigned
      i2c / ACPI: Assign IRQ for devices that have GpioInt automatically
      gpio / ACPI: Return -EPROBE_DEFER if the gpiochip was not found
      ARM: ep93xx: simone: support for SPI-based MMC/SD cards
      i2c: designware: Make sure the device is suspended before disabling runtime PM
      mfd: lpc_ich: Assign subdevice ids automatically

Mike Kravetz (3):
      mm/hugetlb: document the reserve map/region tracking routines
      mm/hugetlb: compute/return the number of regions added by region_add()
      mm/hugetlb: handle races in alloc_huge_page and hugetlb_reserve_pages

Mike Looijmans (2):
      Add TI CDCE925 I2C controlled clock synthesizer driver
      ARM: 8387/1: arm/mm/dma-mapping.c: Add arm_coherent_dma_mmap

Mike Shuey (8):
      staging: lustre: lnet: lnet: code cleanups - variable declarations
      staging: lustre: lnet: dead code - remove lnet_fini_locks
      staging: lustre: lnet: dead code - remove LNetSetAsync
      staging: lustre: lnet: lnet: Module is LNet, not Portals
      staging: lustre: lnet: o2iblnd: code cleanup - align whitespace
      staging: lustre: lnet: socklnd: code cleanup - align spacing
      staging: lustre: lnet: selftest: code cleanup - variable spacing, indentation
      staging: lustre: lnet: remove LNET_MUTEX_LOCK macro

Mike Snitzer (15):
      block: remove management of bi_remaining when restoring original bi_end_io
      Merge remote-tracking branch 'jens/for-4.2/core' into dm-4.2
      dm: do not allocate any mempools for blk-mq request-based DM
      dm: cleanup methods that requeue requests
      dm: factor out a common cleanup_mapped_device()
      dm thin: cleanup overwrite's endio restore to be centralized
      dm thin: cleanup schedule_zero() to read more logically
      dm thin metadata: remove in-core 'read_only' flag
      dm cache: prefix all DMERR and DMINFO messages with cache device name
      dm thin: fail messages with EOPNOTSUPP when pool cannot handle messages
      dm cache: switch the "default" cache replacement policy from mq to smq
      Revert "dm: do not allocate any mempools for blk-mq request-based DM"
      Revert "block, dm: don't copy bios for request clones"
      dm: bump the ioctl version to 4.32.0
      dm cache policy smq: fix "default" version to be 1.4.0

Mikhail Klementyev (1):
      audit: obsolete audit_context check is removed in audit_filter_rules()

Mikko Perttunen (7):
      soc/tegra: fuse: Add RAM code reader helper
      of: Add Tegra124 EMC bindings
      memory: tegra: Add API needed by the EMC driver
      memory: tegra: Add EMC (external memory controller) driver
      memory: tegra: Add EMC frequency debugfs entry
      clk: tegra: Remove old Tegra124 EMC clock
      clk: tegra: Add EMC clock driver

Mikko Rapeli (1):
      include/uapi/linux/virtio_balloon.h: include linux/virtio_types.h

Miklos Szeredi (45):
      ovl: don't traverse automount points
      ovl: allow distributed fs as lower layer
      ovl: lookup whiteouts outside iterate_dir()
      vfs: add file_path() helper
      vfs: add seq_file_path() helper
      fuse: initialize fc->release before calling it
      fuse: fix background request if not connected
      fuse: reset waiting
      fuse: account as waiting before queuing for background
      fuse: check conn_error earlier
      fuse: fold fuse_request_send_nowait() into single caller
      fuse: call fuse_abort_conn() in dev release
      fuse: simplify request abort
      fuse: req use bitops
      fuse: use per req lock for lock/unlock_request()
      fuse: fold helpers into abort
      fuse: rework abort
      fuse: simplify unique ctr
      fuse: don't hold lock over request_wait_answer()
      fuse: simplify req states
      fuse: req state use flags
      fuse: separate out input queue
      fuse: duplicate ->connected in iqueue
      fuse: abort: group iqueue accesses
      fuse: dev read: split list_move
      fuse: iqueue locking
      fuse: allow interrupt queuing without fc->lock
      fuse: no fc->lock for iqueue parts
      fuse: simplify request_wait()
      fuse: separate out processing queue
      fuse: duplicate ->connected in pqueue
      fuse: move list_del_init() from request_end() into callers
      fuse: cleanup fuse_dev_do_read()
      fuse: abort: group pqueue accesses
      fuse: pqueue locking
      fuse: add req flag for private list
      fuse: request_end(): do once
      fuse: cleanup request_end()
      fuse: no fc->lock in request_end()
      fuse: no fc->lock for pqueue parts
      fuse: abort: no fc->lock needed for request ending
      fuse: device fd clone
      fuse: introduce per-instance fuse_dev structure
      fuse: separate pqueue for clones
      fuse: update MAINTAINERS entry

Mikulas Patocka (6):
      dm stats: fix divide by zero if 'number_of_areas' arg is zero
      dm stats: support precise timestamps
      dm stats: collect and report histogram of IO latencies
      dm stats: add support for request-based DM devices
      ioctl_compat: handle FITRIM
      hpfs: add fstrim support

Milan Broz (1):
      dm crypt: add comments to better describe crypto processing logic

Milo Kim (1):
      leds:lp55xx: fix firmware loading error

Milos Vyletel (2):
      documentation: State that rcu_dereference() reloads pointer
      perf tools: Avoid possible race condition in copyfile()

Mimi Zohar (7):
      ima: do not measure or appraise the NSFS filesystem
      evm: labeling pseudo filesystems exception
      KEYS: fix "ca_keys=" partial key matching
      ima: fix ima_show_template_data_ascii()
      ima: add support for new "euid" policy condition
      ima: extend "mask" policy matching support
      ima: update builtin policies

Minfei Huang (2):
      livepatch: Prevent patch inconsistencies if the coming module notifier fails
      livepatch: annotate klp_init() with __init

Ming Lei (8):
      block: loop: convert to per-device workqueue
      block: loop: avoiding too many pending per work I/O
      block: replace trylock with mutex_lock in blkdev_reread_part()
      block: loop: don't hold lo_ctl_mutex in lo_open
      block: loop: fix another reread part failure
      block: nbd: convert to blkdev_reread_part()
      block: dasd_genhd: convert to blkdev_reread_part
      blk-mq: free hctx->ctxs in queue's release handler

Miroslav Benes (3):
      x86/kaslr: Fix typo in the KASLR_FLAG documentation
      livepatch: make kobject in klp_object statically allocated
      livepatch: add module locking around kallsyms calls

Mirza Krak (2):
      ARM: imx: clk-v610: Add clock for I2C2 and I2C3
      spi: fsl-dspi: Use pinctrl PM helpers

Misael Lopez Cruz (6):
      OMAPDSS: HDMI4: Set correct CC for 8-channels layout
      OMAPDSS: HDMI5: Set valid sample order
      OMAPDSS: HDMI5: Fix AUDICONF3 bitfield offsets
      ASoC: omap-hdmi-audio: Force channel allocation only for OMAP4
      ASoC: omap-hdmi-audio: Fix invalid combination of DM_INH and CA
      ASoC: davinci-mcasp: Logic low for inactive output slots

Mitch Williams (2):
      i40evf: fix panic during MTU change
      i40evf: don't configure unused RSS queues

Mitchel Humpherys (1):
      staging: ion: chunk_heap: use pr_debug for heap creation print

Moni Shoua (2):
      IB/core: Don't advertise SA in RoCE port capabilities
      IB/core: Don't warn on no SA support in event handler

Mugunthan V N (1):
      net: phy: fix phy link up when limiting speed via device tree

Murali Karicheri (9):
      spi: davinci: change the lower limit of pre-scale divider to 1
      ARM: dts: k2hk-evm: Add device bindings for netcp driver
      ARM: dts: k2e-evm: Add device bindings for netcp driver
      ARM: dts: k2l-evm: Add device bindings for netcp driver
      ARM: dts: k2hk: fix the netcp range size
      ARM: dts: k2e: fix the netcp range size
      ARM: dts: k2l: fix the netcp range size
      ARM: keystone: defconfig: enable netcp driver by default
      clk: keystone: add support for post divider register for main pll

Nadav Amit (6):
      KVM: x86: Support for disabling quirks
      KVM: x86: INIT and reset sequences are different
      KVM: x86: Call-far should not be emulated as stack op
      KVM: x86: Fix DR7 mask on task-switch while debugging
      KVM: x86: Fix update RCX/RDI/RSI on REP-string
      KVM: x86: Fix zero iterations REP-string

Nadav Haklai (1):
      ata: ahci_mvebu: Fix wrongly set base address for the MBus window setting

Nam T. Nguyen (1):
      perf tools: Separate the tests and tools in installation

Namhyung Kim (36):
      perf hists: Get rid of position field from struct hist_entry
      perf diff: Make hist_entry_diff fields union
      perf tools: Document --children option in more detail
      perf tools: Fix bison-related build failure on CentOS 6
      perf kmem: Implement stat --page --caller
      perf kmem: Support sort keys on page analysis
      perf kmem: Add --live option for current allocation stat
      perf kmem: Print gfp flags in human readable string
      perf kmem: Add kmem.default config option
      perf kmem: Show warning when trying to run stat without record
      perf tools: Move TUI-specific fields into unnamed union
      perf tools: Move init_have_children field to the unnamed union
      perf hists browser: Fix possible memory leak
      perf hists browser: Save hist_browser_timer pointer in hist_browser
      perf hists browser: Save pstack in the hist_browser
      perf hists browser: Save perf_session_env in the hist_browser
      perf hists browser: Split popup menu actions
      perf hists browser: Split popup menu actions - part 2
      perf tools: Introduce pstack_peek()
      perf hists browser: Simplify zooming code using pstack_peek()
      perf tools: Move TUI-specific fields out of map_symbol
      perf tools: Document relation of per-thread event count feature
      perf report: Force tty output if -T/--thread option is given
      perf report: Do not restrict -T option by other options
      perf report: Fix some option handling on --stdio
      perf tools: Add rm_rf() utility function
      perf tools: Introduce copyfile_offset() function
      perf symbols: Protect dso symbol loading using a mutex
      perf symbols: Protect dso cache tree using dso->lock
      perf tools: Protect dso cache fd with a mutex
      perf hists: Reducing arguments of hist_entry_iter__add()
      perf tools: Fix dso__data_read_offset() file opening
      perf tools: Get rid of dso__data_fd() from dso__data_size()
      perf tools: Add dso__data_get/put_fd()
      perf tools: Make Ctrl-C stop processing on TUI
      perf top: Move toggling event logic into hists browser

Namjae Jeon (1):
      ext4: Add support FALLOC_FL_INSERT_RANGE for fallocate

Nan Jia (1):
      xfs: Clean up xfs_trans_dup_dqinfo

Naoya Horiguchi (5):
      mm/memory-failure: split thp earlier in memory error handling
      mm/memory-failure: introduce get_hwpoison_page() for consistent refcount handling
      mm: soft-offline: don't free target page in successful page migration
      mm/memory-failure: me_huge_page() does nothing for thp
      mm/hugetlb: introduce minimum hugepage order

Narsimhulu Musini (1):
      snic: driver for Cisco SCSI HBA

Nathan Fontenot (1):
      powerpc/pseries: Fix possible leaked device node reference

Nathan Lynch (2):
      ARM: 8385/1: VDSO: group link options
      ARM: 8384/1: VDSO: force use of BFD linker

Nathan Sullivan (1):
      ARM: zynq: DT: Use the zynq binding with macb

Nathan Zimmer (1):
      mm: meminit: only set page reserved in the memblock region

Naveen N. Rao (11):
      perf probe ppc: Fix symbol fixup issues due to ELF type
      perf probe ppc: Use the right prefix when ignoring SyS symbols on ppc
      perf probe ppc: Enable matching against dot symbols automatically
      perf probe ppc64le: Prefer symbol table lookup over DWARF
      perf probe ppc64le: Fixup function entry if using kallsyms lookup
      perf symbols: Warn on build id mismatch
      perf probe: Improve detection of file/function name in the probe pattern
      perf build: Disable libdw DWARF unwind when built with NO_DWARF
      perf probe: Ignore tail calls to probed functions
      sched/stat: Simplify the sched_info accounting dependency
      sched/stat: Expose /proc/pid/schedstat if CONFIG_SCHED_INFO=y

Neal Cardwell (1):
      tcp: fix child sockets to use system default congestion control if not set

Neerav Parikh (1):
      i40e: Collect PFC XOFF RX stats even in single TC case

Neil Brown (2):
      SUNRPC: never enqueue a ->rq_cong request on ->sending
      md: clear Blocked flag on failed devices when array is read-only.

Neil McKee (1):
      openvswitch: include datapath actions with sampled-packet upcall to userspace

NeilBrown (25):
      nfsd: stop READDIRPLUS returning inconsistent attributes
      ovl: rearrange ovl_follow_link to it doesn't need to call ->put_link
      SECURITY: remove nameidata arg from inode_follow_link.
      VFS: replace {, total_}link_count in task_struct with pointer to nameidata
      security/selinux: pass 'flags' arg to avc_audit() and avc_has_perm_flags()
      security: make inode_follow_link RCU-walk aware
      VFS/namei: make the use of touch_atime() in get_link() RCU-safe.
      Documentation: remove outdated information from automount-support.txt
      UDF: support NFSv2 export
      genirq: Don't suspend nested_thread irqs over system suspend
      usb: phy: Add interface to get phy give of device_node.
      power: twl4030_charger: find associated phy by more reliable means.
      block: discard bdi_unregister() in favour of bdi_destroy()
      phy: twl4030-usb: make runtime pm more reliable.
      phy: twl4030-usb: remove pointless 'suspended' test in 'suspend' callback.
      phy: twl4030-usb: remove incorrect pm_runtime_get_sync() in probe function.
      phy: twl4030-usb: add ABI documentation
      NFS: report more appropriate block size for directories.
      md: don't return 0 from array_state_store
      md: Close race when setting 'action' to 'idle'.
      md: make sure MD_RECOVERY_DONE is clear before starting recovery/resync
      md: clear mddev->private when it has been freed.
      md: unlock mddev_lock on an error path.
      NILFS2: support NFSv2 export
      NFSv4: When returning a delegation, don't reclaim an incompatible open mode.

Nicholas Bellinger (16):
      target: Convert se_node_acl->device_list[] to RCU hlist
      target/pr: Use atomic bitop for se_dev_entry->deve_flags reservation check
      target/pr: Change alloc_registration to avoid pr_reg_tg_pt_lun
      target: Convert se_portal_group->tpg_lun_list[] to RCU hlist
      target: Convert se_tpg->acl_node_lock to ->acl_node_mutex
      target: Convert core_tpg_deregister to use list splice
      target: Drop unused se_lun->lun_acl_list
      target: Only reset specific dynamic entries during lun_group creation
      target: Drop left-over se_lun->lun_status
      target: Drop lun_sep_lock for se_lun->lun_se_dev RCU usage
      target: Drop se_lun->lun_active for existing percpu lun_ref
      target: Drop unnecessary core_tpg_register TFO parameter
      target: Drop duplicate + unused se_dev_check_wce
      xen-scsiback: Fix compile warning for 64-bit LUN
      target: Convert se_lun->lun_deve_lock to normal spinlock
      target: Bump core version to v5.0

Nicholas Krause (5):
      kvm: x86: Make functions that have no external callers static
      ARM: OMAP2+: Remove calls to deprecacted marco,PTR_RET in the files,fb.c and pmu.c
      mach-omap2: Remove use of deprecated marco, PTR_RET in devices.c
      iwlwifi: Remove use of the deprecacted PTR_RET
      ARM: OMAP2+: Remove unnessary return statement from the void function, omap2_show_dma_caps

Nicholas Mc Guire (38):
      ath10k: mac: match wait_for_completion_timeout return type
      ath10k: htc: match wait_for_completion_timeout return type
      ath10k: debug: match wait_for_completion_timeout return type
      ath10k: thermal: match wait_for_completion_timeout return type
      ath10k: core: match wait_for_completion_timeout return type
      ath10k: harmonize error case handling in ath10k_core_start
      soc/tegra: Watch wait_for_completion_timeout() return type
      genirq: Fix unnecessary automatic type conversion
      genirq: Fix type inconsistency
      mtd: fsmc_nand: fix handling of wait_for_completion_timeout return value
      mtd: fsmc_nand: match wait_for_completion_timeout return type
      HID: make timeout HZ independent
      sched/core: Remove unnecessary down/up conversion
      livepatch: match return value to function signature
      sched: Fix function declaration return type mismatch
      time: Move timeconst.h into include/generated
      time: Refactor msecs_to_jiffies
      time: Allow gcc to fold constants when possible
      irda: irda-usb: use msecs_to_jiffies for conversions
      irda: use msecs_to_jiffies for conversion to jiffies
      carl9170: match wait_for_completion_timeout return type
      rcu: Change function declaration to bool
      sound/oss: use schedule_timeout_interruptible()
      staging: rts5208: pass timeout as HZ independent value
      staging: me_daq: use schedule_timeout_interruptible()
      staging: panel: use schedule_timeout_interruptible()
      snsc: pass timeout as HZ independent value
      staging: unisys: use schedule_timeout_interruptible()
      MIPS: KVM: Do not sign extend on unsigned MMIO load
      wan: dscc4: fix build warning Wunused-but-set-variable
      cosa: use msecs_to_jiffies for conversions
      wan: dscc4: use msecs_to_jiffies for conversions
      atm: use msecs_to_jiffies for conversions
      time: Refactor usecs_to_jiffies
      time: Allow gcc to fold usecs_to_jiffies(constant)
      s390/sclp: pass timeout as HZ independent value
      ALSA: mips: let SND_SGI_O2 select SND_PCM
      i2c: octeon: remove unused signal handling

Nick Hoath (1):
      drm/i915/gen9: Implement WaDisableKillLogic for gen 9

Nick Kossifidis (11):
      ath9k: Add a new debug flag for FFT spectral scan
      ath9k: Fix hanlding of maximum magnitude index
      ath9k: Move processing of FFT frames to different functions
      ath9k: Perform integrity checks when processing FFT frames
      ath9k: Support processing of multiple FFT frames per report.
      ath9k: Skip malformed frames on normal FFT report
      ath9k: No need for that extra memcpy
      ath9k: Skip FFT reports if we are out of output buffers
      ath9k: No need for that extra memset
      ath9k: Mix the received FFT bins to the random pool
      ath9k: Enable short repeat by default on ath9k_htc

Nickolaus Woodruff (1):
      staging: rtl8192u: Make core functions static

Nicolai Stange (1):
      isdn: disable HiSax NetJet driver on microblaze arch

Nicolas Boichat (3):
      ASoC: rt5645: Rename HP control to Headphone
      ASoC: rt5645: Init jack_detect_work before registering irq
      ASoC: rt5645: Lock mutex in rt5645_enable_push_button_irq

Nicolas Dechesne (2):
      ARM: dts: qcom: apq8064 - Add usb host support to CM QS-600
      ARM: dts: qcom: apq8064 - Add USB OTG support for CM QS-600

Nicolas Dichtel (8):
      netns: returns always an id in __peernet2id()
      netns: always provide the id to rtnl_net_fill()
      netns: rename peernet2id() to peernet2id_alloc()
      netns: notify new nsid outside __peernet2id()
      netns: use a spin_lock to protect nsid management
      netlink: rename private flags and states
      netlink: allow to listen "all" netns
      netns: fix unbalanced spin_lock on error

Nicolas Ferre (12):
      ARM: at91/dt: sama5d4 xplained: add spi1 on j14 connector
      ARM: at91/dt: sama5d3 xplained: add phy address for macb0
      ARM: at91/defconfig: add CONFIG_REGULATOR_FIXED_VOLTAGE for mmc driver
      ARM: at91/dt: at91sam9x5: fix usart1 sck pin definition
      tty/serial: at91: RS485 mode: 0 is valid for delay_rts_after_send
      irqchip: atmel-aic5: Add sama5d2 support
      clk: at91: trivial: typo in peripheral clock description
      clk: at91: fix h32mx prototype inclusion in pmc header
      net/macb: bindings doc: fix compatibility string
      net/macb: bindings doc/trivial: fix sama5d4 comment
      net/macb: bindings doc: add sama5d2 compatibility sting
      ARM: at91/dt: trivial: fix USB udc compatible string

Nicolas Iooss (9):
      Staging: fbtft: fix header guard typo
      tracing: remove unused ftrace_output_event() prototype
      rcu: Make rcu_*_data variables static
      um: Create asm/sections.h
      um: Use char[] for linker script address declarations
      um/os-Linux: Use char[] for syscall_stub declarations
      coredump: use from_kuid/kgid when formatting corename
      coredump: add __printf attribute to cn_*printf functions
      KVM: x86: remove data variable from kvm_get_msr_common

Nicolas Pitre (5):
      ARM: hisi/hip04: remove the MCPM overhead
      ARM: MCPM: remove backward compatibility code
      ARM: MCPM: make internal helpers private to the core code
      ARM: MCPM: add references to the available documentation in the code
      ARM: MCPM: remove residency argument from mcpm_cpu_suspend()

Nicolas Schichan (4):
      net: filter: add a callback to allow classic post-verifier transformations
      seccomp: simplify seccomp_prepare_filter and reuse bpf_prepare_filter
      ARM: net: add JIT support for loads from struct seccomp_data.
      bus: mvebu-mbus: do not set WIN_CTRL_SYNCBARRIER on non io-coherent platforms.

Nicolin Chen (1):
      ASoC: fsl_spdif: Don't try to round-up for clock divisor calculation

Nik Nyby (3):
      stmmac: Trivial: fix typo in constant name
      sis900: Trivial: Fix typos in enums
      net: icplus: fix typo in constant name

Nikhil Badola (2):
      drivers:usb:fsl: Check IP version 2.4 for mph USB controller
      drivers:usb:fsl: Add support for USB controller version-2.5

Nikhil Devshatwar (1):
      [media] v4l: of: Correct pclk-sample for BT656 bus

Nikhil Rao (1):
      misc: mic: SCIF connections APIs i.e. accept and connect

Nikolay Aleksandrov (9):
      bridge: disable softirqs around br_fdb_update to avoid lockup
      bridge: make br_fdb_delete also check if the port matches
      bridge: fix multicast router rlist endless loop
      bonding: export slave's actor_oper_port_state via sysfs and netlink
      bonding: export slave's partner_oper_port_state via sysfs and netlink
      bridge: fix br_stp_set_bridge_priority race conditions
      bridge: multicast: start querier timer when running user-space stp
      bridge: multicast: add a comment to br_port_state_selection about blocking state
      bridge: vlan: flush the dynamically learned entries on port vlan delete

Nikolay Borisov (4):
      sched: Remove redundant #ifdef
      fsnotify: remove obsolete documentation
      bufferhead: Add _gfp version for sb_getblk()
      ext4: avoid deadlocks in the writeback path by using sb_getblk_gfp

Nilesh Javali (1):
      bnx2i: Fix call trace while device reset

Nimrod Andy (1):
      net: fec: init MAC prior to mii bus probe

Niranjan Dighe (3):
      Staging: lustre: Replace kzalloc followed by memcpy with kmemdup
      Staging: sm750fb: replace spaces by tabs
      Staging: sm750fb: correct spacing between lines of code

Nishanth Aravamudan (1):
      mm: vmscan: do not throttle based on pfmemalloc reserves if node has no reclaimable pages

Nishanth Menon (2):
      ARM: dts: omap5-uevm: Add Uart wakeup interrupt
      rtc: ds1307: Enable the mcp794xx alarm after programming time

Niu,Bing (1):
      drm/i915: Also perform gpu reset under execlist mode.

Nizam Haider (2):
      Usb: core: buffer: fixed the checkpatch warning
      Staging: rts5208: xd: Fixed checkpatch warning

Noam Camus (2):
      serial: earlycon: Add support for big-endian MMIO accesses
      NET: Add ezchip ethernet driver

Nobuhiro Iwamatsu (1):
      usb: renesas_usbhs: Add access control for INTSTS1 and INTENB1 register

Noel Power (1):
      client MUST ignore EncryptionKeyLength if CAP_EXTENDED_SECURITY is set

Oder Chiou (6):
      ASoC: rt5677: Add DMIC ASRC detect function
      ASoC: rt5645: make RT5650_TDM_CTRL_4 readable
      ASoC: rt5645: add device tree support
      ASoC: rt5645: change gpio to gpiod APIs
      ASoC: rt286: Add RL6347A class device shared support for RT286
      ASoC: rt5645: Add the device tree parser

Ohad Ben-Cohen (1):
      remoteproc: fix !CONFIG_OF build breakage

Okash Khawaja (2):
      adm8211: fix checkpatch errors for indentation and new line
      adm8211: fixed the possible pci cache line sizes inside switch-case

Oleg Drokin (59):
      staging/lustre: Always try kmalloc first for OBD_ALLOC_LARGE
      staging/lustre: Only set INTERRUPTIBLE state before calling schedule
      staging/lustre/ptlrpc: Fix wrong indenting in plain_authorize()
      staging/lustre/ptlrpc: Fix potential NULL pointer dereference
      staging/lustre/llite: Fix wrong identing in ll_setxattr_common
      staging/lustre: Generic helpers for sysfs
      staging/lustre: Move /proc/fs/lustre root level files to sysfs
      staging/lustre/llite: Preparation to move /proc/fs/lustre/llite to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/blocksize to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/kbytes* to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/files* to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/client_type to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/fstype to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/uuid to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/max_read_ahead_mb to sysfs
      staging/lustre/llite: move llite/max_read_ahead_per_file_mb to sysfs
      staging/lustre/llite: move llite/max_read_ahead_whole_mb to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/checksum_pages to sysfs
      staging/lustre/llite: remove unused ll_max_rw_chunk
      staging/lustre/llite: move /proc/fs/lustre/llite/stats_track* to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/statahead_{max, agl} to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/lazystatfs to sysfs
      staging/lustre/llite: move /proc/fs/lustre/llite/*_easize to sysfs
      staging/lustre/llite: remove llite/*_cookiesize proc files
      staging/lustre/llite: move /proc/fs/lustre/llite/xattr_cache to sysfs
      staging/lustre/ldlm: Preparation to move /proc/fs/lustre/ldlm to sysfs
      staging/lustre/ldlm: move cancel_unused_locks_before_replay to sysfs
      staging/lustre/ldlm: move namespaces/resource_count to sysfs
      staging/lustre/ldlm: move namespace/lock_count to sysfs
      staging/lustre/ldlm: move namespaces/lru_size to sysfs
      staging/lustre/ldlm: move namespaces/early_lock_cancel to sysfs
      staging/lustre/ldlm: move namespaces/lock_unused_count to sysfs
      staging/lustre/ldlm: move namespaces/lru_max_age to sysfs
      staging/lustre/ldlm: remove server-side congested locks support
      staging/lustre/ldlm: Add infrastructure to move ldlm pool controls to sysfs
      staging/lustre/ldlm: move procfs ldlm pool stats to sysfs
      staging/lustre: Add debugfs root
      staging/lustre: move /proc/fs/lustre/devices to debugfs
      staging/lustre/ptlrpc: Add infrastructure for sysfs migration
      staging/lustre/ptlrpc: move procfs threads* files to sysfs
      staging/lustre/ptlrpc: move procfs high_priority_ratio file to sysfs
      staging/lustre: Remove useless num_refs procfs variable
      stagng/lustre/obdclass: Remove unused function lprocfs_rd_num_exports
      staging/lustre/obdecho: Remove procfs registration
      staging/lustre/obdclass: Prepare for procfs to sysfs migration
      staging/lustre/obdclass: Move common obd proc files to sysfs
      staging/lustre/llite: make llite/lov and lmv symlinks
      staging/lustre/mdc: move mdc-specific procfs files to sysfs
      staging/lustre/osc: move suitable values from procfs to sysfs
      staging/lustre/lov: Move suitable variables from procfs to sysfs
      staging/lustre/lmv: Move suitable entries from procfs to sysfs
      staging/lustre: Update header license for lustre_dlm_flags.h
      staging/lustre/llite: Move all remaining procfs entries to debugfs
      staging/lustre/obd: Rename lprocfs_add_symlink to ldebugfs_add_symlink
      staging/lustre/obd: remove unused lprocfs_exp_setup() and related functions
      staging/lustre/obd: Remove nid_stats tracking
      staging/lustre/ldlm: Fix up LDLM_POOL_SYSFS_WRITER*_STORE define
      staging/lustre/llite: remove LL_IOC_REMOVE_ENTRY handler
      staging/lustre/llite: fix ll_getname user buffer copy

Oleg Nesterov (3):
      signals: don't abuse __flush_signals() in selinux_bprm_committed_creds()
      hrtimer: Remove HRTIMER_STATE_MIGRATE
      perf: Fix ring_buffer_attach() RCU sync, again

Oleg Zhurakivskyy (1):
      NFC: nxp-nci_i2c: Add support for enumerating through ACPI

Oleksij Rempel (1):
      ath9k: split ar5008_hw_spur_mitigate and reuse common code in ar9002_hw_spur_mitigate.

Olga Kornievskaia (2):
      fixing infinite OPEN loop in 4.0 stateid recovery
      Recover from stateid-type error on SETATTR

Oliver Hartkopp (2):
      can: cangw: introduce optional uid to reference created routing jobs
      can: fix loss of CAN frames in raw_rcv

Olli Salonen (11):
      [media] dw2102: TeVii S482 support
      [media] si2168: add support for gapped clock
      [media] dvbsky: use si2168 config option ts_clock_gapped
      [media] si2168: add I2C error handling
      [media] si2157: support selection of IF interface
      [media] rtl28xxu: add I2C read without write
      [media] rtl2832: add support for GoTView MasterHD 3 USB tuner
      [media] dw2102: remove unnecessary printing of MAC address
      [media] dw2102: resync fifo when demod locks
      [media] saa7164: change Si2168 reglen to 0 bit
      [media] saa7164: Improvements for I2C handling"

Omar Sandoval (7):
      Btrfs: don't invalidate root dentry when subvolume deletion fails
      Btrfs: lock superblock before remounting for rw subvol
      Btrfs: remove all subvol options before mounting top-level
      Btrfs: clean up error handling in mount_subvol()
      Btrfs: fail on mismatched subvol and subvolid mount options
      Btrfs: unify subvol= and subvolid= mounting
      Btrfs: show subvol= and subvolid= in /proc/mounts

Ong, Boon Leong (1):
      thermal: intel Quark SoC X1000 DTS thermal driver

Or Gerlitz (9):
      net/mlx4_core: Enhance the MAD_IFC wrapper to convert VF port to physical
      IB/mlx4: Convert slave port before building address-handle
      net/mlx4_core: Modify port values when generting EQEs for VFs
      net/mlx4_core: Adjust the schedule queue port for single ported IB VFs
      net/mlx4_core: Adjust the schedule queue port in reset-to-init too
      net/mlx4_core: Enable single ported IB VFs
      net/mlx4_core: Fix build failure introduced by the EQ pool changes
      net/mlx4_core: Disable Granular QoS per VF under IB/Eth VPI configuration
      mlx4: Disable HA for SRIOV PF RoCE devices

Pablo Neira (6):
      net: kill useless net_*_ingress_queue() definitions when NET_CLS_ACT is unset
      netfilter: cleanup struct nf_hook_ops indentation
      netfilter: add hook list to nf_hook_state
      netfilter: add nf_hook_list_active()
      net: add CONFIG_NET_INGRESS to enable ingress filtering
      netfilter: add netfilter ingress hook after handle_ing() under unique static key

Pablo Neira Ayuso (14):
      netfilter: x_tables: add context to know if extension runs from nft_compat
      netfilter: default CONFIG_NETFILTER_INGRESS to y
      netfilter: nf_tables: allow to bind table to net_device
      netfilter: nf_tables: add netdev table to filter from ingress
      netfilter: Kconfig: get rid of parens around depends on
      Merge branch 'master' of git://blackhole.kfki.hu/nf-next
      netfilter: nf_tables: attach net_device to basechain
      netfilter: nf_tables: add nft_register_basechain() and nft_unregister_basechain()
      netfilter: nf_tables_netdev: unregister hooks on net_device removal
      netfilter: bridge: rename br_netfilter.c to br_netfilter_hooks.c
      netfilter: bridge: split ipv6 code into separated file
      net: include missing headers in net/net_namespace.h
      netfilter: use forward declaration instead of including linux/proc_fs.h
      netfilter: don't pull include/linux/netfilter.h from netns headers

Padmanabh Ratnakar (1):
      be2net: set interrupt moderation for Skyhawk-R using EQ-DB

Pali Rohár (13):
      crypto: omap-sham - Check for return value from pm_runtime_get_sync
      crypto: omap-sham - Add support for omap3 devices
      hwmon: Rename i8k driver to dell-smm-hwmon and move it to hwmon tree
      hwmon: Allow to compile dell-smm-hwmon driver without /proc/i8k
      ARM: OMAP2+: Return correct error values from device and hwmod
      ARM: OMAP3: Fix crypto support for HS devices
      Move freefall program from Documentation/ to tools/
      dell-rbtn: Dell Airplane Mode Switch driver
      dell-rbtn: Export notifier for other kernel modules
      dell-laptop: Use dell-rbtn instead i8042 filter when possible
      dell-laptop: Update information about wireless control
      dell-laptop: Show info about WiGig and UWB in debugfs
      dell-laptop: Fix allocating & freeing SMI buffer page

Palik, Imre (2):
      perf/x86: Honor the architectural performance monitoring version
      xen-netback: fix a BUG() during initialization

Palmer Dabbelt (3):
      signals, sched: Change all uses of JOBCTL_* from 'int' to 'long'
      sched/wait: Change wait_on_bit*() to take an unsigned long *, not a void *
      signals, ptrace, sched: Fix a misaligned load inside ptrace_attach()

Pankaj Dev (2):
      drivers: clk: st: Add CLK_GET_RATE_NOCACHE flag to clocks
      drivers: clk: st: Incorrect register offset used for lock_status

Pankaj Dubey (1):
      coresight: fix typo in of_coresight.c

Pankaj Gupta (1):
      macvtap: Increase limit of macvtap queues

Pantelis Antoniou (3):
      ARM: dts: Beaglebone i2c definitions
      of: Move OF flags to be visible even when !CONFIG_OF
      i2c: Mark instantiated device nodes with OF_POPULATE

Paolo Bonzini (39):
      KVM: booke: use __kvm_guest_exit
      KVM: x86: tweak types of fields in kvm_lapic_irq
      KVM: x86: dump VMCS on invalid entry
      KVM: MMU: fix CR4.SMEP=1, CR0.WP=0 with shadow pages
      Merge tag 'kvm-s390-next-20150508' of git://git.kernel.org/.../kvms390/linux into HEAD
      Revert "kvmclock: set scheduler clock stable"
      KVM: mips: use id_to_memslot correctly
      KVM: export __gfn_to_pfn_memslot, drop gfn_to_pfn_async
      Merge branch 'kvm-master' into kvm-next
      KVM: introduce kvm_alloc/free_memslots
      KVM: use kvm_memslots whenever possible
      KVM: const-ify uses of struct kvm_userspace_memory_region
      KVM: add memslots argument to kvm_arch_memslots_updated
      KVM: add "new" argument to kvm_arch_commit_memory_region
      KVM: pass kvm_memory_slot to gfn_to_page_many_atomic
      KVM: remove __gfn_to_pfn
      KVM: x86: pass struct kvm_mmu_page to account/unaccount_shadowed
      KVM: remove unused argument from mark_page_dirty_in_slot
      Merge tag 'kvm-s390-next-20150602' of git://git.kernel.org/.../kvms390/linux into kvm-next
      kvm: x86: default legacy PCI device assignment support to "n"
      kvm: x86: fix kvm_apic_has_events to check for NULL pointer
      KVM: x86: clear hidden CPU state at reset time
      KVM: x86: introduce num_emulated_msrs
      KVM: x86: pass host_initiated to functions that read MSRs
      KVM: x86: pass the whole hflags field to emulator and back
      KVM: x86: API changes for SMM support
      KVM: x86: stubs for SMM support
      KVM: x86: latch INITs while in system management mode
      KVM: x86: save/load state on SMM switch
      KVM: add vcpu-specific functions to read/write/translate GFNs
      KVM: implement multiple address spaces
      KVM: x86: pass struct kvm_mmu_page to gfn_to_rmap
      KVM: x86: use vcpu-specific functions to read/write/translate GFNs
      KVM: x86: work on all available address spaces
      KVM: x86: add SMM to the MMU role, support SMRAM address space
      KVM: x86: advertise KVM_CAP_X86_SMM
      KVM: x86: mark legacy PCI device assignment as deprecated
      Merge tag 'kvm-arm-for-4.2' of git://git.kernel.org/.../kvmarm/kvmarm into HEAD
      block: fix bogus EFAULT error from SG_IO ioctl

Parav Pandit (1):
      net-next: ethtool: Added port speed macros.

Pascal Huerst (2):
      ASoC: adau1701: add regulator consumer support
      i2c: omap: Add calls for pinctrl state select

Patrick Boettcher (6):
      [media] cx24120: minor checkpatch fixes
      [media] cx24120: i2c-max-write-size is now configurable
      [media] MAINTAINERS: add cx24120-maintainer
      [media] cx24120: fix codingstyle issue first round
      [media] cx24120: fix strict checkpatch-errors
      [media] cx24120: fix minor checkpatch-error

Patrick Daly (1):
      rcu: Fix missing task information during rcu-preempt stall

Patrick Riphagen (1):
      USB: serial: ftdi_sio: Add support for a Motion Tracker Development Board

Patrik Jakobsson (1):
      drm/i915: Don't forget to mark crtc as inactive after disable

Paul Burton (43):
      devicetree/bindings: add Ingenic Semiconductor vendor prefix
      devicetree/bindings: add Qi Hardware vendor prefix
      MIPS: JZ4740: introduce CONFIG_MACH_INGENIC
      MIPS: ingenic: Add newer vendor IDs
      MIPS: JZ4740: require & include DT
      IRQCHIP: irq_cpu: declare irqchip table entry
      MIPS: JZ4740: probe CPU interrupt controller via DT
      MIPS: JZ4740: use generic plat_irq_dispatch
      MIPS: JZ4740: Move arch_init_irq out of arch/mips/jz4740/irq.c
      devicetree: document Ingenic SoC interrupt controller binding
      MIPS: JZ4740: probe interrupt controller via DT
      MIPS: JZ4740: parse SoC interrupt controller parent IRQ from DT
      MIPS: JZ4740: register an irq_domain for the interrupt controller
      MIPS: JZ4740: drop intc debugfs code
      MIPS: JZ4740: Remove jz_intc_base global
      MIPS: JZ4740: support >32 interrupts
      MIPS: JZ4740: define IRQ numbers based on number of intc IRQs
      MIPS: JZ4740: read intc base address from DT
      MIPS: JZ4740: Avoid JZ4740-specific naming
      MIPS: JZ4740: support newer SoC interrupt controllers
      MIPS/IRQCHIP: Move Ingenic SoC intc driver to drivers/irqchip
      MIPS: JZ4740: Call jz4740_clock_init earlier
      MIPS: JZ4740: replace use of jz4740_clock_bdata
      DEVICETREE: Add Ingenic CGU binding documentation
      clk: ingenic: add driver for Ingenic SoC CGU clocks
      MIPS,clk: migrate JZ4740 to common clock framework
      MIPS,clk: move jz4740_clock_set_wait_mode to jz4740-cgu
      MIPS, clk: move jz4740 UDC auto suspend functions to jz4740-cgu
      MIPS, clk: move jz4740 clock suspend, resume functions to jz4740-cgu
      clk: ingenic: add JZ4780 CGU support
      MIPS: JZ4740: remove clock.h
      MIPS: JZ4740: only detect RAM size if not specified in DT
      devicetree: document Ingenic SoC UART binding
      serial: 8250_ingenic: support for Ingenic SoC UARTs
      MIPS: JZ4740: use Ingenic SoC UART driver
      MIPS: ingenic: Initial JZ4780 support
      MIPS: ingenic: Initial MIPS Creator CI20 support
      MIPS: Optimise non-EVA kernel user memory accesses
      MIPS: Define GCR_GIC_STATUS register fields
      MIPS: include errno.h for ENODEV in mips-cm.h
      MIPS: Malta: Basic DT plumbing
      MIPS: i8259: DT support
      MIPS: c-r4k: Extend way_string array

Paul Cercueil (5):
      Makefile: Fix detection of clang when cross-compiling
      Input: goodix - check the 'buffer status' bit before reading data
      iio: adis16400: Use != channel indices for the two voltage channels
      iio: adis16400: Compute the scan mask from channel indices
      iio: adis16400: Fix burst mode

Paul E. McKenney (52):
      arm64: Use common outgoing-CPU-notification code
      rcu: Convert ACCESS_ONCE() to READ_ONCE() and WRITE_ONCE()
      mce: Stop using array-index-based RCU primitives
      rcu: Eliminate array-index-based RCU primitives
      documentation: RCU-protected array indexes no longer supported
      mce: mce_chrdev_write() can be static
      documentation: Update rcu_dereference.txt based on WG21 discussions
      rcu: Convert from rcu_preempt_state to *rcu_state_p
      rcu: Eliminate a few RCU_BOOST #ifdefs in favor of IS_ENABLED()
      rcu: Tell the compiler that rcu_state_p is immutable
      rcu: Create an immutable rcu_data_p pointer to default rcu_data structure
      rcu: Eliminate a few CONFIG_RCU_NOCB_CPU_ALL #ifdefs
      rcu: Force wakeup of rcu_gp_kthread at grace-period end
      powerpc: Fix smp_mb__before_spinlock()
      rcu: Add more debug info on "kthread starved" RCU CPU stall warnings
      smp: Make control dependencies work on Alpha, improve documentation
      rcu: Make synchronize_sched_expedited() call wait_rcu_gp()
      rcu: Eliminate HOTPLUG_CPU #ifdef in favor of IS_ENABLED()
      rcu: Adjust ->lock acquisition for tasks no longer migrating
      rcu: Remove dead code from force_qs_rnp()
      rcu: Remove redundant offline check
      rcu: Correctly initialize ->rcu_qs_ctr_snap at online time
      cpu: Handle smpboot_unpark_threads() uniformly
      rcu: Modulate grace-period slow init to normalize delay
      rcu: Shut up spurious gcc uninitialized-variable warning
      rcu: Provide diagnostic option to slow down grace-period scans
      rcu: Directly drive TASKS_RCU from Kconfig
      rcu: Directly drive RCU_USER_QS from Kconfig
      rcu: Convert CONFIG_RCU_FANOUT_EXACT to boot parameter
      rcu: Enable diagnostic dump of rcu_node combining tree
      rcu: Create RCU_EXPERT Kconfig and hide booleans behind it
      rcu: Break dependency of RCU_FANOUT_LEAF on RCU_FANOUT
      rcu: Make RCU able to tolerate undefined CONFIG_RCU_FANOUT
      rcu: Make RCU able to tolerate undefined CONFIG_RCU_FANOUT_LEAF
      rcu: Make RCU able to tolerate undefined CONFIG_RCU_KTHREAD_PRIO
      rcu: Conditionally compile RCU's eqs warnings
      rcu: Further shrink Tiny RCU by making empty functions static inlines
      rcutorture: Test both RCU-sched and RCU-bh for Tiny RCU
      rcu: Correctly handle non-empty Tiny RCU callback list with none ready
      rcutorture: Exchange TREE03 and TREE04 geometries
      rcutorture: Exchange TREE03 and TREE08 NR_CPUS, speed up CPU hotplug
      rcutorture: Allow negative values of nreaders to oversubscribe
      locktorture: Change longdelay_us to longdelay_ms
      rcutorture: Replace barriers with smp_store_release() and smp_load_acquire()
      rcutorture: Test SRCU cleanup code path
      rcutorture: TASKS_RCU set directly, so don't explicitly set it
      rcutorture: Update configuration fragments for rcutree.rcu_fanout_exact
      rcutorture: Make rcutorture scripts force RCU_EXPERT
      rcutorture: Update TREE_RCU-kconfig.txt
      rcutorture: Display "make oldconfig" errors
      rcutorture: Allow repetition factors in Kconfig-fragment lists
      Merge branches 'array.2015.05.27a', 'doc.2015.05.27a', 'fixes.2015.05.27a', 'hotplug.2015.05.27a', 'init.2015.05.27a', 'tiny.2015.05.27a' and 'torture.2015.05.27a' into HEAD

Paul Gortmaker (89):
      rtl8188eu: don't duplicate ieee80211 constants for status/reason
      rtl8712: don't duplicate ieee80211 constants for status/reason
      rtl8192u: don't trample on <linux/ieee80211.h> struct namespace
      rtl8192u: promote auth_mode to a full 8 bits
      rtl8192u: align local ieee80211_wmm_ac_param struct fields with global
      rtl8192u: don't duplicate ieee80211 constants for status/auth/reason
      rtl8192u: delete another embedded instance of generic reason codes
      rtl8192e: delete local copy of iee80211 reason codes.
      drivers/staging: include <module.h> for modular android tegra_ion code
      sched/core: Remove __cpuinit section tag that crept back in
      drivers/gpio: include <module.h> for modular crystalcove code
      x86: Rename eisa_set_level_irq to elcr_set_level_irq
      usb/serial: fix grammar in Kconfig help text for FTDI_SIO
      cpu: Remove new instance of __cpuinit that crept back in
      drivers/hwtracing: fix coresight-replicator-qcom implicit <module.h> usage
      drivers/hwtracing: fix coresight-etm4x implicit <module.h> usage
      arm/mach-keystone: remove legacy __cpuinit sections that crept in
      arm/mach-mvebu: remove legacy __cpuinit sections that crept in
      arm/mach-rockchip: remove legacy __cpuinit section that crept in
      arm/mach-hisi: remove legacy __CPUINIT section that crept in
      mips/ath25: remove legacy __cpuinit section that crept in
      mips/bcm77xx: remove legacy __cpuinit sections that crept in
      mips/c-r4k: remove legacy __cpuinit section that crept in
      mips/mm/tlbex: remove new instance of __cpuinit that crept back in
      sched/core: remove __cpuinit section tag that crept back in.
      kernel/cpu.c: remove new instance of __cpuinit that crept back in
      init: delete the __cpuinit related stubs
      drivers/clk: include <module.h> for clk-max77xxx modular code
      drivers/gpio: include <module.h> for modular crystalcove code
      drivers/gpu: include <module.h> for modular rockchip code
      drivers/hsi: include <module.h> for modular omap_ssi code
      drivers/pcmcia: include <module.h> for modular xxs1500_ss code
      drivers/regulator: include <module.h> for modular max77802 code
      sh: mach-highlander/psw.c is tristate and should use module.h
      crypto/asymmetric_keys: pkcs7_key_type needs module.h
      drivers/staging: include <module.h> for modular android tegra_ion code
      drivers/cpufreq: include <module.h> for modular exynos-cpufreq.c code
      frv: add module.h to mb93090-mb00/flash.c to avoid compile fail
      x86: don't use module_init in non-modular intel_mid_vrtc.c
      x86: don't use module_init in non-modular devicetree.c code
      powerpc: use device_initcall for registering rtc devices
      powerpc: don't use module_init in non-modular 83xx suspend code
      arm: don't use module_init in non-modular mach-vexpress/spc.c code
      ia64: don't use module_init for non-modular core kernel/mca.c code
      ia64: don't use module_init in non-modular sim/simscsi.c code
      cris: don't use module_init for non-modular core intmem.c code
      parisc: don't use module_init for non-modular core pdc_cons code
      parisc64: don't use module_init for non-modular core perf code
      mn10300: don't use module_init in non-modular flash.c code
      sh: don't use module_init in non-modular psw.c code
      xtensa: don't use module_init for non-modular core network.c code
      drivers/clk: don't use module_init in clk-nomadik.c which is non-modular
      cris: don't use module_init for non-modular core eeprom.c code
      mm: replace module_init usages with subsys_initcall in nommu.c
      fs/notify: don't use module_init for non-modular inotify_user code
      netfilter: don't use module_init/exit in core IPV4 code
      x86: don't use module_init for non-modular core bootflag code
      powerpc: use subsys_initcall for Freescale Local Bus
      powerpc: don't use module_init for non-modular core hugetlb code
      arm: use subsys_initcall in non-modular pl320 IPC code
      lib/list_sort: use late_initcall to hook in self tests
      mm/page_owner.c: use late_initcall to hook in enabling
      x86: perf_event_intel_bts.c: use arch_initcall to hook in enabling
      x86: perf_event_intel_pt.c: use arch_initcall to hook in enabling
      platform_device: better support builtin boilerplate avoidance
      drivers/platform: Convert non-modular pdev_bus to use builtin_platform_driver
      drivers/cpuidle: Convert non-modular drivers to use builtin_platform_driver
      drivers/cpufreq: Convert non-modular s5pv210-cpufreq.c to use builtin_platform_driver
      drivers/soc: Convert non-modular tegra/pmc to use builtin_platform_driver
      drivers/soc: Convert non-modular soc-realview to use builtin_platform_driver
      drivers/power: Convert non-modular syscon-reboot to use builtin_platform_driver
      drivers/clk: convert sunxi/clk-mod0.c to use builtin_platform_driver
      x86: replace __init_or_module with __init in non-modular vsmp_64.c
      arm: fix implicit #include <linux/init.h> in entry asm.
      tile: add init.h to usb.c to avoid compile failure
      drivers/leds: don't use module_init in non-modular leds-cobalt-raq.c
      MIPS: don't use module_init in non-modular cobalt/mtd.c file
      clocksource: Increase dependencies of timer-stm32 to limit build wreckage
      MIPS: ATH25: Remove legacy __cpuinit section that crept in
      MIPS: BCM77xx: Remove legacy __cpuinit{,data} sections that crept in
      MIPS: c-r4k: Remove legacy __cpuinit section that crept in
      MIPS: tlbex.c: Remove new instance of __cpuinitdata that crept back in
      mips: make loongsoon serial driver explicitly modular
      MIPS: Cobalt Don't use module_init in non-modular MTD registration.
      drivers/leds: don't use module_init in non-modular leds-cobalt-raq.c
      Documentation/vDSO: don't build tests when cross compiling
      Documentation/prctl: don't build tsc tests when cross compiling
      Documentation/mic/mpssd: don't build x86 userspace when cross compiling
      drivers/net: remove all references to obsolete Ethernet-HOWTO

Paul Kocialkowski (2):
      ARM: 8354/1: Documentation: devicetree: root node serial-number property documentation
      ARM: 8355/1: arch: Show the serial number from devicetree in cpuinfo

Paul Mackerras (1):
      perf: Remove myself from MAINTAINERS entry

Paul Moore (1):
      selinux: don't waste ebitmap space when importing NetLabel categories

Paulo Flabiano Smorigo (1):
      crypto: vmx - fix two mistyped texts

Paulo Zanoni (23):
      drm/i915: print FBC compression status on debugfs
      drm/i915: add FBC_ROTATION to enum no_fbc_reason
      drm/i915: unify no_fbc_reason message printing
      drm/i915: don't set the FBC plane select bits on HSW+
      drm/i915: don't increment the FBC threshold at fbc_enable
      drm/i915: set FDI translations to NULL on SKL
      drm/i915: add simple wrappers for stolen node insertion/removal
      drm/i915: move FBC code out of i915_gem_stolen.c
      drm/i915: add dev_priv->mm.stolen_lock
      drm/i915: add the FBC mutex
      drm/i915: intel_frontbuffer_flip_prepare() doesn't need struct_mutex
      drm/i915: intel_unregister_dsm_handler() doesn't need struct_mutex
      drm/i915: FBC doesn't need struct_mutex anymore
      drm/i915: protect FBC functions with FBC checks
      drm/i915: move FBC vfuncs to struct i915_fbc
      drm/i915: use dev_priv for the FBC functions
      drm/i915: use intel_crtc for the FBC functions
      drm/i915: add FBC_IN_DBG_MASTER no_fbc_reason
      drm/i915: extract FBC_MULTIPLE_PIPES check
      drm/i915: move set_no_fbc_reason() call out of intel_fbc_find_crtc()
      drm/i915: fix intel_fb_obj_flush documentation
      drm/i915: intel_frontbuffer_flush can now be static
      drm/i915: fix intel_frontbuffer_flip documentation

Pavel Fedin (1):
      net: Cavium: Fix MAC address setting in shutdown state

Pavel Machek (6):
      [media] media: i2c/adp1653: Documentation for devicetree support for adp1653
      [media] media: i2c/adp1653: Devicetree support for adp1653
      cleanup ti-soc-thermal
      ti-soc-thermal: implement eocz bit to make driver useful on omap3
      ti-soc-thermal: request temperature periodically if hw can't do that itself
      ARM: dts: Fix n900 dts file to work around 4.1 touchscreen regression on n900

Pavel Rojtberg (1):
      Input: xpad - re-send LED command on present event

Pawel Moll (6):
      bus: arm-ccn: Fix node->XP config conversion
      bus: arm-ccn: cpumask attribute
      bus: arm-ccn: Provide required event arguments
      bus: arm-ccn: Do not group CCN events with other PMUs
      bus: arm-ccn: Allocate event when it is being added, not initialised
      bus: arm-ccn: Use hrtimer_start() again

Pawel Szewczyk (1):
      usb: gadget: f_midi: fix segfault when reading empty id

Pedro Marzo Perez (3):
      Staging: rtl8192u: Correct include indentation and openning braces at new line
      Staging: rtl8192u: Simplify error check code at prism2_wep_init
      Staging: rtl8192u: Remove two useless lines at ieee80211_wep_null

Pekka Enberg (16):
      Input: evdev - use kvfree() in evdev_release()
      staging/lustre: Remove __attribute__ definition from libcfs.h
      staging/lustre: Remove duplicate helpers from libcfs.h
      staging/lustre: Use roundup_pow_of_two() in LNetEQAlloc()
      dm stats: Use kvfree() in dm_kvfree()
      NTFS: use kvfree() in ntfs_free()
      ipc/util.c: use kvfree() in ipc_rcu_free()
      kernel/relay.c: use kvfree() in relay_free_page_array()
      cxgb3: use kvfree() in cxgb_free_mem()
      cxgb4: use kvfree() in t4_free_mem()
      drm: use kvfree() in drm_free_large()
      drm/nouveau/gem: use kvfree() in u_free()
      IB/ehca: use kvfree() in ipz_queue_{cd}tor()
      target: use kvfree() in session alloc and free
      libcxgbi: use kvfree() in cxgbi_free_big_mem()
      bcache: use kvfree() in various places

Peng Fan (1):
      staging: nvec: remove duplicated const

Peng Tao (9):
      pNFS: fill in nfs42_layoutstat_ops
      pnfs: add pnfs_report_layoutstat helper function
      pNFS/flexfiles: track when layout is first used
      pnfs/flexfiles: add ff_layout_prepare_layoutstats
      pnfs/flexfiles: encode LAYOUTSTATS flexfiles specific data
      nfs42: serialize LAYOUTSTATS calls of the same file
      pnfs/flexfiles: report layoutstat regularly
      nfs: provide pnfs_report_layoutstat when NFS42 is disabled
      pnfs/flexfiles: protect ktime manipulation with mirror lock

Peter Antoine (1):
      drm/i915: Added Programming of the MOCS

Peter Chen (1):
      usb: Documentation: gadget-testing: fix parameter for capture channel mask

Peter Chubb (1):
      ARM: dts: exynos5422-odroidxu3: Hook up PWM and use it for LEDs

Peter Crosthwaite (3):
      net: axienet: Handle 0 packet receive gracefully
      net: axienet: Service completion interrupts ASAP
      devicetree: cadence_ttc: Document binding for timer width

Peter Griffin (9):
      ARM: STi: DT: STiH407: Add dt nodes for sdhci and emmc.
      ARM: STi: DT: STiH418: Add dt nodes for sdhci and emmc.
      ARM: DT: STi: STiH407: Add sata DT nodes.
      ARM: DT: STi: STiH407: Update picophyreset for the usb3 controllers usb2 phy
      ARM: DT: STi: STiH407: Add dwc3 usb3 DT node.
      ARM: DT: STi: stihxxx-b2120: Enable USB3 port on stih407-b2120 and stih410-b2120
      ARM: DT: STi: STiH418: Add miphy28lp optional oscillator clock properties
      ARM: DT: STi: STiH418: Enable USB3 port on stih418-b2199.
      usb: dwc3: dwc3-st: Update the incorrect DT dwc3 example.

Peter Hurley (2):
      serial: core: Fix unused variable warnings from uart_console()
      ARM: 8341/1: io: Unpessimize relaxed io accessors

Peter Hutterer (1):
      Input: synaptics - add min/max quirk for Lenovo S540

Peter Jones (3):
      efi: Add esrt support
      efi/esrt: Fix some compiler warnings
      efi: Work around ia64 build problem with ESRT driver

Peter Karlsson (3):
      staging: ft1000-usb: Removed unnecessary parenthes
      staging: ft1000-usb: Removed global initialization
      staging: ft1000-usb: Removed CVS keyword marker

Peter Meerwald (3):
      iio:tsl4531: Fix leftover TCS3472_ prefix in tsl4531 driver
      iio:tsl2563: Use tsl2563_ prefix for driver's functions
      iio:tmp006: Prefix #defines with TMP006_

Peter Oberparleiter (2):
      s390/dasd: Enable automatic loading of dasd_diag_mod
      s390/lcs: Fix null-pointer access in msg

Peter Oh (9):
      ath: introduce chirp parameter used by DFS
      ath10k: update chirp value in pulse event
      ath: enhance radar detection by looking up chirp
      ath10k: enable channel 144 on 5GHz band
      ath: support new FCC DFS Radar Type 1
      ath: define JP DFS patterns separated from FCC
      ath: lower JP W53 band DFS detection threshold around 30%
      ath10k: use MAC clock rate same as FW uses for survey accuracy
      ath10k: increase relay buffer size of spectral scan

Peter Seiderer (1):
      [media] videodev2: Add V4L2_BUF_FLAG_LAST

Peter Senna Tschudin (1):
      staging: goldfish: Fix pointer cast for 32 bits

Peter Ujfalusi (36):
      dmaengine: omap-dma: Add support for memcpy
      dmaengine: of_dma: Support for DMA routers
      Documentation: devicetree: dma: Binding documentation for TI DMA crossbar
      dmaengine: omap-dma: Use defines for dma channels and request count
      dmaengine: omap-dma: Take DMA request number from DT if it is available
      dmaengine: omap-dma: Remove mapping between virtual channels and requests
      dmaengine: omap-dma: Reduce the number of virtual channels
      dmaengine: Add driver for TI DMA crossbar on DRA7x
      dmaengine: hsu: Fix memory leak when stopping a running transfer
      ASoC: tas2552: Make the enable-gpio really optional
      ASoC: tas2552: Fix kernel crash when the codec is loaded but not part of a card
      ASoC: tas2552: Fix kernel crash caused by wrong kcontrol entry
      ASoC: tas2552: Correct PDM configuration register bit definitions
      ASoC: tas2552: Correct CFG1 register bit definitions
      ASoC: tas2552: Simplify the tas2552_mute function
      ASoC: tas2552: Simplify and reverse the functionality of tas2552_sw_shutdown
      ASoC: tas2552: Rename mclk parameter to pll_clkin to match with the datasheet
      ASoC: tas2552: bindings header file for tas2552 codec
      ASoC: tas2552: Add support for pll and pdm source clock selection
      ASoC: tas2552: Correct dai format support
      ASoC: tas2552: Correct and clean up data format and BCLK/WCLK direction
      ASoC: tas2552: Add TDM support
      ASoC: tas2552: Clean up the Digital - Analog DAPM route definition
      ASoC: tas2552: Correct the Speaker Driver Playback Volume (PGA_GAIN)
      ASoC: tas2552: Implement startup/stop sequence as per TRM
      ASoC: tas2552: Add support for word length configuration
      ASoC: tas2552: Configure the WCLK frequency based on the stream
      ASoC: tas2552: Correct the PLL configuration
      ASoC: tas2552: Add control for selecting DIN source
      ASoC: tas2552: Correct Output Data register usage
      ASoC: tas2552: Correct Boost Auto-Pass Through Control register usage
      ASoC: tas2552: Code, define alignment changes for uniformity
      ASoC: tas2552: Call pm_runtime_disable when the module is removed
      ASoC: tas2552: Remove unneeded semicolon
      ASoC: tas2552: Use consistent name for 'struct tas2552_data'
      ASoC: tas2552: Update DT binding document regarding clock configuration

Peter Zijlstra (60):
      hrtimer: Allow concurrent hrtimer_start() for self restarting timers
      sched: Cleanup bandwidth timers
      perf: Fix mux_interval hrtimer wreckage
      sched: Move the loadavg code to a more obvious location
      sched: Implement lockless wake-queues
      perf: Fix software migrate events
      sched,perf: Fix periodic timers
      locking/arch: Add WRITE_ONCE() to set_mb()
      locking/arch: Rename set_mb() to smp_store_mb()
      sched/wait: Introduce TASK_NOLOAD and TASK_IDLE
      mm/fault, um: Fix compile error
      module: Annotate module version magic
      module, jump_label: Fix module locking
      perf/x86: Fix event/group validation
      perf/x86: Improve HT workaround GP counter constraint
      perf/x86/intel: Correct local vs remote sibling state
      perf/x86/intel: Add lockdep assert
      perf/x86/intel: Simplify the dynamic constraint code somewhat
      perf/x86/intel: Make WARN()ings consistent
      perf/x86/intel: Clean up intel_commit_scheduling() placement
      perf/x86/intel: Remove pointless tests
      perf/x86/intel: Remove intel_excl_states::init_state
      perf/x86: Simplify the x86_schedule_events() logic
      perf/x86/intel: Simplify put_exclusive_constraints()
      module: Sanitize RCU usage and locking
      rbtree: Make lockless searches non-fatal
      seqlock: Better document raw_write_seqcount_latch()
      rcu: Move lockless_dereference() out of rcupdate.h
      seqlock: Introduce raw_read_seqcount_latch()
      rbtree: Implement generic latch_tree
      module: Optimize __module_address() using a latched RB-tree
      module: Make the mod_tree stuff conditional on PERF_EVENTS || TRACING
      module: Use __module_address() for module_address_lookup()
      module: Rework module_addr_{min,max}
      lockdep: Fix a race between /proc/lock_stat and module unload
      perf/x86/intel/pebs: Add PEBSv3 decoding
      perf record: Amend option summaries
      hrtimer: Fix hrtimer_is_queued() hole
      seqcount: Rename write_seqcount_barrier()
      seqcount: Introduce raw_write_seqcount_barrier()
      hrtimer: Allow hrtimer::function() to free the timer
      sched: Replace post_schedule with a balance callback list
      sched: Use replace normalize_task() with __sched_setscheduler()
      sched: Allow balance callbacks for check_class_changed()
      sched,rt: Remove return value from pull_rt_task()
      sched, rt: Convert switched_{from, to}_rt() / prio_changed_rt() to balance callbacks
      sched,dl: Remove return value from pull_dl_task()
      sched, dl: Convert switched_{from, to}_dl() / prio_changed_dl() to balance callbacks
      sched,dl: Fix sched class hopping CBS hole
      sched: Move code around
      sched: Streamline the task migration locking a little
      lockdep: Simplify lock_release()
      lockdep: Implement lock pinning
      sched,lockdep: Employ lock pinning
      sched/stop_machine: Fix deadlock between multiple stop_two_cpus()
      sched/preempt: Add static_key() to preempt_notifiers
      perf/x86: Fix 'active_events' imbalance
      sched, preempt_notifier: separate notifier registration from static_key inc/dec
      perf: Fix AUX buffer refcounting
      module: Fix load_module() error path

Peter Zijlstra (Intel) (4):
      locking/qspinlock: Add pending bit
      locking/qspinlock: Optimize for smaller NR_CPUS
      locking/qspinlock: Revert to test-and-set on hypervisors
      locking/pvqspinlock, x86: Implement the paravirt qspinlock call patching

Petr Holasek (1):
      perf bench numa: Show more stats of particular threads in verbose mode

Petr Mladek (1):
      ring_buffer: Allow to exit the ring buffer benchmark immediately

Petri Gynther (2):
      mmc: sdhci: fix driver type B and D handling in sdhci_do_set_ios()
      MIPS: traps: print Exception Code in __show_regs()

Phil Sutter (1):
      net: inet_diag: export IPV6_V6ONLY sockopt

Philipp Zabel (7):
      [media] vivid: add 1080p capture at 2 fps and 5 fps to webcam emulation
      [media] DocBook media: document codec draining flow
      [media] videobuf2: return -EPIPE from DQBUF after the last buffer
      [media] coda: Set last buffer flag and fix EOS event
      [media] s5p-mfc: Set last buffer flag
      serial: imx: Fix DMA handling for IDLE condition aborts
      ARM: dts: imx6qdl: Add power-domain phandle to CODA device node

Philippe Reynes (2):
      net: fec: add support of ethtool get_regs
      clocksource/imx: Define clocksource for mx27

Phong Tran (2):
      staging: android: ion_test: unregister the misc device
      staging: android: ion_test: unregister the platform device

Pierre-Loup A. Griffais (1):
      Input: xpad - set the LEDs properly on XBox Wireless controllers

Ping Cheng (4):
      HID: wacom: move all quirks to wacom_setup_device_quirks
      HID: wacom: move unit and unitExpo initialization to wacom_calculate_res
      HID: wacom: remove unused packet lengths
      HID: wacom: retrieve name from HID descriptor for generic devices

Piotr Kwapulinski (1):
      mm/mmap.c: optimization of do_mmap_pgoff function

Piotr S. Staszewski (1):
      [media] staging: media: omap4iss: Reformat overly long lines

Pontus Fuchs (2):
      brcmfmac: Check if firmware supports p2p
      brcmfmac: Build wiphy mode and interface combinations dynamically

Prabu Thangamuthu (1):
      mmc: dw_mmc: insmod followed by rmmod will hung for eMMC

Pramod Gurav (1):
      ARM: dts: qcom: apq8064-ifc6410 - Add DT alias for serial port

Pranith Kumar (1):
      rcu: Remove prompt for RCU implementation

Prarit Bhargava (16):
      staging: unisys: unify businst attributes into visorbus_main.c
      staging: unisys: unify channel attributes into visorbus_main.c
      staging: unisys: unify devmajorminor attributes into visorbus_main.c
      staging: unisys: rename visorchannel_funcs.c to visorchannel.c
      staging: unisys: remove globals.h
      staging: unisys: remove visorbus_private.h
      staging: unisys: move visorchipset files to visorbus
      staging: unisys: cleanup visorbus_private.h
      staging: unisys: visorchannel cleanup visorchannel_create_guts()
      staging: unisys: visorchannel some general function cleanups
      staging: unisys: fix sig_read_data and sig_read_data functions
      staging: unisys: remove BOOL,TRUE,FALSE definitions
      staging: unisys: add acpi pnp driver
      x86/cpu: Strip any /proc/cpuinfo model name field whitespace
      Documentation, intel_pstate: Improve legacy mode internal governors description
      intel_pstate: Fix overflow in busy_scaled due to long delay

Prasanna Karthik (7):
      Bluetooth: vhci: Clean up coding style fix
      Staging: lustre: Clean up Coding style Fix
      staging:rtl8712:Fix compressed return statement
      Bluetooth: hci_bcsp: Clean up code Fix
      staging:rtl8723au: Fix return statement reported by coccinelle
      staging:lustre:mdc: Fix return statement reported by coccinelle
      Bluetooth: bt3c_cs: Fix coding style -- clean up

Prashant Laddha (8):
      [media] v4l2-dv-timings: fix rounding error in vsync_bp calculation
      [media] v4l2-dv-timings: fix rounding in hblank and hsync calculation
      [media] v4l2-dv-timings: add sanity checks in cvt,gtf calculations
      [media] v4l2-dv-timings: replace hsync magic number with a macro
      [media] v4l2-dv-timings: fix overflow in gtf timings calculation
      [media] v4l2-dv-timing: avoid rounding twice in gtf hblank calc
      [media] v4l2-dv-timings: add interlace support in detect cvt/gtf
      [media] vivid: Use interlaced info for cvt/gtf timing detection

Pratik Patel (12):
      coresight-etm4x: Adding CoreSight ETM4x driver
      coresight-etm4x: Controls pertaining to tracer configuration
      coresight-etm4x: Controls pertaining to the reset, mode, pe and events
      coresight-etm4x: Controls pertaining to various configuration options
      coresight-etm4x: Controls pertaining to the ViewInst register
      coresight-etm4x: Controls pertaining to the address comparator functions
      coresight-etm4x: Controls pertaining to the sequencer functions
      coresight-etm4x: Controls pertaining to the counter functions
      coresight-etm4x: Controls pertaining to the selection of resources
      coresight-etm4x: Controls pertaining to the context ID functions
      coresight-etm4x: Controls pertaining to the VM ID functions
      coresight: replicator: Add Qualcomm CoreSight Replicator driver

Pratyush Anand (3):
      MAINTAINERS: Add Pratyush Anand as SPEAr13xx and DesignWare PCIe maintainer
      Pratyush Anand has moved
      Mohit Kumar has moved

Preeti U Murthy (2):
      tick-broadcast: Fix the printing of broadcast masks
      kernel: Replace reference to ASSIGN_ONCE() with WRITE_ONCE() in comment

Priit Laes (1):
      ARM: dts: sun4i: Add initial dts for Gemei G9 tablet

Punit Agrawal (3):
      of: thermal: Introduce sustainable power for a thermal zone
      thermal: core: Add Kconfig option to enable writable trips
      thermal: Default OF created trip points to writable

Qiaowei Ren (1):
      x86/mpx: Remove redundant MPX_BNDCFG_ADDR_MASK

Qipan Li (19):
      spi: sirf: replace BUG condition by error code for unsupported transfer
      spi: sirf: request and free cs gpio in setup and cleanup callbacks
      Revert "serial: sirf: add a new uart type support"
      serial: sirf: move from clk_get to devm_clk_get
      spi: sirf: replace kmalloc by devm_kzalloc
      serial: sirf: use dynamic method allocate uart structure
      serial: sirf: use uart_port's fifosize for fifo related operation
      spi: sirf: add support for USP-based SPI
      serial: sirf: enable ATLAS7 USP serial support
      serial: sirf: fix the issue that HW flow control doesn't work for BT
      serial: sirf: fix endless loop bug in uart receive tasklet
      serial: sirf: add uart receive's some error counter and mark
      serial: sirf: add serial loopback function support
      serial: sirf: assign console default index if users not set a valid one
      spi: sirf: remove the allocation of dummypage
      spi: sirf: add the reset for USP-based SPI
      serial: sirf: fix system hung on console log output
      serial: sirf: correct the fifo empty_bit
      serial: sirf: use hrtimer for data rx

Qipeng Zha (1):
      pwm: lpss: pci: Add support for Broxton platform

Qu Wenruo (19):
      btrfs: Fix superblock csum type check.
      btrfs: backref: Don't merge refs which are not for same block.
      btrfs: delayed-ref: Use list to replace the ref_root in ref_head.
      btrfs: delayed-ref: Cleanup the unneeded functions.
      btrfs: qgroup: Cleanup open-coded old/new_refcnt update and read.
      btrfs: extent-tree: Use ref_node to replace unneeded parameters in __inc_extent_ref() and __free_extent()
      btrfs: qgroup: Add function qgroup_update_refcnt().
      btrfs: qgroup: Add function qgroup_update_counters().
      btrfs: qgroup: Record possible quota-related extent for qgroup.
      btrfs: qgroup: Add new function to record old_roots.
      btrfs: backref: Add special time_seq == (u64)-1 case for     btrfs_find_all_roots().
      btrfs: qgroup: Add new qgroup calculation function     btrfs_qgroup_account_extents().
      btrfs: qgroup: Switch rescan to new mechanism.
      btrfs: qgroup: Switch to new extent-oriented qgroup mechanism.
      btrfs: qgroup: Switch self test to extent-oriented qgroup mechanism.
      btrfs: qgroup: Cleanup the old ref_node-oriented mechanism.
      btrfs: ulist: Add ulist_del() function.
      btrfs: qgroup: Add the ability to skip given qgroup for old/new_roots.
      btrfs: qgroup: Make snapshot accounting work with new extent-oriented     qgroup.

Quentin Lambert (3):
      ALSA: remove deprecated use of pci api
      ALSA: aoa: convert bus code to use dev_groups
      memstick: remove deprecated use of pci api

Rabin Vincent (4):
      Documentation: tracing: fix grammar
      gpio: add ETRAXFS GPIO driver
      IRQCHIP: mips-gic: Don't nest calls to do_IRQ()
      mmc: queue: prevent soft lockups on PREEMPT=n

Radim Krčmář (9):
      KVM: x86: fix initial PAT value
      KVM: reuse memslot in kvm_write_guest_page
      KVM: x86: use correct APIC ID on x2APIC transition
      KVM: x86: preserve x2APIC LDR on INIT
      pvpanic: handle missing _STA correctly
      KVM: x86: fix lapic.timer_mode on restore
      KVM: x86: make vapics_in_nmi_mode atomic
      KVM: x86: properly restore LVT0
      KVM: x86: keep track of LVT0 changes under APICv

Rafael J. Wysocki (56):
      Merge tag 'ras_for_4.2' of git://git.kernel.org/.../ras/ras into acpi-apei
      sched / idle: Move the default idle call code to a separate function
      cpuidle: Check the sign of index in cpuidle_reflect()
      sched / idle: Eliminate the "reflect" check from cpuidle_idle_call()
      ACPI / property: Refine consistency check for PRP0001
      ACPI / PM: Drop stale comment from acpi_power_transition()
      cpuidle: Fix the kerneldoc comment for cpuidle_enter_state()
      PM / sleep: Refine diagnostic messages in enter_state()
      PM / sleep: Fix symbol name in a comment in kernel/power/main.c
      sched / idle: Call idle_set_state() from cpuidle_enter_state()
      sched / idle: Call default_idle_call() from cpuidle_enter_state()
      cpuidle: Select a different state on tick_broadcast_enter() failures
      PM / tick: Add tracepoints for suspend-to-idle diagnostics
      ACPI / PM: Rework device power management to follow ACPI 6
      PM / sleep: Make suspend-to-idle-specific code depend on CONFIG_SUSPEND
      PCI: pciehp: Drop pointless ACPI-based "slot detection" check
      ACPI / property: Define a symbol for PRP0001
      PCI: pciehp: Drop pointless label from pciehp_probe()
      ACPI / hotplug / PCI: Check ignore_hotplug for all downstream devices
      PCI: Propagate the "ignore hotplug" setting to parent
      ACPI / PM: Turn power resources on and off in the right order during resume
      cpuidle: Do not use CPUIDLE_DRIVER_STATE_START in cpuidle.c
      Merge back earlier 'acpi-pci' material for v4.2.
      ACPI / PM: Add missing pm_generic_complete() invocation
      ACPI / init: Switch over platform to the ACPI mode later
      Merge branch 'acpi-scan' into acpi-cca
      Merge branch 'acpi-scan' into acpi-video
      ACPI / PNP: Avoid conflicting resource reservations
      ACPI / enumeration: Document the rules regarding the PRP0001 device ID
      Merge branch 'acpica'
      Merge branches 'acpi-init', 'acpi-pnp', 'acpi-scan', 'acpi-proc' and 'acpi-doc'
      Merge branches 'acpi-pm', 'acpi-apei', 'acpi-osl' and 'acpi-pci'
      Merge branch 'acpi-ec'
      Merge branches 'acpi-ac', 'acpi-soc' and 'acpi-assorted'
      Merge branches 'acpi-battery' and 'acpi-processor'
      Merge branch 'acpi-video'
      Merge branch 'acpi-cca'
      Merge branch 'pm-cpuidle'
      Merge branch 'pm-cpufreq'
      Merge branches 'pm-sleep' and 'pm-runtime'
      Merge branch 'pm-wakeirq'
      Merge branches 'pm-clk', 'pm-domains' and 'powercap'
      Merge branches 'pnp' and 'pm-tools'
      Merge branch 'pm-opp'
      Merge branch 'pm-sleep'
      Merge branch 'pm-cpuidle'
      Merge branch 'acpi-pnp'
      Merge branches 'acpi-video', 'device-properties', 'pm-sleep' and 'pm-cpuidle'
      ACPI / init: Make it possible to override _REV
      Revert 'Revert "ACPICA: Permanently set _REV to the value '2'."'
      ACPI / PNP: Reserve ACPI resources at the fs_initcall_sync stage
      ACPI / LPSS: Fix up acpi_lpss_create_device()
      PM / wakeirq: Avoid setting power.wakeirq too hastily
      Merge branch 'pm-wakeirq'
      Merge branches 'acpi-pnp', 'acpi-soc', 'pm-domains' and 'pm-sleep'
      Merge branch 'acpi-scan'

Rafael Lourenço de Lima Chehab (1):
      [media] au0828: move dev->boards atribuition to happen earlier

Rafal Krypa (2):
      Smack: fix seq operations in smackfs
      Smack: allow multiple labels in onlycap

Rafał Miłecki (31):
      mtd: m25p80: remove unused flash entries from id_table
      brcmfmac: check result of USB firmware request
      ARM: BCM5301X: Add DT for SmartRG SR400ac
      ARM: BCM5301X: Add DT for Asus RT-AC68U
      ARM: BCM5301X: Add DT for Asus RT-AC56U
      ARM: BCM5301X: Ignore another (BCM4709 specific) fault code
      mtd: spi-nor: Add support for Spansion S25FL164K
      mtd: spi-nor: Properly set SECT_4K for recently added flashes
      ARM: kirkwood: add "jedec,spi-nor" flash compatible binding
      ARM: mvebu: add "jedec,spi-nor" flash compatible binding
      brcmfmac: simplify check stripping v2 NVRAM
      brcmfmac: simplify check finding NVRAM v1 device path
      brcmfmac: treat \0 as end of comment when parsing NVRAM
      brcmfmac: allow NVRAM values to contain spaces
      ARM: BCM5301X: Add DT for Asus RT-AC87U
      brcmfmac: support NVRAMs containing pci devpaths (instead of pcie)
      brcmfmac: set wiphy perm_addr to hardware MAC address
      brcmfmac: use direct data pointer in NVRAM parser struct
      b43: fix support for 14e4:4321 PCI dev with BCM4321 chipset
      bcma: make calls to PCI hostmode functions config-safe
      bcma: lower dependency of BCMA_DRIVER_PCI_HOSTMODE
      MIPS: BCM47XX: Make sure NVRAM buffer ends with \0
      MIPS: BCM47XX: Simplify function looking for NVRAM entry
      MIPS: BCM47xx: Extract all boardflags to new u32 fields
      MIPS: BCM47xx: Extract info about et2 interface
      MIPS: BCM47xx: Read board info for all bcma buses
      MAINTAINERS: Add Broadcom BCM47xx entry
      MIPS: BCM47xx: Add helper variable for storing NVRAM length
      MIPS: BCM47xx: Don't select BCMA_HOST_PCI
      MIPS: BCM47xx: Move NVRAM driver to the drivers/firmware/
      MIPS: BCM47xx: Simplify handling SPROM revisions

Raghu Vatsavayi (3):
      Add support of Cavium Liquidio ethernet adapters
      Fix Cavium Liquidio build related errors and warnings
      Modify Liquidio Kconfig for crc lib

Raimund Roth (1):
      HID: microsoft: Add Surface Power Cover

Raja Mani (2):
      ath10k: free wmi mgmt event skb when parsing fails
      ath10k: remove unused variable 'id' in ath10k_pci_tx_pipe_cleanup()

Rajan Vaja (1):
      ASoC: mop500_ab8500: Add a NULL pointer check in mop500_ab8500_machine_init()

Rajeev Kumar (1):
      Input: update email-id of Rajeev Kumar

Rajendra Nayak (5):
      PM / clock_ops: Provide default runtime ops to users
      arm: keystone: remove boilerplate code and use USE_PM_CLK_RUNTIME_OPS
      arm: omap1: remove boilerplate code and use USE_PM_CLK_RUNTIME_OPS
      arm: davinci: remove boilerplate code and use USE_PM_CLK_RUNTIME_OPS
      drivers: sh: remove boilerplate code and use USE_PM_CLK_RUNTIME_OPS

Rajkumar Manoharan (13):
      ath10k: increase copy engine entries for rx wmi
      ath10k: increase duty cycle maximum limit
      ath10k: fix wrong symlink name on error path
      ath10k: fix rts profile for second rate series
      ath10k: increase station kickout threshold
      ath10k: add debugfs entry to configure quiet period
      ath10k: fix interpretation of cooling device state
      ath10k: configure thermal throttle while powering up
      ath10k: do not restrict thermal throttling to ap mode
      ath10k: cache throttle state when device is down
      ath10k: move driver state check before setting throttle
      ath10k: add ATH10K_FW_IE_HTT_OP_VERSION
      ath10k: bypass PLL setting on target init for QCA9888

Ralf Baechle (12):
      MIPS: Cobalt: Do not build MTD platform device registration code as module.
      MIPS: Loongson: Do not register 8250 platform device from module.
      MIPS: MSA: bugfix - disable MSA correctly for new threads/processes.
      MIPS: ftrace: Enable support for syscall tracepoints.
      MIPS: Malta: Make maltasmvp_defconfig useful again.
      MIPS/IRQCHIP: Move irq_chip from arch/mips to drivers/irqchip.
      MIPS: IRQ/IP27: Move IRQ allocation API to platform code.
      NET: ROSE: Don't dereference NULL neighbour pointer.
      MIPS: Lemote 2F: Fix build caused by recent mass rename.
      MIPS, CPUFREQ: Fix spelling of Institute.
      MIPS: O32: Do not handle require 32 bytes from the stack to be readable.
      MIPS: O32: Use compat_sys_getsockopt.

Rama Kiran Kumar Indrakanti (2):
      sc16is7xx: spi interface is added
      sc16is7xx: spi interface documentation

Ramakrishna Pallala (3):
      extcon: axp288: Add axp288 extcon driver support
      power: max17042_battery: add HEALTH and TEMP_* properties support
      power: axp288_charger: axp288 charger driver

Ramalingam C (1):
      drm/i915: Restarting the Idleness DRRS in drrs_flush

Ramesh Babu (1):
      ALSA: hda - add ASoC device type for hda core

Rameshwar Prasad Sahu (1):
      dmaengine: xgene-dma: Fix "incorrect type in assignement" warnings

Rami Rosen (1):
      EDAC: Fix typos in Documentation/edac.txt

Ramneek Mehresh (2):
      drivers:usb:fsl:Make fsl ehci drv an independent driver module
      drivers:usb:fsl: Fix compilation error for fsl ehci drv

Rana Shahout (2):
      net/mlx5_core: Implement get/set port status
      net/mlx5_core: Modify CQ moderation parameters

Randy Dunlap (1):
      leds: fix aat1290 build errors

Ranjit Waghmode (2):
      spi: zynq: Add DT bindings documentation for Zynq Ultrascale+ MPSoC GQSPI controller
      spi: Add support for Zynq Ultrascale+ MPSoC GQSPI controller

Raphael Assenat (1):
      HID: usbhid: Add a quirk for raphnet multi-gamepad adapters

Rasmus Villemoes (20):
      tty: constify return type of tty_name
      tty: return tty->name directly from tty_name
      tty: remove buf parameter from tty_name()
      net: tulip: rearrange order of searching for substrings
      libata: finally use __initconst in ata_parse_force_one()
      kbuild: include core debug info when DEBUG_INFO_REDUCED
      ext4: mballoc: avoid 20-argument function call
      ASoC: Intel: mrfld: simplify sst_fill_widget_module_info
      fs: cleanup slight list_entry abuse
      rtc: mc13xxx: fix obfuscated and wrong format string
      linux/slab.h: fix three off-by-one typos in comment
      mm: only define hashdist variable when needed
      lib/string.c: introduce strreplace()
      kernel/trace/trace_events_filter.c: use strreplace()
      kernel/trace/blktrace.c: use strreplace() in do_blk_trace_setup()
      lib/kobject.c: use strreplace()
      drivers/base/core.c: use strreplace()
      drivers/md/md.c: use strreplace()
      fs/jbd2/journal.c: use strreplace()
      fs/ext4/super.c: use strreplace() in ext4_fill_super()

Ray Jui (11):
      ARM: dts: cygnus: Enable NAND support for Cygnus
      pinctrl: cygnus: fixed typo in the gpio driver
      ARM: BCM: Enable NAND support for iProc SoCs
      i2c: iproc: Add suspend/resume support
      clk: iproc: define Broadcom iProc clock binding
      clk: iproc: add initial common clock support
      clk: Change bcm clocks build dependency
      clk: cygnus: add clock support for Broadcom Cygnus
      clk: cygnus: remove Cygnus dummy clock binding
      clk: iproc: fix memory leak from clock name
      clk: iproc: fix bit manipulation arithmetic

Ricardo Ribalda Delgado (11):
      [media] media/vivid: Add support for Y16 format
      [media] media/vivid: Code cleanout
      [media] media/videobuf2-dma-sg: Fix handling of sg_table structure
      [media] media/videobuf2-dma-contig: Save output from dma_map_sg
      [media] media/videobuf2-dma-vmalloc: Save output from dma_map_sg
      [media] media/v4l2-core: Add support for V4L2_PIX_FMT_Y16_BE
      [media] media/vivid: Add support for Y16_BE format
      base/platform: Only insert MEM and IO resources
      base/platform: Continue on insert_resource() error
      of/platform: Use platform_device interface
      base/platform: Remove code duplication

Richard Alpe (3):
      tipc: fix default link prop regression in nl compat
      tipc: add broadcast link window set/get to nl api
      tipc: send explicit not supported error in nl compat

Richard Cochran (5):
      net: cpsw: fix misplaced break statements.
      net: cpsw: remove two unused global functions
      net: cpsw: remove redundant calls enabling dma interrupts.
      net: cpsw: remove redundant calls disabling dma interrupts.
      net: igb: fix the start time for periodic output signals

Richard Fitzgerald (15):
      extcon: arizona: Rename hpdet_ip to make its purpose clearer
      ASoC: arizona: Fix noise generator gain TLV
      ASoC: wm_adsp: Dump scratch registers on DSP shutdown
      ASoC: arizona: Export functions to control subsystem DVFS
      ASoC: wm_adsp: Move DVFS control into codec driver
      ASoC: arizona: Add DVFS handling for sample rate control
      regulator: arizona-ldo1: Do not control DVFS clocking from regulator
      ASoC: wm_adsp: Add codec_probe and codec_remove stubs
      ASoC: wm_adsp: create ALSA controls from wm_adsp driver
      ASoC: wm_adsp: Add basic debugfs entries
      ASoC: wm_adsp: Move DSP Rate controls into the codec
      mfd: arizona: Split INx_MODE into two fields
      Documentation: Add WM8998/WM1814 device tree bindings
      mfd: arizona: Add stub for wm5102_patch()
      mfd: arizona: Fix incorrect Makefile conditionals

Richard Guy Briggs (1):
      lsm: rename duplicate labels in LSM_AUDIT_DATA_TASK audit message type

Richard Weinberger (24):
      mtd: nand: Remove in vain memset() in nand_onfi_get_features()
      mailbox: altera: Add dependency on HAS_IOMEM
      spmi: Add dependency on HAS_IOMEM
      um: Move syscall() declaration into os.h
      um: Remove hppfs
      um: Cleanup mem_32/64.c headers
      um: Add asm/elf.h to vma.c
      um: Add uaccess.h to syscalls_64.c
      um: Add uaccess.h to ldt.c
      um: Rework uaccess code
      um: Fix warning in setup_signal_stack_si()
      um: Catch unprotected user memory access
      um: Stop abusing __KERNEL__
      um: Remove copy&paste code from init.h
      um: Handle tracehook_report_syscall_entry() result
      um: Fix mconsole dependency
      block: pmem: Add dependency on HAS_IOMEM
      mtd: r852: Fix device_create_file() usage
      mtd: cs553x_nand: Fix kasprintf() usage
      mtd: nandsim: Fix kasprintf() usage
      mtd: docg3: Don't leak docg3->bbt in error path
      mtd: docg3: Fix kasprintf() usage
      watchdog: cadence: Add dependency on HAS_IOMEM
      um: Don't pollute kernel namespace with uapi

Ricky Liang (1):
      clk: mediatek: Initialize clk_init_data

Rik van Riel (7):
      kvm,x86: load guest FPU context more eagerly
      sched/numa: Reduce conflict between fbq_classify_rq() and migration
      show isolated cpus in sysfs
      show nohz_full cpus in sysfs
      Revert 095bebf61a46 ("sched/numa: Do not move past the balance point if unbalanced")
      sched/numa: Only consider less busy nodes as numa balancing destinations
      exit,stats: /* obey this comment */

Riku Voipio (3):
      perf tests: Switch from open to openat
      perf tests: Aename open*.c to openat*.c
      perf tests: Remove getpgrp from mmap-basic

Rob Herring (19):
      libfdt: add fdt type definitions
      scripts/dtc: Update to upstream version 9d3649bd3be245c9
      mtd: nand: pxa3xx: fix build on ARM64
      dt-bindings: Add pxa1928 clock binding
      clk: mmp: add PXA1928 clock support
      MIPS: prepare for user enabling of CONFIG_OF
      of: make CONFIG_OF user selectable
      of: make unittest select OF_EARLY_FLATTREE instead of depend on it
      of: clean-up unnecessary libfdt include paths
      regmap: kill off set_irq_flags usage
      dt-bindings: Add Marvell PXA1928 USB and HSIC PHY bindings
      phy: Add Marvell USB 2.0 OTG 28nm PHY
      phy: add Marvell HSIC 28nm PHY
      dt-bindings: Consolidate ChipIdea USB ci13xxx bindings
      usb: chipidea: allow multiple instances to use default ci_default_pdata
      usb: chipidea: add work-around for Marvell HSIC PHY startup
      tty/serial: kill off set_irq_flags usage
      dt/fdt: add empty versions of early_init_dt_*_memory_arch
      MIPS: prepare for user enabling of CONFIG_OF

Robert Callicotte (1):
      iommu: Fix checkpatch warnings for Missing a blank line after declarations

Robert Elliott (18):
      hpsa: make function names consistent
      hpsa: print accurate SSD Smart Path Enabled status
      hpsa: break hpsa_free_irqs_and_disable_msix into two functions
      hpsa: clean up error handling
      hpsa: refactor freeing of resources into more logical functions
      hpsa: do not check cmd_alloc return value - it cannnot return NULL
      hpsa: correct return values from driver functions.
      hpsa: clean up driver init
      hpsa: clean up some error reporting output in abort handler
      hpsa: do not print ioaccel2 warning messages about unusual completions.
      hpsa: call pci_release_regions after pci_disable_device
      hpsa: skip free_irq calls if irqs are not allocated
      hpsa: cleanup for init_one step 2 in kdump
      hpsa: fix try_soft_reset error handling
      hpsa: create workqueue after the driver is ready for use
      hpsa: add interrupt number to /proc/interrupts interrupt name
      hpsa: use scsi host_no as hpsa controller number
      hpsa: propagate the error code in hpsa_kdump_soft_reset

Robert Jarzmik (19):
      ARM: dts: pxa: add pwri2c to pxa device-tree
      ARM: dts: pxa: add clocks
      ARM: dts: pxa: add pxa27x-udc to pxa27x
      ARM: dts: pxa: add pxa27x-keypad to pxa27x
      ARM: dts: pxa: add pxa-timer to pxa27x and pxa3xx
      ARM: pxa: change clocks init sequence
      ARM: pxa: move gpio11 clock to board files
      clk: pxa: add missing pxa27x clocks for Irda and sa1100-rtc
      ARM: pxa: pxa27x skip default device initialization with DT
      ARM: pxa: Transition pxa25x and pxa27x to clk framework
      ARM: pxa: convert eseries to clock framework
      ARM: pxa: Transition pxa25x, pxa27x, pxa3xx to clk framework
      Documentation: dmaengine: pxa-dma design
      MAINTAINERS: add pxa dma driver to pxa architecture
      dmaengine: pxa: add pxa dmaengine driver
      dmaengine: pxa_dma: add debug information
      dmaengine: pxa_dma: add support for legacy transition
      dmaengine: virt-dma: don't always free descriptor upon completion
      Documentation: dmaengine: document DMA_CTRL_ACK

Robert P. J. Day (1):
      PCMCIA: Remove commented references to dead class_device_create_file()

Robert Richter (6):
      net: thunderx: Cleanup duplicate NODE_ID macros, add nic_get_node_id()
      ahci: Move interrupt enablement code to a separate function
      ahci: Store irq number in struct ahci_host_priv
      ahci: Add generic MSI-X support for single interrupts to SATA PCI driver
      ahci: Add support for Cavium's ThunderX host controller
      ahci, msix: Fix build error for !PCI_MSI

Robert Schlabbach (1):
      usb: core: Fix USB 3.0 devices lost in NOTATTACHED state after a hub port reset

Robert Shearman (3):
      mpls: fix possible use after free of device
      ipv6: fix possible use after free of dev stats
      mpls: handle device renames for per-device sysctls

Roberta Dobrescu (4):
      tools: iio: Add iio targets in tools Makefile
      staging: iio: light: isl29018: Remove non-standard sysfs attributes
      staging: iio: light: isl29018: Rename lux_scale to calibscale
      staging: iio: light: isl29018: Use standard sysfs attributes for scale and integration time

Roberto Sassu (4):
      ima: added ima-sig template among choices in kernel-parameters.txt
      ima: skip measurement of cgroupfs files and update documentation
      ima: wrap event related data to the new ima_event_data structure
      ima: pass iint to ima_add_violation()

Robin Holt (2):
      memblock: introduce a for_each_reserved_mem_region iterator
      mm: meminit: move page initialization into a separate function

Robin Karlsson (1):
      Staging: sm750fb: Fix spelling error in TODO

Robin Murphy (1):
      iommu/arm-smmu: Fix ATS1* register writes

Rodrigo Vivi (10):
      drm/i915: Remove unused ring argument from frontbuffer invalidate and busy functions.
      drm/i915: Fix IPS related flicker
      drm/i915: Fix IPS related flicker
      drm/i915: Add origin to frontbuffer tracking flush
      drm/i915: PSR: Flush means invalidate + flush
      drm/i915: dirty fb operation flushsing frontbuffer
      drm/i915: PSR: Remove Low Power HW tracking mask.
      drm/i915: PSR: Increase idle_frames
      drm/i915: fbdev_set_par reliably invalidating frontbuffer
      drm/i915: fbdev restore mode needs to invalidate frontbuffer

Roger Lucas (1):
      hwmon: (w83792d) Additional PWM outputs support

Roger Luethi (1):
      via-rhine: Resigning as maintainer

Roger Quadros (7):
      usb: xhci: cleanup xhci_hcd allocation
      usb: xhci: plat: Create both HCDs before adding them
      usb: xhci: Allow usb_add/remove_hcd() to be called repeatedly
      usb: xhci: fix xhci locking up during hcd remove
      usb: xhci: Fix suspend/resume when used with OTG core
      ARM: omap2plus_defconfig: Enable TOUCHSCREEN_PIXCIR
      ARM: dts: am57xx-beagle-x15: Provide supply for usb2_phy2

Rojhalat Ibrahim (2):
      gpiolib: rename gpiod_set_array to gpiod_set_array_value
      fix documentation after renaming gpiod_set_array to gpiod_set_array_value

Roland Dreier (2):
      RDMA/ocrdma: Fix memory leak in _ocrdma_alloc_pd()
      IB/mlx4: Fix error paths in mlx4_ib_create_flow()

Romain Perier (1):
      net: stmmac: dwmac-rk: Don't add function name in info or err messages

Roman Kubiak (1):
      netfilter: nfnetlink_queue: add security context information

Roopa Prabhu (1):
      ipv4: include NLM_F_APPEND flag in append route notifications

Ross Zwisler (7):
      x86/mm: Do not flush last cacheline twice in clflush_cache_range()
      x86/mm: Add kerneldoc comments for pcommit_sfence()
      libnvdimm, nfit, nd_blk: driver for BLK-mode access persistent memory
      arch, x86: pmem api for ensuring durability of persistent memory updates
      pmem: add maintainer for include/linux/pmem.h
      nfit: update block I/O path to use PMEM API
      nfit: add support for NVDIMM "latch" flag

Ruchi Kandoi (1):
      PM / sleep: Return -EBUSY from suspend_enter() on wakeup detection

Rui Miguel Silva (2):
      usb: gadget: f_fs: fix check in read operation
      usb: gadget: f_fs: add extra check before unregister_gadget_item

Rupesh Tatiya (1):
      usb: Enable LPM for USB 2.01+ full-speed devices

Russell King (66):
      clkdev: use clk_hw internally
      clk: update clk API documentation to clarify clk_round_rate()
      clkdev: drop __init from clkdev_add_table()
      clkdev: get rid of redundant clk_add_alias() prototype in linux/clk.h
      clkdev: const-ify connection id to clk_add_alias()
      clkdev: add clkdev_create() helper
      SH: use clkdev_add_table()
      ARM: lpc32xx: convert to use clkdev_add_table()
      ARM: orion: use clkdev_create()
      ARM: omap2: use clkdev_create()
      ARM: omap2: use clkdev_add_alias()
      ASoC: migor: use clkdev_create()
      clk: s2mps11: use clkdev_create()
      ARM: optimize memset_io()/memcpy_fromio()/memcpy_toio()
      ARM: kvm: fix a bad BSYM() usage
      ARM: replace BSYM() with badr assembly macro
      ARM: Show proper respect for Heinrich Hertz by using the correct unit for frequency
      ARM: l2c: restore the behaviour documented above l2c_enable()
      ARM: l2c: write auxiliary control register first
      ARM: l2c: clean up l2c_configure()
      ARM: l2c: only unlock caches if NS_LOCKDOWN bit is set
      ARM: l2c: avoid passing auxiliary control register through enable method
      ALSA: pcm: add DRM ELD helper
      ALSA: pcm: add IEC958 channel status helper
      ARM: v7 setup function should invalidate L1 cache
      ARM: keystone2: move platform notifier initialisation into platform init
      ARM: keystone2: move update of the phys-to-virt constants into generic code
      ARM: keystone2: move address space switch printk into generic code
      ARM: keystone2: rename init_meminfo to pv_fixup
      ARM: re-implement physical address space switching
      ARM: cleanup early_paging_init() calling
      ARM: redo TTBR setup code for LPAE
      ARM: proc-v7: move CPU errata out of line
      ARM: proc-v7: clean up MIDR access
      ARM: proc-v7: sanitise and document registers around errata
      ARM: remove __bad_xchg definition
      ARM: cmpxchg: avoid warnings from macro-ized cmpxchg() implementations
      drm: fix writing to /sys/class/drm/*/status
      Merge branch 'for-rmk/perf' of git://git.kernel.org/.../will/linux into devel-stable
      ARM: fix EFM32 build breakage caused by cpu_resume_arm
      Merge branches 'arnd-fixes', 'clk', 'misc', 'v7' and 'fixes' into for-next
      Merge branch 'sa1100' into for-next
      Merge branch 'bsym' into for-next
      Merge branch 'devel-stable' into for-next
      Merge branch 'for-arm-soc' into for-next
      ARM: fix new BSYM() usage introduced via for-arm-soc branch
      irq: Add irq_set_chained_handler_and_data()
      ARM: sa1100: convert SA11x0 related code to use new chained handler helper
      GPU: ipu: Fix race in installing IPU chained IRQ handler
      ARM: gemini: Fix race in installing GPIO chained IRQ handler
      gpio: gpio-mxc: Fix race in installing chained IRQ handler
      gpio: gpio-mxs: Fix race in installing chained IRQ handler
      gpio: gpio-tegra: Fix race in installing chained IRQ handler
      irq: irq-keystone: Fix race in installing chained IRQ handler
      irq: spear-shirq: Fix race in installing chained IRQ handler
      ARM: dove: fix legacy dove IRQ numbers
      ARM: fix DEBUG_SET_MODULE_RONX build dependencies
      ARM: add help text for HIGHPTE configuration entry
      ARM: add helpful message when truncating physical memory
      ARM: fix lockdep unannotated irqs-off warning
      ARM: io: document ARM specific behaviour of ioremap*() implementations
      ARM: io: fix ioremap_wt() implementation
      ARM: io: convert ioremap*() to functions
      ARM: pgtable: document mapping types
      ARM: avoid unwanted GCC memset()/memcpy() optimisations for IO variants
      Merge branches 'fixes' and 'ioremap' into for-linus

Rusty Russell (4):
      ia64: make cpu_callin_map non-volatile.
      modules: clarify CONFIG_MODULE_COMPRESS help, suggest 'N'.
      params: suppress unused variable error, warn once just in case code changes.
      param: fix module param locks when !CONFIG_SYSFS.

Ruud Derwig (1):
      ARCv2: [vdk] dts files and defconfig for HS38 VDK

Ryan Underwood (1):
      Disable write buffering on Toshiba ToPIC95

Ryo Kataoka (2):
      ARM: shmobile: r8a7790: Remove MSIOF address from device tree
      ARM: shmobile: r8a7791: Remove MSIOF address from device tree

S Twiss (3):
      regulator: da9062: DA9062 regulator driver
      regulator: da9062: Remove unused variable build warning
      watchdog: da9062: DA9062 watchdog driver

Sachin Prabhu (1):
      cifs: Unset CIFS_MOUNT_POSIX_PATHS flag when following dfs mounts

Saeed Mahameed (12):
      net/mlx5_core: Set irq affinity hints
      net/mlx5_core: HW data structs/types definitions cleanup
      net/mlx5_core: New device capabilities handling
      net/mlx5_core: Implement access functions of ptys register fields
      net/mlx5_core: Set/Query port MTU commands
      net/mlx5e: Fix HW MTU settings
      net/mlx5e: Add HW cacheline start padding
      net/mlx5e: Disable client vlan TX acceleration
      net/mlx5e: Support NETIF_F_SG
      net/mlx5e: Static mapping of netdev priv resources to/from netdev TX queues
      net/mlx5e: Use skb_shinfo(skb)->gso_segs rather than counting them
      net/mlx5e: Prefetch skb data on RX

Sage Weil (2):
      MAINTAINERS: update ceph entries
      MAINTAINERS: add secondary tree for ceph modules

Sagi Grimberg (14):
      IB/core, cma: Nice log-friendly string helpers
      IB/srp: Align to generic logging helpers
      IB/iser: Align to generic logging helpers
      iser-target: Align to generic logging helpers
      xprtrdma, svcrdma: Switch to generic logging helpers
      RDS: Switch to generic logging helpers
      target: Merge sbc_verify_dif_read|write
      target/file: Remove fd_prot bounce buffer
      target/sbc: Fix sbc_dif_verify inconsistent map/unmap
      iscsi: Fix iscsi endpoints leak
      iser-target: Fix variable-length response error completion
      iser-target: release stale iser connections
      iser-target: Fix possible use-after-free
      target/user: Fix inconsistent kmap_atomic/kunmap_atomic

Sai.Jiang (1):
      Staging: rtl8188eu: fix coding style

Sakari Ailus (6):
      [media] v4l: of: Remove the head field in struct v4l2_of_endpoint
      [media] v4l: of: Instead of zeroing bus_type and bus field separately, unify this
      [media] v4l: of: Parse variable length properties --- link-frequencies
      [media] smiapp: Use v4l2_of_alloc_parse_endpoint()
      PCI: Add function 1 DMA alias quirk for Marvell 9120
      v4l: async: Add a pointer to of_node to struct v4l2_subdev, match it

Sam bobroff (1):
      powerpc/tm: Abort syscalls in active transactions

Samudrala, Sridhar (1):
      switchdev: add support for fdb add/del/dump via switchdev_port_obj ops.

Samuel Ortiz (5):
      NFC: nxp-nci: Fix build warning
      NFC: nci: Handle proprietary response and notifications
      NFC: Introduce vendor commands structures
      NFC: netlink: Implement vendor command support
      NFC: nci: Export nci_req_complete

Samuel Thibault (3):
      Input: export LEDs as class devices in sysfs
      tty/vt/keyboard: define LED triggers for VT LED states
      tty/vt/keyboard: define LED triggers for VT keyboard lock states

Sanidhya Kashyap (1):
      hpfs: kstrdup() out of memory handling

Sara Sharon (2):
      iwlwifi: pcie: New RBD allocation model
      mac80211: ignore invalid scan RSSI values

Saravana Kannan (1):
      cpufreq: Track cpu managing sysfs kobjects separately

Sascha Hauer (11):
      clk: make strings in parent name arrays const
      clk: mediatek: Add reset controller support
      dt-bindings: ARM: Mediatek: Document devicetree bindings for clock/reset controllers
      tty: serial: 8250_mtk: remove unnecessary test
      tty: serial: 8250_mtk: Use devm_clk_get
      tty: serial: 8250_mtk: use pm_runtime callbacks for enabling
      tty: serial: 8250_mtk: Add support for bus clock
      dt-bindings: ARM: Mediatek: use more generic node name in examples
      soc: mediatek: PMIC wrap: Fix clock rate handling
      soc: mediatek: PMIC wrap: Fix register state machine handling
      clk: mediatek: mt8173: Fix enabling of critical clocks

Sascha Wildner (1):
      ACPICA: Add dragon_fly support to unix file mapping file

Sasha Levin (4):
      time: Make sure tz_minuteswest is set to a valid value when setting time
      staging: wilc1000: off by one in wilc_wfi_cfg80211_mgmt_types
      vfs: read file_handle only once in handle_to_path
      btrfs: use after free when closing devices

Sathya Perla (1):
      be2net: update the driver version to 10.6.0.2

Satish Ashok (1):
      bridge: multicast: restore router configuration on port link down/up

Scot Doyle (2):
      vt: add cursor blink interval escape sequence
      fbcon: use the cursor blink interval provided by vt

Scott Feldman (51):
      switchdev: introduce get/set attrs ops
      switchdev: convert parent_id_get to switchdev attr get
      rocker: support prepare-commit transaction model
      switchdev: convert STP update to switchdev attr set
      switchdev: introduce switchdev add/del obj ops
      switchdev: add port vlan obj
      rocker: use switchdev add/del obj for bridge port vlans
      switchdev: add bridge port flags attr
      switchdev: add new switchdev bridge setlink
      switchdev: cut over to new switchdev_port_bridge_setlink
      switchdev: remove old switchdev_port_bridge_setlink
      bridge: restore br_setlink back to original
      switchdev: add new switchdev_port_bridge_dellink
      switchdev: cut over to new switchdev_port_bridge_dellink
      switchdev: remove unused switchdev_port_bridge_dellink
      bridge: revert br_dellink change back to original
      switchdev: add new switchdev_port_bridge_getlink
      switchdev: cut over to new switchdev_port_bridge_getlink
      switchdev: convert fib_ipv4_add/del over to switchdev_port_obj_add/del
      switchdev: remove NETIF_F_HW_SWITCH_OFFLOAD feature flag
      rocker: make checkpatch -f clean
      switchdev: bring documentation up-to-date
      switchdev: sparse warning: make __switchdev_port_obj_add static
      switchdev: sparse warning: pass ipv4 fib dst as network-byte order
      switchdev: align comment with other comments in block
      switchdev: apply review comments on documentation
      switchdev: don't use anonymous union on switchdev attr/obj structs
      rocker: zero allocate ports array
      rocker: cleanup vlan table on error adding vlan
      rocker: install untagged VLAN (vid=0) support for each port
      rocker: install/remove router MAC for untagged VLAN when joining/leaving bridge
      rocker: remove support for legacy VLAN ndo ops
      switchdev: documentation: fix longer-than-80-char lines
      switchdev: documentation: fix grammer error
      switchdev: documentation: for static FDB ops, use switchdev_port_fdb_xxx ops
      switchdev: documentation: use switchdev_port_obj_xxx for IPv4 FIB add/modify/delete ops
      switchdev: fix handling for drivers not supporting IPv4 fib add/del ops
      switchdev: fix BUG when port driver doesn't support set attr op
      bridge: use either ndo VLAN ops or switchdev VLAN ops to install MASTER vlans
      rocker: gaurd against NULL rocker_port when removing ports
      rocker: fix neigh tbl index increment race
      rocker: revert back to support for nowait processes
      rocker: mark neigh update event processing as 'no wait'
      rocker: mark STP update as 'no wait' processing
      rocker: move MAC learn event back to 'no wait' processing
      rocker: move port stop to 'no wait' processing
      bridge: del external_learned fdbs from device on flush or ageout
      switchdev: fdb filter_dev is always NULL for self (device), so remove check
      switchdev: rename vlan vid_start to vid_begin
      switchdev; add VLAN support for port's bridge_getlink
      switchdev: change BUG_ON to WARN for attr set failure case

Scott Mayhew (1):
      Documentation: remove overloads-avoided counter from knfsd-stats.txt

Scott Wood (4):
      powerpc/e6500: Optimize hugepage TLB misses
      powerpc/e500mc: Remove dead L2 flushing code in idle_e500.S
      powerpc/mm: Use PFN_PHYS() in devmem_is_allowed()
      powerpc/qman: Change fsl,qman-channel-id to cell-index

Sean Paul (1):
      backlight: lp855x: Don't clear level on suspend/blank

Sean Young (2):
      HID: sjoy: support Super Joy Box 4
      HID: core: remove phidgets from ignore list

Sebastian Andrzej Siewior (6):
      perf data: Switch to multiple cpu stream files
      locking/rtmutex: Drop usage of __HAVE_ARCH_CMPXCHG
      serial: 8250_omap: provide complete custom startup & shutdown callbacks
      serial: 8250_omap: provide complete custom startup & shutdown callbacks
      ASoC: Intel: sst: use ; instead of , at the of a C statement
      futex: Lower the lock contention on the HB lock during wake up

Sebastian Hesselbarth (5):
      leds: gpio: Fix device teardown on probe deferral
      ARM: dts: dove: Add internal i2c multiplexer node
      ARM: dts: dove: Remove Compulab CM-A510 from Makefile
      ARM: dts: dove: Add proper support for Compulab CM-A510/SBC-A510
      ARM: dts: dove: Add Compulab SBC-A510 to Makefile

Sebastian Ott (3):
      s390/setup: fix DMA_API_DEBUG warnings
      s390/pci: improve handling of hotplug event 0x301
      Input: arc_ps2 - add HAS_IOMEM dependency

Sebastian Reichel (2):
      HSI: cmt_speech: fix timestamp interface
      HSI: nokia-modem: Reduce missing driver message to debug level

Selvan Mani (1):
      mtip32xx: Fix accessing freed memory

Semen Protsenko (3):
      gpio: max732x: Propagate wake-up setting to parent irq controller
      gpio: max732x: Add IRQF_SHARED to irq flags
      gpio: max732x: Fix irq-events handler

SenthilKumar Jegadeesan (1):
      ath10k: increase AST table SKID length limit

Sergei Shtylyov (3):
      Renesas Ethernet AVB driver proper
      Renesas Ethernet AVB PTP clock driver
      MIPS: Get rid of 'kgdb_early_setup' cruft.

Sergej Sawazki (4):
      ASoC: wm8741: Add differential mono mode support
      clk: si5351: fix .round_rate for multisynth 6-7
      clk: si5351: fix .recalc_rate for multisynth 6-7
      ASoC: wm8741: Allow master clock switching

Sergey Popovich (16):
      netfilter: ipset: Properly calculate extensions offsets and total length
      netfilter: ipset: No need to make nomatch bitfield
      netfilter: ipset: Preprocessor directices cleanup
      netfilter: ipset: Return ipset error instead of bool
      netfilter: ipset: Check IPSET_ATTR_PORT only once
      netfilter: ipset: Use HOST_MASK literal to represent host address CIDR len
      netfilter: ipset: Return bool values instead of int
      netfilter: ipset: Check for comment netlink attribute length
      netfilter: ipset: Fix ext_*() macros
      netfilter: ipset: Fix hashing for ipv6 sets
      netfilter: ipset: Improve preprocessor macros checks
      netfilter: ipset: Use SET_WITH_*() helpers to test set extensions
      netfilter: ipset: Check extensions attributes before getting extensions.
      netfilter: ipset: Permit CIDR equal to the host address CIDR in IPv6
      netfilter: ipset: Make sure we always return line number on batch
      netfilter: ipset: Check CIDR value only when attribute is given

Sergey Senozhatsky (16):
      x86/hpet: Pass proper pointer to irq_alloc_info
      zsmalloc: fix a null pointer dereference in destroy_handle_cache()
      crypto: drbg - report backend_cra_name when allocation fails
      zram: add `compact` sysfs entry to documentation
      zram: cosmetic ZRAM_ATTR_RO code formatting tweak
      zram: use idr instead of `zram_devices' array
      zram: reorganize code layout
      zram: remove max_num_devices limitation
      zram: report every added and removed device
      zram: trivial: correct flag operations comment
      zram: return zram device_id from zram_add()
      zram: close race by open overriding
      zram: add dynamic device add/remove functionality
      zram: cosmetic zram_bvec_write() cleanup
      zram: cut trailing newline in algorithm name
      zram: check comp algorithm availability earlier

Sergiy Kibrik (2):
      usb: s3c2410_udc: correct reversed pullup logic
      ARM: SAMSUNG: fix clk_enable() WARNing in S3C24XX ADC

Seung-Woo Kim (1):
      Smack: ignore private inode for smack_file_receive

Seymour, Shane M (1):
      st: implement tape statistics

Shahed Shaikh (1):
      bnx2x: Fix VF MAC removal

Shailendra Verma (29):
      Input: fix typo in comment to input_handler_for_each_handle()
      Input: ff-core - fix typo in comment to input_ff_erase()
      clk: Fix typo in clk_register() comment
      clk: samsung: Fix typo in panic log
      clk: clk-conf: Fix typo in comment
      cpufreq: Fix for typos in two comments
      Staging:Android:ion - Fix for memory leak if ion device registration get failed.
      Bluetooth: btusb: Change 1 to true in bool type variable assignment
      Bluetooth: hci_uart: Change 1 to true for bool type variables assignments
      net:xen-netback - Change 1 to true for bool type variable.
      atm:he - Change 1 to true for bool type variable.
      ata:sata_nv - Change 1 to true for bool type variable.
      Input: cyapa - fix a few typos in comments
      Input: psmouse - use true instead of 1 for boolean values
      Input: synaptics_i2c - use proper boolean values
      workqueue: fix typos in comments
      audit: fix for typo in comment to function audit_log_link_denied()
      staging:nvec: fix typo in comment
      char:pcmcia:synclink_cs - Do not initialise statics to 0.
      i2c: core: fix typo in comment
      i2c: algo-pca: fix typo in comment
      i2c: smbus: fix typo in commet
      base:dd - Fix for typo in comment to function driver_deferred_probe_trigger().
      ray_cs: Change 1 to true for bool type variable.
      atm:he - Do not initialise statics to 0.
      char:xilinx_hwicap:buffer_icap - change 1/0 to true/false for bool type variable in function buffer_icap_set_configuration().
      cpufreq: nforce2: Fix typo in comment to function nforce2_init()
      cpufreq: gx-suspmod: Fix two typos in two comments
      mm/cma.c: fix typos in comments

Shannon Zhao (1):
      arm64: perf: fix unassigned cpu_pmu->plat_device when probing PMU PPIs

Shao Fu (5):
      rtlwifi: Update regulatory database
      rtlwifi: rtl8188ee: Apply the new regulatory information
      rtlwifi: rtl8192ee: Apply the regulatory changes to driver
      rtlwifi: rtl8723be: Update driver for regulatory changes
      rtlwifi: rtl8821ae: Fix problem with regulatory information

Shaohua Li (7):
      blk: clean up plug
      sched: always use blk_schedule_flush_plug in io_schedule_out
      blk-mq: avoid re-initialize request which is failed in direct dispatch
      blk-mq: do limited block plug for multiple queue case
      blk-mq: make plug work for mutiple disks and queues
      net: don't wait for order-3 page allocation
      md/raid5: ignore released_stripes check

Shaohui Xie (1):
      net/phy: Add Vitesse 8641 phy ID

Shardar Shariff Md (2):
      serial: tegra: check the count and read if any from dma
      serial: tegra: handle race condition on uart rx side

Shawn Bohrer (1):
      ipv4/udp: Verify multicast group is ours in upd_v4_early_demux()

Shawn Guo (28):
      ARM: imx: use dynamic mapping for timer
      ARM: imx: use dynamic mapping for CCM
      ARM: imx: move revision definitions and declarations into a header
      ARM: imx5: let pm code map CCM block on its own
      ARM: imx6: set initial power mode in pm function
      ARM: imx6: let pm code map CCM block on its own
      ARM: imx6: do not use cpu_is_xxx() in clock driver
      ARM: imx: add clk-pllv1 type support
      ARM: imx: remove inclusions of platform headers
      ARM: imx: move clock drivers into drivers/clk
      MAINTAINERS: add new folders into IMX entry
      ARM: imx: drop epit timer initialization from imx35 clock driver
      ARM: imx6: initialize CCM_CLPCR_LPM into RUN mode earlier
      ARM: imx: use relaxed IO accessor in timer driver
      ARM: imx: move timer resources into a structure
      ARM: imx: define an enum for gpt timer device type
      ARM: imx: initialize gpt device type for DT boot
      ARM: imx: setup tctl register in device specific function
      ARM: imx: set up .set_next_event hook via imx_gpt_data
      ARM: imx: move clock event variables into imx_timer
      ARM: imx: define gpt register offset per device type
      ARM: imx: get rid of variable timer_base
      ARM: imx: provide gpt device specific irq functions
      ARM: imx: remove platform headers from timer driver
      ARM: imx: move timer driver into drivers/clocksource
      clocksource: timer-imx-gpt: remove include of <asm/mach/time.h>
      ARM: dts: imx6dl: add imx6dl gpt specific compatible string
      MAINTAINERS: update Shawn's email to use kernel.org one

Shawn Landden (1):
      can.h: make padding given by gcc explicit

Shengzhou Liu (6):
      powerpc/fsl-booke: Add device tree support for T1024/T1023 SoC
      powerpc/fsl-booke: Add T1024 QDS board support
      powerpc/fsl-booke: Add T1024 RDB board support
      powerpc/fsl-booke: Add T1023 RDB board support
      net/phy: Add support for Realtek RTL8211F
      net/phy: tune get_phy_c45_ids to support more c45 phy

Shenwei Wang (2):
      ARM: imx: Remove the duplicated function declaration
      ARM: imx: Correct the comments in time.c

Shilong Wang (1):
      Btrfs: fix wrong check for btrfs_force_chunk_alloc()

Shilpasri G Bhat (1):
      cpuidle: powernv/pseries: Auto-promotion of snooze to deeper idle state

Shinobu Uehara (2):
      pinctrl: sh-pfc: r8a7794: add MMCIF pin groups
      pinctrl: sh-pfc: r8a7794: add SDHI pin groups

Shixin Zeng (1):
      drm/crtc: Fix edid length computation

Shobhit Kumar (1):
      pwm: Add support to remove registered consumer lookup tables

Shradha Shah (22):
      sfc: Own header for nic-specific sriov functions, single instance of netdev_ops and sriov removed from Falcon code
      sfc: Enable VF's via a write to the sysfs file sriov_numvfs
      sfc: Use MCDI to set FILTER_OP_IN_TX_DOMAIN
      sfc: Prepare to bind the sfc driver to the VF.
      sfc: create vports for VFs and assign random MAC addresses
      sfc: manually allocate and free vadaptors
      sfc: Bind the sfc driver to any available VF's
      sfc: Add permissions to MCDI commands
      sfc: change definition of MC_CMD_VADAPTOR_ALLOC
      sfc: MC_CMD_SET_MAC can only be called by the link control Function
      sfc: Store vf_index in nic_data for Ef10.
      sfc: save old MAC address in case sriov_mac_address_changed fails
      sfc: Store the efx_nic struct of the current VF in the VF data struct
      sfc: add ndo_set_vf_mac() function for EF10
      sfc: Add ndo_get_vf_config() function for EF10
      sfc: add ndo_set_vf_vlan() function for EF10
      sfc: Implement dummy disable of VF spoof check for EF10
      sfc: set the MAC address using MC_CMD_VADAPTOR_SET_MAC
      sfc: Add code to export port_num in netdev->dev_port
      sfc: Add paranthesis correctly on all branches of the if statement
      sfc: Add sysfs entry for flags (link control and primary)
      sfc: Implement ndo_gets_phys_port_id() for EF10 VFs

Shreyas B. Prabhu (5):
      powerpc: Fix cpu_online_cores_map to return only online threads mask
      powerpc/powernv: Move cpuidle related code from setup.c to new file
      powerpc/powernv: Introduce sysfs control for fastsleep workaround behavior
      powerpc: Make doorbell check preemption safe
      powerpc/powernv: Fix race in updating core_idle_state

Shreyas Bhatewara (4):
      vmxnet3: Register shutdown handler for device (fwd)
      vmxnet3: Fix memory leaks in rx path (fwd)
      vmxnet3: Changes for vmxnet3 adapter version 2 (fwd)
      vmxnet3: Bump up driver version number

Shuah Khan (1):
      selftests: add quicktest support

Shubhrajyoti Datta (1):
      i2c: xiic: Fix kerneldoc warnings

Silvan Jegen (1):
      [media] mantis: fix error handling

Silvan Wicki (2):
      i2c: bcm2835: clear reserved bits in S-Register
      i2c: busses: i2c-bcm2835: limits cdiv to allowed values

Simon Guinot (3):
      net: mvneta: introduce compatible string "marvell, armada-xp-neta"
      ARM: mvebu: update Ethernet compatible string for Armada XP
      net: mvneta: disable IP checksum with jumbo frames for Armada 370

Simon Guo (1):
      STAGING: Declare request_cache as static for drivers/staging/lustre/lustre/ptlrpc/client.c

Simon Horman (8):
      net: rocker: Use ether_addr_equal
      rocker: do not delete fdb entries in rocker_port_fdb_flush() when preparing transactions
      rocker: do not modify fdb table in rocker_port_fdb() when preparing transactions
      rocker: do not make neighbour entry changes when preparing transactions
      rocker: make rocker_port_internal_vlan_id_{get, put}() non-transactional
      rocker: remove unused rocker_port parameter from rocker_port_kfree
      rocker: mark parameters and local variables as const
      rocker: remove rocker parameter from functions that have rocker_port parameter

Simon Wood (8):
      HID: sony: Support PS3 Move Controller when connected via Bluetooth
      HID: sony: Add quirk for MOTION_CONTROLLER_BT
      HID: sony: Add support PS3 Move Battery via BT
      HID: sony: PS3 Move enable LEDs and Rumble via BT
      HID: sony: PS Move fix report descriptor
      HID: hid-sony: Add BT support for Navigation Controller
      HID: hid-sony: Navigation controller only has 1 LED and no rumble
      HID: hid-sony: Fix report descriptor for Navigation Controller

Simon Wunderlich (1):
      batman-adv: Start new development cycle

Soeren Grunewald (2):
      serial: 8250_pci: Add support for 12 port Exar boards
      serial: 8250_pci: Correct uartclk for xr17v35x expansion chips

Somnath Kotur (1):
      be2net: receive pkts with L3, L4 errors on VFs

Sonic Zhang (1):
      pinctrl: allow exlusive GPIO/mux pin allocation

Sonika Jindal (2):
      drm/i915/bxt: edp1.4 Intermediate Freq support
      drm/i915: Handle HPD when it has actually occurred

Sonny Jiang (3):
      drm/amdgpu: reset wptr at cp compute resume (v2)
      drm/amdgpu: correct define SMU_EnabledFeatureScoreboard_SclkDpmOn
      drm/amdgpu: disable enable_nb_ps_policy temporarily

Sonny Rao (1):
      ARM: dts: rockchip: Enable Cortex-A12 HW PMU events on rk3288

Sorin Dumitru (2):
      vxlan: release lock after each bucket in vxlan_cleanup
      net: limit tcp/udp rmem/wmem to SOCK_{RCV,SND}BUF_MIN

Sowmini Varadhan (3):
      net/rds: Declare SO_RDS_TRANSPORT and RDS_TRANS_* constants in uapi/linux/rds.h
      net/rds: Add setsockopt support for SO_RDS_TRANSPORT
      net/rds Add getsockopt support for SO_RDS_TRANSPORT

Sri Jayaramappa (1):
      Test compaction of mlocked memory

Sriharsha Basavapatna (1):
      be2net: Replace dma/pci_alloc_coherent() calls with dma_zalloc_coherent()

Srikanth Thokala (8):
      net: axienet: Support for RGMII
      net: axienet: Handle jumbo frames for lesser frame sizes
      net: axienet: Support phy-less mode of operation
      net: axienet: Removed coding style errors and warnings
      net: axienet: Use pdev instead of op
      net: axienet: Use devm_* calls
      net: axienet: Use of_property_* calls
      net: axienet: Removed _of_ prefix in probe and remove functions

Srikar Dronamraju (7):
      sched/debug: Properly format runnable tasks in /proc/sched_debug
      sched/debug: Replace vruntime with wait_sum in /proc/sched_debug
      sched/debug: Add sum_sleep_runtime to /proc/<pid>/sched
      perf bench numa: Fix to show proper convergence stats
      sched/debug: Move print_cfs_rq() declaration to kernel/sched/sched.h
      sched/numa: Show numa_group ID in /proc/sched_debug task listings
      sched/numa: Fix numa balancing stats in /proc/pid/sched

Srinivas Kandagatla (27):
      ARM: dts: qcom: apq8064 - add RPM regulators support
      ARM: dts: qcom: apq8064-ifc6410 - Add basic regulators
      ARM: dts: qcom: apq8064 - Add usb host support.
      ARM: dts: qcom: apq8064 - Add USB OTG support
      ARM: dts: qcom: apq8064 - Add SATA controller support
      ARM: dts: qcom: apq8064-cm-qs600 - Add basic regulators
      ARM: dts: qcom: apq8064 - Move i2c1 pinctrl to apq8064.dtsi
      ARM: dts: qcom: apq8064 - add i2c3 node for panel.
      ASoC: qcom: Remove redundant error check.
      ASoC: qcom: remove unnecessary header files
      ASoC: qcom: move ipq806x specific bits out of lpass driver.
      ASoC: qcom: remove hardcoded i2s port number
      ASoC: qcom: remove hardcoded dma channel
      ASoC: skip legacy dai naming if dai driver has all the information
      regmap: Introduce regmap_get_max_register
      regmap: Introduce regmap_get_reg_stride
      ASoC: qcom: support bitclk and osrclk per i2s port
      ASoC: qcom: make osr clock optional
      ASoC: qcom: add dma channel control offset to variant data
      ASoC: qcom: Add ability to handle interrupts per dma channel
      ASoC: qcom: add bit map to track static dma channel allocations
      ASoC: qcom: Document apq8016 bindings.
      ASoC: qcom: Add apq8016 lpass driver support
      ASoC: qcom: storm: allocate snd_soc_card struct dynamically.
      ASoC: qcom: storm: remove special casing EPROBE_DEFER
      ASoC: qcom: document apq8016 sbc machine driver bindings
      ASoC: qcom: add apq8016 sound card support

Srinivas Pandruvada (5):
      Thermal: Intel SoC: DTS thermal IOSF core
      Thermal: Intel SoC: DTS thermal use common APIs
      Thermal/int340x/processor_thermal: Enable auxiliary DTS for Braswell
      x86/platform/atom/punit: Add Punit device state debug driver
      HID: MAINTAINERS: Add HID SENSOR HUB drivers

Stanislav Kholmanskikh (3):
      staging: wilc1000: coreconfigurator: Remove spaces before "\n"
      staging: wilc1000: coreconfigurator: Change return(X) to return X
      staging: wilc1000: coreconfigurator: Align enums and defines

Stanislaw Gruszka (2):
      MAINTAINERS: remove rt2x00.serialmonkey.com list and web page
      rt2800: fix assigning same WCID for different stations

Stas Sergeev (2):
      leds: fix brightness changing when software blinking is active
      mvneta: add forgotten initialization of autonegotiation bits

Stefan Agner (19):
      irqdomain: Add non-hierarchy helper irq_domain_set_info
      genirq: Add irq_chip_(enable/disable)_parent
      genirq: generic chip: Support hierarchy domain
      irqchip: nvic: Support hierarchy irq domain
      irqchip: vf610-mscm: Support NVIC parent chip
      ARM: dts: add support for Vybrid running on Cortex-M4
      ARM: unify MMU/!MMU addruart calls
      ARM: introduce ARM_SINGLE_ARMV7M for ARMv7-M platforms
      ARM: vf610: enable Cortex-M4 configuration on Vybrid SoC
      ARM: vf610m4: add defconfig for Linux on Vybrids Cortex-M4
      ARM: use ARM_SINGLE_ARMV7M for ARMv7-M platforms
      ARM: add ARM_SINGLE_ARMV7M in ARMv7-M defconfigs
      ARM: 8369/1: ARMv7M: define size of vector table for Vybrid
      dmaengine: fix kernel-doc documentation
      ARM: imx: clk-vf610: enable debug access port by default
      iio: adc: vf610: implement configurable conversion modes
      ARM: 8383/1: nommu: avoid deprecated source register on mov
      rtc: snvs: fix wakealarm by call enable_irq_wake earlier
      dmaengine: fsl-edma: clear pending interrupts on initialization

Stefan Hajnoczi (1):
      SUNRPC: drop stale doc comments in xprtsock.c

Stefan Schmidt (10):
      ieee802154/atusb: Warn about outdated device firmware.
      ieee802154/atusb: Mark driver as AACK enabled in hardware.
      ieee802154/atusb: Set default ed level to 0xbe like the rest of these drivers
      ieee802154/atusb: Add function for partial register writes
      ieee802154/atusb: Add .set_txpower operation to the driver
      ieee802154/atusb: Add .set_promiscuous_mode driver operation
      MAINTAINERS: Add myself as maintainer for the atusb driver
      mac802154/iface: remove superfluous WARN_ON call in slave_open()
      ieee802154/mrf24j40: make sure we do not override return values
      ieee802154: cc2520: check for return values in cc2520_filter()

Stefan Wahren (7):
      clk: Fix JSON output in debugfs
      ARM: bcm2835: dt: Add vendor prefix for Raspberry Pi
      ARM: bcm2835: dt: Add root properties for Raspberry Pi
      ARM: bcm2835: dt: Add header file for pinctrl constants
      ARM: bcm2835: dt: Use pinctrl header
      regulator: core: fix constraints output buffer
      regulator: core: replace sprintf with scnprintf

Stefano Stabellini (1):
      arm,arm64/xen: move Xen initialization earlier

Steffen Klassert (2):
      ipv6: Extend the route lookups to low priority metrics.
      vti6: Add pmtu handling to vti6_xmit.

Steffen Trumtrar (3):
      ARM: socfpga: socrates: enable gpio0/1
      ARM: socfpga: socrates: add gpio-leds
      crypto: caam - fix non-64-bit write/read access

Stephan Mueller (16):
      cryoto: drbg - clear all temporary memory
      crypto: drbg - fix drbg_generate return val check
      crypto: drbg - replace spinlock with mutex
      crypto: drbg - leave cipher handles operational
      crypto: doc - change header file locations
      random: Blocking API for accessing nonblocking_pool
      crypto: drbg - prepare for async seeding
      crypto: drbg - add async seeding operation
      crypto: drbg - use Jitter RNG to obtain seed
      crypto: jitterentropy - add jitterentropy RNG
      crypto: jitterentropy - remove timekeeping_valid_for_hres
      crypto: doc - cover new AEAD interface
      crypto: drbg - use pragmas for disabling optimization
      crypto: drbg - Use callback API for random readiness
      crypto: drbg - reseed often if seedsource is degraded
      crypto: jitterentropy - avoid compiler warnings

Stephane Eranian (4):
      perf/x86/intel/uncore: Add Broadwell-U uncore IMC PMU support
      perf: add new PERF_SAMPLE_BRANCH_IND_JUMP branch sample type
      perf/x86/intel: add support for PERF_SAMPLE_BRANCH_IND_JUMP
      perf record: Add support for sampling indirect jumps

Stephen Barber (3):
      mfd: cros_ec: rev cros_ec_commands.h
      mfd: cros_ec: add proto v3 skeleton
      mfd: cros_ec: add bus-specific proto v3 code

Stephen Boyd (55):
      ARM: dts: qcom: Add msm8660 PMU node
      clk: s/clk/core/ for struct clk_core
      clk: Drop unnecessary OOM prints
      clk: Remove impossible if condition in clk_core_get_phase()
      clk: Remove forward declared function prototypes
      clk: Update some comments to reflect reality
      Merge branch 'clk-fixes' into clk-next
      Merge branch 'clk-fixes' into clk-next
      clk: Add some more lockdep assertions
      TTY: msm_smd_tty: Remove unused driver
      phy: qcom-ufs: Switch dependency to ARCH_QCOM
      regulator: of: Skip disabled regulator nodes
      clk: bindings: Fix assigned-clock-rates description
      clk: basic-types: Remove useless allocation failure printks
      clk: gpio-gate: Don't export __init functions
      clk: Silence sparse warnings about __clk_{get,put}()
      clk: max-gen: Silence sparse warnings
      clk: bcm/kona: Silence sparse warnings
      clk: bcm/kona: Remove ccu_list
      clk: berlin: Silence sparse warning
      clk: hix5hd2: Silence sparse warnings
      clk: samsung: Silence sparse warnings
      clk: emev2: Silence sparse warnings
      clk: sirf: Silence sparse warnings
      clk: socfpga: Silence sparse warning
      clk: st: Silence sparse warnings
      clk: ti: Silence sparse warnings
      clk: versatile: Silence sparse warnings
      clk: socfpga: Silence sparse warning
      clk: mmp: Silence sparse warnings
      clk: xgene: Silence sparse warnings
      clk: moxart: Silence sparse warnings
      clk: u300: Silence sparse warnings
      clk: Kconfig: Move bcm Kconfig into clk menu
      drivers/char: Remove msm_smd_pkt driver
      ufs-qcom: Switch dependency to ARCH_QCOM
      clk: qcom: Add support for NSS/GMAC clocks and resets
      clocksource/drivers/qcom: Remove dead code
      regulator: core: Don't spew backtraces on duplicate sysfs
      Merge branch 'clk-meson8b' into clk-next
      clk: cdce925: Fix printk size_t warning
      regulator: Add system_load constraint
      regulator: Add pull down support
      regulator: Add soft start support
      regulator: Add input current limit support
      ARM: 8389/1: Add cpu_resume_arm() for firmwares that resume in ARM state
      clk: pxa: Fix const discarding warning
      regulator: Add docbook for soft start
      regulator: Add QCOM SPMI regulator driver
      regulator: qcom_spmi: Add missing braces for aligned code
      msm: msm_fb: Remove dead code
      ARM64: smp: Fix suspicious RCU usage with ipi tracepoints
      ARM: 8393/1: smp: Fix suspicious RCU usage with ipi tracepoints
      arm64: perf: Don't use of_node after putting it
      soc: qcom: spm: Fix idle on THUMB2 kernels

Stephen Cameron (9):
      hpsa: add masked physical devices into h->dev[] array
      hpsa: clean up aborts
      hpsa: decrement h->commands_outstanding in fail_all_outstanding_cmds
      hpsa: hpsa decode sense data for io and tmf
      hpsa: allow lockup detected to be viewed via sysfs
      hpsa: factor out hpsa_init_cmd function
      hpsa: do not ignore return value of hpsa_register_scsi
      hpsa: try resubmitting down raid path on task set full
      hpsa: add support sending aborts to physical devices via the ioaccel2 path

Stephen Hemminger (3):
      uio: don't free irq that was not requested
      MAINTAINERS - remove OSDL reference
      api: fix compatibility of linux/in.h with netinet/in.h

Stephen Rothwell (7):
      x86/mm: Decouple <linux/vmalloc.h> from <asm/io.h>
      target: explicitly include scsi_proto.h in target_core_fabric_lib.c
      rcu: merge fix for Convert ACCESS_ONCE() to READ_ONCE() and WRITE_ONCE()
      drivers: net: xgene: fix for ACPI support without ACPI
      power: axp288_charger: fix for API change
      modules: only use mod->param_lock if CONFIG_MODULES
      crypto: marvell/cesa - another fix up for of_get_named_gen_pool() rename

Stephen Smalley (6):
      selinux: update netlink socket classes
      selinux: enable per-file labeling for debugfs files.
      selinux: enable genfscon labeling for sysfs and pstore files
      selinux: Remove unused permission definitions
      net/unix: support SCM_SECURITY for stream sockets
      selinux: fix mprotect PROT_EXEC regression caused by mm change

Steve Cornelius (2):
      crypto: caam - improve initalization for context state saves
      crypto: caam - fix RNG buffer cache alignment

Steve French (9):
      Allow parsing vers=3.11 on cifs mount
      Add defines and structs for smb3.1 dialect
      Make dialect negotiation warning message easier to read
      add struct FILE_STANDARD_INFO
      Add SMB3.11 mount option synonym for new dialect
      Add reflink copy over SMB3.11 with new FSCTL_DUPLICATE_EXTENTS
      Add Get/Set Integrity Information structure definitions
      Add ioctl to set integrity
      Update negotiate protocol for SMB3.11 dialect

Steve Twiss (3):
      Input: add OnKey driver for DA9063 MFD part
      mfd: da9063: Add support for OnKey driver
      mfd: dt: Add bindings for DA9063 OnKey

Steve Wise (1):
      RDMA/iw_cm: Export tos field to iwarp providers

Steven Rostedt (3):
      blktrace: Add blktrace.c to BLOCK LAYER in MAINTAINERS file
      tracing: Have filter check for balanced ops
      x86/earlyprintk: Allow early_printk() to use console style parameters like '115200n8'

Steven Rostedt (Red Hat) (30):
      tracing: Remove unused prototype ftrace_event_define_field()
      tracing: Rename trace/ftrace.h to trace/trace_events.h
      tracing: Move the perf code out of trace_event.h
      tracing: Rename ftrace_event.h to trace_events.h
      tracing: Rename ftrace_print_*() functions ta trace_print_*()
      tracing: Rename (un)register_ftrace_event() to (un)register_trace_event()
      tracing: Rename ftrace_event_file to trace_event_file
      tracing: Rename ftrace_event_{call,class} to trace_event_{call,class}
      tracing: Rename ftrace_event_buffer to trace_event_buffer.
      tracing: Rename ftrace_output functions to trace_output
      tracing: Rename FTRACE_MAX_EVENT to TRACE_EVENT_TYPE_MAX
      tracing: Rename ftrace_event_name() to trace_event_name()
      tracing: Rename struct ftrace_subsystem_dir to trace_subsystem_dir
      tracing: Rename FTRACE_EVENT_FL_* flags to EVENT_FILE_FL_*
      tracing: Rename ftrace_trigger_soft_disabled() to trace_trigger_soft_disabled()
      tracing: Rename ftrace_raw_##call event structures to trace_event_raw_##call
      tracing: Rename ftrace_data_offset_##call to trace_event_data_offset_##call
      tracing: Rename ftrace_event_type_funcs_##call to trace_event_type_funcs_##call
      tracing: Rename ftrace_define_fields_##call() to trace_event_define_fields_##call()
      tracing: Rename ftrace_get_offsets_##call() to trace_event_get_offsets_##call()
      ring-buffer: Add unlikelys to make fast path the default
      ring-buffer: Move recursive check to per_cpu descriptor
      ring-buffer: Allways do the trace_recursive checks
      ring-buffer: Add trace_recursive checks to ring_buffer_write()
      ring-buffer: Give NMIs a chance to lock the reader_lock
      ring-buffer: Remove useless unused tracing_off_permanent()
      ring-buffer: Add enum names for the context levels
      tracing/filter: Do not WARN on operand count going below zero
      tracing/filter: Do not allow infix to exceed end of string
      tracing: Fix typo from "static inlin" to "static inline"

Steven Toth (6):
      [media] saa7164: I2C improvements for upcoming HVR2255/2205 boards
      [media] saa7164: Adding additional I2C debug
      [media] saa7164: Improvements for I2C handling
      [media] saa7164: Add Digital TV support for the HVR2255 and HVR2205
      [media] saa7164: Copyright update
      [media] saa7164: fix HVR2255 ATSC inversion issue

Stevens, Nick (1):
      hwmon: (mcp3021) Fix broken output scaling

Subbaraya Sundeep Bhatta (3):
      usb: dwc3: gadget: Fix incorrect DEPCMD and DGCMD status macros
      usb: dwc3: gadget: return error if command sent to DGCMD register fails
      usb: dwc3: gadget: return error if command sent to DEPCMD register fails

Subhransu S. Prusty (8):
      ASoC: Intel: Create an ops to check for DSP busy
      ASoC: Intel: Move the busy check to ops for Baytrail
      ASoC: Intel: Move the busy check to ops for HSW
      ASoC: Intel: Remove the direct register reference from common ipc
      ASoC: Intel: Allow to configure max size for mailbox data
      ASoC: Intel: Initialize max mailbox size for baytrail
      ASoC: Intel: Initialize max mailbox size for haswell
      ASoC: Intel: Allocate for the mailbox with max size

Suchang Ko (2):
      ARM: at91/dt: sama5d4: add uart0, uart1 dt nodes
      ARM: at91/dt: sama5d4: add spi1, spi2 dt nodes

Sudeep Dutt (14):
      misc: mic: SCIF header file and IOCTL interface
      misc: mic: SCIF ring buffer infrastructure
      misc: mic: SCIF Hardware Bus
      misc: mic: SCIF Peer Bus
      misc: mic: Common MIC header file changes in preparation for SCIF
      misc: mic: SCIF module initialization
      misc: mic: SCIF node queue pair setup management
      misc: mic: SCIF open close bind and listen APIs
      misc: mic: SCIF messaging and node enumeration APIs
      misc: mic: MIC host driver specific changes to enable SCIF
      misc: mic: MIC card driver specific changes to enable SCIF
      misc: mic: add support for loading/unloading SCIF driver
      misc: mic: Fix randconfig build error by including errno.h
      misc: mic: Fix reported static checker warning

Sudeep Holla (13):
      cpufreq: arm_big_little: check if the frequency is set correctly
      cpufreq: arm_big_little: remove unused cpu-cluster.<n> clock name
      cpufreq: arm_big_little: remove compile-time dependency on BIG_LITTLE
      drivers: of/base: move of_init to driver_init
      drivers: of/base: move of_init to driver_init
      drivers/base: cacheinfo: handle absence of caches
      ARM: 8365/1: introduce sp804_timer_disable and remove arm_timer.h inclusion
      ARM: 8366/1: move Dual-Timer SP804 driver to drivers/clocksource
      ARM: 8382/1: clocksource: make ARM_TIMER_SP804 depend on GENERIC_SCHED_CLOCK
      irqchip: gic: Simplify gic_configure_irq by using IRQCHIP_SET_TYPE_MASKED
      arm64: kernel: rename __cpu_suspend to keep it aligned with arm
      bitmap: remove explicit newline handling using scnprintf format string
      arm: dts: vexpress: add missing CCI PMU device node to TC2

Sudip Mukherjee (35):
      ASoC: rt5645: fixed section mismatch
      staging: panel: remove duplicate code
      staging: sm7xxfb: use framebuffer_alloc and release
      staging: sm7xxfb: remove unused functions
      staging: lustre: llite: remove unuse variables
      staging: dgap: move function before remove
      staging: dgap: use remove function
      staging: dgap: remove unused code
      sound: oss: fix build warning
      staging: panel: fix stackdump
      staging: rtl8712: fix stack dump
      staging: rtl8712: fix stack dump
      parport: add device-model to parport subsystem
      staging: panel: use new parport device model
      i2c-parport: define ports to connect
      i2c-parport: use new parport device model
      paride: use new parport device model
      MAINTAINERS: maintain parport
      staging: wilc1000: fix warning while printing
      staging: fbtft: fix out of bound access
      staging: fbtft: fix build error
      parport: check exclusive access before register
      staging: rtl8188eu: remove unused enum and CONFIG
      staging: rtl8188eu: remove unused variables
      staging: rtl8188eu: remove function which does nothing
      staging: sm7xxfb: declare struct as const
      staging: sm7xxfb: remove numvgamodes
      staging: sm7xxfb: add newline
      staging: sm7xxfb: no space after cast
      staging: sm7xxfb: fix alignment
      staging: sm7xxfb: reduce indention
      staging: sm7xxfb: move mode table
      staging: sm7xxfb: fix indention
      staging: sm7xxfb: fix camelcase
      MAINTAINERS: remove website for paride

Sujith Manoharan (1):
      ath10k: fix no-ack frame status

Sukadev Bhattiprolu (4):
      perf trace: Fix race condition at the end of started workloads
      perf pmu: Use __weak definition from <linux/compiler.h>
      perf pmu: Split perf_pmu__new_alias()
      powerpc/perf/24x7: Fix lockdep warning

Suman Anna (9):
      Documentation: dt: add common bindings for hwspinlock
      hwspinlock/core: add device tree support
      Documentation: dt: add the omap hwspinlock bindings document
      hwspinlock/omap: add support for dt nodes
      remoteproc/ste: add blank lines after declarations
      remoteproc/davinci: fix quoted split string checkpatch warning
      remoteproc: fix various checkpatch warnings
      remoteproc: add a rproc ops for performing address translation
      of: define of_find_node_by_phandle for !CONFIG_OF

Suman Tripathi (8):
      libahci: Refactoring of ahci_single_irq_intr function.
      libahci: Add support to handle HOST_IRQ_STAT as edge trigger latch.
      ata: ahci_xgene: Add AHCI Support for 2nd HW version of APM X-Gene SoC AHCI SATA Host controller.
      mmc: sdhci-of-arasan: Add the support for sdhci-arasan4.9a
      drivers: net: xgene: Fix the ACPI support for RGMII/SGMII0/XFI interface of APM X-Gene SoC ethernet controller.
      drivers: net: xgene: Implement the backward compatibility with the old and new firmware w.r.t Tx completion IRQ interrupt.
      drivers: net: xgene: Add ACPI support for SGMII0 and XFI1 interface of 2nd H/W version of APM X-Gene SoC ethernet controller.
      drivers: net: xgene: Check for IS_ERR rather than NULL for clock.

Sumit Semwal (1):
      dma-buf: add ref counting for module as exporter

Sumit.Saxena@avagotech.com (10):
      megaraid_sas : Add separate function for setting up IRQs
      megaraid_sas : Add separate function for refiring MFI commands
      megaraid_sas : Add separate functions for building sysPD IOs and non RW LDIOs
      megaraid_sas : Move controller's queue depth calculation in adapter specific function
      megaraid_sas : Enhanced few prints
      megaraid_sas : Use Block layer tag support for internal command indexing
      megaraid_sas : Modify driver's meta data to reflect Avago
      megaraid_sas : Add release date and update driver version
      megaraid_sas : Support for Avago's Single server High Availability product
      megaraid_sas : Modify return value of megasas_issue_blocked_cmd() and wait_and_poll() to consider command status returned by firmware

Suneel Garapati (3):
      devicetree:bindings: add devicetree bindings for ceva ahci
      drivers: ata: add support for Ceva sata host controller
      arm64: defconfig: Add Ceva ahci to the defconfig

Sunghoon Cho (5):
      staging: wilc1000: remove multiple blank lines.
      staging: wilc1000: remove the warnings on prohibited spaces.
      staging: wilc1000: remove the warnings on the multiple blank lines.
      staging: wilc1000: remove unnecessary blank line.
      staging: wilc1000: add a blank line

Sunil Goutham (2):
      pci: Add Cavium PCI vendor id
      net: Adding support for Cavium ThunderX network controller

Suravee Suthikulpanit (1):
      ACPICA: Utilities: Add _CLS processing

Suresh Reddy (1):
      be2net: post full RXQ on interface enable

Suthikulpanit, Suravee (9):
      ACPI / scan: Parse _CCA and setup device coherency
      arm64 : Introduce support for ACPI _CCA object
      device property: Introduces device_dma_is_coherent()
      crypto: ccp - Unify coherency checking logic with device_dma_is_coherent()
      amd-xgbe: Unify coherency checking logic with device_dma_is_coherent()
      megaraid_sas: fix TRUE and FALSE re-define build error
      ufs: fix TRUE and FALSE re-define build error
      ACPI / scan: Add support for ACPI _CLS device matching
      ata: ahci_platform: Add ACPI _CLS matching

Suzuki K. Poulose (8):
      arm-cci: Do not enable CCI-400 PMU by default
      arm-cci: Cleanup PMU driver code
      arm-cci: Abstract out the PMU counter details
      arm-cci: Abstract handling for CCI events
      arm-cci: Sanitise CCI400 PMU driver specific code
      arm-cci: Add CCI-500 PMU support
      arm-cci: Add aliases for PMU events
      arm64: Fix show_unhandled_signal_ratelimited usage

Sven Eckelmann (9):
      batman-adv: update copyright years for 2015
      batman-adv: Check total_size when queueing fragments
      batman-adv: Use only queued fragments when merging
      batman-adv: Use safer default config for optional features
      batman-adv: Remove unnecessary check for orig_ifinfo not NULL
      batman-adv: Use common Jenkins Hash implementation
      batman-adv: Add required includes to all files
      batman-adv: Clarify calculation precedence for '&' and '?'
      batman-adv: Remove unused post-VLAN ethhdr in batadv_gw_dhcp_recipient_get

Sylvain BERTRAND (1):
      scripts: fix link-vmlinux.sh bash-ism

Szabolcs Nagy (1):
      ARM: 8397/1: fix vdsomunge not to depend on glibc specific error.h

Sébastien Hinderer (1):
      x86/kconfig: Fix typo in the CONFIG_CMDLINE_BOOL help text

Sébastien Szymanski (3):
      ARM: imx_v6_v7_defconfig: updates for Armadeus Systems APF6 boards
      ARM: clk-imx6q: refine sata's parent
      ARM: dts: Armadeus Systems APF6 family support (i.MX6)

Tadeusz Struk (12):
      crypto: qat - remove unused structure members
      crypto: qat - rm unneeded header include
      crypto: qat - Set max request size
      crypto: qat: fix issue when mapping assoc to internal AD struct
      MPILIB: add mpi_read_buf() and mpi_get_size() helpers
      crypto: akcipher - add PKE API
      crypto: rsa - add a new rsa generic implementation
      crypto: testmgr - add tests vectors for RSA
      crypto: rsa - fix invalid select for AKCIPHER
      crypto: akcipher - fix spelling cihper -> cipher
      crypto: testmgr - don't print info about missing test for gcm-aes-aesni
      crypto: aesni - fix failing setkey for rfc4106-gcm-aesni

Taehee Yoo (11):
      f2fs: add offset check routine before punch_hole() in f2fs_fallocate()
      rtlwifi: rtl8192cu: Remove setting REG_BCN_MAX_ERR code in _rtl92cu_set_media_status().
      rtlwifi: rtl8192cu: Remove rtl92c_init_beacon_max_error's parameter
      rtlwifi: rtl8192cu: Fix performance issue.
      rtlwifi: rtl8192cu: remove IS_HARDWARE_TYPE_8192CE and IS_HARDWARE_TYPE_8192CU
      rtlwifi: rtl8192cu: remove _InitBeaconParameters().
      rtlwifi: rtl8192cu: remove INTF_PCI and INTF_USB
      rtlwifi: rtl8192cu: remove duplicated routine in _rtl92c_phy_rf6052_config_parafile
      rtlwifi: rtl8192cu: debug message change "RTL8192CE" to "RTL8192CU"
      rtlwifi: rtl8192cu: Fix variable isfirst_ampdu
      rtlwifi: rtl8192c: Add init codes for "fw_version" and "fw_subversion".

Taeung Song (5):
      perf inject: Fill in the missing session freeing after an error occurs
      perf kmem: Fill in the missing session freeing after an error occurs
      perf report: Fill in the missing session freeing after an error occurs
      perf kvm: Fill in the missing session freeing after an error occurs
      perf mem: Fill in the missing session freeing after an error occurs

Tahsin Erdogan (1):
      block: Make CFQ default to IOPS mode on SSDs

Takashi Iwai (94):
      ALSA: hda - Handle error from get_response bus ops directly
      ALSA: hda - Add the controller helper codes to hda-core module
      ALSA: hda - Add DSP loader to core library code
      ALSA: hda - Merge codec and controller helpers
      ALSA: hda - Move send_cmd / get_response to hdac_bus_ops
      ALSA: hda - Pass bus io_ops directly from the top-level driver
      ALSA: hda - Migrate hdac_stream into legacy driver
      ALSA: hda - Migrate more hdac_stream codes
      ALSA: hda - Embed bus into controller object
      ALSA: hda - Minor refactoring
      ALSA: hda - Move PCM format and rate handling code to core library
      ALSA: hda - Add missing inclusion of <linux/clocksource.h>
      ALSA: hda - Reenable tracepoints for controller
      ALSA: hda/tegra - Fix build error and warning
      ALSA: hda - Drop azx_sd_read*/write*() macros
      ALSA: hda - Replace hda_bus_ops with static binding
      ALSA: hda - Move prepared flag into struct hdac_stream
      ALSA: hda - Replace open codes with snd_hdac_stream_set_params()
      ALSA: core: Use seq_file for text proc file reads
      ALSA: core: Fix possible memory leaks at error path in info.c
      ALSA: core: Remove child proc file elements recursively
      ALSA: core: Manage asound root directory with snd_info_entry
      ALSA: core: Remove superfluous exit calls for proc entries
      ALSA: core: Don't ignore errors at creating proc files
      ALSA: core: Build conditionally and remove superfluous ifdefs
      ALSA: core: Clean up OSS proc file management
      Merge branch 'topic/hda' into for-4.2
      Merge branch 'for-4.2' into for-next
      Merge branch 'topic/jack' into for-next
      Merge branch 'for-linus' into for-next
      Merge branch 'topic/hda' into for-next
      ALSA: hda - Reduce ifdef CONFIG_SND_HDA_I915
      Merge branch 'topic/hda' into for-next
      ALSA: jack: Fix another NULL dereference due to empty input jack
      Merge branch 'topic/jack' into for-next
      Merge branch 'topic/jack' into for-next
      ALSA: info: Fix leaks of child entries at snd_info_free_entry()
      ALSA: info: Register proc entries recursively, too
      ALSA: info: Move list addition to snd_info_create_entry()
      ALSA: info: Drop kerneldoc comment from snd_info_create_entry()
      ALSA: hda - Add headset-mode* model options for ALC269 & co
      ALSA: hda - Sync HD-Audio-Models.txt for Realtek codecs
      ALSA: hda - Fix NULL dereference from CA0132 DSP loader
      ALSA: jack: Remove MODULE_*() macros
      Merge branch 'topic/hdmi' into for-next
      ALSA: dummy: make local data static
      ALSA: lx6464es: Fix duplicated SSID entries
      ALSA: lx6464es: Use NULL for pointers
      ALSA: bcd2000: Make local data static
      sound: sound_firmware: Fix invalid use of vfs_read()
      ASoC: Simplify format_register_str() without stack usages
      ALSA: hda - Drop unused fields from struct hda_codec_preset
      ALSA: core: Fix randconfig build wrt CONFIG_PROC_FS
      ALSA: aloop: Drop unnecessary ifdef CONFIG_PROC_FS
      ALSA: dummy: Replace CONFIG_PROC_FS with CONFIG_SND_PROC_FS
      ALSA: opl4: Fix / cleanup ifdef CONFIG_PROC_FS
      ALSA: ak4xxx-adda: Drop unnecessary ifdef CONFIG_PROC_FS
      ALSA: ac97: Fix ifdef CONFIG_PROC_FS
      ALSA: ca0106: Fix/cleanup ifdef CONFIG_PROC_FS
      ALSA: cs46xx: Fix old ifdef CONFIG_PROC_FS
      ALSA: emu10k1: Fix/cleanup ifdef CONFIG_PROC_FS
      ALSA: emux: Fix/cleanup old ifdef CONFIG_PROC_FS
      ALSA: pci: Drop superfluous ifdef CONFIG_PROC_FS
      Merge branch 'for-linus' into for-next
      ALSA: hda - Set patch_ops before calling auto-parser
      ALSA: jack: Fix the id uniqueness check
      ALSA: hda - Fix jack detection at resume with VT codecs
      UBI: Use static class and attribute groups
      ALSA: hda/realtek - Add a fixup for another Acer Aspire 9420
      Merge tag 'asoc-v4.2' of git://git.kernel.org/.../broonie/sound into for-next
      ASoC: intel: Remove unused variable hsw
      Merge branch 'for-linus' into for-next
      ALSA: hda - Don't actually write registers for caps overwrites
      ALSA: hda - Allow calling snd_hdac_i915_*() without actual binding
      ALSA: hda - Continue probing even if i915 binding fails
      Merge branch 'for-linus' into for-next
      ALSA: hda - Re-add the lost fake mute support
      ALSA: hda - Fix link power unbalance at device removal
      ALSA: hda - Abort the probe without i915 binding for HSW/BDW
      Merge branch 'for-linus' into for-next
      ALSA: hda - Fix audio crackles on Dell Latitude E7x40
      Merge branch 'for-linus' into for-next
      ALSA: hda - Fix noisy outputs on Dell XPS13 (2015 model)
      ALSA: hda - Fix unused label skip_i915
      Merge branch 'for-linus' into for-next
      Merge tag 'asoc-v4.2-2' of git://git.kernel.org/.../broonie/sound into for-next
      Merge tag 'asoc-v4.2-3' of git://git.kernel.org/.../broonie/sound into for-next
      ALSA: pcm: Fix pcm_class sysfs output
      PM / sleep: Increase default DPM watchdog timeout to 60
      ALSA: hda - Disable widget power-save for VIA codecs
      ALSA: jack: Fix endless loop at unique index detection
      ALSA: hda - Add headset support to Acer Aspire V5
      ALSA: hda - Fix the dock headphone output on Fujitsu Lifebook E780
      ALSA: hda - Add a fixup for Dell E7450

Takashi Sakamoto (22):
      ALSA: firewire-lib: add buffer-over-run protection at receiving more data blocks than expected
      ALSA: firewire-lib: simplify function to calculate the number of data blocks
      ALSA: firewire-lib: pass the number of data blocks in incoming packets to outgoing packets
      ALSA: firewire-lib: set streaming error outside of packetization
      ALSA: firewire-lib: remove restriction for non-blocking mode
      ALSA: firewire-lib: rename local functions for code cleanup
      ALSA: firewire-lib: macro arrangement for code cleanup
      ALSA: firewire-lib: use dev_err() when detecting incoming streaming error
      ALSA: firewire-lib: use protocol error when detecting wrong value in CIP header
      ALSA: bebob: add Digidesign Mbox 2 Pro support
      ALSA: firewire-lib: fix buffer-over-run when detecting packet discontinuity
      ALSA: bebob: improve signal mode detection for clock source
      ALSA: bebob: apply new enumerator to normalize the type of clock source
      ALSA: bebob: preparation for replacing string literals by normalized representation for model-dependent structures
      ALSA: bebob: use normalized representation for the type of clock source
      ALSA: bebob: obsolete string literal expression for clock source
      ALSA: bebob: add SYT-Match support
      ALSA: bebob: add 'version' member for BeBoB protocol version
      ALSA: bebob: expand timeout for DM1500 quirk
      ALSA: bebob: loosen up severity of checking continuity for BeBoB v3 quirk
      ALSA: bebob: keep duplex streams always to keep internal multiplexer properly
      ALSA: bebob: add support for Behringer FCA 610/1616

Takeshi Yoshimura (4):
      power_supply: 88pm860x_charger: Do not call free_irq() twice
      [media] ddbridge: Do not free_irq() if request_irq() failed
      pcmcia: Fix resource leaks in yenta_probe() and _close()
      wm831x_power: Fix off-by-one at free_irq()

Tal Shorer (1):
      drivers: char: misc.c: remove trailing whitespace

Tang Yuantian (2):
      ahci: added support for Freescale AHCI sata
      cpufreq: qoriq: optimize the CPU frequency switching time

Tedd Ho-Jeong An (1):
      Bluetooth: btusb: Add routine for applying Intel DDC parameters

Tej Parkash (1):
      bnx2i: Fixed firmware assert, during target logout.

Tejun Heo (104):
      cgroup: separate out include/linux/cgroup-defs.h
      cgroup: reorganize include/linux/cgroup.h
      sched, cgroup: reorganize threadgroup locking
      sched, cgroup: replace signal_struct->group_rwsem with a global percpu_rwsem
      cgroup: simplify threadgroup locking
      page_writeback: revive cancel_dirty_page() in a restricted form
      blkcg: move block/blk-cgroup.h to include/linux/blk-cgroup.h
      update !CONFIG_BLK_CGROUP dummies in include/linux/blk-cgroup.h
      blkcg: always create the blkcg_gq for the root blkcg
      memcg: add mem_cgroup_root_css
      blkcg: add blkcg_root_css
      cgroup, block: implement task_get_css() and use it in bio_associate_current()
      blkcg: implement task_get_blkcg_css()
      blkcg: implement bio_associate_blkcg()
      memcg: implement mem_cgroup_css_from_page()
      writeback: move backing_dev_info->state into bdi_writeback
      writeback: move backing_dev_info->bdi_stat[] into bdi_writeback
      writeback: move bandwidth related fields from backing_dev_info into bdi_writeback
      writeback: s/bdi/wb/ in mm/page-writeback.c
      writeback: move backing_dev_info->wb_lock and ->worklist into bdi_writeback
      writeback: reorganize mm/backing-dev.c
      writeback: separate out include/linux/backing-dev-defs.h
      bdi: make inode_to_bdi() inline
      writeback: add @gfp to wb_init()
      bdi: separate out congested state into a separate struct
      writeback: add {CONFIG|BDI_CAP|FS}_CGROUP_WRITEBACK
      writeback: make backing_dev_info host cgroup-specific bdi_writebacks
      writeback, blkcg: associate each blkcg_gq with the corresponding bdi_writeback_congested
      writeback: attribute stats to the matching per-cgroup bdi_writeback
      writeback: let balance_dirty_pages() work on the matching cgroup bdi_writeback
      writeback: make congestion functions per bdi_writeback
      writeback, blkcg: restructure blk_{set|clear}_queue_congested()
      writeback, blkcg: propagate non-root blkcg congestion state
      writeback: implement and use inode_congested()
      writeback: implement WB_has_dirty_io wb_state flag
      writeback: implement backing_dev_info->tot_write_bandwidth
      writeback: make bdi_has_dirty_io() take multiple bdi_writeback's into account
      writeback: don't issue wb_writeback_work if clean
      writeback: make bdi->min/max_ratio handling cgroup writeback aware
      writeback: implement bdi_for_each_wb()
      writeback: remove bdi_start_writeback()
      writeback: make laptop_mode_timer_fn() handle multiple bdi_writeback's
      writeback: make writeback_in_progress() take bdi_writeback instead of backing_dev_info
      writeback: make bdi_start_background_writeback() take bdi_writeback instead of backing_dev_info
      writeback: make wakeup_flusher_threads() handle multiple bdi_writeback's
      writeback: make wakeup_dirtytime_writeback() handle multiple bdi_writeback's
      writeback: add wb_writeback_work->auto_free
      writeback: implement bdi_wait_for_completion()
      writeback: implement wb_wait_for_single_work()
      writeback: restructure try_writeback_inodes_sb[_nr]()
      writeback: make writeback initiation functions handle multiple bdi_writeback's
      writeback: dirty inodes against their matching cgroup bdi_writeback's
      buffer, writeback: make __block_write_full_page() honor cgroup writeback
      mpage: make __mpage_writepage() honor cgroup writeback
      ext2: enable cgroup writeback support
      memcg: make mem_cgroup_read_{stat|event}() iterate possible cpus instead of online
      writeback: clean up wb_dirty_limit()
      writeback: reorganize [__]wb_update_bandwidth()
      writeback: implement wb_domain
      writeback: move global_dirty_limit into wb_domain
      writeback: consolidate dirty throttle parameters into dirty_throttle_control
      writeback: add dirty_throttle_control->wb_bg_thresh
      writeback: make __wb_calc_thresh() take dirty_throttle_control
      writeback: add dirty_throttle_control->pos_ratio
      writeback: add dirty_throttle_control->wb_completions
      writeback: add dirty_throttle_control->dom
      writeback: make __wb_writeout_inc() and hard_dirty_limit() take wb_domaas a parameter
      writeback: separate out domain_dirty_limits()
      writeback: move over_bground_thresh() to mm/page-writeback.c
      writeback: update wb_over_bg_thresh() to use wb_domain aware operations
      writeback: implement memcg wb_domain
      writeback: reset wb_domain->dirty_limit[_tstmp] when memcg domain size changes
      writeback: implement memcg writeback domain based throttling
      mm: vmscan: disable memcg direct reclaim stalling if cgroup writeback support is in use
      writeback: relocate wb[_try]_get(), wb_put(), inode_{attach|detach}_wb()
      writeback: make writeback_control track the inode being written back
      writeback: implement foreign cgroup inode detection
      writeback: implement [locked_]inode_to_wb_and_lock_list()
      writeback: implement unlocked_inode_to_wb transaction and use it for stat updates
      writeback: use unlocked_inode_to_wb transaction in inode_congested()
      writeback: add lockdep annotation to inode_to_wb()
      writeback: implement foreign cgroup inode bdi_writeback switching
      writeback: disassociate inodes from dying bdi_writebacks
      bdi: fix wrong error return value in cgwb_create()
      v9fs: fix error handling in v9fs_session_init()
      MAINTAINERS: add a cgroup core co-maintainer
      writeback: do foreign inode detection iff cgroup writeback is enabled
      vfs, writeback: replace FS_CGROUP_WRITEBACK with SB_I_CGROUPWB
      writeback, blkio: add documentation for cgroup writeback support
      kernfs: make kernfs_get_inode() public
      cgroup: separate out cgroup_procs_write_permission() from __cgroup_procs_write()
      cgroup: require write perm on common ancestor when moving processes on the default hierarchy
      cgroup: add delegation section to unified hierarchy documentation
      memcg: remove unused mem_cgroup->oom_wakeups
      memcg: convert mem_cgroup->under_oom from atomic_t to int
      printk: guard the amount written per line by devkmsg_read()
      printk: factor out message formatting from devkmsg_read()
      printk: implement support for extended console drivers
      netconsole: remove unnecessary netconsole_target_get/out() from write_msg()
      netconsole: make netconsole_target->enabled a bool
      netconsole: make all dynamic netconsoles share a mutex
      netconsole: implement extended console support
      writeback: don't embed root bdi_writeback_congested in bdi_writeback
      writeback: don't drain bdi_writeback_congested on bdi destruction

Tero Kristo (1):
      ARM: dts: AM35xx: fix system control module clocks

Terry Junge (1):
      HID: plantronics: Update to map volume up/down controls

Teunis van Beelen (1):
      USB: usbtmc: add device quirk for Rigol DS6104

Theodore Ts'o (27):
      ext4 crypto: optimize filename encryption
      ext4 crypto: don't allocate a page when encrypting/decrypting file names
      ext4 crypto: separate kernel and userspace structure for the key
      ext4 crypto: reorganize how we store keys in the inode
      ext4: clean up superblock encryption mode fields
      ext4 crypto: use slab caches
      ext4 crypto: get rid of ci_mode from struct ext4_crypt_info
      ext4 crypto: shrink size of the ext4_crypto_ctx structure
      ext4 crypto: require CONFIG_CRYPTO_CTR if ext4 encryption is enabled
      ext4 crypto: use per-inode tfm structure
      ext4 crypto: fix memory leaks in ext4_encrypted_zeroout
      ext4 crypto: set up encryption info for new inodes in ext4_inherit_context()
      ext4 crypto: make sure the encryption info is initialized on opendir(2)
      ext4 crypto: encrypt tmpfile located in encryption protected directory
      ext4 crypto: enforce crypto policy restrictions on cross-renames
      ext4 crypto: policies may only be set on directories
      ext4 crypto: clean up error handling in ext4_fname_setup_filename
      ext4 crypto: allocate the right amount of memory for the on-disk symlink
      ext4 crypto: handle unexpected lack of encryption keys
      ext4 crypto: allocate bounce pages using GFP_NOWAIT
      ext4 crypto: fix ext4_get_crypto_ctx()'s calling convention in ext4_decrypt_one
      ext4 crypto: fail the mount if blocksize != pagesize
      ext4: fix race between truncate and __ext4_journalled_writepage()
      ext4: call sync_blockdev() before invalidate_bdev() in put_super()
      ext4: prevent ext4_quota_write() from failing due to ENOSPC
      ext4: set lazytime on remount if MS_LAZYTIME is set by mount
      ext4: fix fencepost error in lazytime optimization

Thierry Reding (23):
      memory: tegra: Add SWGROUP names
      iommu/tegra-smmu: Add debugfs support
      memory: tegra: Add Tegra132 support
      ARM: tegra: Use lower-case hexadecimal digits
      ARM: tegra: Fix typo (reset -> rest) in comment
      ARM: tegra: cardhu: Add power and volume keys
      ARM: tegra: Add missing HDMI +5V regulator
      ARM: tegra: jetson-tk1: Enable HDA support
      Merge branch 'for-4.2/ramcode' into for-4.2/emc
      ARM: tegra: venice2: Mark eMMC as non-removable
      ARM: tegra: venice2: Set min-/max-microvolt for VDD_LED supply
      ARM: tegra: Update default configuration
      ALSA: hda/tegra - Fix oops
      Merge branch 'for-4.2/ramcode' into for-4.2/clk
      clk: tegra: EMC clock driver depends on EMC driver
      ALSA: hda/tegra - Set CORBRP self-clear flag
      ALSA: hda/hdmi - Implement Tegra-specific patch
      ALSA: hda/tegra - Improve error reporting
      ALSA: hda/hdmi - Add Tegra30 support
      ALSA: hda/hdmi - Add Tegra114 support
      ALSA: hda/hdmi - Add Tegra210 support
      fbcon: Avoid deleting a timer in IRQ context
      usb: dwc3: Use ASCII space in Kconfig

Thiébaud Weksteen (5):
      scripts/gdb: add command to check list consistency
      scripts/gdb: fix typo in exception name
      scripts/gdb: fix PEP8 compliance
      scripts/gdb: add ps command
      scripts/gdb: remove useless global instruction

Thomas Abraham (4):
      clk: samsung: add infrastructure to register cpu clocks
      clk: samsung: exynos4: add cpu clock configuration data and instantiate cpu clock
      ARM: Exynos: switch to using generic cpufreq driver for Exynos4210
      cpufreq: exynos: remove Exynos4210 specific cpufreq driver support

Thomas Elste (1):
      power: bq24190_charger: Change first_time flag reset condition

Thomas Falcon (4):
      ibmveth: change rx buffer default allocation for CMO
      ibmveth: Add support for TSO
      ibmveth: Add GRO support
      ibmveth: Add support for Large Receive Offload

Thomas Gleixner (127):
      timekeeping: Remove stale function prototype
      hrtimer: Document hrtimer_forward[_now]() proper
      hrtimer: Get rid of the resolution field in hrtimer_clock_base
      net: sched: Use hrtimer_resolution instead of hrtimer_get_res()
      sound: Use hrtimer_resolution instead of hrtimer_get_res()
      hrtimer: Get rid of hrtimer_get_res()
      hrtimer: Make the statistics fields smaller
      hrtimer: Get rid of softirq time
      hrtimer: Make offset update smarter
      hrtimer: Use bits for various boolean indicators
      hrtimer: Use cpu_base->active_base for hotpath iterators
      hrtimer: Cache line align the hrtimer cpu base
      hrtimer: Align the hrtimer clock bases as well
      timerqueue: Let timerqueue_add/del return information
      hrtimer: Make use of timerqueue_add/del return values
      hrtimer: Keep pointer to first timer and simplify __remove_hrtimer()
      hrtimer: Get rid of hrtimer softirq
      tick: sched: Remove hrtimer_active() checks
      tick: sched: Force tick interrupt and get rid of softirq magic
      tick: Sched: Restructure code
      tick: Nohz: Rework next timer evaluation
      x86: perf: Use hrtimer_start()
      x86: perf: uncore: Use hrtimer_start()
      perf: core: Use hrtimer_start()
      sched: core: Use hrtimer_start[_expires]()
      sched: deadline: Use hrtimer_start()
      hrtimer: Get rid of __hrtimer_start_range_ns()
      hrtimer: Make hrtimer_start() a inline wrapper
      hrtimer: Remove bogus hrtimer_active() check
      futex: Remove bogus hrtimer_active() check
      rtmutex: Remove bogus hrtimer_active() check
      net: core: pktgen: Remove bogus hrtimer_active() check
      alarmtimer: Get rid of unused return value
      tick: broadcast-hrtimer: Remove overly clever return value abuse
      hrtimer: Remove hrtimer_start() return value
      hrtimer: Avoid locking in hrtimer_cancel() if timer not active
      timer: Remove pointless return value of do_usleep_range()
      timer: Put usleep_range into the __sched section
      sched: debug: Remove the cfs bandwidth timer_active printout
      power: reset: ltc2952: Remove bogus hrtimer_start() return value checks
      x86/intel-mid: Delay initialization of APB timer
      x86: Remove more unmodified io_apic_ops
      x86/irq: Remove sis apic bug workaround
      x86, ioapic: Use proper defines for the entry fields
      x86,ioapic: Cleanup irq_trigger/polarity()
      x86: Cleanup irq_domain ops
      perf: Remove unused function perf_mux_hrtimer_cancel()
      tick: broadcast: Prevent livelock from event handler
      tick: broadcast: Simplify oneshot logic and shorten lock region
      irq_remapping/vt-d: Init all MSI entries not just the first one
      x86: Constify irqdomain ops
      nohz: Fix !HIGH_RES_TIMERS hang
      ALSA: drivers: pcsp: Fix printout of resolution
      arch: Remove __ARCH_HAVE_CMPXCHG
      rtmutex: Warn if trylock is called from hard/softirq context
      x86: Use entering[_ack]_irq() instead of open coding it
      x86: Consolidate irq entering inlines
      Merge branch 'irq/for-arm' into irq/core
      Merge branch 'irq/for-x86' into irq/core
      Merge branch 'irq/for-x86' into x86/apic
      Merge branch 'linus' into timers/core
      jiffies: Remove the extra indentation level
      perf/x86/intel/cqm: Document PQR MSR abuse
      perf/x86/intel/cqm: Use proper data types
      perf/x86/intel/cqm: Remove pointless spinlock from state cache
      perf/x86/intel/cqm: Avoid pointless MSR write
      perf/x86/intel/cqm: Remove useless wrapper function
      perf/x86/intel/cqm: Add storage for 'closid' and clean up 'struct intel_pqr_state'
      clockevents: Provide functions to set and get the state
      clockevents: Use set/get state helper functions
      clockevents: Rename state to state_use_accessors
      Merge branch 'clockevents/4.2' of http://git.linaro.org/people/daniel.lezcano/linux into timers/core
      Merge branch 'linus' into irq/core
      iommu: dmar: Extend struct irte for VT-d Posted-Interrupts
      iommu: dmar: Provide helper to copy shared irte fields
      Merge branch 'timers/core' into sched/hrtimers
      timers: Sanitize catchup_timer_jiffies() usage
      timer: Remove FIFO "guarantee"
      timer: Use hlist for the timer wheel hash buckets
      timer: Replace timer base by a cpu index
      timer: Stats: Simplify the flags handling
      timer: Reduce timer migration overhead if disabled
      timer: Minimize nohz off overhead
      genirq: Remove bogus restriction in irq_move_mask_irq()
      Merge branch 'irq/for-x86' into irq/core
      x86/hpet: Use proper hpet device number for MSI allocation
      gpio/bcm-kona: Fix race in installing chained IRQ handler
      gpio/dwapb: Fix race in installing chained IRQ handler
      gpio/msic: Fix race in installing chained IRQ handler
      mfd/asic3: Fix race in installing chained IRQ handler
      PCI/keystone: Fix race in installing chained IRQ handler
      pinctrl/mediatek: Fix race in installing chained IRQ handler
      pinctrl/adi2: Fix race in installing chained IRQ handler
      pinctrl/st: Fix race in installing chained IRQ handler
      pinctrl/exynos: Fix race in installing chained IRQ handler
      pinctrl/samsung: Fix race in installing chained IRQ handler
      pinctrl/samsung: Fix race in installing chained IRQ handler
      pinctrl/sun4i: Fix race in installing chained IRQ handler
      sh/intc: Fix potential race in installing chained IRQ handler
      sh/intc: Fix race in installing chained IRQ handler
      avr32/at32ap: Fix race in installing chained IRQ handler
      m68k/psc: Fix race in installing chained IRQ handler
      MIPS/ath25: Fix race in installing chained IRQ handler
      MIPS/ath25: Fix race in installing chained IRQ handler
      MIPS/pci: Fix race in installing chained IRQ handler
      MIPS/ralink: Fix race in installing chained IRQ handler
      genirq: Implement irq_set_handler_locked()/irq_set_chip_handler_name_locked()
      ARM/LPC32xx: Use irq not hwirq for __irq_set_handler_locked()
      timer: Fix hotplug regression
      time: Remove development rules from Kbuild/Makefile
      x86/irq: Plug irq vector hotplug race
      x86/irq: Use proper locking in check_irq_vectors_for_cpu_disable()
      x86/irq: Retrieve irq data after locking irq_desc
      tick/broadcast: Prevent hrtimer recursion
      tick/broadcast: Sanity check the shutdown of the local clock_event
      tick/broadcast: Make idle check independent from mode and config
      tick/broadcast: Prevent deep idle if no broadcast device available
      tick/broadcast: Move the check for periodic mode inside state handling
      tick/broadcast: Return busy if periodic mode and hrtimer broadcast
      tick/broadcast: Return busy when IPI is pending
      tick/broadcast: Check for hrtimer broadcast active early
      tick/broadcast: Handle spurious interrupts gracefully
      tick/broadcast: Unbreak CONFIG_GENERIC_CLOCKEVENTS=n build
      hotplug: Prevent alloc/free of irq descriptors during cpu up/down
      cris: Replace do_posix_clock_monotonic_gettime()
      time: Get rid of do_posix_clock_monotonic_gettime
      tick/broadcast: Prevent NULL pointer dereference

Thomas Graf (9):
      rhashtable-test: Remove unused TEST_NEXPANDS
      rhashtable-test: Measure time to insert, remove & traverse entries
      rhashtable-test: Get rid of ptr in test_obj structure
      rhashtable-test: Do not allocate individual test objects
      rhashtable-test: Use walker to test bucket statistics
      rhashtable-test: Detect insertion failures
      rhashtable: Simplify iterator code
      rhashtable-test: Fix 64bit division
      vxlan: Correctly set flow*i_mark and flow4i_proto in route lookups

Thomas Langer (1):
      Documentation: DT: Fix a typo in the filename "lantiq,<chip>-pinumx.txt"

Thomas Niederprüm (12):
      fbdev: ssd1307fb: fix memory address smem_start.
      fbdev: ssd1307fb: Allocate page aligned video memory.
      of: Add Solomon Systech vendor prefix.
      fbdev: ssd1307fb: Unify init code and obtain hw specific bits from DT
      ARM: mxs: fix in tree users of ssd1306
      fbdev: ssd1307fb: Add support for SSD1305
      fbdev: ssd1307fb: Add a module parameter to set the refresh rate
      fbdev: ssd1307fb: Turn off display on driver unload.
      fbdev: ssd1307fb: add backlight controls for setting the contrast
      fbdev: ssd1307fb: Add blank mode
      fbdev: ssd1307fb: fix logical error
      ARM: mxs: fix in tree users of ssd1306

Thomas Petazzoni (39):
      Revert "bus: mvebu-mbus: make sure SDRAM CS for DMA don't overlap the MBus bridge window"
      bus: mvebu-mbus: add mv_mbus_dram_info_nooverlap()
      pinctrl: mvebu: armada-38x: fix PCIe functions
      pinctrl: mvebu: armada-370: fix spi0 pin description
      pinctrl: mvebu: armada-375: remove non-existing NAND re/we pins
      pinctrl: mvebu: armada-xp: remove non-existing NAND pins
      pinctrl: mvebu: armada-xp: remove non-existing VDD cpu_pd functions
      pinctrl: mvebu: armada-xp: fix functions of MPP48
      pinctrl: mvebu: armada-375: remove incorrect space in pin description
      pinctrl: mvebu: armada-38x: fix incorrect total number of GPIOs
      pinctrl: mvebu: armada-39x: fix incorrect total number of GPIOs
      pinctrl: mvebu: armada-{375,38x,39x}: normalize naming of PTP subnames
      pinctrl: mvebu: armada-{38x,39x,xp}: normalize naming of DRAM functions
      pinctrl: mvebu: armada-39x: normalize SATA present functionality naming
      pinctrl: mvebu: armada-39x: normalize SDIO pin naming
      pinctrl: mvebu: armada-{370,375,38x,39x}: normalize dev pins
      pinctrl: mvebu: armada-39x: align NAND pin naming
      pinctrl: mvebu: armada-{370,375,38x,39x,xp}: normalize TDM pins
      pinctrl: mvebu: armada-{370,375}: normalize PCIe pins
      pinctrl: mvebu: armada-{370,375}: normalize audio pins
      pinctrl: mvebu: armada-{370,xp}: normalize ethernet txclkout pins
      pinctrl: mvebu: armada-xp: fix binding documentation of ge1 pins
      pinctrl: mvebu: armada-370: align VDD cpu-pd pin naming with datasheet
      pinctrl: mvebu: armada-370: align spi1 clock pin naming
      pinctrl: mvebu: armada-xp: rename spi to spi0
      pinctrl: mvebu: armada-39x: normalize ref clock naming
      pinctrl: mvebu: armada-xp: add spi1 function
      pinctrl: mvebu: armada-xp: add nand rb function
      pinctrl: mvebu: armada-xp: add dram functions
      pinctrl: mvebu: armada-38x: add sata functions
      pinctrl: mvebu: armada-38x: add nand functions
      pinctrl: mvebu: armada-38x: add ua1 functions
      pinctrl: mvebu: armada-38x: add ptp functions
      pinctrl: mvebu: armada-39x: add missing PCIe functions
      pinctrl: mvebu: armada-39x: add missing SATA functions
      pinctrl: mvebu: armada-39x: add support for Armada 395 variant
      ARM: mvebu: adjust Armada XP DT spi muxing after pinctrl function rename
      ARM: mvebu: fix suspend to RAM on big-endian configurations
      ata: ahci_mvebu: add suspend/resume support

Thomas Reitmayr (1):
      [media] media: Fix regression in some more dib0700 based devices

Thomas Richter (2):
      qeth: fix rx checksum offload handling
      Fix resume from suspend on IBM X30

Thomas de Beauchene (1):
      Documentation: filesystems: updated struct file_operations documentation in vfs.txt

Thor Thayer (5):
      EDAC, altera: Do not build it as a module
      EDAC, altera: Generalize driver to use DT Memory size
      EDAC, altera: Refactor for Altera CycloneV SoC
      EDAC, altera: Add Arria10 EDAC support
      arm: socfpga: dts: Add Arria10 SDRAM EDAC DTS support

Thulasimani,Sivakumar (1):
      drm/i915: storm detection documentation update

Tianping Fang (1):
      rtc: mediatek: Add MT6397 RTC driver

Tiberiu Breana (4):
      iio: light: Add support for Sensortek STK3310
      iio: light: Add threshold interrupt support for STK3310
      iio: accel: Add support for Sensortek STK8312
      iio: accel: Add support for Sensortek STK8BA50

Tiejun Chen (1):
      kvm: remove one useless check extension

Tim Harvey (1):
      ARM: dts: Gateworks GW5510 support (i.MX6)

Tim Kryger (1):
      pwm: Add pwmchip_add_with_polarity() API

Timo Sigurdsson (1):
      ARM: Remove deprecated symbol from defconfig files

Tina Ruchandani (2):
      xen/pcifront: Remove usage of struct timeval
      [media] dvb-frontend: Replace timeval with ktime_t

Tirumalesh Chalamarla (1):
      GICv3: Add ITS entry to THUNDER dts

Tobias Klauser (3):
      sched/autogroup: Remove unnecessary #ifdef guards
      ARC: perf: Remove unnecessary local variable
      frv: remove unused inline function is_in_rom()

Todd Broch (1):
      mfd: cros_ec: Instantiate sub-devices from device tree

Todd E Brandt (1):
      PM / sleep: trace_device_pm_callback coverage in dpm_prepare/complete

Todd Fujinaka (3):
      igb: simplify and clean up igb_enable_mas()
      igb: disable IPv6 extension header processing
      igb: bump version of igb to 5.2.18

Tolga Ceylan (2):
      drivers: staging: fbtft: fbtft-bus.c: Fix different address space warning on I/O mem
      staging: rtl8192u/ieee80211/ieee80211_softmac.c: auth parse error code byte order fix

Tom Herbert (21):
      ipv6: Flow label state ranges
      net: Add skb_get_hash_perturb
      sched: Call skb_get_hash_perturb in sch_fq_codel
      sched: Call skb_get_hash_perturb in sch_hhf
      sched: Call skb_get_hash_perturb in sch_sfb
      sched: Call skb_get_hash_perturb in sch_sfq
      net: Add flow_keys digest
      sch_choke: Use flow_keys_digest
      net: Simplify GRE case in flow_dissector
      mpls: Add definition for IPPROTO_MPLS
      net: Remove superfluous setting of key_basic
      net: Get skb hash over flow_keys structure
      net: Add full IPv6 addresses to flow_keys
      net: Add keys for TIPC address
      net: Get rid of IPv6 hash addresses flow keys
      net: Add VLAN ID to flow_keys
      net: Add IPv6 flow label to flow_keys
      net: Add GRE keyid in flow_keys
      mpls: Add MPLS entropy label in flow_keys
      flow_dissector: Fix MPLS entropy label handling in flow dissector
      flow_dissector: add support for dst, hop-by-hop and routing ext hdrs

Tom Lendacky (5):
      crypto: ccp - Remove manual check and set of dma_mask pointer
      crypto: ccp - Remove unused structure field
      scatterlist: introduce sg_nents_for_len
      crypto: ccp - Protect against poorly marked end of sg list
      amd-xgbe: Add the __GFP_NOWARN flag to Rx buffer allocation

Tomas Elf (1):
      drm/i915: Snapshot seqno of most recently submitted request.

Tomas Henzl (7):
      cciss: remove duplicate entries from board_type struct
      cciss: correct the non-resettable board list
      cciss: remove duplicate entries from board_type struct
      cciss: correct the non-resettable board list
      hpsa: dont meddle with hw which isn't ours (cciss)
      megaraid: fix irq setup process regression
      mptsas: fix depth param in scsi_track_queue_full

Tomas Krcka (1):
      can: mcp251x: use correct register address for acceptance filters

Tomas Winkler (15):
      mei: txe: reduce suspend/resume time
      mei: revamp client disconnection flow
      mei: revamp client connection
      mei: txe: fix incorrect indentation
      mei: add also write waiting list to runtime pm blockers
      mei: bus: report also uuid in module alias
      mei: bus: add name and uuid into device attributes
      NFC: mei_phy: move all nfc logic from mei driver to nfc
      mei: bus: kill mei_cl_ops
      NFC: mei_phy: adjust mei nfc header according the spec
      mei: export mei client device struct to external use
      mei: export hbm features to debugfs under devstate
      NFC: microread: drop unused variable
      MAINTAINERS: mei: add mei_cl_bus.h to maintained file list
      mei: nfc: fix deadlock on shutdown/suspend path

Tomasz Duszynski (1):
      iio: light: add support for ROHM BH1710/BH1715/BH1721/BH1750/BH1751 ambient light sensors

Tomasz Figa (1):
      iommu/rockchip: Make sure that page table state is coherent

Tomeu Vizoso (9):
      of: Document long-ram-code property in nvidia,tegra20-apbmisc
      memory: tegra: Disable ARBITRATION_EMEM interrupt
      of: Document timings subnode of nvidia,tegra-mc
      clk: Expose clk_hw_reparent() to providers
      of: document new emc-timings subnode in nvidia,tegra124-car
      of: document external-memory-controller property in tegra124-car
      clk: tegra: Set the EMC clock as the parent of the MC clock
      clk: tegra: Have EMC clock implement determine_rate()
      ARM: dts: Add syscon property to the MIPI phy in exynos5420

Tomi Valkeinen (39):
      arm: dra7: add DESHDCP clock
      CLK: TI: always enable DESHDCP clock
      arm/dts: dra7xx: add 'ti,set-rate-parent' for dss_dss_clk
      ARM: DRA7: hwmod: add DMM hwmod description
      ARM: DRA7: hwmod: set DSS submodule parent hwmods
      ARM: OMAP: display: change compat names to array
      ARM: OMAP2+: display: detect DRA7 DSS
      arm/dts: dra7.dtsi: add DSS support
      arm/dts: dra72-evm.dts: add HDMI
      arm/dts: am57xx-beagle-x15.dts: add HDMI
      Merge branch 'ti-dra7-dss' into 4.2/fbdev
      OMAPDSS: move 'dss_initialized' to dss driver
      OMAPDSS: refactor dss probe function
      OMAPDSS: fix dss_init_ports error handling
      OMAPDSS: remove uses of __init/__exit
      OMAPDSS: reorder uninit calls
      OMAPDSS: componentize omapdss
      OMAPDSS: simplify submodule reg/unreg code
      Merge omapdss componentization work
      OMAPDSS: DISPC: work-around for errata i631
      OMAPDSS: DISPC: fix predecimation for YUV modes
      OMAPDSS: DISPC: fix check_horiz_timing_omap3 args
      OMAPDSS: DISPC: add check for scaling limits
      OMAPDSS: DISPC: fix row_inc for OMAP3
      OMAPDSS: DISPC: fix 64 bit issue in 5-tap
      OMAPDSS: DISPC: check if scaling setup failed
      OMAPDSS: DISPC: do only y decimation on OMAP3
      OMAPDSS: DISPC: scaler debug print
      OMAPDSS: HDMI4: fix error handling
      OMAPDSS: HDMI: wait for framedone when stopping video
      Merge omapdss scaling fixes
      drm/omap: return error if dma_alloc_writecombine fails
      OMAPDSS: fix probing if rfbi device is enabled
      drm/omap: check that plane is inside crtc
      drm/omap: increase DMM transaction timeout
      drm/omap: fix omap_framebuffer_unpin() error handling
      drm/omap: fix omap_gem_put_paddr() error handling
      drm/omap: fix align_pitch() for 24 bits per pixel
      ARM: dts: am4372.dtsi: disable rfbi

Tommi Rantala (1):
      [media] cx231xx: Add support for Terratec Grabby

Tony Lindgren (41):
      ARM: OMAP2+: Remove legacy booting support for cm-t35
      ARM: OMAP2+: Remove legacy booting support for Overo
      gpio: omap: Allow building as a loadable module
      ARM: OMAP2+: Remove legacy booting support for Beagleboards
      usb: musb: Fix up DMA related macros
      usb: musb: Set up function pointers for DMA
      usb: musb: Get rid of the DMA ifdefs for musb_core.c
      usb: musb: Remove DMA ifdef for musb_gadget.c short_packet
      usb: musb: Remove ifdefs for TX DMA for musb_host.c
      usb: musb: Remove ifdefs for musb_host_rx in musb_host.c part1
      usb: musb: Remove ifdefs for musb_host_rx in musb_host.c part2
      usb: musb: Remove ifdefs for musb_host_rx in musb_host.c part3
      usb: musb: Remove ifdefs for musb_host_rx in musb_host.c part4
      usb: musb: Remove ifdefs for musb_host_rx in musb_host.c part5
      ARM: 8353/1: mm: Fix Cortex-A8 erratum 430973 segfaults for bootloaders and multiarch
      PM / Runtime: Update last_busy in rpm_resume
      PM / Wakeirq: Add automated device wake IRQ handling
      ARM: OMAP1: Move UART defines to prepare for sparse IRQ
      ARM: OMAP1: Switch to use generic irqchip in preparation for sparse IRQ
      ARM: omap1: Switch to use MULTI_IRQ
      ARM: OMAP1: Change interrupt numbering for sparse IRQ
      ARM: OMAP3: Add support for configuring MMC pins as GPIO pins
      ARM: dts: Add minimal support for LogicPD Torpedo DM3730 devkit
      ARM: dts: Fix ldp gpio keys to use defines
      ARM: dts: Enable SDIO card interrupt for 37xx-evm
      Merge branch 'omap-for-v4.2/legacy' into omap-for-v4.2/cleanup
      ARM: OMAP1: Fix randconfig builds if ARCH_OMAP15XX not selected
      ARM: OMAP1: Fix section mismatch warnings for omap_cfg_reg
      mmc: omap_hsmmc: Change wake-up interrupt to use generic wakeirq
      ARM: OMAP3: Fix booting with thumb2 kernel
      ARM: dts: Fix dm816x to use right compatible flag for MUSB
      ARM: omap2plus_defconfig: Add dm816x USB PHY as a loadable module
      PM / wakeirq: Fix typo in prototype for dev_pm_set_dedicated_wake_irq
      memory: omap-gpmc: Fix parsing of devices
      memory: omap-gpmc: Add Kconfig option for debug
      Merge tag 'for-v4.2/omap-hwmod-a' of git://git.kernel.org/.../pjw/omap-pending into omap-for-v4.2/soc
      serial: omap: Switch wake-up interrupt to generic wakeirq
      serial: 8250_omap: Move wake-up interrupt to generic wakeirq
      Merge branch 'wakeirq-8250' into omap-for-v4.2/wakeirq-v2
      mfd: twl4030-power: Fix pmic for boards that need AC charger disabled
      Merge branch 'fixes-rc1' into omap-for-v4.2/fixes

Tony Luck (8):
      EDAC: Remove arbitrary limit on number of channels
      sb_edac: Fix a typo and a thinko in address handling for Haswell
      sb_edac: Fix support for systems with two home agents per socket
      sb_edac: support for Broadwell -EP and -EX
      mm/memblock: add extra "flags" to memblock to allow selection of memory based on attribute
      mm/memblock: allocate boot time data structures from mirrored memory
      x86, mirror: x86 enabling - find mirrored memory ranges
      [IA64] Drop debug test/printk that some special pages are marked reserved

Toshi Kani (20):
      x86/mm/mtrr: Remove incorrect address check in __mtrr_type_lookup()
      x86/mm/kconfig: Simplify conditions for HAVE_ARCH_HUGE_VMAP
      x86/mm/mtrr: Fix MTRR lookup to handle an inclusive entry
      x86/mm/mtrr: Fix MTRR state checks in mtrr_type_lookup()
      x86/mm/mtrr: Use symbolic define as a retval for disabled MTRRs
      x86/mm/mtrr: Clean up mtrr_type_lookup()
      x86/mm/mtrr: Enhance MTRR checks in kernel mapping helpers
      x86/mm/pat: Use 7th PAT MSR slot for Write-Through PAT type
      x86/mm/pat: Change reserve_memtype() for Write-Through type
      x86/mm: Teach is_new_memtype_allowed() about Write-Through type
      x86/mm, asm-generic: Add ioremap_wt() for creating Write-Through mappings
      arch/*/io.h: Add ioremap_wt() to all architectures
      video/fbdev, asm/io.h: Remove ioremap_writethrough()
      x86/mm/pat: Add pgprot_writethrough()
      x86/mm/pat: Extend set_page_memtype() to support Write-Through type
      x86/mm/pat: Add set_memory_wt() for Write-Through type
      drivers/block/pmem: Map NVDIMM in Write-Through mode
      acpi: Add acpi_map_pxm_to_online_node()
      libnvdimm: Set numa_node to NVDIMM devices
      libnvdimm: Add sysfs numa_node to NVDIMM devices

Toshi Kikuchi (2):
      leds: lp5523: add master_fader support
      Documentation: leds-lp5523: describe master fader attributes

Toshiaki Makita (1):
      vlan: Add GRO support for non hardware accelerated vlan

Trond Myklebust (24):
      NFSv4: Always drain the slot table before re-establishing the lease
      SUNRPC: Fix a memory leak in the backchannel code
      SUNRPC: Backchannel handle socket nospace
      NFSv4: nfs4_handle_delegation_recall_error should ignore EAGAIN
      SUNRPC: Remove unused argument 'tk_ops' in rpc_run_bc_task
      SUNRPC: Clean up allocation and freeing of back channel requests
      SUNRPC: Fix a backchannel race
      NFS: Ensure that we update the sequence id under the slot table lock
      NFSv4: Fix stateid recovery on revoked delegations
      Merge tag 'nfs-rdma-for-4.2' of git://git.linux-nfs.org/projects/anna/nfs-rdma
      pNFS: Fix a memory leak when attempted pnfs fails
      NFS: Ensure we set NFS_CONTEXT_RESEND_WRITES when requeuing writes
      SUNRPC: Handle connection issues correctly on the back channel
      SUNRPC: Ensure we release the TCP socket once it has been closed
      SUNRPC: Set the TCP user timeout option on client sockets
      Merge branch 'bugfixes'
      NFSv.2/pnfs Add a LAYOUTSTATS rpc function
      pNFS/flexfiles: Remove unused struct members user_name, group_name
      pNFS/flexfiles: add layoutstats tracking
      Merge branch 'layoutstats'
      pNFS/flexfiles: Turn off layoutcommit for servers that don't need it
      pNFS/flexfiles: Fix the reset of struct pgio_header when resending
      NFSv4.2: Fix up a decoding error in layoutstats
      NFSv4.2: LAYOUTSTATS is optional to implement

Troy Kisky (1):
      PCI: imx6: Add speed change timeout message

Tvrtko Ursulin (7):
      drm/i915: Only show view type for GGTT VMAs
      drm/i915: Remove mostly unused variable in intel_rotate_fb_obj_pages
      drm/i915: Move rotated geometry calculations into the fill helper
      drm/i915: Return correct size for rotated views
      drm/i915: Report correct GGTT space usage
      drm/i915: Restore all GGTT VMAs on resume
      drm/i915: Forward all core DRM ioctls to core compat handling

Tyler Baker (5):
      ARM: dts: add vendor prefix for cubietech
      ARM: dts: add cubietech cubieboard4
      selftests: copy TEST_DIRS to INSTALL_PATH
      selftests/ftrace: install test.d
      selftests/exec: do not install subdir as it is already created

Ulf Hansson (4):
      mmc: core: Remove redundant ->power_restore() callback for MMC
      mmc: core: Remove redundant ->power_restore() callback for SD
      mmc: core: Attach PM domain prior probing of SDIO func driver
      mmc: sdhci: Restore behavior while creating OCR mask

Ulrich Hecht (6):
      pinctrl: sh-pfc: Add r8a7793 support
      pinctrl: sh-pfc: Enable building of r8a7793 PFC support
      pinctrl: sh-pfc: Add renesas,pfc-r8a7793 to binding documentation
      clk: shmobile: Add r8a7778 SoC to MSTP bindings
      clk: shmobile: Add r8a7793 SoC to MSTP bindings
      clk: shmobile: r8a7793: Document DIV6 clock bindings

Urs Fässler (1):
      iio:adc128s052: add support for adc122s021

Uwe Geuder (1):
      PM / hibernate: clarify resume documentation

Uwe Kleine-König (24):
      extcon: usb-gpio: use flags argument of devm_gpiod_get to set direction
      ASoC: sta32x: use devm_gpiod_get_optional for optional reset gpio
      ASoC: max98357a: use flags argument of devm_gpiod_get to set direction
      ASoC: rx51: use flags argument of devm_gpiod_get to set direction
      mtd: mxc_nand: cleanup copy_spare function
      power: reset: gpio-poweroff: let devm_gpiod_get set direction of gpio
      power: reset: ltc2952: use _optional variant of devm_gpiod_get
      serial: mctrl-gpio: drop usages of IS_ERR_OR_NULL
      mtd: dc21285: use raw spinlock functions for nw_gpio_lock
      net: rfkill: gpio: make better use of gpiod API
      fbdev: omap2: improve usage of gpiod API
      clk: make several parent names const
      NFC: pn544: use flags argument of devm_gpiod_get to set direction
      power_supply: bq25890: use flags argument of devm_gpiod_get
      power_supply: bq24257: use flags argument of devm_gpiod_get
      Input: improve usage of gpiod API
      watchdog: omap: clearify device tree documentation
      watchdog: omap: use watchdog_init_timeout instead of open coding it
      watchdog: omap: put struct watchdog_device into driver data
      watchdog: omap: simplify assignment of bootstatus
      watchdog: omap: assert the counter being stopped before reprogramming
      leds: ktd2692: pass flags parameter to devm_gpiod_get
      leds: aat1290: pass flags parameter to devm_gpiod_get
      HSI: nokia-modem: use flags argument of devm_gpiod_get to set direction

Vaibhav Jain (2):
      cxl: Implement an ioctl to fetch afu card-id, offset-id and mode
      cxl: Export AFU error buffer via sysfs

Vaidyanathan Srinivasan (1):
      powerpc/powernv: Fix vma page prot flags in opal-prd driver

Vaishali Thakkar (12):
      net: mv643xx_eth: Use setup_timer
      net: dl2k: Use setup_timer
      isdn/capi: Use setup_timer
      ethernet/intel: Use setup_timer
      xen-netfront: Use setup_timer
      USB: serial: mos7840: Use setup_timer
      isdn/hisax: Convert use of __constant_cpu_to_le16 to cpu_to_le16
      [media] s5k5baf: Convert use of __constant_cpu_to_be16 to cpu_to_be16
      NFS: Convert use of __constant_htonl to htonl
      atm: idt77105: Use setup_timer
      ia64: Use setup_timer
      s390/zcrypt: remove obsolete __constant

Valentin Rothberg (17):
      drivers/tty/serial/sh-sci.h: remove dead reference on ARCH_SH7372
      drivers/tty/serial: altera: fix typos in #endif comments
      drivers/tty/serial/crisv10.c: remove dead #ifdef block
      drivers/tty/serial/crisv10.c: remove dead #ifdef blocks
      drivers/tty/serial/crisv10.c: remove dead #ifdef blocks
      drivers/tty/serial/crisv10.c: rename CPP identifier CONFIG_ETRAX_SERX_DTR_RI_DSR_CD_MIXED
      drivers/tty/serial/8250/8250_core.c: remove CONFIG_HUB6
      drivers/tty/serial/mpc52xx_uart.c: fix typo in C comment
      serial: bfin: ctsrts: enfore Kconfig naming convention
      drivers/tty/serial/mcf.c: fix typo on SERIAL_MCF_CONSOLE
      serial: 8250: remove Kconfig indirection
      drivers/tty/nozomi.c: rename CONFIG_MAGIC
      checkkconfigsymbols.py: add option -i to ignore files
      checkkconfigsymbols.py: set python2 as default interpreter
      staging: unisys: cleanup UNISYS_VISORUTIL
      staging: I2O cleanup
      NFC: Remove obsolete setting of DEBUG

Vandana Kannan (2):
      drm/i915/bxt: eDP Panel Power sequencing
      drm/i915/bxt: BUNs related to port PLL

Varka Bhadram (14):
      imu: inv_mpu6050: adds device tree bindings
      ethernet: qualcomm: use spi instead of spi_device
      ieee802154: add set transmit power support
      ieee802154: fix typo for file name
      cc2520: fix in default tx power setting
      cc2520: fix CC2591 handling
      cc2520: add set transmit power setting support
      cc2520: update initial transmit power value
      cc2520: update current channel
      mac802154: add trace functionality for driver ops
      cfg802154: fix rdev-ops naming convension and format specifiers
      nl802154: export supported commands
      mac802154: cleanup llsec param flags
      mac802154: rx packet handle cleanup

Vasanthakumar Thiagarajan (3):
      ath10k: move ath10k_vdev_stop() up before ath10k_vdev_start_restart()
      ath10k: fix interrupt storm
      ath10k: fix survey information reporting

Vasily Averin (2):
      security_syslog() should be called once only
      check_syslog_permissions() cleanup

Vasily Khoruzhick (3):
      i2c: s3c2410: fix oops in suspend callback for non-dt platforms
      [media] gspca: sn9c2028: Add support for Genius Videocam Live v2
      [media] gspca: sn9c2028: Add gain and autogain controls Genius Videocam Live v2

Vasu Dev (1):
      i40e: fix unrecognized FCOE EOF case

Vasundhara Volam (2):
      be2net: simplify UFI compatibility checking
      be2net: update copyright year to 2015

Venkata Duvvuru (3):
      be2net: Export board temperature using hwmon-sysfs interface.
      be2net: Report a "link down" to the stack when a fatal error or fw reset happens.
      be2net: Support for OS2BMC.

Vianney le Clément de Saint-Marcq (6):
      iio: core: Introduce IIO_CHAN_INFO_CALIBEMISSIVITY
      iio: mlx90614: Add devicetree bindings documentation
      iio: mlx90614: Add emissivity setting
      iio: mlx90614: Add power management
      iio: mlx90614: Check for errors in read values
      iio: mlx90614: Fix duplicate const warning

Victoria Milhoan (2):
      crypto: caam - Fix incorrect size when DMA unmapping buffer
      crypto: caam - Provide correct value to iounmap() in controller driver

Vignesh R (2):
      iio: adc: ti_am335x_adc: refactor DT parsing into a function
      iio: adc: ti_am335x_adc: make sample delay, open delay, averaging DT parameters

Ville Syrjälä (53):
      drm/i915: Fix i855 get_display_clock_speed
      drm/i915: Fix 852GM/GMV cdclk
      drm/i915: Add cdclk extraction for g33, g965gm and g4x
      drm/i915: Warn when cdclk for the platforms is not known
      drm/i915: Don't skip request retirement if the active list is empty
      drm/i915: Move WaBarrierPerformanceFixDisable:skl to skl code from chv code
      drm/i915: Set INSTPM_FORCE_ORDERING via LRI on gen8, drop it on gen9+
      drm/i915: Apply WaDisableAsyncFlipPerfMode via LRIs on gen8
      drm/i915: Move WaBarrierPerformanceFixDisable:skl to skl code from chv code
      drm/i915: Cache current cdclk frequency in dev_priv
      drm/i915: Use cached cdclk value
      drm/i915: Store max cdclk value in dev_priv
      drm/i915: Don't enable IPS when pixel rate exceeds 95%
      drm/i915: Add IS_BDW_ULX
      drm/i915: BDW clock change support
      drm/i915: Implement WaEnableHDMI8bpcBefore12bpc:snb, ivb
      drm/i915: Send GCP infoframes for deep color HDMI sinks
      drm/i915: Enable default_phase in GCP when possible
      drm/i915: Fix HDMI 12bpc TRANSCONF bpc value
      drm/i915: Fix 12bpc HDMI enable for IBX
      drm/i915: Disable all infoframes when turning off the HDMI port
      drm/i915: Check infoframe state more diligently.
      drm/i915: Fix hdmi clock readout with pixel repeat
      drm/i915: Double the port clock when using double clocked modes with 12bpc
      drm/i915: Bump CHV PFI credits to 63 when cdclk>=czclk
      drm/i915: Actually respect DSPSURF alignment restrictions
      drm/i915: Align DSPSURF to 128k on VLV/CHV
      drm/i915: Drop the 64k linear scanout alignment on gen2/3
      drm/i915: Factor out p2 divider selection for pre-ilk platforms
      drm/i915: Store frontbuffer_bits in the plane
      drm/i915: Add debug messages for pipe enable/disable
      drm/i915: POSTING_READ() in intel_set_memory_cxsr()
      drm/i915: Split atomic wm update to pre and post variants
      drm/i915: Read wm values from hardware at init on CHV
      drm/i915: CHV DDR DVFS support and another watermark rewrite
      drm/i915: Compute display FIFO split dynamically for CHV
      drm/i915: Use the memory latency based WM computation on VLV too
      drm/i915: Try to make sure cxsr is disabled around plane enable/disable
      drm/i915: Don't do PM5/DDR DVFS with multiple pipes
      drm/i915: Add debugfs knobs for VLVCHV memory latency values
      drm/i915: Zero unused WM1 watermarks on VLV/CHV
      drm/i915: Fix HDMI 12bpc and pixel repeat clock readout for DDI platforms
      drm/i915: Bump HDMI min port clock to 25 MHz
      drm/i915: Account for CHV/BXT DPLL clock limitations
      Revert "drm/i915: Disable 12bpc hdmi for now"
      drm/i915: Drop a spurious intel_pre_plane_update() call
      drm/i915: Disable LVDS port after the pipe on PCH
      Revert "drm/i915: Allocate context objects from stolen"
      drm/i915: Keep GMCH DPLL VGA mode always disabled
      drm/i915: Apply OCD to VLV/CHV DPLL defines
      drm/i915: Simplify CHV pipe A power well code
      drm/i915: Refactor VLV display power well init/deinit
      drm/i915: Adjust BXT HDMI port clock limits

Vince Bridgers (2):
      ARM: socfpga: dts: Add multicast bins and unicast filter entries
      ARM: socfpga: dts: Add tx-fifo-depth and rx-fifo-depth properties

Vincent Cuissard (13):
      NFC: nfcmrvl: remove integration related settings
      NFC: nfcmrvl: add support of HCI-based transport
      NFC: nfcmrvl: update nci recv frame API
      NFC: nfcmrvl: update USB device id
      NFC: nfcmrvl: add chip reset management
      NFC: nci: add generic uart support
      NFC: nfcmrvl: add platform_data and DT configuration
      NFC: nfcmrvl: add UART driver
      NFC: nfcmrvl: small fix in USB driver
      NFC: nfcmrvl: Allow ISO15693 protocol
      NFC: nci: remove current SLEEP mode management
      NFC: nfcmrvl: set PB_BAIL_OUT at setup
      NFC: nci: fix mistake in uart generic driver

Vincent Fann (1):
      rtlwifi: Remove the clear interrupt routine from all drivers

Vincent Wan (3):
      pci_ids: Add AMD KERNCZ device ID support
      i2c-piix4: Use Macro for AMD CZ SMBus device ID
      mmc: sdhci-pci: Change AMD SDHCI quirk application scope

Vineet Gupta (66):
      ARC: fix warning in sched due to thread_saved_pc()
      ARC: fold ___flush_dcache_page into __flush_dcache_page
      ARC: switch to simpler CROSS_COMPILE prefix
      stmmac: replace open coded __netdev_alloc_skb_ip_align() with actual call
      ARC: remove the unused platform helpers from dma mapping API
      ARC: [kbuild] Retire CONFIG_ARC_CPU_REL_4_10
      ARC: RIP broken 64bit RTSC
      ARC: clocksource cleanups
      ARC: compress cpuinfo_arc_mmu (mainly save page size in KB)
      ARC: [arcfpga] RIP legacy ISS based SMP extension
      ARC: [plat_arcfpga]->[plat_sim]
      ARC: explicit'ify uboot support
      ARC: fix section mismatch with allyesconfig
      ARC: stack unwinder to bail if PC is not kernel mode
      ARC: mm: document system mem map clearly
      ARC: [axs101] support early 8250 uart
      ARC: [axs101] Tweak DDR port aperture mappings for performance
      ARC: [axs101] Add missing __init annotations
      ARC: mm/cache_arc700.c -> mm/cache.c
      ARC: cacheflush: move some code around, delete old comments
      ARC: cacheflush: No need to retain DC_CTRL from __before_dc_op()
      ARC: untangle cache flush loop
      ARC: entry.S: common'ize scrtach reg freeup in intr + exceptions
      ARC: entry.S: Introduce INTERRUPT_{PROLOGUE,EPILOGUE}
      ARC: entry.S: canonical'ize EXCEPTION_{PROLOGUE,EPILOGUE}
      ARC: entry.S: confine EXCEPTION_* macros to one file
      ARC: entry.S: FAKE_RET_FROM_EXCPN can always use r9
      ARC: entry.S: Trap handler to use r10 for syscall vs. brkpt decision
      ARC: entry.S: comments cleanup
      ARC: entry.S: Ensure that restore_regs is local to compilation unit
      ARC: entry.S: split into ARCompact ISA specific, common bits
      ARC: entry.S: move some code around for cache locality in return path
      ARC: entry.S: micro-optimize Trap handler
      ARC: entry.S: use single EXCEPTION_PROLOGUE
      ARC: entry.S: [arcompact] simplify SWITCH_TO_KERNEL_STK
      ARC: Make way for pt_regs != user_regs_struct
      ARC: intc: split into ARCompact ISA specific, common bits
      ARC: uncached base is hard constant for ARC, don't save it
      ARCv2: [intc] HS38 core interrupt controller
      ARCv2: Support for ARCv2 ISA and HS38x cores
      ARCv2: STAR 9000793984: Handle return from intr to Delay Slot
      ARCv2: STAR 9000808988: signals involving Delay Slot
      ARCv2: STAR 9000814690: Really Re-enable interrupts to avoid deadlocks
      ARCv2: MMUv4: TLB programming Model changes
      ARCv2: MMUv4: cache programming model changes
      ARCv2: MMUv4: support aliasing icache config
      ARCv2: Adhere to Zero Delay loop restriction
      ARCv2: extable: Enable sorting at build time
      ARCv2: clocksource: Introduce 64bit local RTC counter
      ARC: make plat_smp_ops weak to allow over-rides
      ARCv2: SMP: Support ARConnect (MCIP) for Inter-Core-Interrupts et al
      ARCv2: SMP: ARConnect debug/robustness
      ARCv2: SMP: clocksource: Enable Global Real Time counter
      ARCv2: SMP: intc: IDU 2nd level intc for dynamic IRQ distribution
      ARC: add compiler barrier to LLSC based cmpxchg
      ARC: add smp barriers around atomics per Documentation/atomic_ops.txt
      arch: conditionally define smp_{mb,rmb,wmb}
      ARCv2: barriers
      ARC: Reduce bitops lines of code using macros
      ARCv2: STAR 9000837815 workaround hardware exclusive transactions livelock
      ARCv2: SLC: Handle explcit flush for DMA ops (w/o IO-coherency)
      ARCv2: All bits in place, allow ARCv2 builds
      ARCv2: [nsim*hs*] Support simulation platforms for HS38x cores
      ARCv2: [axs103] Support ARC SDP FPGA platform for HS38x cores
      ARCv2: Allow older gcc to cope with new regime of ARCv2/ARCompact support
      ARC: Fix build failures for ARCompact in linux-next after ARCv2 support

Vinod Koul (17):
      ASoC: intel - use SNDRV_CTL_ELEM_ID_NAME_MAXLEN
      ASoC: Intel: add frame and data polarity to ssp config
      ASoC: Intel: use local values for ssp configuration
      ASoC: Intel: load hw_defaults in hw_params of ssp be
      ASoC: intel: add support for specifying PCM format
      ASoC: Intel: add support for configuring TDM slots for SSP
      ALSA: hda - add HDA default codec match function
      ALSA: HDA: remove the unused function declaration
      ALSA: HDAC: move SND_HDA_PREALLOC_SIZE to core
      ALSA: hda: add hdac_ext stream creation and cleanup routines
      ALSA: hda: add hda link cleanup routine
      ALSA: hda: provide default bus io ops extended hdac
      Merge branch 'topic/core' into for-linus
      Merge branch 'topic/omap' into for-linus
      Merge branch 'topic/xdmac' into for-linus
      Merge branch 'topic/pxa' into for-linus
      dmaengine: xgene: fix file permission

Viresh Kumar (31):
      hrtimer: Update active_bases before calling hrtimer_force_reprogram()
      regulator: Fix spelling error in bindings
      cpufreq: Add doc style comment about cpufreq_cpu_{get|put}()
      cpufreq: Merge __cpufreq_add_dev() and cpufreq_add_dev()
      cpufreq: Throw warning when we try to get policy for an invalid CPU
      cpufreq: Keep a single path for adding managed CPUs
      cpufreq: Clear policy->cpus even for the last CPU
      cpufreq: Create for_each_{in}active_policy()
      cpufreq: Don't clear cpufreq_cpu_data and policy list for inactive policies
      cpufreq: Get rid of cpufreq_cpu_data_fallback
      cpufreq: Don't traverse all active policies to find policy for a cpu
      cpufreq: Manage governor usage history with 'policy->last_governor'
      cpufreq: Mark policy->governor = NULL for inactive policies
      clockevents: Introduce CLOCK_EVT_STATE_ONESHOT_STOPPED state
      clockevents: Stop unused clockevent devices
      clockevents: Add helpers to check the state of a clockevent device
      clockevents: Use helpers to check the state of a clockevent device
      cpufreq: Don't allow updating inactive policies from sysfs
      cpufreq: Stop migrating sysfs files on hotplug
      cpufreq: Initialize policy->kobj while allocating policy
      cpufreq: Call cpufreq_policy_put_kobj() from cpufreq_policy_free()
      cpufreq: Restart governor as soon as possible
      cpufreq: Remove cpufreq_update_policy()
      cpufreq: governor: register notifier from cs_init()
      cpufreq: governor: split cpufreq_governor_dbs()
      cpufreq: governor: Serialize governor callbacks
      clockevents: Check state instead of mode in suspend/resume path
      PM / OPP: Add new bindings to address shortcomings of existing bindings
      PM / OPP: Allow multiple OPP tables to be passed via DT
      PM / OPP: Add binding for 'opp-suspend'
      clockevents: Allow set-state callbacks to be optional

Vishal Verma (4):
      nd_btt: atomic sector updates
      fs/block_dev.c: skip rw_page if bdev has integrity
      libnvdimm, btt: add support for blk integrity
      libnvdimm, blk: add support for blk integrity

Vishnu Patekar (5):
      ARM: dts: sun8i: Add sun8i-a23-a33 dtsi
      ARM: dts: sun8i: Add sun8i-a33 dtsi
      ARM: dts: sun8i: Add ET-Q8 A33 support
      pinctrl: sunxi: Add allwinner A33 PIO controller support
      ARM: sunxi: Add Machine support for A33

Vishnu Pratap Singh (1):
      init/do_mounts.c: add create_dev() failure log

Vitaly Andrianov (1):
      ARM: 8396/1: use phys_addr_t in pfn_to_kaddr()

Vitaly Kuznetsov (32):
      Drivers: hv: util: move kvp/vss function declarations to hyperv_vmbus.h
      Drivers: hv: kvp: reset kvp_context
      Drivers: hv: kvp: move poll_channel() to hyperv_vmbus.h
      Drivers: hv: fcopy: process deferred messages when we complete the transaction
      Drivers: hv: vss: process deferred messages when we complete the transaction
      Drivers: hv: kvp: rename kvp_work -> kvp_timeout_work
      Drivers: hv: fcopy: rename fcopy_work -> fcopy_timeout_work
      Drivers: hv: util: introduce state machine for util drivers
      Drivers: hv: kvp: switch to using the hvutil_device_state state machine
      Drivers: hv: vss: switch to using the hvutil_device_state state machine
      Drivers: hv: fcopy: switch to using the hvutil_device_state state machine
      Drivers: hv: fcopy: set .owner reference for file operations
      Drivers: hv: util: introduce hv_utils_transport abstraction
      Drivers: hv: vss: convert to hv_utils_transport
      Drivers: hv: fcopy: convert to hv_utils_transport
      Drivers: hv: kvp: convert to hv_utils_transport
      Tools: hv: kvp: use misc char device to communicate with kernel
      Tools: hv: vss: use misc char device to communicate with kernel
      Drivers: hv: vss: full handshake support
      Drivers: hv: fcopy: full handshake support
      Drivers: hv: utils: unify driver registration reporting
      Drivers: hv: vmbus: introduce vmbus_acpi_remove
      Drivers: hv: vmbus: unregister panic notifier on module unload
      Drivers: hv: vmbus: do cleanup on all vmbus_open() failure paths
      Drivers: hv: vmbus: kill tasklets on module unload
      Drivers: hv: vmbus: unify calls to percpu_channel_enq()
      Drivers: hv: vmbus: briefly comment num_sc and next_oc
      Drivers: hv: vmbus: decrease num_sc on subchannel removal
      Drivers: hv: vmbus: move init_vp_index() call to vmbus_process_offer()
      Drivers: hv: vmbus: distribute subchannels among all vcpus
      Drivers: hv: balloon: check if ha_region_mutex was acquired in MEM_CANCEL_ONLINE case
      PM / hibernate: re-enable nonboot cpus on disable_nonboot_cpus() failure

Vivien Didelot (4):
      if_vlan: fix vlaue -> value typo
      watchdog: MAX63XX_WATCHDOG does not depend on ARM
      net: switchdev: ignore unsupported bridge flags
      watchdog: max63xx: dynamically allocate device

Vlad Dogaru (10):
      iio: accel: kxcjk1013: allow using an external trigger
      iio: sx9500: add power management
      iio: sx9500: rename GPIO interrupt pin
      iio: sx9500: fix formatting
      iio: sx9500: optimize power usage
      iio: sx9500: refactor GPIO interrupt code
      iio: sx9500: add GPIO reset pin
      iio: gyro: bmg160: remove redundant field
      iio: gyro: bmg160: decouple buffer and triggers
      iio: accel: bmc150: decouple buffer and triggers

Vladimir Davydov (2):
      memcg: do not call reclaim if !__GFP_WAIT
      rmap: fix theoretical race between do_wp_page and shrink_active_list

Vladimir Kondratiev (18):
      wil6210: fw debug mode
      wil6210: debug [add|del]_key operations
      wil6210: trace disconnect source
      wil6210: stop_ap to leave interface closed
      wil6210: update FW file name
      wil6210: increase timeout for the "echo" command
      wil6210: update Rx descriptor fields
      wil6210: fix boot loader "ready" indication
      wil6210: fix for probe_resp IE's
      wil6210: Add pmc debug mechanism memory management
      wil6210: broadcast for secure link
      wil6210: support WSC for STA mode
      wil6210: fix format specifier for dma_addr_t
      wil6210: modparam for bcast ring size
      wil6210: add NIC memory region mac_rgf_ext
      wil6210: add per-MCS Rx stats
      wil6210: platform hooks for modile init/exit
      wil6210: reorder init sequence

Vladimir Murzin (5):
      arm64: mm: remove reference to tlb.S from comment block
      fbdev: propagate result of fb_videomode_from_videomode()
      arm64: compat: print compat_sp instead of sp
      arm64: show unhandled SP/PC alignment faults
      arm64: use private ratelimit state along with show_unhandled_signals

Vladimir Zapolskiy (17):
      gpio: gpio-generic: add flag to read out output value from reg_set
      gpio: moxart: get value of output gpio from generic driver
      gpio: mxc: read output value from GPIO_DR register
      net: qlcnic: clean up sysfs error codes
      char: misc: restore MISC_DYNAMIC_MINOR on device_create() failure
      fs: sysfs: don't pass count == 0 to bin file readers
      ASoC: dapm: fix snd_soc_dapm_new_control() implicit declaration
      misc: sram: fix enabled clock leak on error path
      misc: sram: fix device node reference leak on error
      misc: sram: bump error message level on unclean driver unbinding
      misc: sram: report correct SRAM pool size
      misc: sram: add private struct device and virt_base members
      misc: sram: move reserved block logic out of probe function
      misc: sram: sort and clean up included headers
      watchdog: imx2_wdt: set watchdog parent device
      genalloc: rename dev_get_gen_pool() to gen_pool_get()
      genalloc: rename of_get_named_gen_pool() to of_gen_pool_get()

Vladimirs Ambrosovs (4):
      staging: fwserial: fix resource leak
      staging: iio_simple_dummy: fix init function
      staging: iio_simple_dummy: fix return types
      staging: iio_simple_dummy: fix module_param type

Vlastimil Babka (1):
      mm, thp: respect MPOL_PREFERRED policy with non-local node

WANG Cong (1):
      netns: make nsid_lock per net

Waiman Long (10):
      locking/rwsem: Reduce spinlock contention in wakeup after up_read()/up_write()
      locking/qspinlock: Introduce a simple generic 4-byte queued spinlock
      locking/qspinlock, x86: Enable x86-64 to use queued spinlocks
      locking/qspinlock: Extract out code snippets for the next patch
      locking/qspinlock: Use a simple write to grab the lock
      locking/pvqspinlock: Implement simple paravirt support for the qspinlock
      locking/pvqspinlock, x86: Enable PV qspinlock for KVM
      locking/pvqspinlock: Replace xchg() by the more descriptive set_mb()
      locking/qrwlock: Rename QUEUE_RWLOCK to QUEUED_RWLOCKS
      locking/qrwlock: Don't contend with readers when setting _QW_WAITING

Walter Lozano (1):
      ARM: socfpga: dts: Add adxl34x

Wang Long (10):
      Documentation: cpufreq: delete duplicate description of sysfs interface 'scaling_driver'
      Documentation: kasan: fix a typo
      fs/pstore: update the backend parameter in pstore module
      ramoops: make it possible to change mem_type param.
      netevent: remove automatic variable in register_netevent_notifier()
      ring-buffer-benchmark: Fix the wrong param in module_param
      ring-buffer-benchmark: Fix the wrong type
      ring-buffer-benchmark: Fix the wrong sched_priority of producer
      mm/oom_kill.c: print points as unsigned int
      kasan: remove duplicate definition of the macro KASAN_FREE_PAGE

Wang Nan (17):
      perf data: Fix duplicate field names and avoid reserved keywords
      perf data: Fix signedness of value
      perf probe: Load map before glob matching
      tools include: add __aligned_u64 to types.h.
      perf tools: Set vmlinux_path__nr_entries to 0 in vmlinux_path__exit
      tools lib traceevent: Install libtraceevent.a into libdir
      tools build: Change FEATURE_TESTS and FEATURE_DISPLAY to weak binding
      perf probe: Fix 'function unused' warning
      perf tools: Add ARM64 perf_regs_load to support libunwind and enable testing
      perf probe: Fix segfault when glob matching function without debuginfo
      perf tools: Move linux/kernel.h to tools/include
      tools: Move tools/perf/util/include/linux/{list.h,poison.h} to tools/include
      perf tools: Deal with kernel module names in '[]' correctly
      tools lib traceevent: Fix python/perf.so compiling error
      perf tools: Ignore .config-detected in .gitignore
      perf tools: Fix a problem when opening old perf.data with different byte order
      perf tools: Move libtraceevent dynamic list to separated LDFLAGS variable

Wang YanQing (1):
      fs:super:get_anon_bdev: fix race condition could cause dev exceed its upper limitation

Wanpeng Li (5):
      sched/deadline: Optimize pull_dl_task()
      sched/deadline: Make init_sched_dl_class() __init
      sched/deadline: Reduce rq lock contention by eliminating locking of non-feasible target
      sched/deadline: Drop duplicate init_sched_dl_class() declaration
      sched: Remove superfluous resetting of the p->dl_throttled flag

Webb Scales (10):
      hpsa: clean up host, channel, target, lun prints
      hpsa: rework controller command submission
      hpsa: factor out hpsa_ioaccel_submit function
      hpsa: add ioaccel sg chaining for the ioaccel2 path
      hpsa: use helper routines for finishing commands
      hpsa: don't return abort request until target is complete
      hpsa: refactor and rework support for sending TEST_UNIT_READY
      hpsa: performance tweak for hpsa_scatter_gather()
      hpsa: use block layer tag for command allocation
      hpsa: cleanup reset

Wei Chen (4):
      pinctrl: sirf: add sirf atlas7 pinctrl and gpio support
      ARM: dts: atlas7: add pinctrl and gpio descriptions
      hwspinlock: add a CSR atlas7 driver
      DT: hwspinlock: add the CSR atlas7 hwspinlock bindings document

Wei Fang (1):
      doc:md: fix typo in md.txt.

Wei Huang (9):
      KVM: x86/vPMU: rename a few PMU functions
      KVM: x86/vPMU: introduce pmu.h header
      KVM: x86/vPMU: use the new macros to go between PMC, PMU and VCPU
      KVM: x86/vPMU: whitespace and stylistic adjustments in PMU code
      KVM: x86/vPMU: reorder PMU functions
      KVM: x86/vPMU: introduce kvm_pmu_msr_idx_to_pmc
      KVM: x86/vPMU: Define kvm_pmu_ops to support vPMU function dispatch
      KVM: x86/vPMU: Implement AMD vPMU code for KVM
      KVM: x86/vPMU: Enable PMU handling for AMD PERFCTRn and EVNTSELn MSRs

Wei Liu (1):
      tcp: double default TSQ output bytes limit

Wei Yang (6):
      powerpc/eeh: fix start/end/flags type in struct pci_io_addr_range{}
      powerpc/eeh: fix comment for wait_state()
      powerpc/eeh: fix powernv_eeh_wait_state delay logic
      powerpc/eeh: remove unused macro IS_BRIDGE
      PCI: Fix IOV resource sorting by alignment requirement
      x86/boot/setup: Clean up the e820_reserve_setup_data() code

Wei Yongjun (6):
      ASoC: cs35l32: Remove unused including <linux/version.h>
      usb: gadget: uvc: remove unused including <linux/version.h>
      staging: dt3155v4l: remove unused including <linux/version.h>
      [media] rtl28xxu: fix return value check in rtl2832u_tuner_attach()
      Input: zforce - remove duplicated include
      Input: soc_button_array - remove duplicated include

WeiWei Wang (1):
      ocfs2: remove OCFS2_IOCB_SEM lock type in direct io

Weijie Yang (1):
      zram: clear disk io accounting when reset zram device

Weijun Yang (2):
      mmc: sdhci-sirf: fix the tuning count in platform_execute_tuning
      mmc: sdhci-sirf: fake version and capbility registers

Wengang Wang (1):
      rds: re-entry of rds_ib_xmit/rds_iw_xmit

Wenlin Kang (1):
      mtd: blktrans: change blktrans_getgeo return value

Will Deacon (12):
      perf tools: Use getconf to determine number of online CPUs
      drivers/base: cacheinfo: fix annoying typo when DT nodes are absent
      Merge branch 'perf/core' into perf/updates
      documentation: memory-barriers: Fix smp_mb__before_spinlock() semantics
      iommu/arm-smmu: Make force_stage module param read-only in sysfs
      Documentation: dt-bindings: Add device-tree binding for ARM SMMUv3 IOMMU
      iommu/arm-smmu: Add initial driver support for ARM SMMUv3 devices
      drivers/vfio: Allow type-1 IOMMU instantiation on top of an ARM SMMUv3
      arm64: context-switch user tls register tpidr_el0 for compat tasks
      arm64: psci: fix !CONFIG_HOTPLUG_CPU build warning
      arm64: vdso: work-around broken ELF toolchains in Makefile
      iommu/arm-smmu: Fix broken ATOS check

Willem de Bruijn (12):
      packet: rollover prepare: move code out of callsites
      packet: rollover prepare: per-socket state
      packet: rollover only to socket with headroom
      packet: rollover lock contention avoidance
      packet: rollover huge flows before small flows
      packet: rollover statistics
      packet: fix warnings in rollover lock contention
      net-packet: fix null pointer exception in rollover mode
      selftests/net: expect headroom in psock_fanout rollover
      net: replace last open coded skb_orphan_frags with function call
      packet: free packet_rollover after synchronize_net
      packet: avoid out of bounds read in round robin fanout

Wilson Kok (2):
      bridge: skip fdb add if the port shouldn't learn
      bridge: use _bh spinlock variant for br_fdb_update to avoid lockup

Wim de With (1):
      staging: dgnc: fix line length over 80 chars in dgnc_sysfs.c

Wolfram Sang (20):
      ALSA: ppc: keywest: drop using attach adapter
      kernfs: remove outdated and confusing comment
      MAINTAINERS: add kernfs entry
      mmc: host: sdhci-esdhc-imx: fix broken email address
      ALSA: ppc: keywest: check if DEQ was already instantiated
      ALSA: usb-audio: Add mic volume fix quirk for Logitech Quickcam Fusion
      i2c: add FUNC flag for slave capabilities
      i2c: rcar: report slave capabilities to users
      i2c: slave: docs: be more precise about the prerequsites
      i2c: slave: add error messages to slave core
      i2c: check for proper length of the reg property
      i2c: sh_mobile: add errata workaround
      ARM: shmobile: r8a7740: remove I2C errata handling
      i2c: slave: fix the example how to instantiate from userspace
      i2c: tegra: don't advertise SMBUS_QUICK
      i2c: tegra: apply size limit quirk
      i2c: rcar: use adapter default for timeout
      i2c: rcar: use proper type for timeout
      i2c: sh_mobile: use adapter default for timeout
      i2c: sh_mobile: use proper type for timeout

Wu Fengguang (2):
      Thermal: Intel SoC: fix simple_return.cocci warnings
      crypto: echainiv - echainiv_read_iv() can be static

Xavier Roche (1):
      staging: lustre: fixed const warnings (struct seq_operations should be const in these contexts)

Xi Wang (3):
      test: bpf: extend "load 64-bit immediate" testcase
      arm64: bpf: fix out-of-bounds read in bpf2a64_offset()
      arm64: bpf: fix endianness conversion bugs

Xiao Guangrong (26):
      KVM: MMU: fix smap permission check
      KVM: MMU: fix SMAP virtualization
      KVM: MMU: introduce for_each_rmap_spte()
      KVM: MMU: introduce PT_MAX_HUGEPAGE_LEVEL
      KVM: MMU: introduce for_each_slot_rmap_range
      KVM: MMU: introduce slot_handle_level_range() and its helpers
      KVM: MMU: use slot_handle_level and its helper to clean up the code
      KVM: MMU: introduce kvm_zap_rmapp
      KVM: MMU: fix decoding cache type from MTRR
      KVM: MMU: fix MTRR update
      KVM: x86: do not reset mmu if CR0.CD and CR0.NW are changed
      KVM: x86: fix CR0.CD virtualization
      KVM: x86: move MTRR related code to a separate file
      KVM: MTRR: handle MSR_MTRRcap in kvm_mtrr_get_msr
      KVM: MTRR: remove mtrr_state.have_fixed
      KVM: MTRR: exactly define the size of variable MTRRs
      KVM: MTRR: clean up mtrr default type
      KVM: MTRR: do not split 64 bits MSR content
      KVM: MTRR: improve kvm_mtrr_get_guest_memory_type
      KVM: MTRR: introduce fixed_mtrr_segment table
      KVM: MTRR: introduce var_mtrr_range
      KVM: MTRR: sort variable MTRRs
      KVM: MTRR: introduce fixed_mtrr_addr_* functions
      KVM: MTRR: introduce mtrr_for_each_mem_type
      KVM: MTRR: simplify kvm_mtrr_get_guest_memory_type
      KVM: MTRR: do not map huge page for non-consistent range

Xie Xiaobo (1):
      powerpc/85xx: p1025twr: add module conditional to fix QE-uart issue

Xie XiuQi (4):
      x86/mce: Fix monarch timeout setting through the mce= cmdline option
      memory-failure: export page_type and action result
      memory-failure: change type of action_result's param 3 to enum
      tracing: add trace event for memory-failure

Xinming Hu (8):
      mwifiex: parsing aid while receiving association response
      Bluetooth: btmrvl: fix compilation warning
      mwifiex: add cfg80211 get_channel handler
      mwifiex: maintain station statistic in uap mode
      mwifiex: add sta_list firmware command
      mwifiex: dump station support in uap mode
      mwifiex: using right tid for addressing ra_list
      mwifiex: do not decrease tx_pending for AMSDU packet once more

Xudong Chen (1):
      I2C: mediatek: Add driver for MediaTek I2C controller

Xue jiufei (3):
      ocfs2: return error when ocfs2_figure_merge_contig_type() fails
      ocfs2: remove BUG_ON(!empty_extent) in __ocfs2_rotate_tree_left()
      ocfs2: fix NULL pointer dereference in function ocfs2_abort_trigger()

Xunlei Pang (10):
      time: Include math64.h in time64.h
      s390: time: Provide read_boot_clock64() and read_persistent_clock64()
      time: Remove read_boot_clock()
      rtc: pcf8563: Replace deprecated rtc_time_to_tm() and rtc_tm_to_time()
      rtc: Introduce rtc_tm_sub() helper function
      rtc: isl1208: Replace deprecated rtc_tm_to_time()
      rtc: sunxi: Replace deprecated rtc_tm_to_time()
      rtc: NTP: Add CONFIG_RTC_SYSTOHC_DEVICE for NTP synchronization
      sparc: time: Replace update_persistent_clock() with CONFIG_RTC_SYSTOHC
      rtc: interface: Remove rtc_set_mmss()

Y Vo (3):
      gpio: xgene: add ACPI support for APM X-Gene GPIO standby driver
      arm64: dts: Add APM X-Gene standby GPIO controller DTS entries
      arm64: dts: Add poweroff button device node for APM X-Gene platform

Yadwinder Singh Brar (1):
      ARM: dts: Add missing irq pinctrl for max77686 on smdk5250

Yan, Zheng (29):
      perf/x86/intel: Use the PEBS auto reload mechanism when possible
      perf/x86/intel: Introduce setup_pebs_sample_data()
      perf/x86/intel: Handle multiple records in the PEBS buffer
      perf/x86/intel: Implement batched PEBS interrupt handling (large PEBS interrupt threshold)
      perf/x86/intel: Drain the PEBS buffer during context switches
      perf/intel/x86: Enlarge the PEBS buffer
      libceph: properly release STAT request's raw_data_in
      libceph: allow setting osd_req_op's flags
      ceph: check OSD caps before read/write
      ceph: use empty snap context for uninline_data and get_pool_perm
      ceph: set i_head_snapc when getting CEPH_CAP_FILE_WR reference
      ceph: avoid sending unnessesary FLUSHSNAP message
      ceph: take snap_rwsem when accessing snap realm's cached_context
      ceph: don't trim auth cap when there are cap snaps
      ceph: make sure syncfs flushes all cap snaps
      ceph: don't pre-allocate space for cap release messages
      ceph: exclude setfilelock requests when calculating oldest tid
      ceph: ratelimit warn messages for MDS closes session
      ceph: don't include used caps in cap_wanted
      ceph: fix flushing caps
      ceph: fix directory fsync
      ceph: track pending caps flushing accurately
      ceph: track pending caps flushing globally
      ceph: send TID of the oldest pending caps flush to MDS
      ceph: re-send flushing caps (which are revoked) in reconnect stage
      ceph: pre-allocate data structure that tracks caps flushing
      ceph: switch some GFP_NOFS memory allocation to GFP_KERNEL
      ceph: rework dcache readdir
      ceph: fix ceph_writepages_start()

Yanbo Li (3):
      ath10k: change the fw stats access mask
      ath10k: add the WMI Air Time Fairness service define
      ath10k: enable the BT-coex feature

Yang Dongsheng (1):
      btrfs: qgroup: allow user to clear the limitation on qgroup

Yangbo Lu (2):
      powerpc/dts: add eSDHC compatible list
      mmc: sdhci-of-esdhc: enable interrupt mode to detect card

Yanir Lubetkin (15):
      e1000e: i219 fix unit hang on reset and runtime D3
      e1000e: i219 execute unit hang fix on every reset or power state transition
      e1000e: remove call to do_div and sign mismatch warning
      e1000e: fix logical error in flush_desc_rings
      e1000e: fix flush_desc_ring implementation
      e1000e: fix legacy interrupt handling in i219
      e1000e: fix systim issues
      e1000e: fix unit hang during loopback test
      e1000e: Bump the version to 3.2.5
      e1000e: fix locking issue with e1000e_disable_aspm
      e1000e: synchronization of MAC-PHY interface only on non- ME systems
      e1000e: i219 - fix to enable both ULP and EEE in Sx state
      e1000e: i219 - increase IPG for speed 10/100 full duplex
      e1000e: i219 - Increase minimum FIFO read/write min gap
      e1000e: i219 - k1 workaround for LPT is not required for SPT

Yaniv Gardi (10):
      scsi: ufs: introduce the capability and quirk for interrupt aggregation
      scsi: ufs-qcom: don't enable interrupt aggregation
      scsi: ufs: provide a quirk to disable the LCC
      scsi: ufs-qcom: enable UFSHCD_QUIRK_BROKEN_LCC
      scsi: ufs: introduce a broken PA_RXHSUNTERMCAP quirk
      scsi: ufs-qcom: enable quirk to fix gear change to HS
      scsi: ufs: introduce UFSHCD_QUIRK_DME_PEER_ACCESS_AUTO_MODE quirk
      scsi: ufs-qcom: enable UFSHCD_QUIRK_DME_PEER_ACCESS_AUTO_MODE quirk
      scsi: ufs: add quirk to handle broken UFS HCI version
      scsi: ufs-qcom: enable UFSHCD_QUIRK_BROKEN_UFS_HCI_VERSION

Yann Droneaud (4):
      fs: use seq_open_private() for proc_mounts
      fs: allocate structure unconditionally in seq_open()
      fs: document seq_open()'s usage of file->private_data
      perf/x86: Fix copy_from_user_nmi() return if range is not ok

Yannick Brosseau (1):
      perf report: Fix sort__sym_cmp to also compare end of symbol

Yegor Yefremov (1):
      ARM: dts: add DTS for Baltos IR5221

Yijing Wang (10):
      PCI/ASPM: Remove redundant PCIe port type checking
      PCI: Add dev->has_secondary_link to track downstream PCIe links
      PCI/ASPM: Use dev->has_secondary_link to find downstream links
      PCI: mvebu: Remove mvebu_pcie_scan_bus()
      PCI: tegra: Remove tegra_pcie_scan_bus()
      PCI: designware: Use pci_scan_root_bus() for simplicity
      PCI: Use dev->has_secondary_link to find downstream PCIe links
      staging: rts5208: Use common pci_get_bus_and_slot() instead of private one
      PCI: Remove unused pci_scan_bus_parented()
      nfs: Fix comment for nfs_pageio_init() and nfs_pageio_complete_mirror()

Ying Xue (10):
      tipc: rename functions defined in subscr.c
      tipc: introduce tipc_subscrb_create routine
      tipc: involve reference counter for subscriber
      tipc: adjust locking policy of subscription
      tipc: deal with return value of tipc_conn_new callback
      net: make skb_dst_pop routine static
      tipc: use sock_create_kern interface to create kernel socket
      rocker: fix a neigh entry leak issue
      rculist: Fix another sparse warning
      tipc: unconditionally put sock refcnt when sock timer to be deleted is pending

Yinghai Lu (2):
      PCI: Add pci_bus_addr_t
      PCI: Preserve resource size during alignment reordering

Yingjoe Chen (9):
      time: Remove nonexistent function prototype
      arm64: dts: mt8173: Fixup pinctrl nodes
      ARM: dts: mt8127: correct uart instance address
      pinctrl: mediatek: data struct optimize and remove unused member
      pinctrl: mediatek: add mtk_pctrl_spec_pull_set_samereg common code
      pinctrl: mediatek: add pinctrl/GPIO/EINT driver for mt8127
      arm64: dts: mt8173-evb: fix model name
      ARM: 8359/1: correct secondary_startup_arm mode
      ARM: 8360/1: add secondary_startup_arm prototype in header file

York Sun (2):
      EDAC, mpc8xxx: Adapt for FSL SoC
      EDAC, mpc85xx: Extend error address to 64 bit

Yoshihiro Shimoda (14):
      usb: renesas_usbhs: Revise the binding document about the dma-names
      ARM: shmobile: r8a7790: add USB-DMAC device nodes
      ARM: shmobile: r8a7790: Enable DMA for HSUSB
      ARM: shmobile: r8a7791: add USB-DMAC device nodes
      ARM: shmobile: r8a7791: Enable DMA for HSUSB
      phy: phy-rcar-gen2: Fix USBHS_UGSTS_LOCK value
      pinctrl: sh-pfc: r8a7790: Add PWM pin groups and functions
      pinctrl: sh-pfc: r8a7791: Add PWM pin groups and functions
      phy: rcar-gen2: Add support for R-Car E2
      usb: renesas_usbhs: Revise the binding document about the dma-names
      usb: renesas_usbhs: Don't disable the pipe if Control write status stage
      usb: renesas_usbhs: Change USBHS_TYPE_R8A779x to USBHS_TYPE_RCAR_GEN2
      usb: renesas_usbhs: Add support for R-Car E2
      usb: renesas_usbhs: Don't disable the pipe if Control write status stage

Yoshinori Sato (27):
      spi: bcm2835: depends GPIOLIB
      MAINTAINERS: Add H8/300 entry
      mksysmap: Add h8300 local symbol pattern
      Add ELF machine
      sh-sci: Add h8300 SCI
      asm-generic: Add common asm-offsets.h
      h8300: Assembly headers
      h8300: UAPI headers
      h8300: Interrupt and exceptions
      h8300: kernel startup
      h8300: Low level entry
      h8300: compressed image support
      h8300: process helpers
      h8300: miscellaneous functions
      h8300: Memory management
      h8300: library functions
      h8300: Build scripts
      h8300: clock driver
      h8300: clocksource
      h8300: IRQ chip driver
      h8300: configs
      h8300: devicetree source
      h8300: Symbol name fix
      sh-sci: Get register size from platform device
      h8300: Remove ARCH_WANT_IPC_PARSE_VERSION
      h8300: Always build dtb
      h8300: fix typo.

Yuanhan Liu (3):
      wait: introduce wait_event_exclusive_cmd
      md/raid5: split wait_for_stripe and introduce wait_for_quiescent
      md/raid5: per hash value and exclusive wait_for_stripe

Yunlei He (1):
      f2fs: add default mount options to remount

Yunzhi Li (1):
      ARM: dts: rockchip: add properties for dwc2 usb otg controller

Yuval Mintz (7):
      bnx2x: Move statistics implementation into semaphores
      bnx2x: Correct asymmetric flow-control
      bnx2x: Correct speed from baseT into KR.
      bnx2x: Prevent false warning when accessing MACs
      bnx2x: Fix self-test for 20g devices
      bnx2x: Release nvram lock on error flow
      bnx2x: Fix linearization for encapsulated packets

Zhang Chunyu (1):
      netfilter: xt_MARK: Add ARP support

Zhang Rui (3):
      Merge branch 'linus' of git://git.kernel.org/.../evalenti/linux-soc-thermal into thermal-soc
      Merge branches 'release' and 'thermal-soc' of .git into next
      ACPICA: Update for acpi_install_table memory types

Zhang Zhen (4):
      selftests/timers: Make git ignore all binaries in timers test suite
      selftests/mount: output WARN messages when mount test skipped
      mm/hugetlb: reduce arch dependent code about huge_pmd_unshare
      mm/hugetlb: reduce arch dependent code about hugetlb_prefault_arch_hook

Zhangfei Gao (7):
      Document: dw_mmc-k3: add document of hi6220 mmc
      mmc: dw_mmc: add switch_voltage
      mmc: dw_mmc: k3: support hi6220
      mmc: dw_mmc: k3: Fix modalias to make module auto-loading work
      mmc: dw_mmc: exynos: Fix modalias to make module auto-loading work
      mmc: dw_mmc: rockchip: Fix modalias to make module auto-loading work
      mmc: sdhci-st: Fix modalias to make module auto-loading work

Zhao Lei (4):
      btrfs: Fix lockdep warning of wr_ctx->wr_lock in scrub_free_wr_ctx()
      btrfs: wait for delayed iputs on no space
      btrfs: cleanup noused initialization of dev in btrfs_end_bio()
      btrfs: add error handling for scrub_workers_get()

Zhaowei Yuan (1):
      net: Update out-of-date comment

Zhaoyang Liu (5):
      mwifiex: fix invalid HT IE configuration in FW
      mwifiex: add prints debug ctrl support
      mwifiex: add dump data debug support
      mwifiex: change dbg print func to mwifiex_dbg
      mwifiex: change debug dump issue since skb maybe null

Zhichang Yuan (1):
      of/pci: Fix pci_address_to_pio() conversion of CPU address to I/O port

Zhihui Zhang (1):
      mm: rename RECLAIM_SWAP to RECLAIM_UNMAP

Zhiqiang Zhang (2):
      sched/dl/Documentation: Correct the definition of density as C_i/min{D_i,P_i}
      sched/deadline: Remove needless parameter in dl_runtime_exceeded()

Zhiwu Song (1):
      clk: sirf: add CSR atlas7 clk and reset support

Zhou Wang (4):
      ARM: dts: hip04: add GPIO pieces
      mtd: hisilicon: add device tree node for NAND controller
      ARM: hip04: add GPIO configure in hisi_defconfig
      PCI: designware: Add support for x8 links

Zhu Guihua (3):
      mm/memory hotplug: print the last vmemmap region at the end of hot add memory
      x86/espfix: Add 'cpu' parameter to init_espfix_ap()
      x86/espfix: Init espfix on the boot CPU side

Zidan Wang (5):
      ASoC: fsl_sai: add sai master mode support
      ASoC: fsl_sai: add 12kHz, 24kHz, 176.4kHz and 192kHz sample rate support
      ASoC: wm8960: Let wm8960 driver configure its bit clock and frame clock
      ASoC: wm8960: add 32 bit word length support
      ASoC: wm8960: the enum of "DAC Polarity" should be wm8960_enum[1]

Zoltán Lajos Kis (3):
      Staging: lustre: fix line over 80 characters in dt_object.c
      Staging: lustre: fix braces are not necessary in dt_object.c
      Staging: lustre: fix space before and after comma in dt_object.c

alex chen (1):
      ocfs2: o2net: should remove debugfs in o2net_init() out branch

chaehyun lim (1):
      staging: wlan-ng: fix checkpatch warnings

chandan (2):
      Btrfs: btrfs_defrag_file: Fix ra_index computation.
      Btrfs: btrfs_defrag_file: Fix calculation of max_to_defrag.

chris hyser (1):
      sparc64: Setup sysfs to mark LDOM sockets, cores and threads correctly

dann frazier (1):
      rtc: efi: Update author email address

dashsriram (1):
      regmap: irq: Fixed a typo error

duson (1):
      Input: elan_i2c - change the hover event from MT to ST

firo yang (1):
      crypto: sha1-mb - Remove pointless cast

françois romieu (9):
      via-rhine: commit receive buffer address before descriptor status update.
      via-rhine: allocate and map receive buffer in a single transaction
      via-rhine: gotoize rhine_open error path.
      via-rhine: forbid holes in the receive descriptor ring.
      via-rhine: kiss rx_head_desc goodbye.
      via-rhine: add consistent memory barrier in vlan receive code.
      via-rhine: dma_wmb transmit barrier.
      via-rhine: close SMP transmit races.
      net: batch of last_rx update avoidance in ethernet drivers.

gongzg (1):
      ACPICA: Comment update, no functional change

hujianyang (2):
      f2fs: add compat_ioctl to provide backward compatability
      f2fs: recovering broken superblock during mount

jean-michel.hautbois@vodalys.com (2):
      [media] media: adv7604: Fix masks used for querying timings in ADV7611
      [media] v4l2-subdev: allow subdev to send an event to the v4l2_device notify function

jilai wang (1):
      firmware: qcom: scm: Add HDCP Support

kbuild test robot (18):
      perf: perf_mux_hrtimer_cancel() can be static
      i2o: fix simple_return.cocci warnings
      ASoC: adau1977: fix simple_return.cocci warnings
      ASoC: ssm2518: fix simple_return.cocci warnings
      staging: lustre: fix ifnullfree.cocci warnings
      staging: lustre: fix ifnullfree.cocci warnings
      staging: lustre: llite: fix ifnullfree.cocci warnings
      staging: lustre: llite: fix ifnullfree.cocci warnings
      spi: bcm2835: bcm2835_dma_release() can be static
      ASoC: qcom: ipq806x_lpass_alloc_dma_channel() can be static
      aacraid: aac_src_intr_message() can be static
      megaraid_sas : megasas_complete_outstanding_ioctls() can be static
      ASoC: zx: zx_i2s_dai can be static
      ASoC: zx: fix platform_no_drv_owner.cocci warnings
      ASoC: zx: fix simple_return.cocci warnings
      ASoC: zx: fix platform_no_drv_owner.cocci warnings
      EDAC, mce_amd_inj: Make inj_type static
      rtc: gemini: fix cocci warnings

kongxinwei (2):
      dt-bindings: Document the hi6220 thermal sensor bindings
      thermal: hisilicon: add new hisilicon thermal sensor driver

long.wanglong (1):
      fs/pstore: Optimization function ramoops_init_przs

ludovic.desroches@atmel.com (1):
      ARM: at91/dt: sama5d4: fix dma conf for aes, sha and tdes nodes

matt mooney (1):
      staging: vt6655: fix c99 comments and line length

monk.liu (1):
      drm/amdgpu: fix wrong type

preeti (1):
      tick/idle/powerpc: Do not register idle states with CPUIDLE_FLAG_TIMER_STOP set in periodic mode

qipeng.zha (1):
      intel_pmc_ipc: Add Intel Apollo Lake PMC IPC driver

shengyong (7):
      UBI: Fastmap: Use max() to get the larger value
      UBI: Fastmap: Remove unnecessary `\'
      UBI: Fastmap: Rename variables to make them meaningful
      UBI: Init vol->reserved_pebs by assignment
      UBI: Fastmap: Do not add vol if it already exists
      UBI: add a helper function for updatting on-flash layout volumes
      UBI: Remove unnecessary `\'

sixiao@microsoft.com (2):
      hv_netvsc: use per_cpu stats to calculate TX/RX data
      hv_netvsc: change member name of struct netvsc_stats

Álvaro Fernández Rojas (4):
      leds: add DT binding for BCM6328 LED controller
      leds: add BCM6328 LED driver
      leds: add DT binding for BCM6358 LED controller
      leds: add BCM6358 LED driver

洪一竹 (1):
      Input: elantech - add new icbody type

 .mailmap                                           |    3 +
 CREDITS                                            |    9 +-
 Documentation/ABI/stable/sysfs-bus-w1              |   11 +
 Documentation/ABI/stable/sysfs-driver-w1_ds28ea00  |    6 +
 .../ABI/testing/configfs-spear-pcie-gadget         |    2 +-
 Documentation/ABI/testing/dev-kmsg                 |    9 +
 Documentation/ABI/testing/ima_policy               |   26 +-
 Documentation/ABI/testing/sysfs-ata                |   11 +
 .../ABI/testing/sysfs-bus-coresight-devices-etm4x  |  450 ++
 Documentation/ABI/testing/sysfs-bus-fcoe           |   12 +-
 Documentation/ABI/testing/sysfs-bus-iio            |   99 +
 Documentation/ABI/testing/sysfs-bus-iio-vf610      |    7 +
 Documentation/ABI/testing/sysfs-bus-mei            |   16 +-
 .../ABI/testing/sysfs-bus-pci-drivers-janz-cmodio  |    8 +
 Documentation/ABI/testing/sysfs-bus-usb-lvstest    |   12 +-
 Documentation/ABI/testing/sysfs-class-cxl          |   33 +
 Documentation/ABI/testing/sysfs-class-net          |   19 +
 .../ABI/testing/sysfs-class-net-janz-ican3         |   19 +
 Documentation/ABI/testing/sysfs-class-scsi_tape    |  109 +
 Documentation/ABI/testing/sysfs-class-zram         |   24 +
 Documentation/ABI/testing/sysfs-devices-system-cpu |    2 +-
 .../ABI/testing/sysfs-driver-hid-logitech-lg4ff    |    8 +-
 .../ABI/testing/sysfs-driver-toshiba_haps          |   20 +
 Documentation/ABI/testing/sysfs-firmware-dmi       |  110 -
 .../ABI/testing/sysfs-firmware-dmi-entries         |  110 +
 .../ABI/testing/sysfs-firmware-dmi-tables          |   22 +
 Documentation/ABI/testing/sysfs-firmware-efi       |   10 +
 Documentation/ABI/testing/sysfs-firmware-efi-esrt  |   81 +
 .../ABI/testing/sysfs-platform-twl4030-usb         |    8 +
 Documentation/CodingStyle                          |    2 +-
 Documentation/DMA-API-HOWTO.txt                    |   33 +-
 Documentation/DMA-API.txt                          |   30 +-
 Documentation/DocBook/crypto-API.tmpl              |   67 +-
 Documentation/DocBook/drm.tmpl                     |    6 +-
 Documentation/DocBook/kernel-hacking.tmpl          |    2 +
 Documentation/DocBook/media/.gitignore             |    1 +
 Documentation/DocBook/media/Makefile               |   88 +-
 Documentation/DocBook/media/dvb/audio.xml          |    6 +-
 Documentation/DocBook/media/dvb/ca.xml             |    4 +-
 Documentation/DocBook/media/dvb/demux.xml          |   61 +-
 Documentation/DocBook/media/dvb/dvbapi.xml         |   34 +-
 Documentation/DocBook/media/dvb/dvbproperty.xml    | 1117 ++-
 Documentation/DocBook/media/dvb/examples.xml       |    6 +-
 .../media/dvb/fe-diseqc-recv-slave-reply.xml       |   78 +
 .../DocBook/media/dvb/fe-diseqc-reset-overload.xml |   51 +
 .../DocBook/media/dvb/fe-diseqc-send-burst.xml     |   89 +
 .../media/dvb/fe-diseqc-send-master-cmd.xml        |   72 +
 .../media/dvb/fe-enable-high-lnb-voltage.xml       |   61 +
 Documentation/DocBook/media/dvb/fe-get-info.xml    |  266 +
 .../DocBook/media/dvb/fe-get-property.xml          |   81 +
 Documentation/DocBook/media/dvb/fe-read-status.xml |  107 +
 .../media/dvb/fe-set-frontend-tune-mode.xml        |   64 +
 Documentation/DocBook/media/dvb/fe-set-tone.xml    |   91 +
 Documentation/DocBook/media/dvb/fe-set-voltage.xml |   69 +
 Documentation/DocBook/media/dvb/frontend.xml       | 1747 +----
 .../DocBook/media/dvb/frontend_legacy_api.xml      |  654 ++
 Documentation/DocBook/media/dvb/intro.xml          |   30 +-
 Documentation/DocBook/media/dvb/kdapi.xml          |    4 +-
 Documentation/DocBook/media/dvb/net.xml            |  374 +-
 Documentation/DocBook/media/dvb/video.xml          |   10 +-
 .../DocBook/media/typical_media_device.svg         |   28 +
 Documentation/DocBook/media/v4l/controls.xml       |    4 +-
 Documentation/DocBook/media/v4l/io.xml             |   26 +-
 .../DocBook/media/v4l/media-func-open.xml          |    2 +-
 Documentation/DocBook/media/v4l/pixfmt-y16-be.xml  |   81 +
 Documentation/DocBook/media/v4l/pixfmt.xml         |  134 +-
 .../DocBook/media/v4l/remote_controllers.xml       |    2 +-
 Documentation/DocBook/media/v4l/subdev-formats.xml |   12 +-
 .../DocBook/media/v4l/vidioc-create-bufs.xml       |    3 +-
 .../DocBook/media/v4l/vidioc-decoder-cmd.xml       |   12 +-
 Documentation/DocBook/media/v4l/vidioc-dqevent.xml |    5 +-
 .../DocBook/media/v4l/vidioc-encoder-cmd.xml       |   10 +-
 .../media/v4l/vidioc-enum-frameintervals.xml       |    3 +-
 .../DocBook/media/v4l/vidioc-enum-framesizes.xml   |    3 +-
 Documentation/DocBook/media/v4l/vidioc-expbuf.xml  |    3 +-
 .../DocBook/media/v4l/vidioc-g-dv-timings.xml      |    4 +-
 Documentation/DocBook/media/v4l/vidioc-g-edid.xml  |   11 +-
 .../DocBook/media/v4l/vidioc-g-selection.xml       |    2 +-
 Documentation/DocBook/media/v4l/vidioc-qbuf.xml    |   10 +
 .../DocBook/media/v4l/vidioc-query-dv-timings.xml  |    3 +-
 .../DocBook/media/v4l/vidioc-querybuf.xml          |    3 +-
 Documentation/DocBook/media/v4l/vidioc-reqbufs.xml |    4 +-
 .../DocBook/media/v4l/vidioc-subscribe-event.xml   |    3 +-
 Documentation/DocBook/media_api.tmpl               |   53 +-
 Documentation/DocBook/scsi.tmpl                    |    2 +-
 Documentation/RCU/RTFP.txt                         |    2 +-
 Documentation/RCU/arrayRCU.txt                     |   20 +-
 Documentation/RCU/lockdep.txt                      |   10 -
 Documentation/RCU/rcu_dereference.txt              |   38 +-
 Documentation/RCU/whatisRCU.txt                    |    6 +-
 Documentation/SubmittingPatches                    |    4 +-
 Documentation/acpi/enumeration.txt                 |   57 +-
 Documentation/arm/CCN.txt                          |   17 +-
 Documentation/arm/Samsung/Bootloader-interface.txt |   53 +
 Documentation/arm/stm32/overview.txt               |   32 +
 Documentation/arm/stm32/stm32f429-overview.txt     |   22 +
 Documentation/arm/sunxi/README                     |   18 +-
 Documentation/arm/vlocks.txt                       |    2 +-
 Documentation/arm64/booting.txt                    |   10 +-
 Documentation/blackfin/gptimers-example.c          |   14 +-
 Documentation/blockdev/zram.txt                    |   29 +-
 Documentation/cgroups/blkio-controller.txt         |   83 +-
 Documentation/cgroups/memory.txt                   |    1 +
 Documentation/cgroups/unified-hierarchy.txt        |  102 +-
 Documentation/clk.txt                              |   27 +-
 Documentation/cpu-freq/governors.txt               |    2 +-
 Documentation/cpu-freq/intel-pstate.txt            |   21 +-
 Documentation/cpu-freq/user-guide.txt              |    2 -
 Documentation/cputopology.txt                      |   37 +-
 Documentation/debugging-via-ohci1394.txt           |    2 +-
 Documentation/device-mapper/cache-policies.txt     |   67 +-
 Documentation/device-mapper/cache.txt              |    9 +-
 Documentation/device-mapper/dm-raid.txt            |    2 +
 Documentation/device-mapper/statistics.txt         |   41 +-
 .../devicetree/bindings/arc/archs-idu-intc.txt     |   46 +
 .../devicetree/bindings/arc/archs-intc.txt         |   22 +
 Documentation/devicetree/bindings/arc/axs101.txt   |    7 +
 Documentation/devicetree/bindings/arc/axs103.txt   |    8 +
 .../arm/altera/socfpga-sdram-controller.txt        |   12 +
 .../bindings/arm/altera/socfpga-sdram-edac.txt     |    2 +-
 Documentation/devicetree/bindings/arm/arm-boards   |   66 +
 .../devicetree/bindings/arm/armv7m_systick.txt     |   26 +
 .../devicetree/bindings/arm/atmel-at91.txt         |    2 +-
 .../devicetree/bindings/arm/bcm/brcm,bcm2835.txt   |   31 +-
 .../devicetree/bindings/arm/bcm/brcm,bcm63138.txt  |   76 +
 Documentation/devicetree/bindings/arm/cci.txt      |    4 +-
 .../devicetree/bindings/arm/coresight.txt          |   12 +-
 Documentation/devicetree/bindings/arm/cpus.txt     |    1 +
 .../bindings/arm/exynos/power_domain.txt           |    7 +-
 Documentation/devicetree/bindings/arm/fsl.txt      |    3 +
 .../bindings/arm/hisilicon/hisilicon.txt           |   87 +
 Documentation/devicetree/bindings/arm/l2cc.txt     |    5 +
 .../devicetree/bindings/arm/marvell,berlin.txt     |  100 +-
 .../bindings/arm/mediatek/mediatek,apmixedsys.txt  |   23 +
 .../bindings/arm/mediatek/mediatek,infracfg.txt    |   30 +
 .../bindings/arm/mediatek/mediatek,pericfg.txt     |   30 +
 .../bindings/arm/mediatek/mediatek,topckgen.txt    |   23 +
 Documentation/devicetree/bindings/arm/scu.txt      |   25 +
 Documentation/devicetree/bindings/arm/sunxi.txt    |    2 +
 .../devicetree/bindings/arm/ux500/boards.txt       |   83 +
 Documentation/devicetree/bindings/arm/zte.txt      |   15 +
 .../devicetree/bindings/ata/ahci-ceva.txt          |   20 +
 .../devicetree/bindings/ata/ahci-platform.txt      |    2 +
 .../devicetree/bindings/ata/brcm,sata-brcmstb.txt  |   34 +
 .../bindings/clock/amlogic,meson8b-clkc.txt        |   40 +
 .../devicetree/bindings/clock/at91-clock.txt       |    2 +-
 .../devicetree/bindings/clock/bcm-cygnus-clock.txt |   34 -
 .../bindings/clock/brcm,iproc-clocks.txt           |  132 +
 .../devicetree/bindings/clock/clock-bindings.txt   |    7 +-
 .../devicetree/bindings/clock/csr,atlas7-car.txt   |   55 +
 .../devicetree/bindings/clock/emev2-clock.txt      |    2 +-
 .../devicetree/bindings/clock/hi6220-clock.txt     |   34 +
 .../devicetree/bindings/clock/imx7d-clock.txt      |   13 +
 .../devicetree/bindings/clock/ingenic,cgu.txt      |   53 +
 .../devicetree/bindings/clock/keystone-pll.txt     |    8 +-
 .../devicetree/bindings/clock/lpc1850-ccu.txt      |   77 +
 .../devicetree/bindings/clock/lpc1850-cgu.txt      |  131 +
 .../devicetree/bindings/clock/marvell,berlin.txt   |   31 +
 .../devicetree/bindings/clock/marvell,pxa1928.txt  |   21 +
 .../bindings/clock/mvebu-gated-clock.txt           |    1 +
 .../bindings/clock/nvidia,tegra124-car.txt         |   44 +-
 .../devicetree/bindings/clock/qca,ath79-pll.txt    |   33 +
 .../bindings/clock/renesas,cpg-div6-clocks.txt     |    6 +-
 .../bindings/clock/renesas,cpg-mstp-clocks.txt     |    6 +-
 .../bindings/clock/renesas,h8300-div-clock.txt     |   24 +
 .../bindings/clock/renesas,h8s2678-pll-clock.txt   |   23 +
 .../clock/renesas,rcar-gen2-cpg-clocks.txt         |    2 +-
 .../bindings/clock/renesas,rz-cpg-clocks.txt       |    2 +-
 .../devicetree/bindings/clock/st,stm32-rcc.txt     |   65 +
 Documentation/devicetree/bindings/clock/sunxi.txt  |    1 +
 .../devicetree/bindings/clock/ti,cdce925.txt       |   42 +
 .../devicetree/bindings/clock/zx296702-clk.txt     |   35 +
 .../devicetree/bindings/crypto/fsl-sec2.txt        |    6 +-
 .../devicetree/bindings/crypto/marvell-cesa.txt    |   45 +
 .../devicetree/bindings/crypto/mv_cesa.txt         |   31 +-
 Documentation/devicetree/bindings/dma/dma.txt      |   28 +
 Documentation/devicetree/bindings/dma/mv-xor.txt   |    2 +-
 .../devicetree/bindings/dma/sirfsoc-dma.txt        |    3 +-
 .../devicetree/bindings/dma/sun6i-dma.txt          |    5 +-
 .../devicetree/bindings/dma/ti-dma-crossbar.txt    |   52 +
 .../devicetree/bindings/edac/apm-xgene-edac.txt    |   79 +
 .../bindings/fuse/nvidia,tegra20-fuse.txt          |    2 +-
 .../devicetree/bindings/gpio/brcm,brcmstb-gpio.txt |   65 +
 .../devicetree/bindings/gpio/gpio-ath79.txt        |   38 +
 .../devicetree/bindings/gpio/gpio-atlas7.txt       |   50 +
 .../devicetree/bindings/gpio/gpio-etraxfs.txt      |   21 +
 .../devicetree/bindings/gpio/gpio-xlp.txt          |   47 +
 .../devicetree/bindings/gpio/gpio-zynq.txt         |    2 +-
 .../devicetree/bindings/gpio/nxp,lpc1850-gpio.txt  |   39 +
 Documentation/devicetree/bindings/h8300/cpu.txt    |   13 +
 .../devicetree/bindings/hwlock/hwlock.txt          |   59 +
 .../devicetree/bindings/hwlock/omap-hwspinlock.txt |   26 +
 .../devicetree/bindings/hwlock/qcom-hwspinlock.txt |   39 +
 .../devicetree/bindings/hwlock/sirf,hwspinlock.txt |   28 +
 .../devicetree/bindings/hwmon/ntc_thermistor.txt   |    1 +
 Documentation/devicetree/bindings/i2c/i2c-at91.txt |   30 +-
 .../devicetree/bindings/i2c/i2c-brcmstb.txt        |   28 +
 .../devicetree/bindings/i2c/i2c-mt6577.txt         |   41 +
 .../devicetree/bindings/i2c/i2c-xgene-slimpro.txt  |   15 +
 .../devicetree/bindings/i2c/trivial-devices.txt    |    3 +-
 .../devicetree/bindings/iio/adc/berlin2_adc.txt    |   19 +
 .../devicetree/bindings/iio/adc/ti-adc128s052.txt  |    4 +-
 .../devicetree/bindings/iio/adc/vf610-adc.txt      |    9 +
 .../devicetree/bindings/iio/imu/inv_mpu6050.txt    |   17 +
 .../bindings/iio/magnetometer/bmc150_magn.txt      |   22 +
 .../devicetree/bindings/iio/st-sensors.txt         |    2 +
 .../bindings/iio/temperature/mlx90614.txt          |   24 +
 .../devicetree/bindings/input/ti,drv2665.txt       |   17 +
 .../bindings/input/touchscreen/ti-tsc-adc.txt      |   24 +
 .../bindings/input/touchscreen/tsc2005.txt         |    4 +-
 .../bindings/interrupt-controller/atmel,aic.txt    |    2 +-
 .../bindings/interrupt-controller/ingenic,intc.txt |   28 +
 .../interrupt-controller/qca,ath79-cpu-intc.txt    |   44 +
 .../interrupt-controller/qca,ath79-misc-intc.txt   |   30 +
 .../interrupt-controller/renesas,h8300h-intc.txt   |   22 +
 .../interrupt-controller/renesas,h8s-intc.txt      |   22 +
 .../interrupt-controller/renesas,intc-irqpin.txt   |   39 +-
 .../devicetree/bindings/iommu/arm,smmu-v3.txt      |   37 +
 .../devicetree/bindings/leds/leds-aat1290.txt      |   73 +
 .../devicetree/bindings/leds/leds-bcm6328.txt      |  309 +
 .../devicetree/bindings/leds/leds-bcm6358.txt      |  145 +
 .../devicetree/bindings/leds/leds-ktd2692.txt      |   50 +
 .../devicetree/bindings/leds/leds-tlc591xx.txt     |   40 +
 .../bindings/mailbox/brcm,bcm2835-mbox.txt         |   26 +
 .../devicetree/bindings/mailbox/mailbox.txt        |   10 +-
 .../devicetree/bindings/media/i2c/adp1653.txt      |   37 +
 .../devicetree/bindings/media/st,stih4xx.txt       |   32 +
 .../memory-controllers/ath79-ddr-controller.txt    |   35 +
 .../memory-controllers/nvidia,tegra-mc.txt         |   84 +-
 .../memory-controllers/renesas,h8300-bsc.txt       |   12 +
 .../bindings/memory-controllers/tegra-emc.txt      |  374 +
 .../bindings/memory-controllers/ti/emif.txt        |    1 +
 Documentation/devicetree/bindings/mfd/arizona.txt  |   19 +-
 Documentation/devicetree/bindings/mfd/axp20x.txt   |   32 +-
 Documentation/devicetree/bindings/mfd/cros-ec.txt  |    4 +
 Documentation/devicetree/bindings/mfd/da9063.txt   |   18 +
 Documentation/devicetree/bindings/mfd/max77686.txt |    2 +-
 Documentation/devicetree/bindings/mfd/max77693.txt |   67 +
 Documentation/devicetree/bindings/mfd/mfd.txt      |   41 +
 Documentation/devicetree/bindings/mfd/tps6507x.txt |    0
 .../devicetree/bindings/mips/ath79-soc.txt         |   21 +
 .../bindings/misc/nvidia,tegra20-apbmisc.txt       |    2 +
 .../devicetree/bindings/mmc/arasan,sdhci.txt       |    3 +-
 .../devicetree/bindings/mmc/fsl-imx-esdhc.txt      |    9 +-
 .../devicetree/bindings/mmc/k3-dw-mshc.txt         |   28 +
 .../devicetree/bindings/mmc/mmc-pwrseq-simple.txt  |    4 +-
 Documentation/devicetree/bindings/mmc/mmc.txt      |    5 +
 Documentation/devicetree/bindings/mmc/mtk-sd.txt   |   32 +
 .../devicetree/bindings/mmc/renesas,mmcif.txt      |    3 +
 .../devicetree/bindings/mtd/brcm,brcmnand.txt      |  150 +
 .../devicetree/bindings/net/amd-xgbe-phy.txt       |   48 -
 Documentation/devicetree/bindings/net/amd-xgbe.txt |   40 +-
 .../devicetree/bindings/net/ezchip_enet.txt        |   15 +
 .../devicetree/bindings/net/ipq806x-dwmac.txt      |   35 +
 Documentation/devicetree/bindings/net/macb.txt     |    6 +-
 .../bindings/net/marvell-armada-370-neta.txt       |    2 +-
 .../devicetree/bindings/net/nfc/nfcmrvl.txt        |   29 +
 .../devicetree/bindings/net/nfc/st-nci.txt         |   33 +
 .../devicetree/bindings/net/nfc/st21nfcb.txt       |   33 -
 .../devicetree/bindings/net/nfc/trf7970a.txt       |    4 +
 .../devicetree/bindings/net/nxp,lpc1850-dwmac.txt  |   20 +
 Documentation/devicetree/bindings/net/phy.txt      |    3 +
 .../devicetree/bindings/net/renesas,ravb.txt       |   48 +
 .../devicetree/bindings/net/rockchip-dwmac.txt     |    2 +-
 .../devicetree/bindings/net/ti,dp83867.txt         |   25 +
 .../devicetree/bindings/pci/xgene-pci-msi.txt      |   68 +
 .../devicetree/bindings/pci/xilinx-pcie.txt        |    2 +-
 .../bindings/phy/brcm,brcmstb-sata-phy.txt         |   40 +
 .../devicetree/bindings/phy/pistachio-usb-phy.txt  |   29 +
 .../devicetree/bindings/phy/pxa1928-usb-phy.txt    |   18 +
 .../devicetree/bindings/phy/rcar-gen2-phy.txt      |    1 +
 .../bindings/pinctrl/allwinner,sunxi-pinctrl.txt   |    2 +
 .../devicetree/bindings/pinctrl/berlin,pinctrl.txt |   43 +
 .../bindings/pinctrl/fsl,imx7d-pinctrl.txt         |   27 +
 .../bindings/pinctrl/img,pistachio-pinctrl.txt     |  217 +
 ...falcon-pinumx.txt => lantiq,pinctrl-falcon.txt} |    0
 ...tiq,xway-pinumx.txt => lantiq,pinctrl-xway.txt} |    0
 .../pinctrl/marvell,armada-370-pinctrl.txt         |   18 +-
 .../pinctrl/marvell,armada-375-pinctrl.txt         |   34 +-
 .../pinctrl/marvell,armada-38x-pinctrl.txt         |   66 +-
 .../pinctrl/marvell,armada-39x-pinctrl.txt         |   84 +-
 .../bindings/pinctrl/marvell,armada-xp-pinctrl.txt |   80 +-
 .../bindings/pinctrl/nxp,lpc1850-scu.txt           |   57 +
 .../devicetree/bindings/pinctrl/pinctrl-atlas7.txt |  109 +
 .../devicetree/bindings/pinctrl/pinctrl-mt65xx.txt |    9 +-
 .../bindings/pinctrl/qcom,msm8660-pinctrl.txt      |   90 +
 .../bindings/pinctrl/renesas,pfc-pinctrl.txt       |    4 +-
 .../bindings/pinctrl/rockchip,pinctrl.txt          |    5 +-
 .../bindings/pinctrl/xlnx,zynq-pinctrl.txt         |    7 +-
 .../devicetree/bindings/power/bq24257.txt          |   21 +
 .../devicetree/bindings/power/bq25890.txt          |   46 +
 Documentation/devicetree/bindings/power/opp.txt    |  448 +-
 .../devicetree/bindings/power/rt9455_charger.txt   |   48 +
 .../devicetree/bindings/power/twl-charger.txt      |   10 +
 .../bindings/power_supply/max17042_battery.txt     |   13 +
 .../devicetree/bindings/powerpc/fsl/fman.txt       |   13 +
 .../devicetree/bindings/powerpc/fsl/guts.txt       |    5 +
 .../bindings/regulator/max8973-regulator.txt       |   20 +-
 .../bindings/regulator/qcom,spmi-regulator.txt     |  121 +
 .../devicetree/bindings/regulator/regulator.txt    |    7 +-
 .../bindings/remoteproc/wkup_m3_rproc.txt          |   52 +
 .../devicetree/bindings/reset/berlin,reset.txt     |   23 +
 .../bindings/reset/brcm,bcm63138-pmb.txt           |   19 +
 .../devicetree/bindings/rtc/haoyu,hym8563.txt      |    2 +-
 .../devicetree/bindings/rtc/rtc-st-lpc.txt         |   25 +
 Documentation/devicetree/bindings/rtc/s3c-rtc.txt  |    3 +-
 .../devicetree/bindings/serial/arm_sbsa_uart.txt   |   10 +
 .../devicetree/bindings/serial/ingenic,uart.txt    |   22 +
 .../devicetree/bindings/serial/mtk-uart.txt        |   12 +-
 .../bindings/serial/nxp,lpc1850-uart.txt           |   28 +
 .../devicetree/bindings/serial/nxp,sc16is7xx.txt   |   37 +
 Documentation/devicetree/bindings/serial/pl011.txt |    2 +-
 .../bindings/serial/renesas,sci-serial.txt         |    8 +
 .../devicetree/bindings/serial/sirf-uart.txt       |   15 +-
 .../devicetree/bindings/serial/uniphier-uart.txt   |   23 +
 .../devicetree/bindings/soc/fsl/qman-portals.txt   |    4 +-
 .../devicetree/bindings/soc/sunxi/sram.txt         |   72 +
 .../devicetree/bindings/sound/adi,adau1701.txt     |    4 +
 Documentation/devicetree/bindings/sound/bt-sco.txt |   13 +
 Documentation/devicetree/bindings/sound/gtm601.txt |   13 +
 .../devicetree/bindings/sound/max98090.txt         |    6 +
 .../devicetree/bindings/sound/mt8173-max98090.txt  |   13 +
 .../bindings/sound/mt8173-rt5650-rt5676.txt        |   13 +
 .../devicetree/bindings/sound/mtk-afe-pcm.txt      |   45 +
 .../devicetree/bindings/sound/qcom,apq8016-sbc.txt |   60 +
 .../devicetree/bindings/sound/qcom,lpass-cpu.txt   |   13 +-
 .../devicetree/bindings/sound/renesas,rsnd.txt     |    3 +-
 Documentation/devicetree/bindings/sound/rt5645.txt |   72 +
 Documentation/devicetree/bindings/sound/rt5677.txt |    2 +
 .../devicetree/bindings/sound/simple-card.txt      |    6 +-
 .../devicetree/bindings/sound/tas2552.txt          |    6 +
 .../devicetree/bindings/sound/tas571x.txt          |   41 +
 Documentation/devicetree/bindings/sound/wm8741.txt |   11 +
 .../devicetree/bindings/sound/zte,zx-i2s.txt       |   44 +
 .../devicetree/bindings/sound/zte,zx-spdif.txt     |   28 +
 Documentation/devicetree/bindings/spi/sh-msiof.txt |    2 +-
 .../devicetree/bindings/spi/spi-ath79.txt          |   24 +
 .../devicetree/bindings/spi/spi-fsl-dspi.txt       |    2 +-
 .../devicetree/bindings/spi/spi-orion.txt          |    8 +-
 Documentation/devicetree/bindings/spi/spi-sirf.txt |    3 +-
 .../devicetree/bindings/spi/spi-zynqmp-qspi.txt    |   26 +
 .../devicetree/bindings/spi/spi_atmel.txt          |    8 +-
 .../devicetree/bindings/spi/spi_pl022.txt          |    2 +-
 .../bindings/thermal/hisilicon-thermal.txt         |   23 +
 .../bindings/thermal/qcom-spmi-temp-alarm.txt      |   57 +
 .../devicetree/bindings/thermal/thermal.txt        |    9 +
 .../bindings/timer/cadence,ttc-timer.txt           |    4 +
 .../bindings/timer/nxp,lpc3220-timer.txt           |   26 +
 .../bindings/timer/renesas,16bit-timer.txt         |   25 +
 .../bindings/timer/renesas,8bit-timer.txt          |   25 +
 .../devicetree/bindings/timer/renesas,tpu.txt      |   21 +
 .../devicetree/bindings/timer/st,stm32-timer.txt   |   22 +
 .../devicetree/bindings/usb/atmel-usb.txt          |   31 +-
 .../devicetree/bindings/usb/ci-hdrc-imx.txt        |   35 -
 .../devicetree/bindings/usb/ci-hdrc-qcom.txt       |   17 -
 .../devicetree/bindings/usb/ci-hdrc-usb2.txt       |   22 +-
 .../devicetree/bindings/usb/ci-hdrc-zevio.txt      |   17 -
 Documentation/devicetree/bindings/usb/dwc3-st.txt  |    7 +-
 Documentation/devicetree/bindings/usb/dwc3.txt     |    2 +
 .../devicetree/bindings/usb/msm-hsusb.txt          |   11 +
 .../devicetree/bindings/usb/renesas_usbhs.txt      |    7 +-
 .../devicetree/bindings/usb/twlxxxx-usb.txt        |    3 +
 Documentation/devicetree/bindings/usb/usb-ehci.txt |    2 +
 .../devicetree/bindings/vendor-prefixes.txt        |   18 +
 .../devicetree/bindings/video/ssd1307fb.txt        |   23 +-
 .../devicetree/bindings/watchdog/digicolor-wdt.txt |   25 +
 .../devicetree/bindings/watchdog/omap-wdt.txt      |    9 +-
 .../devicetree/bindings/watchdog/st_lpc_wdt.txt    |   38 +
 Documentation/devicetree/booting-without-of.txt    |    4 +
 Documentation/dmaengine/provider.txt               |   11 +-
 Documentation/dmaengine/pxa_dma.txt                |  153 +
 Documentation/edac.txt                             |  289 +-
 Documentation/features/arch-support.txt            |   11 +
 .../features/core/BPF-JIT/arch-support.txt         |   40 +
 .../core/generic-idle-thread/arch-support.txt      |   40 +
 .../features/core/jump-labels/arch-support.txt     |   40 +
 .../features/core/tracehook/arch-support.txt       |   40 +
 .../features/debug/KASAN/arch-support.txt          |   40 +
 .../debug/gcov-profile-all/arch-support.txt        |   40 +
 Documentation/features/debug/kgdb/arch-support.txt |   40 +
 .../debug/kprobes-on-ftrace/arch-support.txt       |   40 +
 .../features/debug/kprobes/arch-support.txt        |   40 +
 .../features/debug/kretprobes/arch-support.txt     |   40 +
 .../features/debug/optprobes/arch-support.txt      |   40 +
 .../features/debug/stackprotector/arch-support.txt |   40 +
 .../features/debug/uprobes/arch-support.txt        |   40 +
 .../debug/user-ret-profiler/arch-support.txt       |   40 +
 .../features/io/dma-api-debug/arch-support.txt     |   40 +
 .../features/io/dma-contiguous/arch-support.txt    |   40 +
 .../features/io/dma_map_attrs/arch-support.txt     |   40 +
 .../features/io/sg-chain/arch-support.txt          |   40 +
 .../features/lib/strncasecmp/arch-support.txt      |   40 +
 Documentation/features/list-arch.sh                |   24 +
 .../locking/cmpxchg-local/arch-support.txt         |   40 +
 .../features/locking/lockdep/arch-support.txt      |   40 +
 .../locking/queued-rwlocks/arch-support.txt        |   40 +
 .../locking/queued-spinlocks/arch-support.txt      |   40 +
 .../locking/rwsem-optimized/arch-support.txt       |   40 +
 .../features/perf/kprobes-event/arch-support.txt   |   40 +
 .../features/perf/perf-regs/arch-support.txt       |   40 +
 .../features/perf/perf-stackdump/arch-support.txt  |   40 +
 .../features/sched/numa-balancing/arch-support.txt |   40 +
 .../seccomp/seccomp-filter/arch-support.txt        |   40 +
 .../time/arch-tick-broadcast/arch-support.txt      |   40 +
 .../features/time/clockevents/arch-support.txt     |   40 +
 .../time/context-tracking/arch-support.txt         |   40 +
 .../features/time/irq-time-acct/arch-support.txt   |   40 +
 .../time/modern-timekeeping/arch-support.txt       |   40 +
 .../features/time/virt-cpuacct/arch-support.txt    |   40 +
 .../features/vm/ELF-ASLR/arch-support.txt          |   40 +
 .../features/vm/PG_uncached/arch-support.txt       |   40 +
 Documentation/features/vm/THP/arch-support.txt     |   40 +
 .../features/vm/huge-vmap/arch-support.txt         |   40 +
 .../features/vm/ioremap_prot/arch-support.txt      |   40 +
 .../features/vm/numa-memblock/arch-support.txt     |   40 +
 .../vm/pmdp_splitting_flush/arch-support.txt       |   40 +
 .../features/vm/pte_special/arch-support.txt       |   40 +
 Documentation/filesystems/Locking                  |    4 +-
 Documentation/filesystems/automount-support.txt    |   51 +-
 Documentation/filesystems/caching/backend-api.txt  |   23 +
 Documentation/filesystems/caching/fscache.txt      |    7 +-
 Documentation/filesystems/dax.txt                  |    6 +-
 Documentation/filesystems/nfs/knfsd-stats.txt      |   44 +-
 Documentation/filesystems/porting                  |   29 +-
 Documentation/filesystems/proc.txt                 |    3 +-
 Documentation/filesystems/quota.txt                |    5 +-
 Documentation/filesystems/vfs.txt                  |   39 +-
 Documentation/filesystems/xfs.txt                  |   12 +-
 Documentation/gpio/consumer.txt                    |   30 +-
 Documentation/gpio/gpio-legacy.txt                 |    9 -
 Documentation/gpio/sysfs.txt                       |    8 -
 Documentation/hwmon/ntc_thermistor                 |    6 +-
 Documentation/hwmon/submitting-patches             |    7 +
 Documentation/hwmon/tc74                           |   20 +
 Documentation/hwmon/w83792d                        |   18 +-
 Documentation/hwspinlock.txt                       |   10 +
 Documentation/i2c/slave-interface                  |   31 +-
 Documentation/input/rotary-encoder.txt             |    2 +-
 Documentation/ioctl/ioctl-number.txt               |    1 +
 Documentation/ja_JP/HOWTO                          |    2 +-
 Documentation/kasan.txt                            |    2 +-
 Documentation/kbuild/headers_install.txt           |    9 +-
 Documentation/kbuild/makefiles.txt                 |    4 +-
 Documentation/kernel-parameters.txt                |  146 +-
 Documentation/kmemleak.txt                         |    2 +-
 Documentation/laptops/.gitignore                   |    1 -
 Documentation/laptops/00-INDEX                     |    2 -
 Documentation/laptops/Makefile                     |    2 +-
 Documentation/leds/leds-class-flash.txt            |   51 +
 Documentation/leds/leds-class.txt                  |    3 -
 Documentation/leds/leds-lp5523.txt                 |   30 +
 Documentation/lockup-watchdogs.txt                 |   18 +
 Documentation/magic-number.txt                     |    2 -
 Documentation/md.txt                               |    2 +-
 Documentation/memory-barriers.txt                  |   68 +-
 Documentation/mic/mic_overview.txt                 |   28 +-
 Documentation/mic/mpssd/Makefile                   |    2 +
 Documentation/mic/mpssd/mpss                       |   24 +-
 Documentation/mic/scif_overview.txt                |   98 +
 Documentation/misc-devices/spear-pcie-gadget.txt   |    2 +-
 Documentation/networking/bonding.txt               |   84 +
 Documentation/networking/can.txt                   |    3 +
 Documentation/networking/dctcp.txt                 |    1 +
 Documentation/networking/fore200e.txt              |    2 +-
 Documentation/networking/ieee802154.txt            |   32 +-
 Documentation/networking/ip-sysctl.txt             |   25 +-
 Documentation/networking/netconsole.txt            |   35 +-
 Documentation/networking/pktgen.txt                |  150 +-
 Documentation/networking/switchdev.txt             |  419 +-
 Documentation/networking/tc-actions-env-rules.txt  |    6 -
 .../networking/timestamping/txtimestamp.c          |    4 +-
 Documentation/networking/udplite.txt               |    2 +-
 Documentation/nfc/nfc-hci.txt                      |    2 +-
 Documentation/ntb.txt                              |  127 +
 Documentation/nvdimm/btt.txt                       |  283 +
 Documentation/nvdimm/nvdimm.txt                    |  808 ++
 Documentation/phy.txt                              |    7 +-
 Documentation/pinctrl.txt                          |   11 +
 Documentation/power/runtime_pm.txt                 |    6 +
 Documentation/power/swsusp.txt                     |   13 +-
 Documentation/powerpc/00-INDEX                     |    2 +
 Documentation/powerpc/cxl.txt                      |    4 +
 Documentation/powerpc/dscr.txt                     |   83 +
 Documentation/powerpc/transactional_memory.txt     |   32 +-
 Documentation/pps/pps.txt                          |    2 +-
 Documentation/prctl/Makefile                       |    2 +
 Documentation/preempt-locking.txt                  |    2 +-
 Documentation/remoteproc.txt                       |    6 +
 Documentation/s390/qeth.txt                        |    4 +-
 Documentation/scheduler/sched-deadline.txt         |  184 +-
 Documentation/scsi/scsi_mid_low_api.txt            |    2 +-
 Documentation/scsi/st.txt                          |   59 +
 Documentation/security/Smack.txt                   |    6 +-
 Documentation/serial/serial-rs485.txt              |   50 +-
 Documentation/serial/tty.txt                       |    3 -
 Documentation/sound/alsa/HD-Audio-Models.txt       |   14 +-
 Documentation/sound/alsa/Jack-Controls.txt         |   43 +
 Documentation/sound/oss/PSS-updates                |    2 +-
 Documentation/sound/oss/README.OSS                 |    2 +-
 Documentation/sound/oss/btaudio                    |    2 +-
 Documentation/stable_kernel_rules.txt              |   19 +-
 Documentation/sysctl/kernel.txt                    |   25 +-
 Documentation/target/tcm_mod_builder.py            |  284 +-
 Documentation/target/tcm_mod_builder.txt           |    4 +-
 Documentation/target/tcmu-design.txt               |    2 +-
 Documentation/thermal/cpu-cooling-api.txt          |  156 +-
 Documentation/thermal/power_allocator.txt          |  247 +
 Documentation/thermal/sysfs-api.txt                |   99 +-
 Documentation/trace/ftrace.txt                     |    4 +-
 Documentation/usb/gadget-testing.txt               |    4 +-
 Documentation/usb/usb-serial.txt                   |   12 +-
 Documentation/vDSO/Makefile                        |    2 +
 Documentation/vfio.txt                             |   62 +-
 Documentation/video4linux/CARDLIST.cx23885         |    9 +-
 Documentation/video4linux/CARDLIST.em28xx          |    2 +
 Documentation/video4linux/CARDLIST.saa7134         |    1 +
 Documentation/video4linux/CARDLIST.saa7164         |    3 +
 Documentation/video4linux/v4l2-framework.txt       |    4 +
 Documentation/video4linux/v4l2-pci-skeleton.c      |    2 -
 Documentation/video4linux/vivid.txt                |   32 +-
 Documentation/virtual/kvm/api.txt                  |   69 +-
 Documentation/virtual/kvm/mmu.txt                  |    6 +
 Documentation/vm/unevictable-lru.txt               |    8 +-
 Documentation/vm/zswap.txt                         |   18 +-
 Documentation/vme_api.txt                          |    6 +
 Documentation/w1/slaves/w1_therm                   |   11 +-
 Documentation/w1/w1.generic                        |   30 +-
 Documentation/watchdog/watchdog-kernel-api.txt     |    7 +
 Documentation/watchdog/watchdog-parameters.txt     |    3 +
 Documentation/workqueue.txt                        |    2 +-
 Documentation/x86/boot.txt                         |    3 +-
 Documentation/x86/entry_64.txt                     |   12 +-
 Documentation/x86/kernel-stacks                    |  141 +
 Documentation/x86/mtrr.txt                         |   18 +-
 Documentation/x86/pat.txt                          |   48 +-
 Documentation/x86/x86_64/boot-options.txt          |    3 +
 Documentation/x86/x86_64/kernel-stacks             |  101 -
 Documentation/zh_CN/gpio.txt                       |    8 -
 Documentation/zh_CN/magic-number.txt               |    2 -
 Kbuild                                             |   33 +-
 MAINTAINERS                                        |  509 +-
 Makefile                                           |   25 +-
 arch/Kconfig                                       |    7 +
 arch/alpha/include/asm/Kbuild                      |    1 -
 arch/alpha/include/asm/cmpxchg.h                   |    2 -
 arch/alpha/include/asm/mm-arch-hooks.h             |   15 +
 arch/alpha/include/asm/pci.h                       |   18 +-
 arch/alpha/include/asm/serial.h                    |    2 +-
 arch/alpha/kernel/core_irongate.c                  |    1 -
 arch/alpha/kernel/sys_eiger.c                      |    1 -
 arch/alpha/kernel/sys_nautilus.c                   |    1 -
 arch/alpha/mm/fault.c                              |    5 +-
 arch/arc/Kconfig                                   |  159 +-
 arch/arc/Makefile                                  |   17 +-
 arch/arc/boot/dts/Makefile                         |    2 +-
 arch/arc/boot/dts/angel4.dts                       |   70 -
 arch/arc/boot/dts/axc001.dtsi                      |  100 +
 arch/arc/boot/dts/axc003.dtsi                      |  102 +
 arch/arc/boot/dts/axc003_idu.dtsi                  |  126 +
 arch/arc/boot/dts/axs101.dts                       |   21 +
 arch/arc/boot/dts/axs103.dts                       |   24 +
 arch/arc/boot/dts/axs103_idu.dts                   |   24 +
 arch/arc/boot/dts/axs10x_mb.dtsi                   |  224 +
 arch/arc/boot/dts/nsim_700.dts                     |   70 +
 arch/arc/boot/dts/nsim_hs.dts                      |   53 +
 arch/arc/boot/dts/nsim_hs_idu.dts                  |   72 +
 arch/arc/boot/dts/nsimosci_hs.dts                  |   80 +
 arch/arc/boot/dts/nsimosci_hs_idu.dts              |  101 +
 arch/arc/boot/dts/vdk_axc003.dtsi                  |   61 +
 arch/arc/boot/dts/vdk_axc003_idu.dtsi              |   76 +
 arch/arc/boot/dts/vdk_axs10x_mb.dtsi               |   93 +
 arch/arc/boot/dts/vdk_hs38.dts                     |   21 +
 arch/arc/boot/dts/vdk_hs38_smp.dts                 |   21 +
 arch/arc/configs/axs101_defconfig                  |  111 +
 arch/arc/configs/axs103_defconfig                  |  117 +
 arch/arc/configs/axs103_smp_defconfig              |  118 +
 arch/arc/configs/nsim_700_defconfig                |    7 +-
 arch/arc/configs/nsim_hs_defconfig                 |   64 +
 arch/arc/configs/nsim_hs_smp_defconfig             |   63 +
 arch/arc/configs/nsimosci_defconfig                |    5 +-
 arch/arc/configs/nsimosci_hs_defconfig             |   73 +
 arch/arc/configs/nsimosci_hs_smp_defconfig         |   93 +
 arch/arc/configs/tb10x_defconfig                   |    3 +-
 arch/arc/configs/vdk_hs38_defconfig                |  102 +
 arch/arc/configs/vdk_hs38_smp_defconfig            |  104 +
 arch/arc/include/asm/Kbuild                        |    2 -
 arch/arc/include/asm/arcregs.h                     |   66 +-
 arch/arc/include/asm/atomic.h                      |   35 +-
 arch/arc/include/asm/barrier.h                     |   48 +
 arch/arc/include/asm/bitops.h                      |  529 +-
 arch/arc/include/asm/cache.h                       |   18 +-
 arch/arc/include/asm/cacheflush.h                  |    4 +-
 arch/arc/include/asm/cmpxchg.h                     |   26 +-
 arch/arc/include/asm/delay.h                       |    9 +-
 arch/arc/include/asm/dma-mapping.h                 |   43 +-
 arch/arc/include/asm/elf.h                         |    5 +
 arch/arc/include/asm/entry-arcv2.h                 |  190 +
 arch/arc/include/asm/entry-compact.h               |  307 +
 arch/arc/include/asm/entry.h                       |  378 +-
 arch/arc/include/asm/futex.h                       |   10 +-
 arch/arc/include/asm/io.h                          |   43 +-
 arch/arc/include/asm/irq.h                         |    6 +
 arch/arc/include/asm/irqflags-arcv2.h              |  124 +
 arch/arc/include/asm/irqflags-compact.h            |  183 +
 arch/arc/include/asm/irqflags.h                    |  168 +-
 arch/arc/include/asm/mcip.h                        |   94 +
 arch/arc/include/asm/mm-arch-hooks.h               |   15 +
 arch/arc/include/asm/mmu.h                         |   24 +-
 arch/arc/include/asm/pgtable.h                     |   10 +
 arch/arc/include/asm/processor.h                   |   37 +-
 arch/arc/include/asm/ptrace.h                      |   43 +
 arch/arc/include/asm/spinlock.h                    |   32 +
 arch/arc/include/asm/thread_info.h                 |    1 +
 arch/arc/include/asm/uaccess.h                     |   17 +-
 arch/arc/include/uapi/asm/page.h                   |    2 +-
 arch/arc/kernel/Makefile                           |    6 +-
 arch/arc/kernel/asm-offsets.c                      |    5 +
 arch/arc/kernel/devtree.c                          |    2 +
 arch/arc/kernel/entry-arcv2.S                      |  239 +
 arch/arc/kernel/entry-compact.S                    |  393 +
 arch/arc/kernel/entry.S                            |  527 +-
 arch/arc/kernel/head.S                             |    4 +-
 arch/arc/kernel/intc-arcv2.c                       |  143 +
 arch/arc/kernel/intc-compact.c                     |  226 +
 arch/arc/kernel/irq.c                              |  210 -
 arch/arc/kernel/mcip.c                             |  341 +
 arch/arc/kernel/perf_event.c                       |    7 +-
 arch/arc/kernel/process.c                          |   14 +-
 arch/arc/kernel/ptrace.c                           |   92 +-
 arch/arc/kernel/setup.c                            |   63 +-
 arch/arc/kernel/signal.c                           |   62 +-
 arch/arc/kernel/smp.c                              |   24 +-
 arch/arc/kernel/stacktrace.c                       |   18 +-
 arch/arc/kernel/time.c                             |   88 +-
 arch/arc/kernel/troubleshoot.c                     |   43 +-
 arch/arc/lib/Makefile                              |    6 +-
 arch/arc/lib/memcmp.S                              |   30 +-
 arch/arc/lib/memcpy-archs.S                        |  236 +
 arch/arc/lib/memset-archs.S                        |   93 +
 arch/arc/lib/strcmp-archs.S                        |   78 +
 arch/arc/mm/Makefile                               |    2 +-
 arch/arc/mm/cache.c                                |  843 +++
 arch/arc/mm/cache_arc700.c                         |  723 --
 arch/arc/mm/dma.c                                  |   24 +-
 arch/arc/mm/fault.c                                |    2 +-
 arch/arc/mm/tlb.c                                  |   60 +-
 arch/arc/mm/tlbex.S                                |   44 +-
 arch/arc/plat-arcfpga/Kconfig                      |   33 -
 arch/arc/plat-arcfpga/Makefile                     |   12 -
 arch/arc/plat-arcfpga/include/plat/smp.h           |  118 -
 arch/arc/plat-arcfpga/platform.c                   |   45 -
 arch/arc/plat-arcfpga/smp.c                        |  186 -
 arch/arc/plat-axs10x/Kconfig                       |   46 +
 arch/arc/plat-axs10x/Makefile                      |    9 +
 arch/arc/plat-axs10x/axs10x.c                      |  484 ++
 arch/arc/plat-sim/Kconfig                          |   14 +
 arch/arc/plat-sim/Makefile                         |    9 +
 arch/arc/plat-sim/platform.c                       |   37 +
 arch/arm/Kconfig                                   |  114 +-
 arch/arm/Kconfig.debug                             |   69 +-
 arch/arm/Makefile                                  |    8 +
 arch/arm/boot/compressed/Makefile                  |    2 +
 arch/arm/boot/compressed/head.S                    |    4 +-
 arch/arm/boot/compressed/libfdt_env.h              |    4 +
 arch/arm/boot/dts/Makefile                         |   72 +-
 arch/arm/boot/dts/am335x-baltos-ir5221.dts         |  532 ++
 arch/arm/boot/dts/am335x-bone-common.dtsi          |   85 +
 arch/arm/boot/dts/am335x-boneblack.dts             |    4 +
 arch/arm/boot/dts/am335x-evm.dts                   |   81 +
 arch/arm/boot/dts/am335x-sl50.dts                  |  482 ++
 arch/arm/boot/dts/am3517.dtsi                      |   11 +
 arch/arm/boot/dts/am35xx-clocks.dtsi               |   14 +-
 arch/arm/boot/dts/am4372.dtsi                      |    7 +
 arch/arm/boot/dts/am437x-gp-evm.dts                |  144 +-
 arch/arm/boot/dts/am57xx-beagle-x15.dts            |   85 +
 arch/arm/boot/dts/arm-realview-pb1176.dts          |    2 +-
 arch/arm/boot/dts/armada-370-db.dts                |    2 +-
 arch/arm/boot/dts/armada-370-dlink-dns327l.dts     |  357 +
 arch/arm/boot/dts/armada-370-synology-ds213j.dts   |    2 +-
 arch/arm/boot/dts/armada-370-xp.dtsi               |    4 -
 arch/arm/boot/dts/armada-370.dtsi                  |   12 +
 arch/arm/boot/dts/armada-375-db.dts                |    2 +-
 arch/arm/boot/dts/armada-375.dtsi                  |    6 +-
 arch/arm/boot/dts/armada-385-db-ap.dts             |    2 +-
 arch/arm/boot/dts/armada-385-linksys-caiman.dts    |  114 +
 arch/arm/boot/dts/armada-385-linksys-cobra.dts     |  114 +
 arch/arm/boot/dts/armada-385-linksys.dtsi          |  332 +
 arch/arm/boot/dts/armada-388-db.dts                |    2 +-
 arch/arm/boot/dts/armada-388-gp.dts                |    2 +-
 arch/arm/boot/dts/armada-388-rd.dts                |    2 +-
 arch/arm/boot/dts/armada-38x.dtsi                  |    8 +-
 arch/arm/boot/dts/armada-398-db.dts                |    2 +-
 arch/arm/boot/dts/armada-39x.dtsi                  |    6 +-
 arch/arm/boot/dts/armada-xp-axpwifiap.dts          |    2 +-
 arch/arm/boot/dts/armada-xp-db.dts                 |    2 +-
 arch/arm/boot/dts/armada-xp-gp.dts                 |    2 +-
 arch/arm/boot/dts/armada-xp-linksys-mamba.dts      |    5 +
 arch/arm/boot/dts/armada-xp-mv78260.dtsi           |    2 +-
 arch/arm/boot/dts/armada-xp-mv78460.dtsi           |    2 +-
 arch/arm/boot/dts/armada-xp-synology-ds414.dts     |    2 +-
 arch/arm/boot/dts/armada-xp.dtsi                   |   20 +-
 arch/arm/boot/dts/armv7-m.dtsi                     |    6 +
 arch/arm/boot/dts/at91-ariettag25.dts              |   79 +
 arch/arm/boot/dts/at91-kizbox.dts                  |  159 +
 arch/arm/boot/dts/at91-kizbox2.dts                 |  216 +
 arch/arm/boot/dts/at91-kizboxmini.dts              |  129 +
 arch/arm/boot/dts/at91-sama5d3_xplained.dts        |   35 +-
 arch/arm/boot/dts/at91-sama5d4_xplained.dts        |   28 +-
 arch/arm/boot/dts/at91-sama5d4ek.dts               |    7 +-
 arch/arm/boot/dts/at91rm9200.dtsi                  |    6 +-
 arch/arm/boot/dts/at91rm9200ek.dts                 |    4 +
 arch/arm/boot/dts/at91sam9260.dtsi                 |    4 +-
 arch/arm/boot/dts/at91sam9261.dtsi                 |    4 +-
 arch/arm/boot/dts/at91sam9261ek.dts                |    3 +-
 arch/arm/boot/dts/at91sam9263.dtsi                 |    4 +-
 arch/arm/boot/dts/at91sam9263ek.dts                |    3 +-
 arch/arm/boot/dts/at91sam9g20ek_common.dtsi        |    3 +-
 arch/arm/boot/dts/at91sam9g45.dtsi                 |   10 +-
 arch/arm/boot/dts/at91sam9m10g45ek.dts             |    3 +-
 arch/arm/boot/dts/at91sam9n12.dtsi                 |    5 +-
 arch/arm/boot/dts/at91sam9n12ek.dts                |    3 +-
 arch/arm/boot/dts/at91sam9rl.dtsi                  |   15 +-
 arch/arm/boot/dts/at91sam9rlek.dts                 |   11 +-
 arch/arm/boot/dts/at91sam9x5.dtsi                  |   58 +-
 arch/arm/boot/dts/at91sam9x5ek.dtsi                |    3 +-
 arch/arm/boot/dts/atlas7.dtsi                      | 1057 ++-
 arch/arm/boot/dts/axp209.dtsi                      |    5 -
 arch/arm/boot/dts/bcm-cygnus.dtsi                  |   12 +
 arch/arm/boot/dts/bcm2835-rpi-b-plus.dts           |    4 +-
 arch/arm/boot/dts/bcm2835-rpi-b.dts                |    4 +-
 arch/arm/boot/dts/bcm2835-rpi.dtsi                 |    8 +-
 arch/arm/boot/dts/bcm2835.dtsi                     |   13 +-
 arch/arm/boot/dts/bcm4708-asus-rt-ac56u.dts        |   97 +
 arch/arm/boot/dts/bcm4708-asus-rt-ac68u.dts        |   84 +
 arch/arm/boot/dts/bcm4708-buffalo-wzr-1750dhp.dts  |    7 +
 arch/arm/boot/dts/bcm4708-luxul-xwc-1000.dts       |    9 +-
 arch/arm/boot/dts/bcm4708-netgear-r6250.dts        |    1 +
 arch/arm/boot/dts/bcm4708-netgear-r6300-v2.dts     |    1 +
 arch/arm/boot/dts/bcm4708-smartrg-sr400ac.dts      |  120 +
 arch/arm/boot/dts/bcm47081-asus-rt-n18u.dts        |    1 +
 arch/arm/boot/dts/bcm47081-buffalo-wzr-600dhp2.dts |    1 +
 arch/arm/boot/dts/bcm47081-buffalo-wzr-900dhp.dts  |    1 +
 arch/arm/boot/dts/bcm4709-asus-rt-ac87u.dts        |   65 +
 arch/arm/boot/dts/bcm4709-buffalo-wxr-1900dhp.dts  |  128 +
 arch/arm/boot/dts/bcm4709-netgear-r8000.dts        |    1 +
 arch/arm/boot/dts/bcm5301x-nand-cs0-bch8.dtsi      |   24 +
 arch/arm/boot/dts/bcm5301x.dtsi                    |   36 +
 arch/arm/boot/dts/bcm63138.dtsi                    |   43 +
 arch/arm/boot/dts/bcm7445-bcm97445svmb.dts         |   23 +
 arch/arm/boot/dts/bcm7445.dtsi                     |   59 +
 arch/arm/boot/dts/bcm958300k.dts                   |   16 +
 arch/arm/boot/dts/bcm963138dvt.dts                 |   12 +
 arch/arm/boot/dts/berlin2-sony-nsz-gs7.dts         |   34 +-
 arch/arm/boot/dts/berlin2.dtsi                     |  124 +-
 arch/arm/boot/dts/berlin2cd-google-chromecast.dts  |   34 +-
 arch/arm/boot/dts/berlin2cd.dtsi                   |  100 +-
 arch/arm/boot/dts/berlin2q-marvell-dmp.dts         |   34 +-
 arch/arm/boot/dts/berlin2q.dtsi                    |  154 +-
 arch/arm/boot/dts/cx92755.dtsi                     |   17 +
 arch/arm/boot/dts/cx92755_equinox.dts              |    4 +
 arch/arm/boot/dts/dm816x.dtsi                      |    4 +-
 arch/arm/boot/dts/dove-cm-a510.dts                 |   38 -
 arch/arm/boot/dts/dove-cm-a510.dtsi                |  195 +
 arch/arm/boot/dts/dove-sbc-a510.dts                |  182 +
 arch/arm/boot/dts/dove.dtsi                        |   40 +-
 arch/arm/boot/dts/dra7.dtsi                        |   43 +
 arch/arm/boot/dts/dra72-evm.dts                    |  110 +
 arch/arm/boot/dts/dra72x.dtsi                      |   11 +
 arch/arm/boot/dts/dra74x.dtsi                      |   15 +
 arch/arm/boot/dts/dra7xx-clocks.dtsi               |   11 +
 arch/arm/boot/dts/emev2-kzm9d.dts                  |    4 +-
 arch/arm/boot/dts/exynos3250-monk.dts              |    3 +-
 arch/arm/boot/dts/exynos3250-rinato.dts            |    7 +-
 arch/arm/boot/dts/exynos3250.dtsi                  |   48 +-
 arch/arm/boot/dts/exynos4.dtsi                     |  150 +-
 arch/arm/boot/dts/exynos4210-origen.dts            |  418 +-
 arch/arm/boot/dts/exynos4210-smdkv310.dts          |  280 +-
 arch/arm/boot/dts/exynos4210-trats.dts             |  592 +-
 arch/arm/boot/dts/exynos4210.dtsi                  |   72 +-
 arch/arm/boot/dts/exynos4212.dtsi                  |   12 +-
 arch/arm/boot/dts/exynos4412-odroid-common.dtsi    |  732 +-
 arch/arm/boot/dts/exynos4412-odroidx.dts           |   16 +-
 arch/arm/boot/dts/exynos4412-origen.dts            |  892 +--
 arch/arm/boot/dts/exynos4412-smdk4412.dts          |  210 +-
 arch/arm/boot/dts/exynos4412-tiny4412.dts          |   54 +-
 arch/arm/boot/dts/exynos4412-trats2.dts            | 1339 ++--
 arch/arm/boot/dts/exynos4412.dtsi                  |   20 +-
 arch/arm/boot/dts/exynos4415.dtsi                  |   15 +-
 arch/arm/boot/dts/exynos4x12-pinctrl.dtsi          |    8 +-
 arch/arm/boot/dts/exynos4x12.dtsi                  |  290 +-
 arch/arm/boot/dts/exynos5.dtsi                     |    6 +-
 arch/arm/boot/dts/exynos5250-smdk5250.dts          |   12 +
 arch/arm/boot/dts/exynos5250-snow.dts              |   46 +-
 arch/arm/boot/dts/exynos5250.dtsi                  |  332 +-
 arch/arm/boot/dts/exynos5260-xyref5260.dts         |    2 +-
 arch/arm/boot/dts/exynos5420-arndale-octa.dts      |  652 +-
 arch/arm/boot/dts/exynos5420-peach-pit.dts         |    2 +-
 arch/arm/boot/dts/exynos5420-smdk5420.dts          |  645 +-
 arch/arm/boot/dts/exynos5420.dtsi                  |  305 +-
 arch/arm/boot/dts/exynos5422-odroidxu3-common.dtsi |  479 ++
 arch/arm/boot/dts/exynos5422-odroidxu3-lite.dts    |   20 +
 arch/arm/boot/dts/exynos5422-odroidxu3.dts         |  339 +-
 arch/arm/boot/dts/exynos5440-sd5v1.dts             |   10 +-
 arch/arm/boot/dts/exynos5440-ssdk5440.dts          |   85 +-
 arch/arm/boot/dts/exynos5440.dtsi                  |    4 +-
 arch/arm/boot/dts/exynos5800-peach-pi.dts          |    2 +-
 arch/arm/boot/dts/imx23-olinuxino.dts              |    6 +
 arch/arm/boot/dts/imx23.dtsi                       |   40 +-
 arch/arm/boot/dts/imx28-cfa10036.dts               |    3 +
 arch/arm/boot/dts/imx6dl-apf6dev.dts               |   60 +
 arch/arm/boot/dts/imx6dl-aristainetos2_4.dts       |  159 +
 arch/arm/boot/dts/imx6dl-aristainetos2_7.dts       |   97 +
 arch/arm/boot/dts/imx6dl-cubox-i.dts               |    5 +-
 arch/arm/boot/dts/imx6dl-gw551x.dts                |   55 +
 arch/arm/boot/dts/imx6dl-hummingboard.dts          |    5 +-
 arch/arm/boot/dts/imx6dl.dtsi                      |    4 +
 arch/arm/boot/dts/imx6q-apf6dev.dts                |   64 +
 arch/arm/boot/dts/imx6q-cubox-i.dts                |    5 +-
 arch/arm/boot/dts/imx6q-gw551x.dts                 |   55 +
 arch/arm/boot/dts/imx6q-hummingboard.dts           |    5 +-
 arch/arm/boot/dts/imx6qdl-apf6.dtsi                |  158 +
 arch/arm/boot/dts/imx6qdl-apf6dev.dtsi             |  479 ++
 arch/arm/boot/dts/imx6qdl-aristainetos2.dtsi       |  633 ++
 arch/arm/boot/dts/imx6qdl-cubox-i.dtsi             |    5 +-
 arch/arm/boot/dts/imx6qdl-gw551x.dtsi              |  314 +
 arch/arm/boot/dts/imx6qdl-hummingboard.dtsi        |   19 +-
 arch/arm/boot/dts/imx6qdl-microsom-ar8035.dtsi     |    5 +-
 arch/arm/boot/dts/imx6qdl-microsom.dtsi            |  108 +-
 arch/arm/boot/dts/imx6qdl-nitrogen6x.dtsi          |   30 +-
 arch/arm/boot/dts/imx6qdl-sabrelite.dtsi           |   30 +-
 arch/arm/boot/dts/imx6qdl.dtsi                     |   57 +-
 arch/arm/boot/dts/imx6sl-warp.dts                  |   39 +-
 arch/arm/boot/dts/imx7d-pinfunc.h                  | 1038 +++
 arch/arm/boot/dts/imx7d-sdb.dts                    |  408 +
 arch/arm/boot/dts/imx7d.dtsi                       |  486 ++
 arch/arm/boot/dts/integrator.dtsi                  |    4 +-
 arch/arm/boot/dts/k2e-evm.dts                      |    1 +
 arch/arm/boot/dts/k2e-netcp.dtsi                   |  206 +
 arch/arm/boot/dts/k2e.dtsi                         |    1 +
 arch/arm/boot/dts/k2hk-evm.dts                     |    1 +
 arch/arm/boot/dts/k2hk-netcp.dtsi                  |  208 +
 arch/arm/boot/dts/k2hk.dtsi                        |    1 +
 arch/arm/boot/dts/k2l-evm.dts                      |    1 +
 arch/arm/boot/dts/k2l-netcp.dtsi                   |  189 +
 arch/arm/boot/dts/k2l.dtsi                         |    1 +
 arch/arm/boot/dts/kirkwood-b3.dts                  |    2 +-
 arch/arm/boot/dts/kirkwood-cloudbox.dts            |    2 +-
 arch/arm/boot/dts/kirkwood-dir665.dts              |    2 +-
 arch/arm/boot/dts/kirkwood-dreamplug.dts           |    2 +-
 arch/arm/boot/dts/kirkwood-lsxl.dtsi               |    2 +-
 arch/arm/boot/dts/kirkwood-mv88f6281gtw-ge.dts     |    2 +-
 arch/arm/boot/dts/kirkwood-netxbig.dtsi            |    2 +-
 arch/arm/boot/dts/kirkwood-ns2-common.dtsi         |    2 +-
 arch/arm/boot/dts/kirkwood-rd88f6192.dts           |    2 +-
 arch/arm/boot/dts/kirkwood-synology.dtsi           |    2 +-
 arch/arm/boot/dts/kirkwood-t5325.dts               |    2 +-
 arch/arm/boot/dts/kirkwood-ts219.dtsi              |    2 +-
 arch/arm/boot/dts/kizbox.dts                       |  150 -
 arch/arm/boot/dts/logicpd-torpedo-37xx-devkit.dts  |  157 +
 arch/arm/boot/dts/logicpd-torpedo-som.dtsi         |  162 +
 arch/arm/boot/dts/lpc18xx.dtsi                     |  114 +
 arch/arm/boot/dts/lpc4350-hitex-eval.dts           |   45 +
 arch/arm/boot/dts/lpc4350.dtsi                     |   39 +
 arch/arm/boot/dts/lpc4357-ea4357-devkit.dts        |   41 +
 arch/arm/boot/dts/lpc4357.dtsi                     |   39 +
 arch/arm/boot/dts/mt8127.dtsi                      |    8 +-
 arch/arm/boot/dts/mt8135-pinfunc.h                 | 1302 ++++
 arch/arm/boot/dts/mt8135.dtsi                      |   29 +
 arch/arm/boot/dts/omap3-cm-t3517.dts               |    2 +-
 arch/arm/boot/dts/omap3-devkit8000.dts             |   41 +
 arch/arm/boot/dts/omap3-evm-common.dtsi            |    1 +
 arch/arm/boot/dts/omap3-gta04.dtsi                 |   37 +
 arch/arm/boot/dts/omap3-ldp.dts                    |   18 +-
 arch/arm/boot/dts/omap3-n900.dts                   |    4 +-
 arch/arm/boot/dts/omap5-uevm.dts                   |   14 +-
 arch/arm/boot/dts/pxa27x.dtsi                      |   46 +-
 arch/arm/boot/dts/pxa2xx.dtsi                      |    7 +-
 arch/arm/boot/dts/pxa3xx.dtsi                      |   29 +-
 arch/arm/boot/dts/qcom-apq8064-cm-qs600.dts        |  100 +
 arch/arm/boot/dts/qcom-apq8064-ifc6410.dts         |  136 +-
 arch/arm/boot/dts/qcom-apq8064.dtsi                |  175 +-
 arch/arm/boot/dts/qcom-msm8660.dtsi                |    5 +
 arch/arm/boot/dts/qcom-pm8841.dtsi                 |   18 +
 arch/arm/boot/dts/qcom-pm8941.dtsi                 |  133 +-
 arch/arm/boot/dts/qcom-pma8084.dtsi                |   92 +
 arch/arm/boot/dts/r8a73a4-ape6evm.dts              |    2 +-
 arch/arm/boot/dts/r8a73a4.dtsi                     |   11 +-
 arch/arm/boot/dts/r8a7740-armadillo800eva.dts      |    2 +-
 arch/arm/boot/dts/r8a7740.dtsi                     |   10 +-
 arch/arm/boot/dts/r8a7778-bockw-reference.dts      |    2 +-
 arch/arm/boot/dts/r8a7778-bockw.dts                |    4 +-
 arch/arm/boot/dts/r8a7778.dtsi                     |    2 +-
 arch/arm/boot/dts/r8a7779-marzen.dts               |    6 +-
 arch/arm/boot/dts/r8a7779.dtsi                     |    2 +-
 arch/arm/boot/dts/r8a7790-lager.dts                |    4 +-
 arch/arm/boot/dts/r8a7790.dtsi                     |   66 +-
 arch/arm/boot/dts/r8a7791-henninger.dts            |    2 +-
 arch/arm/boot/dts/r8a7791-koelsch.dts              |    4 +-
 arch/arm/boot/dts/r8a7791.dtsi                     |   79 +-
 arch/arm/boot/dts/r8a7794.dtsi                     |   45 +
 arch/arm/boot/dts/rk3066a-bqcurie2.dts             |   44 +-
 arch/arm/boot/dts/rk3066a.dtsi                     |   44 +-
 arch/arm/boot/dts/rk3188-radxarock.dts             |   45 +-
 arch/arm/boot/dts/rk3188.dtsi                      |   44 +-
 arch/arm/boot/dts/rk3288-evb-act8846.dts           |   44 +-
 arch/arm/boot/dts/rk3288-evb-rk808.dts             |   44 +-
 arch/arm/boot/dts/rk3288-evb.dtsi                  |   67 +-
 arch/arm/boot/dts/rk3288-firefly.dtsi              |   14 +
 arch/arm/boot/dts/rk3288-popmetal.dts              |    6 +
 arch/arm/boot/dts/rk3288-thermal.dtsi              |   40 +-
 arch/arm/boot/dts/rk3288.dtsi                      |   58 +-
 arch/arm/boot/dts/rk3xxx.dtsi                      |   44 +-
 arch/arm/boot/dts/s3c2416-smdk2416.dts             |   86 +-
 arch/arm/boot/dts/s3c2416.dtsi                     |   18 +-
 arch/arm/boot/dts/sama5d3.dtsi                     |   13 +-
 arch/arm/boot/dts/sama5d3xcm.dtsi                  |    9 +-
 arch/arm/boot/dts/sama5d4.dtsi                     |  220 +-
 arch/arm/boot/dts/sh73a0-kzm9g.dts                 |    1 +
 arch/arm/boot/dts/sh73a0.dtsi                      |   10 +-
 arch/arm/boot/dts/socfpga.dtsi                     |   20 +-
 arch/arm/boot/dts/socfpga_arria10.dtsi             |  363 +-
 arch/arm/boot/dts/socfpga_arria10_socdk.dts        |   48 -
 arch/arm/boot/dts/socfpga_arria10_socdk.dtsi       |   74 +
 arch/arm/boot/dts/socfpga_arria10_socdk_sdmmc.dts  |   26 +
 arch/arm/boot/dts/socfpga_cyclone5_sockit.dts      |   16 +
 arch/arm/boot/dts/socfpga_cyclone5_socrates.dts    |   31 +
 arch/arm/boot/dts/ste-dbx5x0.dtsi                  |  175 +
 arch/arm/boot/dts/ste-href-stuib.dtsi              |   50 +
 arch/arm/boot/dts/ste-href-tvk1281618.dtsi         |    7 +-
 arch/arm/boot/dts/ste-nomadik-stn8815.dtsi         |    5 +-
 arch/arm/boot/dts/ste-snowball.dts                 |   23 +-
 arch/arm/boot/dts/stih407-b2120.dts                |    2 +-
 arch/arm/boot/dts/stih407-family.dtsi              |  231 +-
 arch/arm/boot/dts/stih407-pinctrl.dtsi             |    2 +
 arch/arm/boot/dts/stih407.dtsi                     |   28 +
 arch/arm/boot/dts/stih410-b2120.dts                |   10 +
 arch/arm/boot/dts/stih416-b2020e.dts               |   10 +
 arch/arm/boot/dts/stih416-pinctrl.dtsi             |   50 +
 arch/arm/boot/dts/stih416.dtsi                     |   66 +-
 arch/arm/boot/dts/stih418-b2199.dts                |   27 +
 arch/arm/boot/dts/stihxxx-b2120.dtsi               |   13 +
 arch/arm/boot/dts/stm32f429-disco.dts              |   71 +
 arch/arm/boot/dts/stm32f429.dtsi                   |  214 +
 arch/arm/boot/dts/sun4i-a10-a1000.dts              |  211 +-
 arch/arm/boot/dts/sun4i-a10-ba10-tvbox.dts         |  185 +-
 arch/arm/boot/dts/sun4i-a10-chuwi-v7-cw0825.dts    |   40 +-
 arch/arm/boot/dts/sun4i-a10-cubieboard.dts         |  226 +-
 arch/arm/boot/dts/sun4i-a10-gemei-g9.dts           |  171 +
 arch/arm/boot/dts/sun4i-a10-hackberry.dts          |  200 +-
 arch/arm/boot/dts/sun4i-a10-hyundai-a7hd.dts       |   13 +-
 arch/arm/boot/dts/sun4i-a10-inet97fv2.dts          |  123 +-
 arch/arm/boot/dts/sun4i-a10-jesurun-q5.dts         |  193 +
 arch/arm/boot/dts/sun4i-a10-marsboard.dts          |   20 +-
 arch/arm/boot/dts/sun4i-a10-mini-xplus.dts         |  149 +-
 arch/arm/boot/dts/sun4i-a10-mk802.dts              |   13 +-
 arch/arm/boot/dts/sun4i-a10-mk802ii.dts            |   13 +-
 arch/arm/boot/dts/sun4i-a10-olinuxino-lime.dts     |  228 +-
 arch/arm/boot/dts/sun4i-a10-pcduino.dts            |  189 +-
 arch/arm/boot/dts/sun4i-a10.dtsi                   |  166 +-
 arch/arm/boot/dts/sun5i-a10s-auxtek-t004.dts       |  154 +
 arch/arm/boot/dts/sun5i-a10s-mk802.dts             |   13 +-
 arch/arm/boot/dts/sun5i-a10s-olinuxino-micro.dts   |  328 +-
 arch/arm/boot/dts/sun5i-a10s-r7-tv-dongle.dts      |  139 +-
 arch/arm/boot/dts/sun5i-a10s.dtsi                  |  651 +-
 arch/arm/boot/dts/sun5i-a13-hsg-h702.dts           |  143 +-
 arch/arm/boot/dts/sun5i-a13-olinuxino-micro.dts    |  155 +-
 arch/arm/boot/dts/sun5i-a13-olinuxino.dts          |  245 +-
 arch/arm/boot/dts/sun5i-a13-utoo-p66.dts           |  226 +
 arch/arm/boot/dts/sun5i-a13.dtsi                   |  608 +-
 arch/arm/boot/dts/sun5i.dtsi                       |  609 ++
 arch/arm/boot/dts/sun6i-a31-app4-evb1.dts          |   63 +-
 arch/arm/boot/dts/sun6i-a31-colombus.dts           |  145 +-
 arch/arm/boot/dts/sun6i-a31-hummingbird.dts        |  108 +-
 arch/arm/boot/dts/sun6i-a31-i7.dts                 |  149 +
 arch/arm/boot/dts/sun6i-a31-m9.dts                 |  161 +-
 arch/arm/boot/dts/sun6i-a31-mele-a1000g-quad.dts   |  149 +
 arch/arm/boot/dts/sun6i-a31.dtsi                   |  133 +-
 arch/arm/boot/dts/sun6i-a31s-cs908.dts             |   48 +-
 arch/arm/boot/dts/sun6i-a31s.dtsi                  |    5 -
 arch/arm/boot/dts/sun7i-a20-bananapi.dts           |  271 +-
 arch/arm/boot/dts/sun7i-a20-bananapro.dts          |   25 +-
 arch/arm/boot/dts/sun7i-a20-cubieboard2.dts        |  205 +-
 arch/arm/boot/dts/sun7i-a20-cubietruck.dts         |  331 +-
 arch/arm/boot/dts/sun7i-a20-hummingbird.dts        |  426 +-
 arch/arm/boot/dts/sun7i-a20-i12-tvbox.dts          |  267 +-
 arch/arm/boot/dts/sun7i-a20-m3.dts                 |  191 +-
 arch/arm/boot/dts/sun7i-a20-mk808c.dts             |  148 +
 arch/arm/boot/dts/sun7i-a20-olinuxino-lime.dts     |  197 +-
 arch/arm/boot/dts/sun7i-a20-olinuxino-lime2.dts    |  301 +-
 arch/arm/boot/dts/sun7i-a20-olinuxino-micro.dts    |  411 +-
 arch/arm/boot/dts/sun7i-a20-orangepi-mini.dts      |  250 +
 arch/arm/boot/dts/sun7i-a20-orangepi.dts           |  228 +
 arch/arm/boot/dts/sun7i-a20-pcduino3-nano.dts      |  194 +
 arch/arm/boot/dts/sun7i-a20-pcduino3.dts           |  217 +-
 arch/arm/boot/dts/sun7i-a20-wexler-tab7200.dts     |  183 +
 arch/arm/boot/dts/sun7i-a20.dtsi                   |  196 +-
 arch/arm/boot/dts/sun8i-a23-a33.dtsi               |  636 ++
 arch/arm/boot/dts/sun8i-a23-evb.dts                |  134 +
 arch/arm/boot/dts/sun8i-a23-ippo-q8h-v1.2.dts      |    5 -
 arch/arm/boot/dts/sun8i-a23-ippo-q8h-v5.dts        |  117 +-
 arch/arm/boot/dts/sun8i-a23.dtsi                   |  557 +-
 arch/arm/boot/dts/sun8i-a33-et-q8-v1.6.dts         |   88 +
 arch/arm/boot/dts/sun8i-a33-ga10h-v1.1.dts         |  125 +
 arch/arm/boot/dts/sun8i-a33-sinlinx-sina33.dts     |  129 +
 arch/arm/boot/dts/sun8i-a33.dtsi                   |   97 +
 arch/arm/boot/dts/sun9i-a80-cubieboard4.dts        |   99 +
 arch/arm/boot/dts/sun9i-a80-optimus.dts            |   72 +-
 arch/arm/boot/dts/sun9i-a80.dtsi                   |  145 +-
 arch/arm/boot/dts/sunxi-common-regulators.dtsi     |    5 -
 arch/arm/boot/dts/tegra124-jetson-tk1.dts          |    4 +
 arch/arm/boot/dts/tegra124-venice2.dts             |    3 +
 arch/arm/boot/dts/tegra124.dtsi                    |    6 +-
 arch/arm/boot/dts/tegra20-seaboard.dts             |   12 +
 arch/arm/boot/dts/tegra20.dtsi                     |    2 +-
 arch/arm/boot/dts/tegra30-cardhu.dtsi              |   30 +-
 arch/arm/boot/dts/tegra30.dtsi                     |   15 +
 arch/arm/boot/dts/uniphier-ph1-ld4-ref.dts         |   79 +
 arch/arm/boot/dts/uniphier-ph1-ld4.dtsi            |  110 +
 arch/arm/boot/dts/uniphier-ph1-pro4-ref.dts        |   79 +
 arch/arm/boot/dts/uniphier-ph1-pro4.dtsi           |  117 +
 arch/arm/boot/dts/uniphier-ph1-sld3-ref.dts        |   80 +
 arch/arm/boot/dts/uniphier-ph1-sld3.dtsi           |  117 +
 arch/arm/boot/dts/uniphier-ph1-sld8-ref.dts        |   79 +
 arch/arm/boot/dts/uniphier-ph1-sld8.dtsi           |  110 +
 arch/arm/boot/dts/uniphier-support-card.dtsi       |   65 +
 arch/arm/boot/dts/vexpress-v2p-ca15_a7.dts         |   25 +-
 arch/arm/boot/dts/vf-colibri.dtsi                  |    2 +-
 arch/arm/boot/dts/vf610-cosmic.dts                 |    2 +-
 arch/arm/boot/dts/vf610-pinfunc.h                  |    2 +-
 arch/arm/boot/dts/vf610-twr.dts                    |    2 +-
 arch/arm/boot/dts/vf610m4-colibri.dts              |   99 +
 arch/arm/boot/dts/vf610m4.dtsi                     |   50 +
 arch/arm/boot/dts/zx296702-ad1.dts                 |   48 +
 arch/arm/boot/dts/zx296702.dtsi                    |  139 +
 arch/arm/boot/dts/zynq-7000.dtsi                   |    4 +-
 arch/arm/boot/dts/zynq-parallella.dts              |    9 +-
 arch/arm/boot/dts/zynq-zc702.dts                   |    3 +-
 arch/arm/boot/dts/zynq-zc706.dts                   |    3 +-
 arch/arm/boot/dts/zynq-zed.dts                     |    3 +-
 arch/arm/boot/dts/zynq-zybo.dts                    |    8 +-
 arch/arm/common/Makefile                           |    1 -
 arch/arm/common/edma.c                             |    3 +
 arch/arm/common/mcpm_entry.c                       |  281 +-
 arch/arm/common/mcpm_head.S                        |    2 +-
 arch/arm/common/sa1111.c                           |    7 +-
 arch/arm/common/timer-sp.c                         |  304 -
 arch/arm/configs/at91_dt_defconfig                 |    2 +
 arch/arm/configs/efm32_defconfig                   |    2 +-
 arch/arm/configs/exynos_defconfig                  |   50 +-
 arch/arm/configs/hisi_defconfig                    |    2 +
 arch/arm/configs/imx_v6_v7_defconfig               |    9 +
 arch/arm/configs/keystone_defconfig                |    6 +
 arch/arm/configs/lpc18xx_defconfig                 |  151 +
 arch/arm/configs/multi_v7_defconfig                |  112 +-
 arch/arm/configs/omap2plus_defconfig               |    3 +
 arch/arm/configs/qcom_defconfig                    |    2 +
 arch/arm/configs/sama5_defconfig                   |    1 +
 arch/arm/configs/shmobile_defconfig                |    4 +-
 arch/arm/configs/stm32_defconfig                   |   70 +
 arch/arm/configs/sunxi_defconfig                   |    6 +-
 arch/arm/configs/tegra_defconfig                   |   10 +
 arch/arm/configs/u8500_defconfig                   |    5 +
 arch/arm/configs/vf610m4_defconfig                 |   42 +
 arch/arm/configs/zx_defconfig                      |  129 +
 arch/arm/crypto/Kconfig                            |   15 +-
 arch/arm/crypto/Makefile                           |   10 +-
 arch/arm/crypto/aes-ce-core.S                      |    7 +-
 arch/arm/crypto/sha512-armv4.pl                    |  649 ++
 arch/arm/crypto/sha512-armv7-neon.S                |  455 --
 arch/arm/crypto/sha512-core.S_shipped              | 1861 +++++
 arch/arm/crypto/sha512-glue.c                      |  121 +
 arch/arm/crypto/sha512-neon-glue.c                 |   98 +
 arch/arm/crypto/sha512.h                           |    8 +
 arch/arm/crypto/sha512_neon_glue.c                 |  305 -
 arch/arm/include/asm/Kbuild                        |    1 -
 arch/arm/include/asm/assembler.h                   |   17 +-
 arch/arm/include/asm/barrier.h                     |    2 +-
 arch/arm/include/asm/cacheflush.h                  |    7 +
 arch/arm/include/asm/cmpxchg.h                     |   67 +-
 arch/arm/include/asm/dma.h                         |    2 +-
 arch/arm/include/asm/edac.h                        |    5 +-
 arch/arm/include/asm/entry-macro-multi.S           |    4 +-
 arch/arm/include/asm/firmware.h                    |    4 +
 arch/arm/include/asm/futex.h                       |   13 +-
 arch/arm/include/asm/hardware/arm_timer.h          |   35 -
 arch/arm/include/asm/hardware/timer-sp.h           |   23 -
 arch/arm/include/asm/hugetlb.h                     |   13 -
 arch/arm/include/asm/io.h                          |  120 +-
 arch/arm/include/asm/irqflags.h                    |   11 +
 arch/arm/include/asm/kvm_asm.h                     |    2 +-
 arch/arm/include/asm/kvm_host.h                    |    5 -
 arch/arm/include/asm/mach/arch.h                   |    2 +-
 arch/arm/include/asm/mcpm.h                        |   73 +-
 arch/arm/include/asm/memory.h                      |   18 +-
 arch/arm/include/asm/mm-arch-hooks.h               |   15 +
 arch/arm/include/asm/module.h                      |   12 +-
 arch/arm/include/asm/pci.h                         |   10 -
 arch/arm/include/asm/perf_event.h                  |    7 +
 arch/arm/include/asm/pgtable-2level.h              |   31 +-
 arch/arm/include/asm/pmu.h                         |   19 +-
 arch/arm/include/asm/proc-fns.h                    |    7 -
 arch/arm/include/asm/smp.h                         |    3 +-
 arch/arm/include/asm/suspend.h                     |    1 +
 arch/arm/include/asm/system_info.h                 |    1 +
 arch/arm/include/asm/topology.h                    |    2 +-
 arch/arm/include/asm/unified.h                     |    2 -
 arch/arm/include/asm/vfp.h                         |    9 +
 arch/arm/include/asm/xen/hypervisor.h              |    8 +
 arch/arm/include/asm/xen/page.h                    |    1 -
 arch/arm/include/debug/8250.S                      |    3 +
 arch/arm/include/debug/efm32.S                     |    2 +-
 arch/arm/include/debug/imx-uart.h                  |   15 +-
 arch/arm/include/debug/pl01x.S                     |    7 +
 arch/arm/kernel/Makefile                           |    5 +-
 arch/arm/kernel/armksyms.c                         |    6 +
 arch/arm/kernel/debug.S                            |    2 +-
 arch/arm/kernel/entry-armv.S                       |   16 +-
 arch/arm/kernel/entry-common.S                     |    6 +-
 arch/arm/kernel/entry-ftrace.S                     |    2 +-
 arch/arm/kernel/entry-v7m.S                        |   13 +-
 arch/arm/kernel/head-nommu.S                       |   27 +-
 arch/arm/kernel/head.S                             |   52 +-
 arch/arm/kernel/module-plts.c                      |  183 +
 arch/arm/kernel/module.c                           |   32 +-
 arch/arm/kernel/module.lds                         |    4 +
 arch/arm/kernel/perf_event.c                       |  408 +-
 arch/arm/kernel/perf_event_cpu.c                   |  421 --
 arch/arm/kernel/perf_event_v6.c                    |   49 +-
 arch/arm/kernel/perf_event_v7.c                    |  129 +-
 arch/arm/kernel/perf_event_xscale.c                |   32 +-
 arch/arm/kernel/setup.c                            |   32 +-
 arch/arm/kernel/sleep.S                            |   16 +-
 arch/arm/kernel/smp.c                              |   14 +-
 arch/arm/kernel/tcm.c                              |  104 +-
 arch/arm/kernel/traps.c                            |    8 -
 arch/arm/kvm/Kconfig                               |    1 +
 arch/arm/kvm/Makefile                              |    2 +-
 arch/arm/kvm/arm.c                                 |   24 +-
 arch/arm/kvm/interrupts.S                          |   12 +-
 arch/arm/kvm/interrupts_head.S                     |   23 +-
 arch/arm/kvm/mmu.c                                 |   14 +-
 arch/arm/kvm/psci.c                                |   18 +-
 arch/arm/lib/call_with_stack.S                     |    2 +-
 arch/arm/lib/lib1funcs.S                           |    4 +-
 arch/arm/lib/memcpy.S                              |    2 +
 arch/arm/lib/memset.S                              |    2 +
 arch/arm/mach-at91/Makefile                        |    5 -
 arch/arm/mach-at91/Makefile.boot                   |    8 -
 arch/arm/mach-at91/include/mach/at91_ramc.h        |   28 -
 arch/arm/mach-at91/include/mach/at91rm9200_mc.h    |  116 -
 arch/arm/mach-at91/include/mach/at91sam9_smc.h     |   98 -
 arch/arm/mach-at91/pm.c                            |   10 +-
 arch/arm/mach-at91/pm.h                            |   14 +-
 arch/arm/mach-at91/pm_suspend.S                    |    3 +-
 arch/arm/mach-at91/sam9_smc.c                      |  136 -
 arch/arm/mach-at91/sam9_smc.h                      |   11 -
 arch/arm/mach-bcm/Kconfig                          |    1 +
 arch/arm/mach-bcm/Makefile                         |    9 +-
 arch/arm/mach-bcm/bcm63xx_headsmp.S                |   23 +
 arch/arm/mach-bcm/bcm63xx_pmb.c                    |  221 +
 arch/arm/mach-bcm/bcm63xx_smp.c                    |  169 +
 arch/arm/mach-bcm/bcm63xx_smp.h                    |    9 +
 arch/arm/mach-bcm/bcm_5301x.c                      |    9 +-
 arch/arm/mach-bcm/board_bcm2835.c                  |   91 -
 arch/arm/mach-bcm/brcmstb.h                        |   19 -
 arch/arm/mach-bcm/headsmp-brcmstb.S                |   33 -
 arch/arm/mach-bcm/platsmp-brcmstb.c                |    4 +-
 arch/arm/mach-berlin/Kconfig                       |    1 +
 arch/arm/mach-berlin/headsmp.S                     |    6 -
 arch/arm/mach-berlin/platsmp.c                     |    3 +-
 arch/arm/mach-davinci/da850.c                      |    1 +
 arch/arm/mach-davinci/include/mach/da8xx.h         |    2 +-
 arch/arm/mach-davinci/pm_domain.c                  |   32 +-
 arch/arm/mach-dove/include/mach/irqs.h             |  118 +-
 arch/arm/mach-dove/irq.c                           |    8 +-
 arch/arm/mach-ep93xx/simone.c                      |  135 +-
 arch/arm/mach-exynos/common.h                      |    4 +-
 arch/arm/mach-exynos/exynos.c                      |   24 +-
 arch/arm/mach-exynos/firmware.c                    |   18 +
 arch/arm/mach-exynos/platsmp.c                     |   86 +-
 arch/arm/mach-exynos/pm.c                          |   51 +-
 arch/arm/mach-exynos/pm_domains.c                  |   53 +-
 arch/arm/mach-exynos/pmu.c                         |    6 +-
 arch/arm/mach-exynos/suspend.c                     |   14 +-
 arch/arm/mach-footbridge/dma.c                     |    2 +-
 arch/arm/mach-gemini/gpio.c                        |    4 +-
 arch/arm/mach-hisi/Makefile                        |    2 +-
 arch/arm/mach-hisi/core.h                          |    1 -
 arch/arm/mach-hisi/headsmp.S                       |   16 -
 arch/arm/mach-hisi/platmcpm.c                      |  133 +-
 arch/arm/mach-hisi/platsmp.c                       |    4 +-
 arch/arm/mach-imx/Kconfig                          |   83 +-
 arch/arm/mach-imx/Makefile                         |   33 +-
 arch/arm/mach-imx/Makefile.boot                    |    0
 arch/arm/mach-imx/anatop.c                         |    5 +-
 arch/arm/mach-imx/clk-cpu.c                        |  107 -
 arch/arm/mach-imx/clk-imx1.c                       |  117 -
 arch/arm/mach-imx/clk-imx21.c                      |  171 -
 arch/arm/mach-imx/clk-imx25.c                      |  264 -
 arch/arm/mach-imx/clk-imx27.c                      |  258 -
 arch/arm/mach-imx/clk-imx31.c                      |  204 -
 arch/arm/mach-imx/clk-imx35.c                      |  296 -
 arch/arm/mach-imx/clk-imx51-imx53.c                |  573 --
 arch/arm/mach-imx/clk-imx6q.c                      |  534 --
 arch/arm/mach-imx/clk-imx6sl.c                     |  450 --
 arch/arm/mach-imx/clk-imx6sx.c                     |  567 --
 arch/arm/mach-imx/clk-pllv1.c                      |  126 -
 arch/arm/mach-imx/clk-pllv3.c                      |  331 -
 arch/arm/mach-imx/clk-vf610.c                      |  412 -
 arch/arm/mach-imx/clk.h                            |  139 -
 arch/arm/mach-imx/common.h                         |   15 +-
 arch/arm/mach-imx/cpu.c                            |    3 +
 arch/arm/mach-imx/cpuidle-imx6q.c                  |    4 +-
 arch/arm/mach-imx/cpuidle-imx6sl.c                 |    4 +-
 arch/arm/mach-imx/cpuidle-imx6sx.c                 |    4 +-
 arch/arm/mach-imx/eukrea_mbimxsd35-baseboard.c     |  318 -
 arch/arm/mach-imx/gpc.c                            |    3 +-
 arch/arm/mach-imx/hardware.h                       |    1 +
 arch/arm/mach-imx/headsmp.S                        |    1 -
 arch/arm/mach-imx/iomux-imx31.c                    |    2 +-
 arch/arm/mach-imx/mach-cpuimx35.c                  |  206 -
 arch/arm/mach-imx/mach-imx6q.c                     |    1 +
 arch/arm/mach-imx/mach-imx6sl.c                    |    1 +
 arch/arm/mach-imx/mach-imx6sx.c                    |    1 +
 arch/arm/mach-imx/mach-imx7d.c                     |   43 +
 arch/arm/mach-imx/mach-vf610.c                     |    1 +
 arch/arm/mach-imx/mmdc.c                           |    2 +
 arch/arm/mach-imx/mx27.h                           |    4 -
 arch/arm/mach-imx/mx3x.h                           |    7 -
 arch/arm/mach-imx/mxc.h                            |   24 +-
 arch/arm/mach-imx/pm-imx5.c                        |  205 +-
 arch/arm/mach-imx/pm-imx6.c                        |   40 +-
 arch/arm/mach-imx/suspend-imx53.S                  |  139 +
 arch/arm/mach-imx/time.c                           |  385 -
 arch/arm/mach-integrator/integrator_ap.c           |    1 -
 arch/arm/mach-iop13xx/include/mach/time.h          |    2 +-
 arch/arm/mach-ixp4xx/include/mach/platform.h       |    2 +-
 arch/arm/mach-keystone/keystone.c                  |   41 +-
 arch/arm/mach-keystone/platsmp.c                   |   13 -
 arch/arm/mach-keystone/pm_domain.c                 |   33 +-
 arch/arm/mach-ks8695/include/mach/hardware.h       |    2 +-
 arch/arm/mach-lpc18xx/Makefile                     |    1 +
 arch/arm/mach-lpc18xx/Makefile.boot                |    3 +
 arch/arm/mach-lpc18xx/board-dt.c                   |   22 +
 arch/arm/mach-lpc32xx/clock.c                      |    5 +-
 arch/arm/mach-lpc32xx/irq.c                        |    8 +-
 arch/arm/mach-mvebu/headsmp-a9.S                   |    4 -
 arch/arm/mach-mvebu/platsmp-a9.c                   |    2 +-
 arch/arm/mach-mvebu/pm-board.c                     |    3 +
 arch/arm/mach-nspire/nspire.c                      |    2 -
 arch/arm/mach-omap1/ams-delta-fiq-handler.S        |    3 +-
 arch/arm/mach-omap1/board-ams-delta.c              |    1 +
 arch/arm/mach-omap1/board-fsample.c                |    1 +
 arch/arm/mach-omap1/board-generic.c                |    1 +
 arch/arm/mach-omap1/board-h2.c                     |    1 +
 arch/arm/mach-omap1/board-h3-mmc.c                 |    1 +
 arch/arm/mach-omap1/board-h3.c                     |    1 +
 arch/arm/mach-omap1/board-htcherald.c              |    1 +
 arch/arm/mach-omap1/board-innovator.c              |    1 +
 arch/arm/mach-omap1/board-nokia770.c               |    3 +-
 arch/arm/mach-omap1/board-osk.c                    |    1 +
 arch/arm/mach-omap1/board-palmte.c                 |    1 +
 arch/arm/mach-omap1/board-palmtt.c                 |    1 +
 arch/arm/mach-omap1/board-palmz71.c                |    1 +
 arch/arm/mach-omap1/board-perseus2.c               |    1 +
 arch/arm/mach-omap1/board-sx1.c                    |    1 +
 arch/arm/mach-omap1/board-voiceblue.c              |    1 +
 arch/arm/mach-omap1/common.h                       |    7 +-
 arch/arm/mach-omap1/dma.c                          |    2 +-
 arch/arm/mach-omap1/gpio16xx.c                     |    2 +
 arch/arm/mach-omap1/gpio7xx.c                      |    2 +
 arch/arm/mach-omap1/i2c.c                          |    3 +-
 arch/arm/mach-omap1/include/mach/entry-macro.S     |   39 -
 arch/arm/mach-omap1/include/mach/irqs.h            |  124 +-
 arch/arm/mach-omap1/include/mach/memory.h          |    4 +-
 arch/arm/mach-omap1/include/mach/serial.h          |    5 -
 arch/arm/mach-omap1/include/mach/soc.h             |    4 +
 arch/arm/mach-omap1/irq.c                          |  157 +-
 arch/arm/mach-omap1/mux.c                          |    8 +-
 arch/arm/mach-omap1/pm.c                           |    1 +
 arch/arm/mach-omap1/pm_bus.c                       |   37 +-
 arch/arm/mach-omap1/serial.c                       |    1 +
 arch/arm/mach-omap1/timer.c                        |    4 +-
 arch/arm/mach-omap2/Kconfig                        |   22 -
 arch/arm/mach-omap2/Makefile                       |    6 -
 arch/arm/mach-omap2/board-cm-t35.c                 |  769 --
 arch/arm/mach-omap2/board-omap3beagle.c            |  595 --
 arch/arm/mach-omap2/board-overo.c                  |  571 --
 arch/arm/mach-omap2/clkt2xxx_virt_prcm_set.c       |   12 +-
 arch/arm/mach-omap2/control.c                      |    3 +
 arch/arm/mach-omap2/control.h                      |    3 +
 arch/arm/mach-omap2/devices.c                      |    4 +-
 arch/arm/mach-omap2/display.c                      |   32 +-
 arch/arm/mach-omap2/dma.c                          |    1 -
 arch/arm/mach-omap2/fb.c                           |    2 +-
 arch/arm/mach-omap2/gpmc-onenand.c                 |    4 +-
 arch/arm/mach-omap2/hsmmc.c                        |    2 +-
 arch/arm/mach-omap2/hwspinlock.c                   |   60 -
 arch/arm/mach-omap2/omap-wakeupgen.c               |    2 +-
 arch/arm/mach-omap2/omap_device.c                  |   61 +-
 arch/arm/mach-omap2/omap_hwmod.c                   |   10 +-
 arch/arm/mach-omap2/omap_hwmod.h                   |    6 +
 arch/arm/mach-omap2/omap_hwmod_2xxx_ipblock_data.c |   12 +-
 .../mach-omap2/omap_hwmod_33xx_43xx_common_data.h  |    1 +
 .../mach-omap2/omap_hwmod_33xx_43xx_ipblock_data.c |   16 +-
 arch/arm/mach-omap2/omap_hwmod_33xx_data.c         |   13 -
 arch/arm/mach-omap2/omap_hwmod_3xxx_data.c         |  119 +-
 arch/arm/mach-omap2/omap_hwmod_43xx_data.c         |   22 +
 arch/arm/mach-omap2/omap_hwmod_44xx_data.c         |   11 +-
 arch/arm/mach-omap2/omap_hwmod_7xx_data.c          |   37 +-
 arch/arm/mach-omap2/omap_hwmod_81xx_data.c         |    2 +
 arch/arm/mach-omap2/opp2430_data.c                 |    4 +-
 arch/arm/mach-omap2/pdata-quirks.c                 |   22 +
 arch/arm/mach-omap2/pmu.c                          |    2 +-
 arch/arm/mach-omap2/prcm43xx.h                     |    2 +
 arch/arm/mach-omap2/sdrc2xxx.c                     |    2 +-
 arch/arm/mach-omap2/serial.c                       |    2 +-
 arch/arm/mach-omap2/sleep34xx.S                    |   22 +-
 arch/arm/mach-omap2/sram242x.S                     |    2 +-
 arch/arm/mach-omap2/sram243x.S                     |    2 +-
 arch/arm/mach-prima2/Kconfig                       |    1 +
 arch/arm/mach-prima2/headsmp.S                     |    1 -
 arch/arm/mach-prima2/rtciobrg.c                    |   48 +-
 arch/arm/mach-pxa/Makefile                         |    9 +-
 arch/arm/mach-pxa/clock-pxa2xx.c                   |   55 -
 arch/arm/mach-pxa/clock-pxa3xx.c                   |  212 -
 arch/arm/mach-pxa/clock.c                          |   86 -
 arch/arm/mach-pxa/clock.h                          |   80 -
 arch/arm/mach-pxa/eseries.c                        |   28 +-
 arch/arm/mach-pxa/generic.c                        |    6 +
 arch/arm/mach-pxa/generic.h                        |    3 +
 arch/arm/mach-pxa/irq.c                            |    2 +-
 arch/arm/mach-pxa/lubbock.c                        |    5 +-
 arch/arm/mach-pxa/mp900.c                          |    2 +-
 arch/arm/mach-pxa/pxa25x.c                         |  183 -
 arch/arm/mach-pxa/pxa27x.c                         |  182 +-
 arch/arm/mach-pxa/pxa300.c                         |   20 +-
 arch/arm/mach-pxa/pxa320.c                         |   10 -
 arch/arm/mach-pxa/pxa3xx.c                         |   59 -
 arch/arm/mach-pxa/raumfeld.c                       |    1 -
 arch/arm/mach-pxa/tosa.c                           |    2 +-
 arch/arm/mach-realview/core.c                      |   13 +-
 arch/arm/mach-rockchip/core.h                      |    1 -
 arch/arm/mach-rockchip/headsmp.S                   |    8 -
 arch/arm/mach-rockchip/platsmp.c                   |    8 +-
 arch/arm/mach-sa1100/Makefile                      |    2 +-
 arch/arm/mach-sa1100/generic.c                     |   37 +
 arch/arm/mach-sa1100/irq.c                         |  178 -
 arch/arm/mach-sa1100/neponset.c                    |    3 +-
 arch/arm/mach-shmobile/Kconfig                     |    4 +-
 arch/arm/mach-shmobile/common.h                    |    1 -
 arch/arm/mach-shmobile/headsmp-scu.S               |    4 +-
 arch/arm/mach-shmobile/headsmp.S                   |    7 -
 arch/arm/mach-shmobile/platsmp-apmu.c              |    2 +-
 arch/arm/mach-shmobile/setup-r8a7740.c             |   55 -
 arch/arm/mach-socfpga/Kconfig                      |   11 +-
 arch/arm/mach-socfpga/Makefile                     |    1 +
 arch/arm/mach-socfpga/core.h                       |   12 +-
 arch/arm/mach-socfpga/headsmp.S                    |   10 +-
 arch/arm/mach-socfpga/platsmp.c                    |   59 +-
 arch/arm/mach-socfpga/pm.c                         |  149 +
 arch/arm/mach-socfpga/self-refresh.S               |  136 +
 arch/arm/mach-socfpga/socfpga.c                    |   41 +-
 arch/arm/mach-sti/Kconfig                          |    1 +
 arch/arm/mach-stm32/Makefile                       |    1 +
 arch/arm/mach-stm32/Makefile.boot                  |    3 +
 arch/arm/mach-stm32/board-dt.c                     |   19 +
 arch/arm/mach-sunxi/Kconfig                        |    2 +-
 arch/arm/mach-sunxi/platsmp.c                      |   69 +
 arch/arm/mach-sunxi/sunxi.c                        |    5 +-
 arch/arm/mach-tegra/Makefile                       |    2 +-
 arch/arm/mach-tegra/cpuidle-tegra20.c              |    5 +-
 arch/arm/mach-tegra/headsmp.S                      |   12 -
 arch/arm/mach-tegra/reset-handler.S                |   10 +-
 arch/arm/mach-tegra/reset.c                        |    2 +-
 arch/arm/mach-tegra/reset.h                        |    5 +-
 arch/arm/mach-tegra/sleep-tegra20.S                |   37 +-
 arch/arm/mach-tegra/sleep-tegra30.S                |    2 +-
 arch/arm/mach-tegra/sleep.h                        |    4 +
 arch/arm/mach-tegra/tegra.c                        |    1 -
 arch/arm/mach-uniphier/Kconfig                     |   11 +
 arch/arm/mach-uniphier/Makefile                    |    2 +
 arch/arm/mach-uniphier/platsmp.c                   |   90 +
 arch/arm/mach-uniphier/uniphier.c                  |   30 +
 arch/arm/mach-ux500/cache-l2x0.c                   |   11 +-
 arch/arm/mach-ux500/cpu-db8500.c                   |   60 +-
 arch/arm/mach-ux500/cpu.c                          |   20 +-
 arch/arm/mach-ux500/id.c                           |    2 +-
 arch/arm/mach-ux500/platsmp.c                      |   35 +-
 arch/arm/mach-ux500/pm.c                           |   15 +-
 arch/arm/mach-ux500/setup.h                        |   16 +-
 arch/arm/mach-versatile/core.c                     |   12 +-
 arch/arm/mach-vexpress/spc.c                       |    2 +-
 arch/arm/mach-zx/Kconfig                           |   18 +
 arch/arm/mach-zx/Makefile                          |    2 +
 arch/arm/mach-zx/core.h                            |   19 +
 arch/arm/mach-zx/headsmp.S                         |   33 +
 arch/arm/mach-zx/platsmp.c                         |  189 +
 arch/arm/mach-zx/zx296702.c                        |   25 +
 arch/arm/mach-zynq/common.c                        |    6 -
 arch/arm/mach-zynq/common.h                        |    3 -
 arch/arm/mach-zynq/headsmp.S                       |    5 -
 arch/arm/mach-zynq/platsmp.c                       |    5 +-
 arch/arm/mach-zynq/slcr.c                          |   28 +-
 arch/arm/mm/Kconfig                                |   24 +-
 arch/arm/mm/Makefile                               |    3 +
 arch/arm/mm/cache-l2x0.c                           |  107 +-
 arch/arm/mm/dma-mapping.c                          |   32 +-
 arch/arm/mm/fault.c                                |    2 +-
 arch/arm/mm/highmem.c                              |    3 +
 arch/arm/mm/hugetlbpage.c                          |    5 -
 arch/arm/mm/init.c                                 |    1 +
 arch/arm/mm/ioremap.c                              |   33 +-
 arch/arm/mm/mmu.c                                  |  160 +-
 arch/arm/mm/nommu.c                                |   48 +-
 arch/arm/mm/proc-v7-2level.S                       |   12 +-
 arch/arm/mm/proc-v7-3level.S                       |   14 +-
 arch/arm/mm/proc-v7.S                              |  184 +-
 arch/arm/mm/proc-v7m.S                             |    2 +-
 arch/arm/mm/pv-fixup-asm.S                         |   88 +
 arch/arm/net/bpf_jit_32.c                          |   10 +
 arch/arm/plat-omap/dma.c                           |    4 +
 arch/arm/plat-orion/common.c                       |    6 +-
 arch/arm/plat-samsung/adc.c                        |    6 +-
 arch/arm/vdso/Makefile                             |   18 +-
 arch/arm/vdso/vdsomunge.c                          |   56 +-
 arch/arm/vfp/vfpmodule.c                           |   13 +
 arch/arm/xen/enlighten.c                           |   62 +-
 arch/arm/xen/mm.c                                  |    2 +-
 arch/arm/xen/p2m.c                                 |    2 +-
 arch/arm64/Kconfig                                 |    8 +
 arch/arm64/boot/dts/Makefile                       |    1 +
 arch/arm64/boot/dts/apm/apm-mustang.dts            |   10 +
 arch/arm64/boot/dts/apm/apm-storm.dtsi             |  123 +
 arch/arm64/boot/dts/arm/Makefile                   |    3 +-
 arch/arm64/boot/dts/arm/juno-base.dtsi             |  154 +
 arch/arm64/boot/dts/arm/juno-clocks.dtsi           |    4 +-
 arch/arm64/boot/dts/arm/juno-motherboard.dtsi      |  129 +
 arch/arm64/boot/dts/arm/juno-r1.dts                |  116 +
 arch/arm64/boot/dts/arm/juno.dts                   |  129 +-
 .../boot/dts/arm/vexpress-v2f-1xv7-ca53x2.dts      |  191 +
 arch/arm64/boot/dts/cavium/thunder-88xx.dtsi       |    9 +
 arch/arm64/boot/dts/hisilicon/Makefile             |    5 +
 arch/arm64/boot/dts/hisilicon/hi6220-hikey.dts     |   31 +
 arch/arm64/boot/dts/hisilicon/hi6220.dtsi          |  171 +
 arch/arm64/boot/dts/mediatek/mt8173-evb.dts        |    3 +-
 arch/arm64/boot/dts/mediatek/mt8173.dtsi           |   41 +-
 .../arm64/boot/dts/qcom/apq8016-sbc-pmic-pins.dtsi |   30 +
 arch/arm64/boot/dts/qcom/apq8016-sbc-soc-pins.dtsi |   21 +
 arch/arm64/boot/dts/qcom/apq8016-sbc.dtsi          |    3 +
 arch/arm64/boot/dts/qcom/msm8916-mtp.dtsi          |    1 +
 arch/arm64/boot/dts/qcom/msm8916.dtsi              |   25 +-
 arch/arm64/boot/dts/qcom/pm8916.dtsi               |   99 +
 arch/arm64/boot/dts/skeleton.dtsi                  |   13 -
 arch/arm64/configs/defconfig                       |   10 +
 arch/arm64/crypto/aes-ce-ccm-glue.c                |    2 +-
 arch/arm64/include/asm/Kbuild                      |    1 -
 arch/arm64/include/asm/acpi.h                      |   27 +-
 arch/arm64/include/asm/alternative-asm.h           |   29 -
 arch/arm64/include/asm/alternative.h               |   46 +-
 arch/arm64/include/asm/barrier.h                   |    2 +-
 arch/arm64/include/asm/boot.h                      |   14 +
 arch/arm64/include/asm/cacheflush.h                |    5 -
 arch/arm64/include/asm/cpu_ops.h                   |   27 +-
 arch/arm64/include/asm/cpufeature.h                |    8 +-
 arch/arm64/include/asm/cpuidle.h                   |    8 +-
 arch/arm64/include/asm/dma-mapping.h               |   18 +-
 arch/arm64/include/asm/fixmap.h                    |   15 +
 arch/arm64/include/asm/futex.h                     |    4 +-
 arch/arm64/include/asm/hugetlb.h                   |   13 -
 arch/arm64/include/asm/insn.h                      |    3 +
 arch/arm64/include/asm/io.h                        |    9 +-
 arch/arm64/include/asm/kvm_asm.h                   |    7 +-
 arch/arm64/include/asm/kvm_host.h                  |   23 -
 arch/arm64/include/asm/mm-arch-hooks.h             |   15 +
 arch/arm64/include/asm/mmu.h                       |    1 +
 arch/arm64/include/asm/perf_event.h                |    7 +
 arch/arm64/include/asm/proc-fns.h                  |    4 -
 arch/arm64/include/asm/processor.h                 |   19 +-
 arch/arm64/include/asm/psci.h                      |   12 +-
 arch/arm64/include/asm/smp.h                       |    2 +-
 arch/arm64/include/asm/smp_plat.h                  |   16 +
 arch/arm64/include/asm/suspend.h                   |    2 +-
 arch/arm64/include/asm/system_misc.h               |   14 +-
 arch/arm64/include/asm/tlbflush.h                  |    2 -
 arch/arm64/include/asm/topology.h                  |    2 +-
 arch/arm64/kernel/acpi.c                           |  123 +-
 arch/arm64/kernel/alternative.c                    |   71 +-
 arch/arm64/kernel/asm-offsets.c                    |    1 -
 arch/arm64/kernel/cpu_ops.c                        |   72 +-
 arch/arm64/kernel/cpufeature.c                     |   16 +
 arch/arm64/kernel/cpuidle.c                        |   13 +-
 arch/arm64/kernel/efi.c                            |    1 +
 arch/arm64/kernel/entry.S                          |   41 +-
 arch/arm64/kernel/entry32.S                        |    2 -
 arch/arm64/kernel/fpsimd.c                         |   31 +
 arch/arm64/kernel/head.S                           |   52 +-
 arch/arm64/kernel/hw_breakpoint.c                  |    1 -
 arch/arm64/kernel/insn.c                           |   60 +
 arch/arm64/kernel/perf_event.c                     |    8 +-
 arch/arm64/kernel/process.c                        |   62 +-
 arch/arm64/kernel/psci.c                           |  244 +-
 arch/arm64/kernel/setup.c                          |   39 +-
 arch/arm64/kernel/signal32.c                       |    4 +-
 arch/arm64/kernel/sleep.S                          |    9 +-
 arch/arm64/kernel/smp.c                            |  250 +-
 arch/arm64/kernel/smp_spin_table.c                 |    8 +-
 arch/arm64/kernel/suspend.c                        |    9 +-
 arch/arm64/kernel/traps.c                          |    5 +-
 arch/arm64/kernel/vdso/Makefile                    |    4 +
 arch/arm64/kernel/vmlinux.lds.S                    |   11 +-
 arch/arm64/kvm/Kconfig                             |    1 +
 arch/arm64/kvm/Makefile                            |    2 +-
 arch/arm64/kvm/hyp.S                               |   26 +-
 arch/arm64/kvm/vgic-v2-switch.S                    |    3 -
 arch/arm64/kvm/vgic-v3-switch.S                    |    2 -
 arch/arm64/mm/cache.S                              |   75 +-
 arch/arm64/mm/context.c                            |    8 +
 arch/arm64/mm/dma-mapping.c                        |   92 +
 arch/arm64/mm/fault.c                              |   14 +-
 arch/arm64/mm/flush.c                              |    1 -
 arch/arm64/mm/hugetlbpage.c                        |   11 +-
 arch/arm64/mm/init.c                               |    2 +-
 arch/arm64/mm/mmu.c                                |   68 +-
 arch/arm64/mm/proc.S                               |   46 -
 arch/arm64/net/bpf_jit.h                           |    4 +
 arch/arm64/net/bpf_jit_comp.c                      |   29 +-
 arch/avr32/include/asm/Kbuild                      |    1 -
 arch/avr32/include/asm/cmpxchg.h                   |    2 -
 arch/avr32/include/asm/dma-mapping.h               |   19 +-
 arch/avr32/include/asm/io.h                        |    1 +
 arch/avr32/include/asm/mm-arch-hooks.h             |   15 +
 arch/avr32/include/asm/uaccess.h                   |   12 +-
 arch/avr32/mach-at32ap/extint.c                    |    3 +-
 arch/avr32/mm/fault.c                              |    4 +-
 arch/blackfin/include/asm/Kbuild                   |    1 -
 arch/blackfin/include/asm/bfin_serial.h            |    8 +-
 arch/blackfin/include/asm/io.h                     |    1 +
 arch/blackfin/include/asm/mm-arch-hooks.h          |   15 +
 arch/blackfin/include/asm/pci.h                    |    2 +-
 arch/blackfin/kernel/trace.c                       |    2 +-
 arch/c6x/include/asm/Kbuild                        |    1 -
 arch/c6x/include/asm/mm-arch-hooks.h               |   15 +
 arch/cris/arch-v10/drivers/eeprom.c                |    3 +-
 arch/cris/arch-v32/drivers/sync_serial.c           |    2 +-
 arch/cris/arch-v32/mm/intmem.c                     |    3 +-
 arch/cris/include/asm/Kbuild                       |    1 -
 arch/cris/include/asm/dma-mapping.h                |    2 +-
 arch/cris/include/asm/mm-arch-hooks.h              |   15 +
 arch/cris/include/asm/pci.h                        |    2 +-
 arch/cris/mm/fault.c                               |    6 +-
 arch/frv/include/asm/Kbuild                        |    1 -
 arch/frv/include/asm/dma-mapping.h                 |    2 +-
 arch/frv/include/asm/io.h                          |    4 +-
 arch/frv/include/asm/mm-arch-hooks.h               |   15 +
 arch/frv/include/asm/pci.h                         |   12 +-
 arch/frv/include/asm/sections.h                    |    6 -
 arch/frv/mb93090-mb00/flash.c                      |    2 +-
 arch/frv/mb93090-mb00/pci-dma-nommu.c              |   10 +-
 arch/frv/mb93090-mb00/pci-dma.c                    |    7 +-
 arch/frv/mm/fault.c                                |    4 +-
 arch/frv/mm/highmem.c                              |    2 +
 arch/h8300/Kconfig                                 |   76 +
 arch/h8300/Kconfig.cpu                             |   99 +
 arch/h8300/Makefile                                |   55 +
 arch/h8300/boot/Makefile                           |   26 +
 arch/h8300/boot/compressed/Makefile                |   37 +
 arch/h8300/boot/compressed/head.S                  |   48 +
 arch/h8300/boot/compressed/misc.c                  |   74 +
 arch/h8300/boot/compressed/vmlinux.lds             |   32 +
 arch/h8300/boot/compressed/vmlinux.scr             |    9 +
 arch/h8300/boot/dts/Makefile                       |   12 +
 arch/h8300/boot/dts/edosk2674.dts                  |  107 +
 arch/h8300/boot/dts/h8300h_sim.dts                 |   96 +
 arch/h8300/boot/dts/h8s_sim.dts                    |   99 +
 arch/h8300/configs/edosk2674_defconfig             |   49 +
 arch/h8300/configs/h8300h-sim_defconfig            |   49 +
 arch/h8300/configs/h8s-sim_defconfig               |   49 +
 arch/h8300/include/asm/Kbuild                      |   75 +
 arch/h8300/include/asm/atomic.h                    |  159 +
 arch/h8300/include/asm/bitops.h                    |  185 +
 arch/h8300/include/asm/bitsperlong.h               |   14 +
 arch/h8300/include/asm/bug.h                       |   12 +
 arch/h8300/include/asm/byteorder.h                 |    7 +
 arch/h8300/include/asm/cache.h                     |   11 +
 arch/h8300/include/asm/cmpxchg.h                   |   65 +
 arch/h8300/include/asm/dma-mapping.h               |   57 +
 arch/h8300/include/asm/elf.h                       |  101 +
 arch/h8300/include/asm/flat.h                      |   28 +
 arch/h8300/include/asm/io.h                        |   57 +
 arch/h8300/include/asm/irq.h                       |   26 +
 arch/h8300/include/asm/irqflags.h                  |   96 +
 arch/h8300/include/asm/mc146818rtc.h               |    9 +
 arch/h8300/include/asm/mutex.h                     |    9 +
 arch/h8300/include/asm/page.h                      |   18 +
 arch/h8300/include/asm/page_offset.h               |    2 +
 arch/h8300/include/asm/pci.h                       |   19 +
 arch/h8300/include/asm/pgtable.h                   |   49 +
 arch/h8300/include/asm/processor.h                 |  144 +
 arch/h8300/include/asm/ptrace.h                    |   36 +
 arch/h8300/include/asm/segment.h                   |   45 +
 arch/h8300/include/asm/signal.h                    |   22 +
 arch/h8300/include/asm/smp.h                       |    1 +
 arch/h8300/include/asm/string.h                    |   17 +
 arch/h8300/include/asm/switch_to.h                 |   51 +
 arch/h8300/include/asm/syscall.h                   |   56 +
 arch/h8300/include/asm/thread_info.h               |  111 +
 arch/h8300/include/asm/tlb.h                       |    8 +
 arch/h8300/include/asm/traps.h                     |   41 +
 arch/h8300/include/asm/user.h                      |   74 +
 arch/h8300/include/uapi/asm/Kbuild                 |   30 +
 arch/h8300/include/uapi/asm/byteorder.h            |    6 +
 arch/h8300/include/uapi/asm/ptrace.h               |   42 +
 arch/h8300/include/uapi/asm/sigcontext.h           |   18 +
 arch/h8300/include/uapi/asm/signal.h               |  115 +
 arch/h8300/include/uapi/asm/unistd.h               |    3 +
 arch/h8300/kernel/Makefile                         |   19 +
 arch/h8300/kernel/asm-offsets.c                    |   67 +
 arch/h8300/kernel/dma.c                            |   69 +
 arch/h8300/kernel/entry.S                          |  414 +
 arch/h8300/kernel/h8300_ksyms.c                    |   36 +
 arch/h8300/kernel/head_ram.S                       |   60 +
 arch/h8300/kernel/head_rom.S                       |  110 +
 arch/h8300/kernel/irq.c                            |   97 +
 arch/h8300/kernel/module.c                         |   70 +
 arch/h8300/kernel/process.c                        |  171 +
 arch/h8300/kernel/ptrace.c                         |  203 +
 arch/h8300/kernel/ptrace_h.c                       |  256 +
 arch/h8300/kernel/ptrace_s.c                       |   44 +
 arch/h8300/kernel/setup.c                          |  255 +
 arch/h8300/kernel/signal.c                         |  289 +
 arch/h8300/kernel/sim-console.c                    |   79 +
 arch/h8300/kernel/syscalls.c                       |   14 +
 arch/h8300/kernel/traps.c                          |  161 +
 arch/h8300/kernel/vmlinux.lds.S                    |   67 +
 arch/h8300/lib/Makefile                            |    8 +
 arch/h8300/lib/abs.S                               |   20 +
 arch/h8300/lib/ashldi3.c                           |   24 +
 arch/h8300/lib/ashrdi3.c                           |   24 +
 arch/h8300/lib/delay.c                             |   40 +
 arch/h8300/lib/libgcc.h                            |   77 +
 arch/h8300/lib/lshrdi3.c                           |   23 +
 arch/h8300/lib/memcpy.S                            |   85 +
 arch/h8300/lib/memset.S                            |   69 +
 arch/h8300/lib/moddivsi3.S                         |   72 +
 arch/h8300/lib/modsi3.S                            |   72 +
 arch/h8300/lib/muldi3.c                            |   44 +
 arch/h8300/lib/mulsi3.S                            |   38 +
 arch/h8300/lib/strncpy.S                           |   34 +
 arch/h8300/lib/ucmpdi2.c                           |   17 +
 arch/h8300/lib/udivsi3.S                           |   76 +
 arch/h8300/mm/Makefile                             |    5 +
 arch/h8300/mm/fault.c                              |   57 +
 arch/h8300/mm/init.c                               |  128 +
 arch/h8300/mm/memory.c                             |   53 +
 arch/hexagon/include/asm/Kbuild                    |    1 -
 arch/hexagon/include/asm/cmpxchg.h                 |    1 -
 arch/hexagon/include/asm/mm-arch-hooks.h           |   15 +
 arch/hexagon/include/asm/uaccess.h                 |    3 +-
 arch/ia64/Kconfig                                  |   23 -
 arch/ia64/hp/sim/simscsi.c                         |   11 +-
 arch/ia64/include/asm/Kbuild                       |    1 -
 arch/ia64/include/asm/barrier.h                    |    7 +-
 arch/ia64/include/asm/hugetlb.h                    |   13 -
 arch/ia64/include/asm/hw_irq.h                     |    8 -
 arch/ia64/include/asm/intrinsics.h                 |   13 -
 arch/ia64/include/asm/iosapic.h                    |    4 -
 arch/ia64/include/asm/irq_remapping.h              |    2 -
 arch/ia64/include/asm/mm-arch-hooks.h              |   15 +
 arch/ia64/include/asm/module.h                     |    6 -
 arch/ia64/include/asm/native/inst.h                |  103 +-
 arch/ia64/include/asm/native/pvchk_inst.h          |  271 -
 arch/ia64/include/asm/paravirt.h                   |  321 -
 arch/ia64/include/asm/paravirt_patch.h             |  143 -
 arch/ia64/include/asm/paravirt_privop.h            |  479 --
 arch/ia64/include/asm/pci.h                        |   34 +-
 arch/ia64/include/asm/topology.h                   |    2 +-
 arch/ia64/include/uapi/asm/cmpxchg.h               |    2 -
 arch/ia64/kernel/Makefile                          |   34 +-
 arch/ia64/kernel/efi.c                             |    5 +-
 arch/ia64/kernel/entry.S                           |   41 +-
 arch/ia64/kernel/fsys.S                            |   18 +-
 arch/ia64/kernel/gate.S                            |    9 +-
 arch/ia64/kernel/gate.lds.S                        |   17 +-
 arch/ia64/kernel/head.S                            |   42 -
 arch/ia64/kernel/ia64_ksyms.c                      |    3 +
 arch/ia64/kernel/ivt.S                             |    4 +-
 arch/ia64/kernel/mca.c                             |    6 +-
 arch/ia64/kernel/minstate.h                        |    2 +-
 arch/ia64/kernel/module.c                          |   32 -
 arch/ia64/kernel/msi_ia64.c                        |   30 +-
 arch/ia64/kernel/paravirt.c                        |  902 ---
 arch/ia64/kernel/paravirt_inst.h                   |   28 -
 arch/ia64/kernel/paravirt_patch.c                  |  514 --
 arch/ia64/kernel/paravirt_patchlist.c              |   81 -
 arch/ia64/kernel/paravirt_patchlist.h              |   24 -
 arch/ia64/kernel/paravirtentry.S                   |  121 -
 arch/ia64/kernel/patch.c                           |   38 +-
 arch/ia64/kernel/setup.c                           |   12 -
 arch/ia64/kernel/smpboot.c                         |    5 +-
 arch/ia64/kernel/time.c                            |   29 -
 arch/ia64/kernel/vmlinux.lds.S                     |   21 -
 arch/ia64/mm/fault.c                               |    4 +-
 arch/ia64/mm/hugetlbpage.c                         |    5 -
 arch/ia64/mm/init.c                                |   13 +-
 arch/ia64/mm/numa.c                                |   19 +-
 arch/ia64/scripts/pvcheck.sed                      |   33 -
 arch/ia64/sn/kernel/mca.c                          |    3 +-
 arch/m32r/include/asm/Kbuild                       |    1 -
 arch/m32r/include/asm/cmpxchg.h                    |    2 -
 arch/m32r/include/asm/io.h                         |    1 +
 arch/m32r/include/asm/mm-arch-hooks.h              |   15 +
 arch/m32r/include/asm/uaccess.h                    |   30 +-
 arch/m32r/mm/fault.c                               |    8 +-
 arch/m68k/68000/m68EZ328.c                         |    3 +-
 arch/m68k/68000/m68VZ328.c                         |    3 +-
 arch/m68k/68360/config.c                           |    3 +-
 arch/m68k/configs/amiga_defconfig                  |    8 +-
 arch/m68k/configs/apollo_defconfig                 |    8 +-
 arch/m68k/configs/atari_defconfig                  |    8 +-
 arch/m68k/configs/bvme6000_defconfig               |    8 +-
 arch/m68k/configs/hp300_defconfig                  |    8 +-
 arch/m68k/configs/mac_defconfig                    |    8 +-
 arch/m68k/configs/multi_defconfig                  |    8 +-
 arch/m68k/configs/mvme147_defconfig                |    8 +-
 arch/m68k/configs/mvme16x_defconfig                |    8 +-
 arch/m68k/configs/q40_defconfig                    |    8 +-
 arch/m68k/configs/sun3_defconfig                   |    8 +-
 arch/m68k/configs/sun3x_defconfig                  |    8 +-
 arch/m68k/include/asm/Kbuild                       |    1 -
 arch/m68k/include/asm/cmpxchg.h                    |    1 -
 arch/m68k/include/asm/io_mm.h                      |    4 +-
 arch/m68k/include/asm/io_no.h                      |    4 +-
 arch/m68k/include/asm/irqflags.h                   |    3 -
 arch/m68k/include/asm/mm-arch-hooks.h              |   15 +
 arch/m68k/include/asm/serial.h                     |    2 +-
 arch/m68k/kernel/dma.c                             |   19 +-
 arch/m68k/mac/psc.c                                |   12 +-
 arch/m68k/mm/fault.c                               |    4 +-
 arch/metag/include/asm/Kbuild                      |    1 -
 arch/metag/include/asm/barrier.h                   |    2 +-
 arch/metag/include/asm/cmpxchg.h                   |    2 -
 arch/metag/include/asm/dma-mapping.h               |   14 +-
 arch/metag/include/asm/hugetlb.h                   |   13 -
 arch/metag/include/asm/io.h                        |    3 +
 arch/metag/include/asm/mm-arch-hooks.h             |   15 +
 arch/metag/mm/fault.c                              |    2 +-
 arch/metag/mm/highmem.c                            |    4 +-
 arch/metag/mm/hugetlbpage.c                        |    5 -
 arch/microblaze/include/asm/Kbuild                 |    1 -
 arch/microblaze/include/asm/io.h                   |    2 +-
 arch/microblaze/include/asm/mm-arch-hooks.h        |   15 +
 arch/microblaze/include/asm/pci.h                  |   42 +-
 arch/microblaze/include/asm/uaccess.h              |    6 +-
 arch/microblaze/kernel/cpu/cpuinfo.c               |    2 +
 arch/microblaze/kernel/dma.c                       |    1 +
 arch/microblaze/kernel/kgdb.c                      |    2 +-
 arch/microblaze/mm/fault.c                         |    8 +-
 arch/microblaze/mm/highmem.c                       |    4 +-
 arch/mips/Kbuild.platforms                         |    4 +-
 arch/mips/Kconfig                                  |  166 +-
 arch/mips/alchemy/common/clock.c                   |    6 +-
 arch/mips/ath25/ar2315.c                           |    6 +-
 arch/mips/ath25/ar5312.c                           |    6 +-
 arch/mips/ath25/board.c                            |    2 +-
 arch/mips/ath79/Kconfig                            |   12 +
 arch/mips/ath79/clock.c                            |   86 +-
 arch/mips/ath79/common.c                           |   35 +-
 arch/mips/ath79/common.h                           |    1 +
 arch/mips/ath79/dev-common.c                       |   51 +
 arch/mips/ath79/gpio.c                             |   79 +-
 arch/mips/ath79/irq.c                              |  200 +-
 arch/mips/ath79/machtypes.h                        |    1 +
 arch/mips/ath79/setup.c                            |   32 +-
 arch/mips/bcm47xx/Kconfig                          |    1 -
 arch/mips/bcm47xx/Makefile                         |    2 +-
 arch/mips/bcm47xx/board.c                          |    1 +
 arch/mips/bcm47xx/buttons.c                        |   11 +
 arch/mips/bcm47xx/leds.c                           |   14 +
 arch/mips/bcm47xx/nvram.c                          |  223 -
 arch/mips/bcm47xx/prom.c                           |    2 +-
 arch/mips/bcm47xx/setup.c                          |    3 -
 arch/mips/bcm47xx/sprom.c                          |  106 +-
 arch/mips/bmips/Kconfig                            |    4 +
 arch/mips/bmips/setup.c                            |    2 +
 arch/mips/boot/compressed/head.S                   |   16 +
 arch/mips/boot/compressed/ld.script                |    6 +-
 arch/mips/boot/compressed/uart-16550.c             |    2 +-
 arch/mips/boot/dts/Makefile                        |    2 +
 arch/mips/boot/dts/brcm/Makefile                   |   14 +
 arch/mips/boot/dts/brcm/bcm7346.dtsi               |   26 +
 arch/mips/boot/dts/brcm/bcm7358.dtsi               |   26 +
 arch/mips/boot/dts/brcm/bcm7360.dtsi               |   26 +
 arch/mips/boot/dts/brcm/bcm7362.dtsi               |   26 +
 arch/mips/boot/dts/brcm/bcm7435.dtsi               |  239 +
 arch/mips/boot/dts/brcm/bcm97346dbsmb.dts          |    8 +
 arch/mips/boot/dts/brcm/bcm97358svmb.dts           |    8 +
 arch/mips/boot/dts/brcm/bcm97360svmb.dts           |    8 +
 arch/mips/boot/dts/brcm/bcm97362svmb.dts           |    8 +
 arch/mips/boot/dts/brcm/bcm97435svmb.dts           |   60 +
 arch/mips/boot/dts/ingenic/Makefile                |   10 +
 arch/mips/boot/dts/ingenic/ci20.dts                |   44 +
 arch/mips/boot/dts/ingenic/jz4740.dtsi             |   68 +
 arch/mips/boot/dts/ingenic/jz4780.dtsi             |  111 +
 arch/mips/boot/dts/ingenic/qi_lb60.dts             |   15 +
 arch/mips/boot/dts/mti/Makefile                    |    1 +
 arch/mips/boot/dts/mti/malta.dts                   |    7 +
 arch/mips/boot/dts/qca/Makefile                    |   11 +
 arch/mips/boot/dts/qca/ar9132.dtsi                 |  133 +
 arch/mips/boot/dts/qca/ar9132_tl_wr1043nd_v1.dts   |  112 +
 arch/mips/cavium-octeon/Makefile                   |    3 -
 arch/mips/cavium-octeon/crypto/octeon-md5.c        |    8 +-
 arch/mips/cavium-octeon/octeon-irq.c               |    4 +-
 arch/mips/cobalt/Makefile                          |    3 +-
 arch/mips/cobalt/mtd.c                             |    3 +-
 arch/mips/configs/ci20_defconfig                   |  162 +
 arch/mips/configs/fuloong2e_defconfig              |    2 +-
 arch/mips/configs/lemote2f_defconfig               |    2 +-
 arch/mips/configs/loongson3_defconfig              |    2 +-
 arch/mips/configs/ls1b_defconfig                   |    2 +-
 arch/mips/configs/maltasmvp_defconfig              |   17 +-
 arch/mips/configs/pistachio_defconfig              |    1 +
 arch/mips/configs/qi_lb60_defconfig                |    3 +-
 arch/mips/include/asm/Kbuild                       |    1 -
 arch/mips/include/asm/asmmacro.h                   |   11 +
 arch/mips/include/asm/barrier.h                    |    4 +-
 arch/mips/include/asm/bitops.h                     |    2 +-
 arch/mips/include/asm/bmips-spaces.h               |    7 +
 arch/mips/include/asm/cmpxchg.h                    |    2 -
 arch/mips/include/asm/cpu-features.h               |    3 +
 arch/mips/include/asm/cpu-type.h                   |    2 +-
 arch/mips/include/asm/cpu.h                        |    7 +-
 arch/mips/include/asm/dma-mapping.h                |    2 +-
 arch/mips/include/asm/edac.h                       |    4 +-
 arch/mips/include/asm/hazards.h                    |   52 +
 arch/mips/include/asm/hugetlb.h                    |   13 -
 arch/mips/include/asm/i8259.h                      |    1 +
 arch/mips/include/asm/irqflags.h                   |    4 +-
 arch/mips/include/asm/kgdb.h                       |    1 -
 arch/mips/include/asm/kvm_host.h                   |    2 +-
 arch/mips/include/asm/mach-ath79/ar71xx_regs.h     |   12 +-
 arch/mips/include/asm/mach-ath79/ath79.h           |    3 +-
 .../include/asm/mach-ath79/ath79_spi_platform.h    |    4 -
 arch/mips/include/asm/mach-bcm47xx/bcm47xx.h       |    4 -
 arch/mips/include/asm/mach-bcm47xx/bcm47xx_board.h |    2 +
 arch/mips/include/asm/mach-bcm63xx/spaces.h        |    2 +-
 arch/mips/include/asm/mach-bmips/spaces.h          |    2 +-
 .../include/asm/mach-dec/cpu-feature-overrides.h   |   16 +
 arch/mips/include/asm/mach-generic/irq.h           |    4 +-
 arch/mips/include/asm/mach-generic/spaces.h        |    4 +
 .../include/asm/mach-ip27/cpu-feature-overrides.h  |   92 +-
 arch/mips/include/asm/mach-jz4740/clock.h          |    3 +
 .../asm/mach-jz4740/cpu-feature-overrides.h        |    3 -
 arch/mips/include/asm/mach-jz4740/irq.h            |   14 +-
 arch/mips/include/asm/mach-jz4740/platform.h       |    2 -
 arch/mips/include/asm/mach-loongson/boot_param.h   |  210 -
 .../asm/mach-loongson/cpu-feature-overrides.h      |   61 -
 .../mips/include/asm/mach-loongson/dma-coherence.h |   85 -
 arch/mips/include/asm/mach-loongson/irq.h          |   43 -
 .../include/asm/mach-loongson/kernel-entry-init.h  |   52 -
 arch/mips/include/asm/mach-loongson/loongson.h     |  360 -
 arch/mips/include/asm/mach-loongson/machine.h      |   33 -
 arch/mips/include/asm/mach-loongson/mc146818rtc.h  |   36 -
 arch/mips/include/asm/mach-loongson/mem.h          |   41 -
 arch/mips/include/asm/mach-loongson/mmzone.h       |   53 -
 arch/mips/include/asm/mach-loongson/pci.h          |   55 -
 arch/mips/include/asm/mach-loongson/spaces.h       |    9 -
 arch/mips/include/asm/mach-loongson/workarounds.h  |    7 -
 arch/mips/include/asm/mach-loongson1/cpufreq.h     |   23 -
 arch/mips/include/asm/mach-loongson1/irq.h         |   73 -
 arch/mips/include/asm/mach-loongson1/loongson1.h   |   50 -
 arch/mips/include/asm/mach-loongson1/platform.h    |   26 -
 arch/mips/include/asm/mach-loongson1/prom.h        |   24 -
 arch/mips/include/asm/mach-loongson1/regs-clk.h    |   51 -
 arch/mips/include/asm/mach-loongson1/regs-mux.h    |   67 -
 arch/mips/include/asm/mach-loongson1/regs-pwm.h    |   29 -
 arch/mips/include/asm/mach-loongson1/regs-wdt.h    |   19 -
 arch/mips/include/asm/mach-loongson32/cpufreq.h    |   23 +
 arch/mips/include/asm/mach-loongson32/irq.h        |   73 +
 arch/mips/include/asm/mach-loongson32/loongson1.h  |   50 +
 arch/mips/include/asm/mach-loongson32/platform.h   |   26 +
 arch/mips/include/asm/mach-loongson32/prom.h       |   24 +
 arch/mips/include/asm/mach-loongson32/regs-clk.h   |   51 +
 arch/mips/include/asm/mach-loongson32/regs-mux.h   |   67 +
 arch/mips/include/asm/mach-loongson32/regs-pwm.h   |   29 +
 arch/mips/include/asm/mach-loongson32/regs-wdt.h   |   19 +
 arch/mips/include/asm/mach-loongson64/boot_param.h |  210 +
 .../asm/mach-loongson64/cpu-feature-overrides.h    |   61 +
 .../cs5536/cs5536.h                                |    0
 .../cs5536/cs5536_mfgpt.h                          |    0
 .../cs5536/cs5536_pci.h                            |    0
 .../cs5536/cs5536_vsm.h                            |    0
 .../include/asm/mach-loongson64/dma-coherence.h    |   85 +
 .../asm/{mach-loongson => mach-loongson64}/gpio.h  |    0
 arch/mips/include/asm/mach-loongson64/irq.h        |   43 +
 .../asm/mach-loongson64/kernel-entry-init.h        |   52 +
 arch/mips/include/asm/mach-loongson64/loongson.h   |  360 +
 .../loongson_hwmon.h                               |    0
 arch/mips/include/asm/mach-loongson64/machine.h    |   33 +
 .../mips/include/asm/mach-loongson64/mc146818rtc.h |   36 +
 arch/mips/include/asm/mach-loongson64/mem.h        |   41 +
 arch/mips/include/asm/mach-loongson64/mmzone.h     |   53 +
 arch/mips/include/asm/mach-loongson64/pci.h        |   55 +
 arch/mips/include/asm/mach-loongson64/spaces.h     |    9 +
 .../{mach-loongson => mach-loongson64}/topology.h  |    0
 .../mips/include/asm/mach-loongson64/workarounds.h |    7 +
 arch/mips/include/asm/mips-cm.h                    |    5 +
 arch/mips/include/asm/mipsregs.h                   |   35 +
 arch/mips/include/asm/mm-arch-hooks.h              |   15 +
 arch/mips/include/asm/pci.h                        |   12 +-
 arch/mips/include/asm/pgtable-32.h                 |    2 +-
 arch/mips/include/asm/pgtable-bits.h               |   14 +-
 arch/mips/include/asm/pgtable.h                    |    8 +-
 arch/mips/include/asm/prom.h                       |    2 +-
 arch/mips/include/asm/smp.h                        |    1 +
 arch/mips/include/asm/spinlock.h                   |    4 +-
 arch/mips/include/asm/switch_to.h                  |    2 +-
 arch/mips/include/asm/topology.h                   |    2 +-
 arch/mips/include/asm/txx9irq.h                    |    2 +-
 arch/mips/include/asm/uaccess.h                    |   92 +-
 arch/mips/include/asm/xtalk/xwidget.h              |  112 +
 arch/mips/jz4740/Kconfig                           |   17 +-
 arch/mips/jz4740/Makefile                          |    8 +-
 arch/mips/jz4740/Platform                          |    8 +-
 arch/mips/jz4740/board-qi_lb60.c                   |    7 -
 arch/mips/jz4740/clock-debugfs.c                   |  108 -
 arch/mips/jz4740/clock.c                           |  924 ---
 arch/mips/jz4740/clock.h                           |   76 -
 arch/mips/jz4740/gpio.c                            |    7 +-
 arch/mips/jz4740/irq.c                             |  162 -
 arch/mips/jz4740/irq.h                             |   23 -
 arch/mips/jz4740/platform.c                        |   38 +-
 arch/mips/jz4740/pm.c                              |    2 -
 arch/mips/jz4740/prom.c                            |   13 -
 arch/mips/jz4740/reset.c                           |   13 +-
 arch/mips/jz4740/serial.c                          |   33 -
 arch/mips/jz4740/serial.h                          |   23 -
 arch/mips/jz4740/setup.c                           |   36 +-
 arch/mips/jz4740/time.c                            |   19 +-
 arch/mips/kernel/Makefile                          |    2 +-
 arch/mips/kernel/branch.c                          |    4 +-
 arch/mips/kernel/cps-vec.S                         |   96 +-
 arch/mips/kernel/cpu-probe.c                       |   15 +-
 arch/mips/kernel/head.S                            |   16 +
 arch/mips/kernel/i8259.c                           |   43 +-
 arch/mips/kernel/irq.c                             |   54 +-
 arch/mips/kernel/irq_cpu.c                         |  169 -
 arch/mips/kernel/kgdb.c                            |    4 -
 arch/mips/kernel/prom.c                            |    1 +
 arch/mips/kernel/scall32-o32.S                     |   37 +-
 arch/mips/kernel/scall64-o32.S                     |   35 +-
 arch/mips/kernel/setup.c                           |   13 +-
 arch/mips/kernel/signal-common.h                   |    9 +-
 arch/mips/kernel/smp-cps.c                         |    6 +-
 arch/mips/kernel/smp.c                             |   44 +-
 arch/mips/kernel/sysrq.c                           |   77 +
 arch/mips/kernel/traps.c                           |   20 +-
 arch/mips/kernel/vmlinux.lds.S                     |    8 +-
 arch/mips/kvm/emulate.c                            |    2 +-
 arch/mips/kvm/mips.c                               |   13 +-
 arch/mips/lib/dump_tlb.c                           |  110 +-
 arch/mips/lib/r3k_dump_tlb.c                       |   15 +-
 arch/mips/loongson/Kconfig                         |  158 -
 arch/mips/loongson/Makefile                        |   23 -
 arch/mips/loongson/Platform                        |   33 -
 arch/mips/loongson/common/Makefile                 |   33 -
 arch/mips/loongson/common/bonito-irq.c             |   53 -
 arch/mips/loongson/common/cmdline.c                |   48 -
 arch/mips/loongson/common/cs5536/cs5536_mfgpt.c    |  213 -
 arch/mips/loongson/common/env.c                    |  200 -
 arch/mips/loongson/common/irq.c                    |   67 -
 arch/mips/loongson/common/serial.c                 |  112 -
 arch/mips/loongson/common/setup.c                  |   54 -
 arch/mips/loongson/fuloong-2e/irq.c                |   69 -
 arch/mips/loongson/lemote-2f/clock.c               |  140 -
 arch/mips/loongson/loongson-3/numa.c               |  296 -
 arch/mips/loongson/loongson-3/smp.c                |  652 --
 arch/mips/loongson1/Kconfig                        |   61 -
 arch/mips/loongson1/Makefile                       |   11 -
 arch/mips/loongson1/Platform                       |    7 -
 arch/mips/loongson32/Kconfig                       |   61 +
 arch/mips/loongson32/Makefile                      |   11 +
 arch/mips/loongson32/Platform                      |    7 +
 .../mips/{loongson1 => loongson32}/common/Makefile |    0
 arch/mips/{loongson1 => loongson32}/common/irq.c   |    0
 .../{loongson1 => loongson32}/common/platform.c    |    0
 arch/mips/{loongson1 => loongson32}/common/prom.c  |    0
 arch/mips/{loongson1 => loongson32}/common/reset.c |    0
 arch/mips/{loongson1 => loongson32}/common/setup.c |    0
 arch/mips/{loongson1 => loongson32}/common/time.c  |    0
 arch/mips/{loongson1 => loongson32}/ls1b/Makefile  |    0
 arch/mips/{loongson1 => loongson32}/ls1b/board.c   |    0
 arch/mips/loongson64/Kconfig                       |  158 +
 arch/mips/loongson64/Makefile                      |   23 +
 arch/mips/loongson64/Platform                      |   33 +
 arch/mips/loongson64/common/Makefile               |   31 +
 arch/mips/loongson64/common/bonito-irq.c           |   53 +
 arch/mips/loongson64/common/cmdline.c              |   48 +
 .../common/cs5536/Makefile                         |    0
 .../common/cs5536/cs5536_acc.c                     |    0
 .../common/cs5536/cs5536_ehci.c                    |    0
 .../common/cs5536/cs5536_ide.c                     |    0
 .../common/cs5536/cs5536_isa.c                     |    0
 arch/mips/loongson64/common/cs5536/cs5536_mfgpt.c  |  213 +
 .../common/cs5536/cs5536_ohci.c                    |    0
 .../common/cs5536/cs5536_pci.c                     |    0
 .../{loongson => loongson64}/common/dma-swiotlb.c  |    0
 .../{loongson => loongson64}/common/early_printk.c |    0
 arch/mips/loongson64/common/env.c                  |  200 +
 arch/mips/{loongson => loongson64}/common/init.c   |    0
 arch/mips/loongson64/common/irq.c                  |   67 +
 .../{loongson => loongson64}/common/machtype.c     |    0
 arch/mips/{loongson => loongson64}/common/mem.c    |    0
 arch/mips/{loongson => loongson64}/common/pci.c    |    0
 .../{loongson => loongson64}/common/platform.c     |    0
 arch/mips/{loongson => loongson64}/common/pm.c     |    0
 arch/mips/{loongson => loongson64}/common/reset.c  |    0
 arch/mips/{loongson => loongson64}/common/rtc.c    |    0
 arch/mips/loongson64/common/serial.c               |  117 +
 arch/mips/loongson64/common/setup.c                |   54 +
 arch/mips/{loongson => loongson64}/common/time.c   |    0
 .../{loongson => loongson64}/common/uart_base.c    |    0
 .../{loongson => loongson64}/fuloong-2e/Makefile   |    0
 arch/mips/loongson64/fuloong-2e/irq.c              |   69 +
 .../{loongson => loongson64}/fuloong-2e/reset.c    |    0
 .../{loongson => loongson64}/lemote-2f/Makefile    |    0
 arch/mips/loongson64/lemote-2f/clock.c             |  140 +
 .../lemote-2f/ec_kb3310b.c                         |    0
 .../lemote-2f/ec_kb3310b.h                         |    0
 arch/mips/{loongson => loongson64}/lemote-2f/irq.c |    0
 .../{loongson => loongson64}/lemote-2f/machtype.c  |    0
 arch/mips/{loongson => loongson64}/lemote-2f/pm.c  |    0
 .../{loongson => loongson64}/lemote-2f/reset.c     |    0
 .../{loongson => loongson64}/loongson-3/Makefile   |    0
 .../{loongson => loongson64}/loongson-3/cop2-ex.c  |    0
 .../{loongson => loongson64}/loongson-3/hpet.c     |    0
 .../mips/{loongson => loongson64}/loongson-3/irq.c |    0
 arch/mips/loongson64/loongson-3/numa.c             |  296 +
 .../{loongson => loongson64}/loongson-3/platform.c |    0
 arch/mips/loongson64/loongson-3/smp.c              |  652 ++
 .../mips/{loongson => loongson64}/loongson-3/smp.h |    0
 arch/mips/math-emu/cp1emu.c                        |    6 +-
 arch/mips/mm/c-r4k.c                               |   22 +-
 arch/mips/mm/c-tx39.c                              |    4 -
 arch/mips/mm/dma-default.c                         |   30 +-
 arch/mips/mm/fault.c                               |    4 +-
 arch/mips/mm/highmem.c                             |    5 +-
 arch/mips/mm/hugetlbpage.c                         |    5 -
 arch/mips/mm/init.c                                |    2 +
 arch/mips/mm/tlb-r3k.c                             |   37 +-
 arch/mips/mm/tlb-r4k.c                             |    2 +-
 arch/mips/mm/tlbex.c                               |   33 +-
 arch/mips/mti-malta/Makefile                       |    2 +-
 arch/mips/mti-malta/malta-dt.c                     |   34 +
 arch/mips/mti-malta/malta-setup.c                  |    4 +
 arch/mips/mti-malta/malta-time.c                   |   20 +-
 arch/mips/mti-sead3/Makefile                       |    2 -
 arch/mips/net/Makefile                             |    2 +-
 arch/mips/net/bpf_jit.c                            |  268 +-
 arch/mips/net/bpf_jit.h                            |   42 +-
 arch/mips/net/bpf_jit_asm.S                        |  238 +
 arch/mips/netlogic/xlr/platform-flash.c            |    3 -
 arch/mips/pci/fixup-cobalt.c                       |    1 -
 arch/mips/pci/ops-mace.c                           |    1 -
 arch/mips/pci/pci-ar2315.c                         |    6 +-
 arch/mips/pci/pci-ar71xx.c                         |   14 +-
 arch/mips/pci/pci-ar724x.c                         |    2 +-
 arch/mips/pci/pci-lantiq.c                         |    1 -
 arch/mips/pci/pci-rt3883.c                         |    2 +-
 arch/mips/pistachio/init.c                         |    8 +-
 arch/mips/pistachio/time.c                         |    5 +
 arch/mips/ralink/ill_acc.c                         |    2 +-
 arch/mips/ralink/irq.c                             |    5 +-
 arch/mips/sgi-ip27/Makefile                        |    6 +-
 arch/mips/sgi-ip27/ip27-irqno.c                    |   48 +
 arch/mips/sibyte/Kconfig                           |   16 +-
 arch/mips/txx9/Kconfig                             |    2 +-
 arch/mips/vr41xx/Kconfig                           |   10 +-
 arch/mn10300/include/asm/Kbuild                    |    1 -
 arch/mn10300/include/asm/highmem.h                 |    3 +
 arch/mn10300/include/asm/io.h                      |    1 +
 arch/mn10300/include/asm/mm-arch-hooks.h           |   15 +
 arch/mn10300/include/asm/pci.h                     |   15 +-
 arch/mn10300/include/asm/serial.h                  |    4 +-
 arch/mn10300/kernel/irq.c                          |    6 +-
 arch/mn10300/mm/fault.c                            |    4 +-
 arch/mn10300/unit-asb2303/flash.c                  |    3 +-
 arch/nios2/include/asm/Kbuild                      |    1 -
 arch/nios2/include/asm/io.h                        |    1 +
 arch/nios2/include/asm/mm-arch-hooks.h             |   15 +
 arch/nios2/kernel/time.c                           |   17 +-
 arch/nios2/mm/fault.c                              |    2 +-
 arch/openrisc/include/asm/Kbuild                   |    1 -
 arch/openrisc/include/asm/mm-arch-hooks.h          |   15 +
 arch/parisc/include/asm/Kbuild                     |    1 -
 arch/parisc/include/asm/cacheflush.h               |    2 +
 arch/parisc/include/asm/cmpxchg.h                  |    2 -
 arch/parisc/include/asm/dma-mapping.h              |    2 +-
 arch/parisc/include/asm/mm-arch-hooks.h            |   15 +
 arch/parisc/include/asm/pci.h                      |   21 +-
 arch/parisc/include/asm/pgtable.h                  |   55 +-
 arch/parisc/include/asm/tlbflush.h                 |   53 +-
 arch/parisc/kernel/cache.c                         |  105 +-
 arch/parisc/kernel/entry.S                         |  163 +-
 arch/parisc/kernel/pci-dma.c                       |   27 +-
 arch/parisc/kernel/pdc_cons.c                      |    3 +-
 arch/parisc/kernel/perf.c                          |    3 +-
 arch/parisc/kernel/traps.c                         |    8 +-
 arch/parisc/mm/fault.c                             |    4 +-
 arch/powerpc/Kconfig                               |    2 +
 arch/powerpc/Kconfig.debug                         |    8 +
 arch/powerpc/Makefile                              |   50 +-
 arch/powerpc/boot/dts/b4qds.dtsi                   |   12 +
 arch/powerpc/boot/dts/fsl/b4420si-post.dtsi        |   15 +-
 arch/powerpc/boot/dts/fsl/b4860si-post.dtsi        |   84 +-
 arch/powerpc/boot/dts/fsl/b4si-post.dtsi           |  118 +
 arch/powerpc/boot/dts/fsl/p1023si-post.dtsi        |   43 +
 arch/powerpc/boot/dts/fsl/p2041si-post.dtsi        |   14 +
 arch/powerpc/boot/dts/fsl/p3041si-post.dtsi        |   14 +
 arch/powerpc/boot/dts/fsl/p4080si-post.dtsi        |   14 +
 arch/powerpc/boot/dts/fsl/p5020si-post.dtsi        |   14 +
 arch/powerpc/boot/dts/fsl/p5040si-post.dtsi        |   14 +
 arch/powerpc/boot/dts/fsl/qoriq-qman1-portals.dtsi |   20 +-
 arch/powerpc/boot/dts/fsl/t1023si-post.dtsi        |  330 +
 arch/powerpc/boot/dts/fsl/t1024si-post.dtsi        |  100 +
 arch/powerpc/boot/dts/fsl/t102xsi-pre.dtsi         |   87 +
 arch/powerpc/boot/dts/fsl/t1040si-post.dtsi        |   78 +
 arch/powerpc/boot/dts/fsl/t2081si-post.dtsi        |  130 +-
 arch/powerpc/boot/dts/fsl/t4240si-post.dtsi        |  318 +
 arch/powerpc/boot/dts/kmcoge4.dts                  |   12 +
 arch/powerpc/boot/dts/oca4080.dts                  |   12 +
 arch/powerpc/boot/dts/p1023rdb.dts                 |   12 +
 arch/powerpc/boot/dts/p2041rdb.dts                 |   12 +
 arch/powerpc/boot/dts/p3041ds.dts                  |   12 +
 arch/powerpc/boot/dts/p4080ds.dts                  |   12 +
 arch/powerpc/boot/dts/p5020ds.dts                  |   12 +
 arch/powerpc/boot/dts/p5040ds.dts                  |   12 +
 arch/powerpc/boot/dts/t1023rdb.dts                 |  151 +
 arch/powerpc/boot/dts/t1024qds.dts                 |  251 +
 arch/powerpc/boot/dts/t1024rdb.dts                 |  185 +
 arch/powerpc/boot/dts/t104xqds.dtsi                |   12 +
 arch/powerpc/boot/dts/t104xrdb.dtsi                |   12 +
 arch/powerpc/boot/dts/t208xqds.dtsi                |   12 +
 arch/powerpc/boot/dts/t208xrdb.dtsi                |   12 +
 arch/powerpc/boot/dts/t4240qds.dts                 |   12 +
 arch/powerpc/boot/dts/t4240rdb.dts                 |   12 +
 arch/powerpc/boot/libfdt_env.h                     |    4 +
 arch/powerpc/boot/of.h                             |    2 +
 arch/powerpc/configs/85xx/xes_mpc85xx_defconfig    |    2 +-
 arch/powerpc/configs/le.config                     |    1 +
 arch/powerpc/configs/ppc64_defconfig               |    1 +
 arch/powerpc/configs/pseries_defconfig             |    2 +-
 arch/powerpc/configs/pseries_le_defconfig          |  319 -
 arch/powerpc/crypto/md5-glue.c                     |    8 +-
 arch/powerpc/include/asm/Kbuild                    |    1 -
 arch/powerpc/include/asm/barrier.h                 |    3 +-
 arch/powerpc/include/asm/cmpxchg.h                 |    1 -
 arch/powerpc/include/asm/cputable.h                |   12 +-
 arch/powerpc/include/asm/cputhreads.h              |   13 +-
 arch/powerpc/include/asm/device.h                  |    3 +
 arch/powerpc/include/asm/edac.h                    |    4 +-
 arch/powerpc/include/asm/eeh.h                     |    9 +-
 arch/powerpc/include/asm/hugetlb.h                 |   14 -
 arch/powerpc/include/asm/icswx.h                   |  184 +
 arch/powerpc/include/asm/iommu.h                   |  119 +-
 arch/powerpc/include/asm/kvm_book3s_64.h           |    2 +-
 arch/powerpc/include/asm/kvm_host.h                |    2 +-
 arch/powerpc/include/asm/kvm_ppc.h                 |   14 +-
 arch/powerpc/include/asm/machdep.h                 |   31 -
 arch/powerpc/include/asm/mm-arch-hooks.h           |   28 +
 arch/powerpc/include/asm/mmu-8xx.h                 |   33 +
 arch/powerpc/include/asm/mmu-hash64.h              |    3 +
 arch/powerpc/include/asm/mmu_context.h             |   41 +-
 arch/powerpc/include/asm/opal-api.h                |   28 +-
 arch/powerpc/include/asm/opal.h                    |    8 +
 arch/powerpc/include/asm/page.h                    |    4 +-
 arch/powerpc/include/asm/pci-bridge.h              |   16 +-
 arch/powerpc/include/asm/pci.h                     |   32 +-
 arch/powerpc/include/asm/pgtable-ppc32.h           |   19 -
 arch/powerpc/include/asm/pgtable-ppc64.h           |   42 +-
 arch/powerpc/include/asm/pnv-pci.h                 |    2 +-
 arch/powerpc/include/asm/ppc-opcode.h              |   13 +
 arch/powerpc/include/asm/processor.h               |    9 +
 arch/powerpc/include/asm/pte-8xx.h                 |   31 +-
 arch/powerpc/include/asm/pte-book3e.h              |    1 +
 arch/powerpc/include/asm/pte-common.h              |    2 -
 arch/powerpc/include/asm/pte-hash64.h              |    1 +
 arch/powerpc/include/asm/systbl.h                  |    2 +-
 arch/powerpc/include/asm/topology.h                |    2 +-
 arch/powerpc/include/asm/trace.h                   |   20 +
 arch/powerpc/include/asm/uaccess.h                 |    8 +-
 arch/powerpc/include/asm/vio.h                     |    2 +-
 arch/powerpc/include/uapi/asm/Kbuild               |    1 +
 arch/powerpc/include/uapi/asm/cputable.h           |    1 +
 arch/powerpc/include/uapi/asm/eeh.h                |   56 +
 arch/powerpc/include/uapi/asm/opal-prd.h           |   58 +
 arch/powerpc/include/uapi/asm/tm.h                 |    2 +-
 arch/powerpc/kernel/Makefile                       |    4 +-
 arch/powerpc/kernel/asm-offsets.c                  |    2 +-
 arch/powerpc/kernel/cputable.c                     |    4 +-
 arch/powerpc/kernel/dma.c                          |    8 +
 arch/powerpc/kernel/eeh.c                          |   43 +-
 arch/powerpc/kernel/eeh_cache.c                    |   16 +-
 arch/powerpc/kernel/eeh_driver.c                   |    2 +-
 arch/powerpc/kernel/entry_64.S                     |   37 +-
 arch/powerpc/kernel/exceptions-64s.S               |   16 +-
 arch/powerpc/kernel/head_8xx.S                     |  110 +-
 arch/powerpc/kernel/idle_e500.S                    |    9 -
 arch/powerpc/kernel/idle_power7.S                  |   31 +-
 arch/powerpc/kernel/iommu.c                        |  245 +-
 arch/powerpc/kernel/msi.c                          |   11 +-
 arch/powerpc/kernel/pci-common.c                   |   11 +
 arch/powerpc/kernel/pci-hotplug.c                  |    5 +
 arch/powerpc/kernel/process.c                      |    1 -
 arch/powerpc/kernel/prom.c                         |    3 +-
 arch/powerpc/kernel/prom_init.c                    |    1 -
 arch/powerpc/kernel/setup_64.c                     |    6 +-
 arch/powerpc/kernel/sysfs.c                        |   38 +
 arch/powerpc/kernel/time.c                         |    2 +-
 arch/powerpc/kernel/tm.S                           |    4 +-
 arch/powerpc/kernel/traps.c                        |   47 +-
 arch/powerpc/kernel/vdso.c                         |  135 +-
 arch/powerpc/kernel/vio.c                          |   15 +-
 arch/powerpc/kvm/book3s.c                          |    9 +-
 arch/powerpc/kvm/book3s_64_mmu_hv.c                |    2 +-
 arch/powerpc/kvm/book3s_hv.c                       |   15 +-
 arch/powerpc/kvm/book3s_hv_rmhandlers.S            |    2 +-
 arch/powerpc/kvm/book3s_pr.c                       |   11 +-
 arch/powerpc/kvm/booke.c                           |   13 +-
 arch/powerpc/kvm/powerpc.c                         |    9 +-
 arch/powerpc/lib/Makefile                          |    2 +-
 arch/powerpc/lib/vmx-helper.c                      |   11 +-
 arch/powerpc/mm/Makefile                           |    1 +
 arch/powerpc/mm/copro_fault.c                      |    9 +-
 arch/powerpc/mm/fault.c                            |   13 +-
 arch/powerpc/mm/hash_native_64.c                   |    2 +-
 arch/powerpc/mm/hash_utils_64.c                    |    4 +-
 arch/powerpc/mm/highmem.c                          |    4 +-
 arch/powerpc/mm/hugetlbpage.c                      |   11 +-
 arch/powerpc/mm/mem.c                              |    2 +-
 arch/powerpc/mm/mmu_context_hash64.c               |    6 +
 arch/powerpc/mm/mmu_context_iommu.c                |  316 +
 arch/powerpc/mm/pgtable_64.c                       |   73 +-
 arch/powerpc/mm/tlb_low_64e.S                      |   51 +-
 arch/powerpc/mm/tlb_nohash.c                       |    2 +-
 arch/powerpc/perf/core-book3s.c                    |   11 +-
 arch/powerpc/perf/hv-24x7.c                        |    2 +
 arch/powerpc/platforms/52xx/mpc52xx_gpt.c          |    2 +-
 arch/powerpc/platforms/52xx/mpc52xx_pci.c          |    2 +-
 arch/powerpc/platforms/83xx/suspend.c              |    3 +-
 arch/powerpc/platforms/85xx/Kconfig                |    2 +-
 arch/powerpc/platforms/85xx/corenet_generic.c      |    3 +
 arch/powerpc/platforms/85xx/smp.c                  |   51 +-
 arch/powerpc/platforms/85xx/twr_p102x.c            |    4 +-
 arch/powerpc/platforms/Kconfig.cputype             |   11 +
 arch/powerpc/platforms/cell/axon_msi.c             |    7 +-
 arch/powerpc/platforms/cell/iommu.c                |    8 +-
 arch/powerpc/platforms/cell/spufs/inode.c          |    2 +-
 arch/powerpc/platforms/embedded6xx/hlwd-pic.c      |    2 +-
 arch/powerpc/platforms/pasemi/Makefile             |    1 +
 arch/powerpc/platforms/pasemi/iommu.c              |    7 +-
 arch/powerpc/platforms/pasemi/msi.c                |  168 +
 arch/powerpc/platforms/powernv/Kconfig             |    7 +
 arch/powerpc/platforms/powernv/Makefile            |    5 +-
 arch/powerpc/platforms/powernv/eeh-powernv.c       |   62 +-
 arch/powerpc/platforms/powernv/idle.c              |  293 +
 arch/powerpc/platforms/powernv/opal-async.c        |    3 +-
 arch/powerpc/platforms/powernv/opal-dump.c         |   56 +-
 arch/powerpc/platforms/powernv/opal-elog.c         |   40 +-
 arch/powerpc/platforms/powernv/opal-hmi.c          |    3 +-
 arch/powerpc/platforms/powernv/opal-irqchip.c      |  253 +
 .../powerpc/platforms/powernv/opal-memory-errors.c |    2 +-
 arch/powerpc/platforms/powernv/opal-prd.c          |  448 ++
 arch/powerpc/platforms/powernv/opal-sensor.c       |    3 +-
 arch/powerpc/platforms/powernv/opal-sysparam.c     |   43 +-
 arch/powerpc/platforms/powernv/opal-wrappers.S     |    2 +
 arch/powerpc/platforms/powernv/opal.c              |  221 +-
 arch/powerpc/platforms/powernv/pci-ioda.c          |  790 +-
 arch/powerpc/platforms/powernv/pci-p5ioc2.c        |   45 +-
 arch/powerpc/platforms/powernv/pci.c               |  204 +-
 arch/powerpc/platforms/powernv/pci.h               |   31 +-
 arch/powerpc/platforms/powernv/powernv.h           |   11 +-
 arch/powerpc/platforms/powernv/setup.c             |  181 +-
 arch/powerpc/platforms/ps3/time.c                  |    3 +-
 arch/powerpc/platforms/pseries/dlpar.c             |    3 +-
 arch/powerpc/platforms/pseries/eeh_pseries.c       |    2 +-
 arch/powerpc/platforms/pseries/iommu.c             |  179 +-
 arch/powerpc/platforms/pseries/msi.c               |   16 +-
 arch/powerpc/sysdev/Makefile                       |    2 +-
 arch/powerpc/sysdev/dart_iommu.c                   |   12 +-
 arch/powerpc/sysdev/fsl_lbc.c                      |    2 +-
 arch/powerpc/sysdev/fsl_msi.c                      |   23 +-
 arch/powerpc/sysdev/i8259.c                        |    2 +-
 arch/powerpc/sysdev/ipic.c                         |    2 +-
 arch/powerpc/sysdev/mpc8xx_pic.c                   |    2 +-
 arch/powerpc/sysdev/mpic.c                         |    2 +-
 arch/powerpc/sysdev/mpic.h                         |   10 +-
 arch/powerpc/sysdev/mpic_pasemi_msi.c              |  167 -
 arch/powerpc/sysdev/mpic_u3msi.c                   |    9 +-
 arch/powerpc/sysdev/mv64x60_pic.c                  |    2 +-
 arch/powerpc/sysdev/ppc4xx_hsta_msi.c              |    8 +-
 arch/powerpc/sysdev/ppc4xx_msi.c                   |    7 +-
 arch/powerpc/sysdev/qe_lib/qe_ic.c                 |    2 +-
 arch/powerpc/sysdev/tsi108_pci.c                   |    2 +-
 arch/powerpc/sysdev/uic.c                          |    4 +-
 arch/powerpc/sysdev/xics/icp-native.c              |   14 +-
 arch/powerpc/sysdev/xics/xics-common.c             |    4 +-
 arch/powerpc/sysdev/xilinx_intc.c                  |    2 +-
 arch/s390/configs/default_defconfig                |   15 +-
 arch/s390/configs/gcov_defconfig                   |   13 +-
 arch/s390/configs/performance_defconfig            |   16 +-
 arch/s390/defconfig                                |   12 +-
 arch/s390/hypfs/hypfs_sprp.c                       |    4 +-
 arch/s390/hypfs/inode.c                            |   19 +-
 arch/s390/include/asm/Kbuild                       |    1 -
 arch/s390/include/asm/barrier.h                    |    2 +-
 arch/s390/include/asm/cmpxchg.h                    |    2 -
 arch/s390/include/asm/cpu.h                        |    2 -
 arch/s390/include/asm/hugetlb.h                    |    4 -
 arch/s390/include/asm/io.h                         |    1 +
 arch/s390/include/asm/ipl.h                        |    1 -
 arch/s390/include/asm/kvm_host.h                   |    6 +-
 arch/s390/include/asm/mm-arch-hooks.h              |   15 +
 arch/s390/include/asm/page.h                       |    8 +-
 arch/s390/include/asm/pgtable.h                    |   34 +-
 arch/s390/include/asm/sclp.h                       |   48 +-
 arch/s390/include/asm/smp.h                        |    2 +
 arch/s390/include/asm/timex.h                      |    5 +-
 arch/s390/include/asm/topology.h                   |    3 +-
 arch/s390/include/asm/uaccess.h                    |   15 +-
 arch/s390/kernel/base.S                            |   21 +-
 arch/s390/kernel/compat_wrapper.c                  |    2 +-
 arch/s390/kernel/crash_dump.c                      |   40 +-
 arch/s390/kernel/debug.c                           |   11 +-
 arch/s390/kernel/entry.S                           |    2 +-
 arch/s390/kernel/perf_cpum_sf.c                    |    2 +-
 arch/s390/kernel/setup.c                           |   30 +-
 arch/s390/kernel/smp.c                             |  159 +-
 arch/s390/kernel/suspend.c                         |    2 +-
 arch/s390/kernel/time.c                            |    6 +-
 arch/s390/kvm/intercept.c                          |   16 -
 arch/s390/kvm/interrupt.c                          |   94 +-
 arch/s390/kvm/kvm-s390.c                           |   89 +-
 arch/s390/kvm/kvm-s390.h                           |   25 +-
 arch/s390/kvm/priv.c                               |    8 +-
 arch/s390/mm/fault.c                               |    2 +-
 arch/s390/mm/hugetlbpage.c                         |   70 +-
 arch/s390/mm/init.c                                |    2 +-
 arch/s390/mm/mem_detect.c                          |    4 +-
 arch/s390/mm/pgtable.c                             |    2 +
 arch/s390/net/bpf_jit.h                            |   12 +-
 arch/s390/net/bpf_jit_comp.c                       |  119 +-
 arch/s390/pci/pci_event.c                          |    8 +-
 arch/score/include/asm/Kbuild                      |    1 -
 arch/score/include/asm/cmpxchg.h                   |    2 -
 arch/score/include/asm/mm-arch-hooks.h             |   15 +
 arch/score/include/asm/uaccess.h                   |   15 +-
 arch/score/lib/string.S                            |    2 +-
 arch/score/mm/fault.c                              |    3 +-
 arch/sh/boards/mach-highlander/psw.c               |    2 +-
 arch/sh/boards/mach-landisk/psw.c                  |    2 +-
 arch/sh/drivers/pci/ops-sh5.c                      |    1 -
 arch/sh/drivers/pci/pci-sh5.c                      |    1 -
 arch/sh/include/asm/Kbuild                         |    1 -
 arch/sh/include/asm/barrier.h                      |    2 +-
 arch/sh/include/asm/cmpxchg.h                      |    2 -
 arch/sh/include/asm/hugetlb.h                      |   12 -
 arch/sh/include/asm/mm-arch-hooks.h                |   15 +
 arch/sh/include/asm/pci.h                          |   18 -
 arch/sh/kernel/cpu/sh4a/clock-sh7734.c             |    3 +-
 arch/sh/kernel/cpu/sh4a/clock-sh7757.c             |    4 +-
 arch/sh/kernel/cpu/sh4a/clock-sh7785.c             |    4 +-
 arch/sh/kernel/cpu/sh4a/clock-sh7786.c             |    4 +-
 arch/sh/kernel/cpu/sh4a/clock-shx3.c               |    4 +-
 arch/sh/mm/fault.c                                 |    5 +-
 arch/sh/mm/hugetlbpage.c                           |    5 -
 arch/sparc/Kconfig                                 |    2 +-
 arch/sparc/crypto/md5_glue.c                       |    8 +-
 arch/sparc/include/asm/Kbuild                      |    1 -
 arch/sparc/include/asm/barrier_64.h                |    4 +-
 arch/sparc/include/asm/cmpxchg_32.h                |    1 -
 arch/sparc/include/asm/cmpxchg_64.h                |    2 -
 arch/sparc/include/asm/cpudata_64.h                |    3 +-
 arch/sparc/include/asm/hugetlb.h                   |   13 -
 arch/sparc/include/asm/io_32.h                     |    1 +
 arch/sparc/include/asm/io_64.h                     |    1 +
 arch/sparc/include/asm/mm-arch-hooks.h             |   15 +
 arch/sparc/include/asm/pci_32.h                    |   10 -
 arch/sparc/include/asm/pci_64.h                    |   19 -
 arch/sparc/include/asm/pgtable_64.h                |   30 +-
 arch/sparc/include/asm/topology_64.h               |    5 +-
 arch/sparc/include/asm/trap_block.h                |    2 +
 arch/sparc/include/asm/uaccess_64.h                |   22 +
 arch/sparc/kernel/entry.h                          |    2 +
 arch/sparc/kernel/iommu_common.h                   |    2 +-
 arch/sparc/kernel/ldc.c                            |    8 +-
 arch/sparc/kernel/leon_pci_grpci2.c                |    1 -
 arch/sparc/kernel/mdesc.c                          |  136 +-
 arch/sparc/kernel/pci.c                            |   59 +-
 arch/sparc/kernel/perf_event.c                     |   24 +-
 arch/sparc/kernel/setup_64.c                       |   21 +
 arch/sparc/kernel/smp_64.c                         |   13 +
 arch/sparc/kernel/time_32.c                        |   21 -
 arch/sparc/kernel/time_64.c                        |   14 -
 arch/sparc/kernel/vmlinux.lds.S                    |    5 +
 arch/sparc/mm/fault_32.c                           |    4 +-
 arch/sparc/mm/fault_64.c                           |    9 +-
 arch/sparc/mm/highmem.c                            |    4 +-
 arch/sparc/mm/hugetlbpage.c                        |    5 -
 arch/sparc/mm/init_64.c                            |   82 +-
 arch/tile/Kconfig                                  |    8 +-
 arch/tile/include/asm/Kbuild                       |    1 -
 arch/tile/include/asm/atomic_64.h                  |    3 -
 arch/tile/include/asm/edac.h                       |   29 -
 arch/tile/include/asm/hugetlb.h                    |   13 -
 arch/tile/include/asm/io.h                         |    2 +-
 arch/tile/include/asm/irq.h                        |    5 +
 arch/tile/include/asm/mm-arch-hooks.h              |   15 +
 arch/tile/include/asm/pgtable.h                    |    8 +-
 arch/tile/include/asm/processor.h                  |    2 -
 arch/tile/include/asm/spinlock_32.h                |    6 +-
 arch/tile/include/asm/spinlock_64.h                |    5 +-
 arch/tile/include/asm/stack.h                      |   13 +-
 arch/tile/include/asm/thread_info.h                |    1 +
 arch/tile/include/asm/topology.h                   |    2 +-
 arch/tile/include/asm/traps.h                      |    8 +
 arch/tile/include/asm/uaccess.h                    |   84 +-
 arch/tile/include/asm/word-at-a-time.h             |   36 +
 arch/tile/include/hv/hypervisor.h                  |   60 +-
 arch/tile/kernel/entry.S                           |    7 -
 arch/tile/kernel/hvglue.S                          |    3 +-
 arch/tile/kernel/hvglue_trace.c                    |    4 +
 arch/tile/kernel/intvec_64.S                       |    6 +
 arch/tile/kernel/process.c                         |  138 +-
 arch/tile/kernel/setup.c                           |    2 +-
 arch/tile/kernel/stack.c                           |  127 +-
 arch/tile/kernel/traps.c                           |   15 +
 arch/tile/kernel/usb.c                             |    1 +
 arch/tile/kernel/vdso/vgettimeofday.c              |   10 +-
 arch/tile/lib/exports.c                            |    3 -
 arch/tile/lib/memcpy_user_64.c                     |    4 +-
 arch/tile/lib/spinlock_32.c                        |   11 +-
 arch/tile/lib/spinlock_64.c                        |   11 +-
 arch/tile/lib/usercopy_32.S                        |   46 -
 arch/tile/lib/usercopy_64.S                        |   46 -
 arch/tile/mm/elf.c                                 |    2 +-
 arch/tile/mm/fault.c                               |   21 +-
 arch/tile/mm/highmem.c                             |    3 +-
 arch/tile/mm/hugetlbpage.c                         |    5 -
 arch/um/Kconfig.um                                 |   16 +-
 arch/um/Makefile                                   |    7 +-
 arch/um/drivers/harddog_user.c                     |   18 +-
 arch/um/drivers/hostaudio_kern.c                   |   20 +-
 arch/um/drivers/mconsole.h                         |    2 +-
 arch/um/drivers/net_user.c                         |    6 +-
 arch/um/drivers/slip_user.c                        |   14 +-
 arch/um/drivers/slirp_user.c                       |   16 +-
 arch/um/include/asm/Kbuild                         |    2 -
 arch/um/include/asm/mm-arch-hooks.h                |   15 +
 arch/um/include/asm/ptrace-generic.h               |    3 +-
 arch/um/include/asm/sections.h                     |    9 +
 arch/um/include/asm/thread_info.h                  |    2 +-
 arch/um/include/asm/uaccess.h                      |  176 +-
 arch/um/include/shared/init.h                      |   24 +-
 arch/um/include/shared/os.h                        |    2 +
 arch/um/include/shared/user.h                      |    2 +-
 arch/um/kernel/ksyms.c                             |    2 +
 arch/um/kernel/physmem.c                           |    7 +-
 arch/um/kernel/ptrace.c                            |    7 +-
 arch/um/kernel/skas/mmu.c                          |    7 +-
 arch/um/kernel/skas/syscall.c                      |    6 +-
 arch/um/kernel/skas/uaccess.c                      |   47 +-
 arch/um/kernel/trap.c                              |   10 +-
 arch/um/kernel/um_arch.c                           |    4 +-
 arch/um/os-Linux/drivers/ethertap_user.c           |    2 +-
 arch/um/os-Linux/drivers/tuntap_user.c             |    6 +-
 arch/um/os-Linux/file.c                            |    1 +
 arch/um/os-Linux/signal.c                          |    8 +-
 arch/um/os-Linux/skas/mem.c                        |    6 +-
 arch/um/os-Linux/skas/process.c                    |    8 +-
 arch/unicore32/include/asm/Kbuild                  |    1 -
 arch/unicore32/include/asm/mm-arch-hooks.h         |   15 +
 arch/unicore32/include/asm/pci.h                   |   10 -
 arch/unicore32/kernel/fpu-ucf64.c                  |    4 +-
 arch/unicore32/mm/fault.c                          |    2 +-
 arch/x86/Kbuild                                    |    5 +-
 arch/x86/Kconfig                                   |  272 +-
 arch/x86/Kconfig.debug                             |   23 +
 arch/x86/Makefile                                  |   23 +-
 arch/x86/boot/compressed/eboot.c                   |    4 +
 arch/x86/boot/compressed/misc.h                    |   11 +-
 arch/x86/configs/x86_64_defconfig                  |    1 -
 arch/x86/configs/xen.config                        |   28 +
 arch/x86/crypto/aesni-intel_glue.c                 |  427 +-
 arch/x86/crypto/camellia_aesni_avx2_glue.c         |   10 +-
 arch/x86/crypto/camellia_aesni_avx_glue.c          |   15 +-
 arch/x86/crypto/cast5_avx_glue.c                   |   15 +-
 arch/x86/crypto/cast6_avx_glue.c                   |   15 +-
 arch/x86/crypto/crc32-pclmul_glue.c                |    2 +-
 arch/x86/crypto/crc32c-intel_glue.c                |    3 +-
 arch/x86/crypto/crct10dif-pclmul_glue.c            |    2 +-
 arch/x86/crypto/fpu.c                              |    4 +-
 arch/x86/crypto/ghash-clmulni-intel_glue.c         |    2 +-
 arch/x86/crypto/serpent_avx2_glue.c                |   11 +-
 arch/x86/crypto/serpent_avx_glue.c                 |   15 +-
 arch/x86/crypto/sha-mb/sha1_mb.c                   |    8 +-
 arch/x86/crypto/sha1_ssse3_glue.c                  |   16 +-
 arch/x86/crypto/sha256_ssse3_glue.c                |   16 +-
 arch/x86/crypto/sha512_ssse3_glue.c                |   16 +-
 arch/x86/crypto/twofish_avx_glue.c                 |   16 +-
 arch/x86/entry/Makefile                            |   10 +
 arch/x86/entry/calling.h                           |  243 +
 arch/x86/entry/entry_32.S                          | 1248 +++
 arch/x86/entry/entry_64.S                          | 1458 ++++
 arch/x86/entry/entry_64_compat.S                   |  556 ++
 arch/x86/entry/syscall_32.c                        |   33 +
 arch/x86/{kernel => entry}/syscall_64.c            |    0
 arch/x86/entry/syscalls/Makefile                   |   69 +
 arch/x86/{ => entry}/syscalls/syscall_32.tbl       |    0
 arch/x86/{ => entry}/syscalls/syscall_64.tbl       |    0
 arch/x86/{ => entry}/syscalls/syscallhdr.sh        |    0
 arch/x86/{ => entry}/syscalls/syscalltbl.sh        |    0
 arch/x86/entry/thunk_32.S                          |   40 +
 arch/x86/entry/thunk_64.S                          |   67 +
 arch/x86/{ => entry}/vdso/.gitignore               |    0
 arch/x86/{ => entry}/vdso/Makefile                 |    0
 arch/x86/{ => entry}/vdso/checkundef.sh            |    0
 arch/x86/{ => entry}/vdso/vclock_gettime.c         |    0
 arch/x86/{ => entry}/vdso/vdso-layout.lds.S        |    0
 arch/x86/{ => entry}/vdso/vdso-note.S              |    0
 arch/x86/{ => entry}/vdso/vdso.lds.S               |    0
 arch/x86/{ => entry}/vdso/vdso2c.c                 |    0
 arch/x86/{ => entry}/vdso/vdso2c.h                 |    0
 arch/x86/{ => entry}/vdso/vdso32-setup.c           |    0
 arch/x86/{ => entry}/vdso/vdso32/.gitignore        |    0
 arch/x86/{ => entry}/vdso/vdso32/int80.S           |    0
 arch/x86/{ => entry}/vdso/vdso32/note.S            |    0
 arch/x86/{ => entry}/vdso/vdso32/sigreturn.S       |    0
 arch/x86/{ => entry}/vdso/vdso32/syscall.S         |    0
 arch/x86/{ => entry}/vdso/vdso32/sysenter.S        |    0
 arch/x86/{ => entry}/vdso/vdso32/vclock_gettime.c  |    0
 .../{ => entry}/vdso/vdso32/vdso-fakesections.c    |    0
 arch/x86/{ => entry}/vdso/vdso32/vdso32.lds.S      |    0
 arch/x86/{ => entry}/vdso/vdsox32.lds.S            |    0
 arch/x86/{ => entry}/vdso/vgetcpu.c                |    0
 arch/x86/{ => entry}/vdso/vma.c                    |    0
 arch/x86/entry/vsyscall/Makefile                   |    7 +
 arch/x86/{kernel => entry/vsyscall}/vsyscall_64.c  |    0
 .../{kernel => entry/vsyscall}/vsyscall_emu_64.S   |    0
 .../x86/{kernel => entry/vsyscall}/vsyscall_gtod.c |    0
 arch/x86/entry/vsyscall/vsyscall_trace.h           |   29 +
 arch/x86/ia32/Makefile                             |    2 +-
 arch/x86/ia32/ia32_signal.c                        |   13 +-
 arch/x86/ia32/ia32entry.S                          |  611 --
 arch/x86/include/asm/Kbuild                        |    1 -
 arch/x86/include/asm/alternative-asm.h             |   18 +
 arch/x86/include/asm/alternative.h                 |    6 +
 arch/x86/include/asm/amd_nb.h                      |   11 +
 arch/x86/include/asm/apic.h                        |    6 +
 arch/x86/include/asm/asm.h                         |   25 +
 arch/x86/include/asm/atomic.h                      |   30 +-
 arch/x86/include/asm/atomic64_64.h                 |    8 +-
 arch/x86/include/asm/barrier.h                     |    4 +-
 arch/x86/include/asm/cacheflush.h                  |   78 +-
 arch/x86/include/asm/calling.h                     |  247 -
 arch/x86/include/asm/cmpxchg.h                     |    2 -
 arch/x86/include/asm/crypto/glue_helper.h          |    2 +-
 arch/x86/include/asm/dma-mapping.h                 |   46 +-
 arch/x86/include/asm/dwarf2.h                      |  170 -
 arch/x86/include/asm/edac.h                        |    2 +-
 arch/x86/include/asm/efi.h                         |    2 +-
 arch/x86/include/asm/entry_arch.h                  |    5 +
 arch/x86/include/asm/espfix.h                      |    2 +-
 arch/x86/include/asm/fpu-internal.h                |  626 --
 arch/x86/include/asm/fpu/api.h                     |   48 +
 arch/x86/include/asm/fpu/internal.h                |  694 ++
 arch/x86/include/asm/fpu/regset.h                  |   21 +
 arch/x86/include/asm/fpu/signal.h                  |   33 +
 arch/x86/include/asm/fpu/types.h                   |  293 +
 arch/x86/include/asm/fpu/xstate.h                  |   46 +
 arch/x86/include/asm/frame.h                       |    7 +-
 arch/x86/include/asm/hardirq.h                     |    4 +
 arch/x86/include/asm/hpet.h                        |   16 +-
 arch/x86/include/asm/hugetlb.h                     |   12 -
 arch/x86/include/asm/hw_irq.h                      |  140 +-
 arch/x86/include/asm/i387.h                        |  108 -
 arch/x86/include/asm/intel_pmc_ipc.h               |   82 +
 arch/x86/include/asm/io.h                          |   15 +-
 arch/x86/include/asm/io_apic.h                     |  114 +-
 arch/x86/include/asm/irq.h                         |    4 +
 arch/x86/include/asm/irq_remapping.h               |   80 +-
 arch/x86/include/asm/irq_vectors.h                 |   51 +-
 arch/x86/include/asm/irqdomain.h                   |   63 +
 arch/x86/include/asm/kasan.h                       |    8 +-
 arch/x86/include/asm/kvm_emulate.h                 |    9 +-
 arch/x86/include/asm/kvm_host.h                    |   96 +-
 arch/x86/include/asm/livepatch.h                   |    1 +
 arch/x86/include/asm/mce.h                         |   28 +-
 arch/x86/include/asm/microcode.h                   |    8 +-
 arch/x86/include/asm/microcode_amd.h               |    4 +-
 arch/x86/include/asm/microcode_intel.h             |   13 +-
 arch/x86/include/asm/mm-arch-hooks.h               |   15 +
 arch/x86/include/asm/mmu_context.h                 |   13 +
 arch/x86/include/asm/mpx.h                         |   74 +-
 arch/x86/include/asm/msi.h                         |    7 +
 arch/x86/include/asm/msr-index.h                   |  665 ++
 arch/x86/include/asm/msr.h                         |   12 +-
 arch/x86/include/asm/mtrr.h                        |   15 +-
 arch/x86/include/asm/paravirt.h                    |   29 +-
 arch/x86/include/asm/paravirt_types.h              |   17 +-
 arch/x86/include/asm/pat.h                         |    9 +-
 arch/x86/include/asm/pci.h                         |   14 +-
 arch/x86/include/asm/pgtable.h                     |   12 +-
 arch/x86/include/asm/pgtable_types.h               |    3 +
 arch/x86/include/asm/preempt.h                     |    8 +-
 arch/x86/include/asm/processor.h                   |  161 +-
 arch/x86/include/asm/proto.h                       |   10 +-
 arch/x86/include/asm/ptrace.h                      |    2 +-
 arch/x86/include/asm/pvclock-abi.h                 |    1 +
 arch/x86/include/asm/pvclock.h                     |    1 -
 arch/x86/include/asm/qspinlock.h                   |   57 +
 arch/x86/include/asm/qspinlock_paravirt.h          |    6 +
 arch/x86/include/asm/segment.h                     |   14 +-
 arch/x86/include/asm/serial.h                      |    2 +-
 arch/x86/include/asm/setup.h                       |    7 +
 arch/x86/include/asm/simd.h                        |    2 +-
 arch/x86/include/asm/smp.h                         |   10 -
 arch/x86/include/asm/special_insns.h               |   38 +
 arch/x86/include/asm/spinlock.h                    |    5 +
 arch/x86/include/asm/spinlock_types.h              |    4 +
 arch/x86/include/asm/stackprotector.h              |    2 +
 arch/x86/include/asm/suspend_32.h                  |    2 +-
 arch/x86/include/asm/suspend_64.h                  |    2 +-
 arch/x86/include/asm/thread_info.h                 |    8 +-
 arch/x86/include/asm/topology.h                    |    4 +-
 arch/x86/include/asm/trace/irq_vectors.h           |    6 +
 arch/x86/include/asm/trace/mpx.h                   |  132 +
 arch/x86/include/asm/traps.h                       |    3 +-
 arch/x86/include/asm/uaccess.h                     |   15 +-
 arch/x86/include/asm/uaccess_32.h                  |   10 +-
 arch/x86/include/asm/user.h                        |   12 +-
 arch/x86/include/asm/x86_init.h                    |   21 -
 arch/x86/include/asm/xcr.h                         |   49 -
 arch/x86/include/asm/xor.h                         |    2 +-
 arch/x86/include/asm/xor_32.h                      |    2 +-
 arch/x86/include/asm/xor_avx.h                     |    2 +-
 arch/x86/include/asm/xsave.h                       |  257 -
 arch/x86/include/uapi/asm/e820.h                   |    1 +
 arch/x86/include/uapi/asm/hyperv.h                 |   11 +
 arch/x86/include/uapi/asm/kvm.h                    |   14 +-
 arch/x86/include/uapi/asm/msr-index.h              |  663 --
 arch/x86/include/uapi/asm/msr.h                    |    2 -
 arch/x86/include/uapi/asm/mtrr.h                   |    8 +-
 arch/x86/include/uapi/asm/sigcontext.h             |    8 +-
 arch/x86/kernel/Makefile                           |    7 +-
 arch/x86/kernel/acpi/boot.c                        |   73 +-
 arch/x86/kernel/acpi/wakeup_32.S                   |    6 +-
 arch/x86/kernel/acpi/wakeup_64.S                   |    6 +-
 arch/x86/kernel/alternative.c                      |   14 +
 arch/x86/kernel/amd_nb.c                           |    4 +-
 arch/x86/kernel/apb_timer.c                        |    4 -
 arch/x86/kernel/aperture_64.c                      |    8 +-
 arch/x86/kernel/apic/htirq.c                       |  173 +-
 arch/x86/kernel/apic/io_apic.c                     | 1303 ++--
 arch/x86/kernel/apic/msi.c                         |  417 +-
 arch/x86/kernel/apic/vector.c                      |  458 +-
 arch/x86/kernel/apic/x2apic_phys.c                 |    2 +
 arch/x86/kernel/asm-offsets.c                      |   21 +
 arch/x86/kernel/asm-offsets_32.c                   |   18 -
 arch/x86/kernel/asm-offsets_64.c                   |   23 +-
 arch/x86/kernel/bootflag.c                         |    2 +-
 arch/x86/kernel/check.c                            |    3 +-
 arch/x86/kernel/cpu/amd.c                          |   41 +-
 arch/x86/kernel/cpu/bugs.c                         |   55 +-
 arch/x86/kernel/cpu/common.c                       |   90 +-
 arch/x86/kernel/cpu/intel_cacheinfo.c              |    8 +-
 arch/x86/kernel/cpu/mcheck/mce.c                   |   65 +-
 arch/x86/kernel/cpu/mcheck/mce_amd.c               |  141 +-
 arch/x86/kernel/cpu/mcheck/mce_intel.c             |   44 +
 arch/x86/kernel/cpu/microcode/amd_early.c          |   24 +-
 arch/x86/kernel/cpu/microcode/core.c               |   76 +-
 arch/x86/kernel/cpu/microcode/core_early.c         |   26 +-
 arch/x86/kernel/cpu/microcode/intel.c              |   79 +-
 arch/x86/kernel/cpu/microcode/intel_early.c        |   42 +-
 arch/x86/kernel/cpu/microcode/intel_lib.c          |   45 +-
 arch/x86/kernel/cpu/mshyperv.c                     |    6 +-
 arch/x86/kernel/cpu/mtrr/cleanup.c                 |    3 +-
 arch/x86/kernel/cpu/mtrr/generic.c                 |  209 +-
 arch/x86/kernel/cpu/mtrr/main.c                    |   48 +-
 arch/x86/kernel/cpu/mtrr/mtrr.h                    |    2 +-
 arch/x86/kernel/cpu/perf_event.c                   |  186 +-
 arch/x86/kernel/cpu/perf_event.h                   |   47 +-
 arch/x86/kernel/cpu/perf_event_intel.c             |  275 +-
 arch/x86/kernel/cpu/perf_event_intel_bts.c         |   12 +-
 arch/x86/kernel/cpu/perf_event_intel_cqm.c         |  108 +-
 arch/x86/kernel/cpu/perf_event_intel_ds.c          |  321 +-
 arch/x86/kernel/cpu/perf_event_intel_lbr.c         |   13 +-
 arch/x86/kernel/cpu/perf_event_intel_pt.c          |   77 +-
 arch/x86/kernel/cpu/perf_event_intel_rapl.c        |    5 +-
 arch/x86/kernel/cpu/perf_event_intel_uncore.c      |   26 +-
 arch/x86/kernel/cpu/perf_event_intel_uncore.h      |   20 +-
 arch/x86/kernel/cpu/perf_event_intel_uncore_snb.c  |   20 +
 .../x86/kernel/cpu/perf_event_intel_uncore_snbep.c |    6 +-
 arch/x86/kernel/cpu/proc.c                         |    3 +-
 arch/x86/kernel/crash.c                            |    1 +
 arch/x86/kernel/devicetree.c                       |   43 +-
 arch/x86/kernel/e820.c                             |   31 +-
 arch/x86/kernel/early-quirks.c                     |    8 +-
 arch/x86/kernel/early_printk.c                     |    4 +-
 arch/x86/kernel/entry_32.S                         | 1401 ----
 arch/x86/kernel/entry_64.S                         | 1653 ----
 arch/x86/kernel/espfix_64.c                        |   28 +-
 arch/x86/kernel/fpu/Makefile                       |    5 +
 arch/x86/kernel/fpu/bugs.c                         |   71 +
 arch/x86/kernel/fpu/core.c                         |  523 ++
 arch/x86/kernel/fpu/init.c                         |  355 +
 arch/x86/kernel/fpu/regset.c                       |  356 +
 arch/x86/kernel/fpu/signal.c                       |  404 +
 arch/x86/kernel/fpu/xstate.c                       |  461 ++
 arch/x86/kernel/head64.c                           |   12 +-
 arch/x86/kernel/head_32.S                          |   50 +-
 arch/x86/kernel/head_64.S                          |   53 +-
 arch/x86/kernel/hpet.c                             |   50 +-
 arch/x86/kernel/i386_ksyms_32.c                    |    4 +-
 arch/x86/kernel/i387.c                             |  671 --
 arch/x86/kernel/i8259.c                            |    8 +-
 arch/x86/kernel/irq.c                              |   82 +-
 arch/x86/kernel/irq_32.c                           |    6 -
 arch/x86/kernel/irq_64.c                           |    6 -
 arch/x86/kernel/irq_work.c                         |   10 +-
 arch/x86/kernel/irqinit.c                          |   10 +-
 arch/x86/kernel/kexec-bzimage64.c                  |   11 +-
 arch/x86/kernel/kvm.c                              |   47 +-
 arch/x86/kernel/kvmclock.c                         |   14 +-
 arch/x86/kernel/machine_kexec_64.c                 |    4 +-
 arch/x86/kernel/mpparse.c                          |    7 +-
 arch/x86/kernel/paravirt-spinlocks.c               |   24 +-
 arch/x86/kernel/paravirt.c                         |    4 +-
 arch/x86/kernel/paravirt_patch_32.c                |   22 +-
 arch/x86/kernel/paravirt_patch_64.c                |   23 +-
 arch/x86/kernel/pci-dma.c                          |   45 +
 arch/x86/kernel/pci-swiotlb.c                      |    7 +
 arch/x86/kernel/pmem.c                             |   93 +-
 arch/x86/kernel/process.c                          |   61 +-
 arch/x86/kernel/process_32.c                       |   26 +-
 arch/x86/kernel/process_64.c                       |   24 +-
 arch/x86/kernel/ptrace.c                           |   12 +-
 arch/x86/kernel/setup.c                            |   27 +-
 arch/x86/kernel/signal.c                           |   38 +-
 arch/x86/kernel/smp.c                              |   19 +-
 arch/x86/kernel/smpboot.c                          |  115 +-
 arch/x86/kernel/syscall_32.c                       |   33 -
 arch/x86/kernel/traps.c                            |  155 +-
 arch/x86/kernel/tsc.c                              |   11 +-
 arch/x86/kernel/tsc_sync.c                         |    2 +-
 arch/x86/kernel/uprobes.c                          |   10 +-
 arch/x86/kernel/vsmp_64.c                          |    2 +-
 arch/x86/kernel/vsyscall_trace.h                   |   29 -
 arch/x86/kernel/x8664_ksyms_64.c                   |    4 +-
 arch/x86/kernel/x86_init.c                         |   10 -
 arch/x86/kernel/xsave.c                            |  724 --
 arch/x86/kvm/Kconfig                               |    9 +-
 arch/x86/kvm/Makefile                              |    6 +-
 arch/x86/kvm/cpuid.c                               |   17 +-
 arch/x86/kvm/cpuid.h                               |    8 +
 arch/x86/kvm/emulate.c                             |  303 +-
 arch/x86/kvm/i8254.c                               |    2 +-
 arch/x86/kvm/ioapic.c                              |    9 +-
 arch/x86/kvm/irq_comm.c                            |   14 +-
 arch/x86/kvm/kvm_cache_regs.h                      |    5 +
 arch/x86/kvm/lapic.c                               |  102 +-
 arch/x86/kvm/lapic.h                               |   16 +-
 arch/x86/kvm/mmu.c                                 |  692 +-
 arch/x86/kvm/mmu.h                                 |    2 +
 arch/x86/kvm/mmu_audit.c                           |   22 +-
 arch/x86/kvm/mmutrace.h                            |    2 +-
 arch/x86/kvm/mtrr.c                                |  699 ++
 arch/x86/kvm/paging_tmpl.h                         |   18 +-
 arch/x86/kvm/pmu.c                                 |  553 +-
 arch/x86/kvm/pmu.h                                 |  118 +
 arch/x86/kvm/pmu_amd.c                             |  207 +
 arch/x86/kvm/pmu_intel.c                           |  358 +
 arch/x86/kvm/svm.c                                 |  118 +-
 arch/x86/kvm/trace.h                               |   22 +
 arch/x86/kvm/vmx.c                                 |  370 +-
 arch/x86/kvm/x86.c                                 |  962 ++-
 arch/x86/kvm/x86.h                                 |    8 +
 arch/x86/lguest/boot.c                             |    6 +-
 arch/x86/lib/Makefile                              |    3 +-
 arch/x86/lib/atomic64_386_32.S                     |    7 +-
 arch/x86/lib/atomic64_cx8_32.S                     |   61 +-
 arch/x86/lib/checksum_32.S                         |   52 +-
 arch/x86/lib/clear_page_64.S                       |    7 -
 arch/x86/lib/cmpxchg16b_emu.S                      |   12 +-
 arch/x86/lib/cmpxchg8b_emu.S                       |   11 +-
 arch/x86/lib/copy_page_64.S                        |   11 -
 arch/x86/lib/copy_user_64.S                        |  127 +-
 arch/x86/lib/copy_user_nocache_64.S                |  136 -
 arch/x86/lib/csum-copy_64.S                        |   17 -
 arch/x86/lib/getuser.S                             |   13 -
 arch/x86/lib/iomap_copy_64.S                       |    3 -
 arch/x86/lib/memcpy_64.S                           |    3 -
 arch/x86/lib/memmove_64.S                          |    3 -
 arch/x86/lib/memset_64.S                           |    5 -
 arch/x86/lib/mmx_32.c                              |    2 +-
 arch/x86/lib/msr-reg.S                             |   44 +-
 arch/x86/lib/putuser.S                             |    8 +-
 arch/x86/lib/rwsem.S                               |   49 +-
 arch/x86/lib/thunk_32.S                            |   45 -
 arch/x86/lib/thunk_64.S                            |   75 -
 arch/x86/lib/usercopy.c                            |    2 +-
 arch/x86/lib/usercopy_32.c                         |    6 +-
 arch/x86/math-emu/fpu_aux.c                        |    4 +-
 arch/x86/math-emu/fpu_entry.c                      |   20 +-
 arch/x86/math-emu/fpu_system.h                     |    2 +-
 arch/x86/mm/fault.c                                |    5 +-
 arch/x86/mm/highmem_32.c                           |    3 +-
 arch/x86/mm/init.c                                 |    6 +-
 arch/x86/mm/init_32.c                              |    2 +-
 arch/x86/mm/iomap_32.c                             |   14 +-
 arch/x86/mm/ioremap.c                              |   78 +-
 arch/x86/mm/kasan_init_64.c                        |   47 +-
 arch/x86/mm/mpx.c                                  |  519 +-
 arch/x86/mm/pageattr-test.c                        |    1 +
 arch/x86/mm/pageattr.c                             |   84 +-
 arch/x86/mm/pat.c                                  |  337 +-
 arch/x86/mm/pat_internal.h                         |    2 +-
 arch/x86/mm/pat_rbtree.c                           |    6 +-
 arch/x86/mm/pgtable.c                              |   60 +-
 arch/x86/net/bpf_jit.S                             |    1 -
 arch/x86/net/bpf_jit_comp.c                        |  150 +-
 arch/x86/pci/acpi.c                                |   17 +-
 arch/x86/pci/i386.c                                |    6 +-
 arch/x86/pci/intel_mid_pci.c                       |    6 +-
 arch/x86/pci/irq.c                                 |   13 +-
 arch/x86/platform/Makefile                         |    1 +
 arch/x86/platform/atom/Makefile                    |    1 +
 arch/x86/platform/atom/punit_atom_debug.c          |  183 +
 arch/x86/platform/efi/efi.c                        |   26 +
 .../platform/intel-mid/device_libs/platform_wdt.c  |    5 +-
 arch/x86/platform/intel-mid/intel-mid.c            |   18 +-
 arch/x86/platform/intel-mid/intel_mid_vrtc.c       |    3 +-
 arch/x86/platform/intel-mid/sfi.c                  |   30 +-
 arch/x86/platform/sfi/sfi.c                        |    7 +-
 arch/x86/platform/uv/uv_irq.c                      |  298 +-
 arch/x86/platform/uv/uv_nmi.c                      |    2 +-
 arch/x86/power/cpu.c                               |   11 +-
 arch/x86/power/hibernate_asm_64.S                  |    8 +-
 arch/x86/syscalls/Makefile                         |   69 -
 arch/x86/um/Makefile                               |    2 +-
 arch/x86/um/asm/barrier.h                          |    3 +-
 arch/x86/um/asm/checksum.h                         |    1 +
 arch/x86/um/asm/elf.h                              |    2 -
 arch/x86/um/asm/processor.h                        |    2 +
 arch/x86/um/asm/segment.h                          |    8 +
 arch/x86/um/ldt.c                                  |    1 +
 arch/x86/um/mem_32.c                               |    3 +-
 arch/x86/um/mem_64.c                               |    3 +-
 arch/x86/um/ptrace_32.c                            |    1 +
 arch/x86/um/ptrace_64.c                            |    1 +
 arch/x86/um/shared/sysdep/tls.h                    |    6 +-
 arch/x86/um/signal.c                               |    3 +-
 arch/x86/um/syscalls_64.c                          |    1 +
 arch/x86/um/tls_32.c                               |    1 +
 arch/x86/um/tls_64.c                               |    1 +
 arch/x86/um/vdso/vma.c                             |    1 +
 arch/x86/xen/enlighten.c                           |   10 +-
 arch/x86/xen/p2m.c                                 |    1 +
 arch/x86/xen/spinlock.c                            |   64 +-
 arch/x86/xen/xen-asm_64.S                          |   28 +-
 arch/x86/xen/xen-ops.h                             |    2 +
 arch/xtensa/Kconfig                                |    2 +-
 arch/xtensa/include/asm/Kbuild                     |    1 -
 arch/xtensa/include/asm/dma-mapping.h              |   19 +-
 arch/xtensa/include/asm/io.h                       |    1 +
 arch/xtensa/include/asm/mm-arch-hooks.h            |   15 +
 arch/xtensa/include/asm/pci.h                      |    2 +-
 arch/xtensa/mm/fault.c                             |    4 +-
 arch/xtensa/mm/highmem.c                           |    2 +
 arch/xtensa/platforms/iss/network.c                |    4 +-
 block/bio-integrity.c                              |    4 +-
 block/bio.c                                        |  112 +-
 block/blk-cgroup.c                                 |  215 +-
 block/blk-cgroup.h                                 |  603 --
 block/blk-core.c                                   |  112 +-
 block/blk-exec.c                                   |   10 -
 block/blk-integrity.c                              |    1 +
 block/blk-merge.c                                  |    3 +-
 block/blk-mq-cpumap.c                              |    2 +-
 block/blk-mq-tag.c                                 |   38 +
 block/blk-mq-tag.h                                 |    1 +
 block/blk-mq.c                                     |  168 +-
 block/blk-sysfs.c                                  |    3 +-
 block/blk-throttle.c                               |    2 +-
 block/blk.h                                        |    5 +-
 block/bounce.c                                     |    4 +-
 block/cfq-iosched.c                                |  127 +-
 block/elevator.c                                   |    4 +-
 block/genhd.c                                      |   14 +-
 block/ioctl.c                                      |   37 +-
 block/scsi_ioctl.c                                 |    4 +-
 crypto/.gitignore                                  |    1 +
 crypto/842.c                                       |  174 +-
 crypto/Kconfig                                     |  106 +-
 crypto/Makefile                                    |   17 +-
 crypto/ablkcipher.c                                |   12 +-
 crypto/aead.c                                      |  684 +-
 crypto/af_alg.c                                    |    9 +-
 crypto/akcipher.c                                  |  117 +
 crypto/algapi.c                                    |   31 +-
 crypto/algif_aead.c                                |   70 +-
 crypto/algif_rng.c                                 |    2 +-
 crypto/ansi_cprng.c                                |   88 +-
 crypto/asymmetric_keys/asymmetric_keys.h           |    3 +
 crypto/asymmetric_keys/asymmetric_type.c           |   20 +-
 crypto/asymmetric_keys/pkcs7_key_type.c            |    1 +
 crypto/asymmetric_keys/rsa.c                       |    4 +-
 crypto/asymmetric_keys/x509_public_key.c           |   23 +-
 crypto/authenc.c                                   |   17 +-
 crypto/authencesn.c                                |   17 +-
 crypto/blkcipher.c                                 |    1 +
 crypto/ccm.c                                       |   14 +-
 crypto/chacha20_generic.c                          |  216 +
 crypto/chacha20poly1305.c                          |  695 ++
 crypto/chainiv.c                                   |  105 +-
 crypto/cryptd.c                                    |  135 +-
 crypto/crypto_null.c                               |   39 +
 crypto/crypto_user.c                               |   34 +-
 crypto/drbg.c                                      |  567 +-
 crypto/echainiv.c                                  |  312 +
 crypto/eseqiv.c                                    |   52 +-
 crypto/fips.c                                      |   53 +-
 crypto/gcm.c                                       |  940 +--
 crypto/internal.h                                  |    3 +-
 crypto/jitterentropy-kcapi.c                       |  208 +
 crypto/jitterentropy.c                             |  787 ++
 crypto/krng.c                                      |   66 -
 crypto/md5.c                                       |    8 +-
 crypto/pcompress.c                                 |    7 +-
 crypto/pcrypt.c                                    |  199 +-
 crypto/poly1305_generic.c                          |  321 +
 crypto/proc.c                                      |   41 -
 crypto/rng.c                                       |  132 +-
 crypto/rsa.c                                       |  315 +
 crypto/rsa_helper.c                                |  121 +
 crypto/rsakey.asn1                                 |    5 +
 crypto/scatterwalk.c                               |   45 +-
 crypto/seqiv.c                                     |  587 +-
 crypto/shash.c                                     |    7 +-
 crypto/tcrypt.c                                    |   36 +-
 crypto/tcrypt.h                                    |    1 +
 crypto/testmgr.c                                   |  318 +-
 crypto/testmgr.h                                   | 2443 +++++-
 crypto/zlib.c                                      |    4 +-
 drivers/Kconfig                                    |    2 +
 drivers/Makefile                                   |    2 +-
 drivers/acpi/Kconfig                               |   51 +-
 drivers/acpi/Makefile                              |    6 +-
 drivers/acpi/ac.c                                  |    2 +-
 drivers/acpi/acpi_lpss.c                           |   29 +-
 drivers/acpi/acpi_pad.c                            |    2 +-
 drivers/acpi/acpi_platform.c                       |    2 +-
 drivers/acpi/acpi_processor.c                      |   20 +-
 drivers/acpi/acpi_video.c                          | 2060 +++++
 drivers/acpi/acpica/accommon.h                     |    3 +
 drivers/acpi/acpica/acdebug.h                      |    4 +-
 drivers/acpi/acpica/acglobal.h                     |    2 +
 drivers/acpi/acpica/acinterp.h                     |    2 +
 drivers/acpi/acpica/aclocal.h                      |   16 +
 drivers/acpi/acpica/acnamesp.h                     |    1 +
 drivers/acpi/acpica/acobject.h                     |    1 +
 drivers/acpi/acpica/acparser.h                     |    3 +
 drivers/acpi/acpica/acpredef.h                     |   45 +-
 drivers/acpi/acpica/acstruct.h                     |    1 +
 drivers/acpi/acpica/acutils.h                      |   64 +-
 drivers/acpi/acpica/dsfield.c                      |    2 +-
 drivers/acpi/acpica/dsinit.c                       |    2 +-
 drivers/acpi/acpica/dsmethod.c                     |    5 +-
 drivers/acpi/acpica/dsobject.c                     |    7 +-
 drivers/acpi/acpica/dsutils.c                      |    4 +-
 drivers/acpi/acpica/dswload.c                      |   17 +-
 drivers/acpi/acpica/evgpeinit.c                    |    2 +-
 drivers/acpi/acpica/exconfig.c                     |    2 +-
 drivers/acpi/acpica/exconvrt.c                     |    9 +-
 drivers/acpi/acpica/exdebug.c                      |   42 +-
 drivers/acpi/acpica/exdump.c                       |    9 +-
 drivers/acpi/acpica/exfield.c                      |    2 +-
 drivers/acpi/acpica/exfldio.c                      |   52 +-
 drivers/acpi/acpica/exmisc.c                       |   36 +-
 drivers/acpi/acpica/exnames.c                      |    2 +-
 drivers/acpi/acpica/exoparg2.c                     |    8 +-
 drivers/acpi/acpica/exoparg3.c                     |    4 +-
 drivers/acpi/acpica/exregion.c                     |    9 +-
 drivers/acpi/acpica/exstorob.c                     |   18 +-
 drivers/acpi/acpica/exutils.c                      |   32 +
 drivers/acpi/acpica/hwpci.c                        |    9 +-
 drivers/acpi/acpica/hwxfsleep.c                    |  125 +-
 drivers/acpi/acpica/nsaccess.c                     |   16 +-
 drivers/acpi/acpica/nsconvert.c                    |   10 +-
 drivers/acpi/acpica/nsdump.c                       |    2 +-
 drivers/acpi/acpica/nseval.c                       |    7 +-
 drivers/acpi/acpica/nsinit.c                       |    4 +-
 drivers/acpi/acpica/nsparse.c                      |    7 +
 drivers/acpi/acpica/nsprepkg.c                     |   13 +
 drivers/acpi/acpica/nsrepair.c                     |    2 +-
 drivers/acpi/acpica/nsrepair2.c                    |    2 +-
 drivers/acpi/acpica/nssearch.c                     |   37 +-
 drivers/acpi/acpica/nsutils.c                      |    3 +-
 drivers/acpi/acpica/nsxfeval.c                     |    5 +-
 drivers/acpi/acpica/nsxfname.c                     |   29 +-
 drivers/acpi/acpica/psopinfo.c                     |    3 -
 drivers/acpi/acpica/psutils.c                      |    7 +-
 drivers/acpi/acpica/rscreate.c                     |    6 +-
 drivers/acpi/acpica/rsmisc.c                       |    8 +-
 drivers/acpi/acpica/rsutils.c                      |   13 +-
 drivers/acpi/acpica/rsxface.c                      |    8 +-
 drivers/acpi/acpica/tbdata.c                       |    8 +-
 drivers/acpi/acpica/tbfadt.c                       |   27 +-
 drivers/acpi/acpica/tbfind.c                       |   21 +-
 drivers/acpi/acpica/tbinstal.c                     |    7 +-
 drivers/acpi/acpica/tbprint.c                      |   10 +-
 drivers/acpi/acpica/tbutils.c                      |   37 +-
 drivers/acpi/acpica/tbxface.c                      |   17 +-
 drivers/acpi/acpica/tbxfload.c                     |   17 +-
 drivers/acpi/acpica/utalloc.c                      |    6 +-
 drivers/acpi/acpica/utbuffer.c                     |    4 +-
 drivers/acpi/acpica/utcache.c                      |    6 +-
 drivers/acpi/acpica/utcopy.c                       |   42 +-
 drivers/acpi/acpica/utdebug.c                      |    4 +-
 drivers/acpi/acpica/utfileio.c                     |    9 +-
 drivers/acpi/acpica/utglobal.c                     |   13 +-
 drivers/acpi/acpica/uthex.c                        |    4 +-
 drivers/acpi/acpica/utids.c                        |  100 +-
 drivers/acpi/acpica/utmisc.c                       |    9 +-
 drivers/acpi/acpica/utosi.c                        |    9 +-
 drivers/acpi/acpica/utpredef.c                     |    4 +-
 drivers/acpi/acpica/utprint.c                      |    6 +-
 drivers/acpi/acpica/utstring.c                     |   33 +-
 drivers/acpi/acpica/uttrack.c                      |    8 +-
 drivers/acpi/acpica/utxface.c                      |    8 +-
 drivers/acpi/acpica/utxferror.c                    |   11 +-
 drivers/acpi/acpica/utxfinit.c                     |   10 +-
 drivers/acpi/apei/erst.c                           |    1 +
 drivers/acpi/apei/ghes.c                           |  108 +-
 drivers/acpi/battery.c                             |   48 +-
 drivers/acpi/blacklist.c                           |   26 +
 drivers/acpi/bus.c                                 |   56 +-
 drivers/acpi/device_pm.c                           |   98 +-
 drivers/acpi/ec.c                                  |  357 +-
 drivers/acpi/fan.c                                 |    5 +-
 drivers/acpi/glue.c                                |    5 +
 drivers/acpi/hed.c                                 |    2 +-
 drivers/acpi/internal.h                            |   12 +-
 drivers/acpi/nfit.c                                | 1693 +++++
 drivers/acpi/nfit.h                                |  176 +
 drivers/acpi/numa.c                                |   50 +-
 drivers/acpi/osl.c                                 |   32 +-
 drivers/acpi/pci_irq.c                             |    3 +-
 drivers/acpi/power.c                               |   45 +-
 drivers/acpi/processor_core.c                      |   10 +-
 drivers/acpi/processor_idle.c                      |    2 +-
 drivers/acpi/processor_pdc.c                       |    5 +-
 drivers/acpi/property.c                            |   54 +-
 drivers/acpi/scan.c                                |  179 +-
 drivers/acpi/thermal.c                             |    9 +-
 drivers/acpi/utils.c                               |   15 +
 drivers/acpi/video.c                               | 2231 ------
 drivers/acpi/video_detect.c                        |  409 +-
 drivers/ata/Kconfig                                |   21 +-
 drivers/ata/Makefile                               |    2 +
 drivers/ata/acard-ahci.c                           |    4 +-
 drivers/ata/ahci.c                                 |  104 +-
 drivers/ata/ahci.h                                 |    6 +-
 drivers/ata/ahci_brcmstb.c                         |  322 +
 drivers/ata/ahci_ceva.c                            |  238 +
 drivers/ata/ahci_mvebu.c                           |   24 +-
 drivers/ata/ahci_platform.c                        |   10 +
 drivers/ata/ahci_xgene.c                           |  103 +-
 drivers/ata/libahci.c                              |  105 +-
 drivers/ata/libahci_platform.c                     |    4 +-
 drivers/ata/libata-core.c                          |   13 +-
 drivers/ata/libata-eh.c                            |   13 +-
 drivers/ata/libata-transport.c                     |   22 +
 drivers/ata/pata_at91.c                            |   92 +-
 drivers/ata/pata_hpt366.c                          |    4 +-
 drivers/ata/pata_octeon_cf.c                       |    2 +-
 drivers/ata/pata_samsung_cf.c                      |    2 +-
 drivers/ata/sata_highbank.c                        |    3 +-
 drivers/ata/sata_nv.c                              |    2 +-
 drivers/atm/he.c                                   |    4 +-
 drivers/atm/idt77105.c                             |    6 +-
 drivers/atm/iphase.c                               |    2 +-
 drivers/base/base.h                                |    1 +
 drivers/base/bus.c                                 |   31 +-
 drivers/base/cacheinfo.c                           |    6 +-
 drivers/base/core.c                                |    9 +-
 drivers/base/cpu.c                                 |   29 +
 drivers/base/dd.c                                  |  163 +-
 drivers/base/firmware_class.c                      |   79 +-
 drivers/base/init.c                                |    2 +
 drivers/base/node.c                                |    6 +-
 drivers/base/platform.c                            |   13 +
 drivers/base/power/Makefile                        |    2 +-
 drivers/base/power/clock_ops.c                     |   43 +-
 drivers/base/power/domain.c                        |   55 +-
 drivers/base/power/main.c                          |   14 +-
 drivers/base/power/power.h                         |   48 +
 drivers/base/power/runtime.c                       |    6 +
 drivers/base/power/wakeirq.c                       |  271 +
 drivers/base/power/wakeup.c                        |  135 +
 drivers/base/property.c                            |   40 +-
 drivers/base/regmap/internal.h                     |    3 +
 drivers/base/regmap/regcache.c                     |   45 +-
 drivers/base/regmap/regmap-irq.c                   |   11 +-
 drivers/base/regmap/regmap.c                       |   32 +-
 drivers/base/topology.c                            |    2 +-
 drivers/bcma/Kconfig                               |   12 +-
 drivers/bcma/driver_gpio.c                         |   20 +-
 drivers/block/Kconfig                              |   11 -
 drivers/block/Makefile                             |    1 -
 drivers/block/cciss.c                              |   27 +-
 drivers/block/cciss_scsi.c                         |    1 -
 drivers/block/drbd/drbd_debugfs.c                  |   10 +-
 drivers/block/drbd/drbd_int.h                      |    1 +
 drivers/block/drbd/drbd_main.c                     |   10 +-
 drivers/block/drbd/drbd_receiver.c                 |    4 +-
 drivers/block/loop.c                               |   84 +-
 drivers/block/loop.h                               |    3 +-
 drivers/block/mtip32xx/mtip32xx.c                  |  228 +-
 drivers/block/mtip32xx/mtip32xx.h                  |   10 +-
 drivers/block/nbd.c                                |   52 +-
 drivers/block/null_blk.c                           |   18 +-
 drivers/block/nvme-core.c                          |  921 ++-
 drivers/block/nvme-scsi.c                          | 1230 +--
 drivers/block/paride/paride.c                      |   57 +-
 drivers/block/paride/paride.h                      |    2 +
 drivers/block/paride/pcd.c                         |    9 +
 drivers/block/paride/pd.c                          |   16 +-
 drivers/block/paride/pf.c                          |    7 +
 drivers/block/paride/pg.c                          |    8 +
 drivers/block/paride/pt.c                          |    8 +
 drivers/block/pktcdvd.c                            |    1 +
 drivers/block/pmem.c                               |  262 -
 drivers/block/ps3vram.c                            |   34 +-
 drivers/block/rbd.c                                |  111 +-
 drivers/block/sx8.c                                |    4 +-
 drivers/block/virtio_blk.c                         |    6 +-
 drivers/block/xen-blkback/blkback.c                |   23 +-
 drivers/block/xen-blkback/common.h                 |    6 +-
 drivers/block/xen-blkback/xenbus.c                 |  167 +-
 drivers/block/xen-blkfront.c                       |  157 +-
 drivers/block/zram/Kconfig                         |   10 +-
 drivers/block/zram/zcomp.c                         |    7 +-
 drivers/block/zram/zcomp.h                         |    1 +
 drivers/block/zram/zram_drv.c                      | 1031 +--
 drivers/block/zram/zram_drv.h                      |   10 +-
 drivers/bluetooth/Kconfig                          |   15 +
 drivers/bluetooth/Makefile                         |    1 +
 drivers/bluetooth/ath3k.c                          |    6 +
 drivers/bluetooth/bt3c_cs.c                        |    3 +-
 drivers/bluetooth/btbcm.c                          |  138 +-
 drivers/bluetooth/btbcm.h                          |   61 +-
 drivers/bluetooth/btintel.c                        |    6 -
 drivers/bluetooth/btmrvl_sdio.c                    |    2 +-
 drivers/bluetooth/btrtl.c                          |  390 +
 drivers/bluetooth/btrtl.h                          |   52 +
 drivers/bluetooth/btusb.c                          |  572 +-
 drivers/bluetooth/btwilink.c                       |    2 +-
 drivers/bluetooth/hci_ath.c                        |    1 +
 drivers/bluetooth/hci_bcm.c                        |  107 +-
 drivers/bluetooth/hci_bcsp.c                       |   20 +-
 drivers/bluetooth/hci_h4.c                         |    1 +
 drivers/bluetooth/hci_ldisc.c                      |  123 +
 drivers/bluetooth/hci_uart.h                       |   11 +
 drivers/bluetooth/hci_vhci.c                       |    2 +-
 drivers/bus/Kconfig                                |   31 +-
 drivers/bus/arm-cci.c                              |  905 ++-
 drivers/bus/arm-ccn.c                              |  270 +-
 drivers/bus/brcmstb_gisb.c                         |   13 +-
 drivers/bus/mvebu-mbus.c                           |  120 +-
 drivers/bus/omap_l3_noc.c                          |    4 +-
 drivers/char/Kconfig                               |    8 -
 drivers/char/Makefile                              |    2 -
 drivers/char/agp/intel-gtt.c                       |    6 +-
 drivers/char/hw_random/via-rng.c                   |    2 +-
 drivers/char/i8k.c                                 | 1007 ---
 drivers/char/ipmi/ipmi_powernv.c                   |   39 +-
 drivers/char/ipmi/ipmi_watchdog.c                  |    6 +-
 drivers/char/misc.c                                |   23 +-
 drivers/char/msm_smd_pkt.c                         |  465 --
 drivers/char/pcmcia/cm4040_cs.c                    |    5 +-
 drivers/char/pcmcia/synclink_cs.c                  |    2 +-
 drivers/char/random.c                              |   80 +-
 drivers/char/raw.c                                 |    1 +
 drivers/char/snsc.c                                |    4 +-
 drivers/char/tpm/tpm_crb.c                         |    4 +-
 drivers/char/tpm/tpm_ibmvtpm.c                     |    5 +-
 drivers/char/tpm/tpm_of.c                          |    2 +-
 drivers/char/virtio_console.c                      |    4 +-
 drivers/char/xilinx_hwicap/buffer_icap.c           |    6 +-
 drivers/char/xillybus/Kconfig                      |    2 +-
 drivers/clk/Kconfig                                |   21 +-
 drivers/clk/Makefile                               |   17 +-
 drivers/clk/at91/clk-h32mx.c                       |    4 +-
 drivers/clk/at91/clk-main.c                        |    6 +-
 drivers/clk/at91/clk-master.c                      |   10 +-
 drivers/clk/at91/clk-peripheral.c                  |    8 +-
 drivers/clk/at91/clk-pll.c                         |   20 +-
 drivers/clk/at91/clk-programmable.c                |    2 +-
 drivers/clk/at91/clk-slow.c                        |    4 +-
 drivers/clk/at91/clk-smd.c                         |    2 +-
 drivers/clk/at91/clk-system.c                      |    8 +-
 drivers/clk/at91/clk-usb.c                         |    2 +-
 drivers/clk/at91/clk-utmi.c                        |    8 +-
 drivers/clk/at91/pmc.c                             |    2 +-
 drivers/clk/at91/pmc.h                             |    2 +-
 drivers/clk/bcm/Kconfig                            |    9 +
 drivers/clk/bcm/Makefile                           |    2 +
 drivers/clk/bcm/clk-cygnus.c                       |  265 +
 drivers/clk/bcm/clk-iproc-armpll.c                 |  282 +
 drivers/clk/bcm/clk-iproc-asiu.c                   |  272 +
 drivers/clk/bcm/clk-iproc-pll.c                    |  711 ++
 drivers/clk/bcm/clk-iproc.h                        |  178 +
 drivers/clk/bcm/clk-kona-setup.c                   |    4 -
 drivers/clk/bcm/clk-kona.c                         |    2 +-
 drivers/clk/bcm/clk-kona.h                         |    2 -
 drivers/clk/berlin/berlin2-pll.c                   |    9 +-
 drivers/clk/berlin/bg2.c                           |    7 +-
 drivers/clk/berlin/bg2q.c                          |    7 +-
 drivers/clk/clk-asm9260.c                          |    2 +-
 drivers/clk/clk-axm5516.c                          |    2 +-
 drivers/clk/clk-cdce706.c                          |    5 +-
 drivers/clk/clk-cdce925.c                          |  749 ++
 drivers/clk/clk-composite.c                        |    6 +-
 drivers/clk/clk-conf.c                             |    7 +-
 drivers/clk/clk-divider.c                          |    6 +-
 drivers/clk/clk-fixed-factor.c                     |   12 +-
 drivers/clk/clk-fixed-rate.c                       |    6 +-
 drivers/clk/clk-fractional-divider.c               |    4 +-
 drivers/clk/clk-gate.c                             |    6 +-
 drivers/clk/clk-gpio-gate.c                        |    3 +-
 drivers/clk/clk-ls1x.c                             |    6 +-
 drivers/clk/clk-max-gen.c                          |    2 +
 drivers/clk/clk-max77686.c                         |    1 +
 drivers/clk/clk-max77802.c                         |    1 +
 drivers/clk/clk-moxart.c                           |    4 +-
 drivers/clk/clk-mux.c                              |    6 +-
 drivers/clk/clk-nomadik.c                          |    3 +-
 drivers/clk/clk-s2mps11.c                          |    4 +-
 drivers/clk/clk-si5351.c                           |   26 +-
 drivers/clk/clk-stm32f4.c                          |  380 +
 drivers/clk/clk-u300.c                             |    1 +
 drivers/clk/clk-xgene.c                            |   22 +-
 drivers/clk/clk.c                                  | 2000 +++--
 drivers/clk/clkdev.c                               |   83 +-
 drivers/clk/h8300/Makefile                         |    2 +
 drivers/clk/h8300/clk-div.c                        |   53 +
 drivers/clk/h8300/clk-h8s2678.c                    |  146 +
 drivers/clk/hisilicon/Kconfig                      |    6 +
 drivers/clk/hisilicon/Makefile                     |    3 +-
 drivers/clk/hisilicon/clk-hi3620.c                 |   70 +-
 drivers/clk/hisilicon/clk-hi6220.c                 |  284 +
 drivers/clk/hisilicon/clk-hix5hd2.c                |   11 +-
 drivers/clk/hisilicon/clk.c                        |   29 +
 drivers/clk/hisilicon/clk.h                        |   41 +-
 drivers/clk/hisilicon/clkdivider-hi6220.c          |  156 +
 drivers/clk/imx/Makefile                           |   26 +
 {arch/arm/mach-imx => drivers/clk/imx}/clk-busy.c  |    0
 drivers/clk/imx/clk-cpu.c                          |  108 +
 .../mach-imx => drivers/clk/imx}/clk-fixup-div.c   |    0
 .../mach-imx => drivers/clk/imx}/clk-fixup-mux.c   |    0
 .../clk/imx}/clk-gate-exclusive.c                  |    0
 {arch/arm/mach-imx => drivers/clk/imx}/clk-gate2.c |    0
 drivers/clk/imx/clk-imx1.c                         |  122 +
 drivers/clk/imx/clk-imx21.c                        |  175 +
 drivers/clk/imx/clk-imx25.c                        |  262 +
 drivers/clk/imx/clk-imx27.c                        |  263 +
 drivers/clk/imx/clk-imx31.c                        |  221 +
 drivers/clk/imx/clk-imx35.c                        |  310 +
 drivers/clk/imx/clk-imx51-imx53.c                  |  570 ++
 drivers/clk/imx/clk-imx6q.c                        |  538 ++
 drivers/clk/imx/clk-imx6sl.c                       |  443 ++
 drivers/clk/imx/clk-imx6sx.c                       |  561 ++
 drivers/clk/imx/clk-imx7d.c                        |  860 +++
 {arch/arm/mach-imx => drivers/clk/imx}/clk-pfd.c   |    0
 drivers/clk/imx/clk-pllv1.c                        |  141 +
 {arch/arm/mach-imx => drivers/clk/imx}/clk-pllv2.c |    0
 drivers/clk/imx/clk-pllv3.c                        |  338 +
 drivers/clk/imx/clk-vf610.c                        |  416 +
 {arch/arm/mach-imx => drivers/clk/imx}/clk.c       |    0
 drivers/clk/imx/clk.h                              |  149 +
 drivers/clk/ingenic/Makefile                       |    3 +
 drivers/clk/ingenic/cgu.c                          |  711 ++
 drivers/clk/ingenic/cgu.h                          |  223 +
 drivers/clk/ingenic/jz4740-cgu.c                   |  303 +
 drivers/clk/ingenic/jz4780-cgu.c                   |  733 ++
 drivers/clk/keystone/pll.c                         |   20 +-
 drivers/clk/mediatek/Makefile                      |    4 +
 drivers/clk/mediatek/clk-gate.c                    |  137 +
 drivers/clk/mediatek/clk-gate.h                    |   49 +
 drivers/clk/mediatek/clk-mt8135.c                  |  644 ++
 drivers/clk/mediatek/clk-mt8173.c                  |  846 +++
 drivers/clk/mediatek/clk-mtk.c                     |  220 +
 drivers/clk/mediatek/clk-mtk.h                     |  169 +
 drivers/clk/mediatek/clk-pll.c                     |  332 +
 drivers/clk/mediatek/reset.c                       |   97 +
 drivers/clk/meson/Makefile                         |    6 +
 drivers/clk/meson/clk-cpu.c                        |  242 +
 drivers/clk/meson/clk-pll.c                        |  227 +
 drivers/clk/meson/clkc.c                           |  250 +
 drivers/clk/meson/clkc.h                           |  187 +
 drivers/clk/meson/meson8b-clkc.c                   |  196 +
 drivers/clk/mmp/Makefile                           |    2 +
 drivers/clk/mmp/clk-apbc.c                         |    2 +-
 drivers/clk/mmp/clk-apmu.c                         |    2 +-
 drivers/clk/mmp/clk-mmp2.c                         |    4 +-
 drivers/clk/mmp/clk-of-mmp2.c                      |   10 +-
 drivers/clk/mmp/clk-of-pxa168.c                    |    8 +
 drivers/clk/mmp/clk-of-pxa1928.c                   |  265 +
 drivers/clk/mmp/clk-of-pxa910.c                    |   12 +
 drivers/clk/mvebu/armada-370.c                     |    1 +
 drivers/clk/mxs/clk-imx23.c                        |   12 +-
 drivers/clk/mxs/clk-imx28.c                        |   18 +-
 drivers/clk/mxs/clk.h                              |    2 +-
 drivers/clk/nxp/Makefile                           |    2 +
 drivers/clk/nxp/clk-lpc18xx-ccu.c                  |  293 +
 drivers/clk/nxp/clk-lpc18xx-cgu.c                  |  635 ++
 drivers/clk/pistachio/clk-pll.c                    |  115 +-
 drivers/clk/pxa/clk-pxa.h                          |    4 +-
 drivers/clk/pxa/clk-pxa27x.c                       |   32 +-
 drivers/clk/qcom/clk-rcg2.c                        |    9 +-
 drivers/clk/qcom/gcc-ipq806x.c                     |  594 +-
 drivers/clk/rockchip/clk-cpu.c                     |    2 +-
 drivers/clk/rockchip/clk-mmc-phase.c               |    2 +-
 drivers/clk/rockchip/clk-pll.c                     |    8 +-
 drivers/clk/rockchip/clk-rk3188.c                  |    2 +-
 drivers/clk/rockchip/clk-rk3288.c                  |    2 +-
 drivers/clk/rockchip/clk.c                         |    8 +-
 drivers/clk/rockchip/clk.h                         |   20 +-
 drivers/clk/samsung/Makefile                       |    2 +-
 drivers/clk/samsung/clk-cpu.c                      |  349 +
 drivers/clk/samsung/clk-cpu.h                      |   73 +
 drivers/clk/samsung/clk-exynos4.c                  |   24 +-
 drivers/clk/samsung/clk-exynos5260.c               |  100 +-
 drivers/clk/samsung/clk-exynos5420.c               |   10 +-
 drivers/clk/samsung/clk-exynos5433.c               |   81 +-
 drivers/clk/samsung/clk-pll.c                      |    4 +-
 drivers/clk/samsung/clk-s3c2410-dclk.c             |    6 +-
 drivers/clk/samsung/clk-s5pv210.c                  |   88 +-
 drivers/clk/samsung/clk.c                          |   15 +-
 drivers/clk/samsung/clk.h                          |   18 +-
 drivers/clk/shmobile/clk-emev2.c                   |    2 +-
 drivers/clk/sirf/Makefile                          |    2 +-
 drivers/clk/sirf/clk-atlas7.c                      | 1632 ++++
 drivers/clk/sirf/clk-common.c                      |   16 +-
 drivers/clk/socfpga/Makefile                       |    1 +
 drivers/clk/socfpga/clk-gate-a10.c                 |  190 +
 drivers/clk/socfpga/clk-gate.c                     |   12 +-
 drivers/clk/socfpga/clk-periph-a10.c               |  138 +
 drivers/clk/socfpga/clk-periph.c                   |    2 +-
 drivers/clk/socfpga/clk-pll-a10.c                  |  129 +
 drivers/clk/socfpga/clk-pll.c                      |    7 +-
 drivers/clk/socfpga/clk.c                          |    7 +-
 drivers/clk/socfpga/clk.h                          |   11 +-
 drivers/clk/st/clk-flexgen.c                       |   12 +-
 drivers/clk/st/clkgen-fsyn.c                       |   16 +-
 drivers/clk/st/clkgen-mux.c                        |   26 +-
 drivers/clk/st/clkgen-pll.c                        |   12 +-
 drivers/clk/sunxi/clk-mod0.c                       |    2 +-
 drivers/clk/sunxi/clk-sun9i-core.c                 |   10 +-
 drivers/clk/sunxi/clk-sunxi.c                      |    3 +
 drivers/clk/sunxi/clk-usb.c                        |   11 +
 drivers/clk/tegra/Kconfig                          |    3 +
 drivers/clk/tegra/Makefile                         |    1 +
 drivers/clk/tegra/clk-emc.c                        |  538 ++
 drivers/clk/tegra/clk-tegra124.c                   |   19 +-
 drivers/clk/tegra/clk-tegra30.c                    |    2 +-
 drivers/clk/tegra/clk.h                            |   12 +
 drivers/clk/ti/clk-7xx.c                           |    8 +-
 drivers/clk/ti/clk-dra7-atl.c                      |    9 +-
 drivers/clk/ti/clk.c                               |    4 +-
 drivers/clk/ti/clockdomain.c                       |    2 +-
 drivers/clk/ti/dpll.c                              |    2 +-
 drivers/clk/ti/fapll.c                             |    6 +-
 drivers/clk/ux500/u8500_clk.c                      |    7 +-
 drivers/clk/ux500/u8500_of_clk.c                   |    4 +-
 drivers/clk/versatile/clk-sp810.c                  |    4 +-
 drivers/clk/zte/Makefile                           |    2 +
 drivers/clk/zte/clk-pll.c                          |  172 +
 drivers/clk/zte/clk-zx296702.c                     |  657 ++
 drivers/clk/zte/clk.h                              |   32 +
 drivers/clk/zynq/clkc.c                            |   25 +-
 drivers/clocksource/Kconfig                        |   35 +
 drivers/clocksource/Makefile                       |    8 +
 drivers/clocksource/armv7m_systick.c               |   79 +
 drivers/clocksource/asm9260_timer.c                |    2 +-
 drivers/clocksource/exynos_mct.c                   |   65 +-
 drivers/clocksource/h8300_timer16.c                |  254 +
 drivers/clocksource/h8300_timer8.c                 |  313 +
 drivers/clocksource/h8300_tpu.c                    |  207 +
 drivers/clocksource/qcom-timer.c                   |   59 -
 drivers/clocksource/time-lpc32xx.c                 |  272 +
 drivers/clocksource/timer-imx-gpt.c                |  541 ++
 drivers/clocksource/timer-integrator-ap.c          |    5 +-
 drivers/clocksource/timer-sp.h                     |   30 +
 drivers/clocksource/timer-sp804.c                  |  310 +
 drivers/clocksource/timer-stm32.c                  |  184 +
 drivers/clocksource/timer-sun5i.c                  |    2 +-
 drivers/cpufreq/Kconfig.arm                        |   13 +-
 drivers/cpufreq/Makefile                           |    1 -
 drivers/cpufreq/acpi-cpufreq.c                     |    5 +-
 drivers/cpufreq/arm_big_little.c                   |   40 +-
 drivers/cpufreq/cpufreq-dt.c                       |    1 +
 drivers/cpufreq/cpufreq-nforce2.c                  |    2 +-
 drivers/cpufreq/cpufreq.c                          |  573 +-
 drivers/cpufreq/cpufreq_conservative.c             |   28 +-
 drivers/cpufreq/cpufreq_governor.c                 |  345 +-
 drivers/cpufreq/cpufreq_governor.h                 |   16 +-
 drivers/cpufreq/cpufreq_ondemand.c                 |    6 +-
 drivers/cpufreq/exynos-cpufreq.c                   |    6 +-
 drivers/cpufreq/exynos-cpufreq.h                   |    9 -
 drivers/cpufreq/exynos4210-cpufreq.c               |  184 -
 drivers/cpufreq/gx-suspmod.c                       |    4 +-
 drivers/cpufreq/intel_pstate.c                     |   73 +-
 drivers/cpufreq/loongson2_cpufreq.c                |    2 +-
 drivers/cpufreq/ls1x-cpufreq.c                     |    4 +-
 drivers/cpufreq/p4-clockmod.c                      |    2 +-
 drivers/cpufreq/powernow-k8.c                      |   13 +-
 drivers/cpufreq/pxa2xx-cpufreq.c                   |   20 +-
 drivers/cpufreq/qoriq-cpufreq.c                    |   32 +-
 drivers/cpufreq/s5pv210-cpufreq.c                  |    2 +-
 drivers/cpufreq/speedstep-ich.c                    |    2 +-
 drivers/cpuidle/cpuidle-at91.c                     |    3 +-
 drivers/cpuidle/cpuidle-big_little.c               |    8 +-
 drivers/cpuidle/cpuidle-calxeda.c                  |    3 +-
 drivers/cpuidle/cpuidle-powernv.c                  |   27 +-
 drivers/cpuidle/cpuidle-pseries.c                  |   11 +
 drivers/cpuidle/cpuidle-zynq.c                     |    3 +-
 drivers/cpuidle/cpuidle.c                          |   40 +-
 drivers/cpuidle/governors/menu.c                   |    4 +-
 drivers/crypto/Kconfig                             |   87 +-
 drivers/crypto/Makefile                            |    1 +
 drivers/crypto/caam/Kconfig                        |    5 +-
 drivers/crypto/caam/caamalg.c                      | 1497 ++--
 drivers/crypto/caam/caamhash.c                     |   11 +-
 drivers/crypto/caam/caamrng.c                      |    2 +-
 drivers/crypto/caam/compat.h                       |    2 +-
 drivers/crypto/caam/ctrl.c                         |    4 +-
 drivers/crypto/caam/regs.h                         |   38 +-
 drivers/crypto/caam/sg_sw_sec4.h                   |   50 +-
 drivers/crypto/ccp/Kconfig                         |    1 -
 drivers/crypto/ccp/ccp-ops.c                       |    9 +-
 drivers/crypto/ccp/ccp-platform.c                  |   62 +-
 drivers/crypto/ixp4xx_crypto.c                     |    9 +-
 drivers/crypto/marvell/Makefile                    |    2 +
 drivers/crypto/marvell/cesa.c                      |  547 ++
 drivers/crypto/marvell/cesa.h                      |  791 ++
 drivers/crypto/marvell/cipher.c                    |  797 ++
 drivers/crypto/marvell/hash.c                      | 1441 ++++
 drivers/crypto/marvell/tdma.c                      |  224 +
 drivers/crypto/mv_cesa.c                           |   73 +-
 drivers/crypto/n2_core.c                           |    8 +-
 drivers/crypto/nx/Kconfig                          |   61 +-
 drivers/crypto/nx/Makefile                         |    9 +-
 drivers/crypto/nx/nx-842-crypto.c                  |  580 ++
 drivers/crypto/nx/nx-842-platform.c                |   84 +
 drivers/crypto/nx/nx-842-powernv.c                 |  637 ++
 drivers/crypto/nx/nx-842-pseries.c                 | 1140 +++
 drivers/crypto/nx/nx-842.c                         | 1610 +---
 drivers/crypto/nx/nx-842.h                         |  144 +
 drivers/crypto/nx/nx-aes-gcm.c                     |  110 +-
 drivers/crypto/nx/nx-sha256.c                      |   84 +-
 drivers/crypto/nx/nx-sha512.c                      |   85 +-
 drivers/crypto/nx/nx.c                             |  233 +-
 drivers/crypto/nx/nx.h                             |    9 +-
 drivers/crypto/omap-sham.c                         |   27 +-
 drivers/crypto/padlock-aes.c                       |    2 +-
 drivers/crypto/padlock-sha.c                       |    2 +-
 drivers/crypto/picoxcell_crypto.c                  |   41 +-
 drivers/crypto/qat/Kconfig                         |    6 +-
 drivers/crypto/qat/qat_common/adf_accel_devices.h  |    1 -
 drivers/crypto/qat/qat_common/adf_accel_engine.c   |    5 +-
 drivers/crypto/qat/qat_common/adf_cfg_user.h       |   12 -
 drivers/crypto/qat/qat_common/adf_common_drv.h     |    7 +
 drivers/crypto/qat/qat_common/adf_ctl_drv.c        |    1 +
 drivers/crypto/qat/qat_common/adf_transport.c      |    2 +-
 drivers/crypto/qat/qat_common/qat_algs.c           |   39 +-
 drivers/crypto/qat/qat_dh895xcc/adf_drv.c          |    5 +-
 drivers/crypto/sahara.c                            |   13 +-
 drivers/crypto/talitos.c                           |  743 +-
 drivers/crypto/talitos.h                           |  153 +-
 drivers/crypto/ux500/Kconfig                       |    4 +-
 drivers/crypto/vmx/Kconfig                         |    2 +-
 drivers/crypto/vmx/Makefile                        |    2 +-
 drivers/crypto/vmx/aes.c                           |  172 +-
 drivers/crypto/vmx/aes_cbc.c                       |  246 +-
 drivers/crypto/vmx/aes_ctr.c                       |  225 +-
 drivers/crypto/vmx/aesp8-ppc.h                     |   15 +-
 drivers/crypto/vmx/ghash.c                         |  290 +-
 drivers/crypto/vmx/vmx.c                           |   68 +-
 drivers/dma-buf/dma-buf.c                          |   19 +-
 drivers/dma-buf/reservation.c                      |    9 +-
 drivers/dma-buf/seqno-fence.c                      |    8 +-
 drivers/dma/Kconfig                                |   15 +
 drivers/dma/Makefile                               |    2 +
 drivers/dma/amba-pl08x.c                           |    2 +-
 drivers/dma/at_hdmac.c                             |  106 +
 drivers/dma/at_hdmac_regs.h                        |    5 +
 drivers/dma/at_xdmac.c                             |  625 +-
 drivers/dma/dmaengine.c                            |   19 +-
 drivers/dma/dmatest.c                              |    4 +-
 drivers/dma/edma.c                                 |    7 +-
 drivers/dma/ep93xx_dma.c                           |    2 +-
 drivers/dma/fsl-edma.c                             |    9 +-
 drivers/dma/hsu/hsu.c                              |    5 +-
 drivers/dma/imx-dma.c                              |    2 +-
 drivers/dma/imx-sdma.c                             |    2 +-
 drivers/dma/mic_x100_dma.c                         |    1 +
 drivers/dma/mmp_tdma.c                             |    2 +-
 drivers/dma/mv_xor.c                               |  352 +-
 drivers/dma/mv_xor.h                               |   27 +-
 drivers/dma/mxs-dma.c                              |    2 +-
 drivers/dma/nbpfaxi.c                              |    2 +-
 drivers/dma/of-dma.c                               |   89 +
 drivers/dma/omap-dma.c                             |   80 +-
 drivers/dma/pl330.c                                |   11 +-
 drivers/dma/pxa_dma.c                              | 1467 ++++
 drivers/dma/s3c24xx-dma.c                          |    2 +-
 drivers/dma/sh/rcar-dmac.c                         |   39 +-
 drivers/dma/sh/shdma-r8a73a4.c                     |    2 +-
 drivers/dma/sirf-dma.c                             |  423 +-
 drivers/dma/sun6i-dma.c                            |   12 +
 drivers/dma/ti-dma-crossbar.c                      |  188 +
 drivers/dma/virt-dma.c                             |   19 +-
 drivers/dma/virt-dma.h                             |   13 +-
 drivers/dma/xgene-dma.c                            |  173 +-
 drivers/edac/Kconfig                               |   22 +-
 drivers/edac/Makefile                              |    1 +
 drivers/edac/altera_edac.c                         |  381 +-
 drivers/edac/altera_edac.h                         |  201 +
 drivers/edac/amd64_edac.c                          |    1 +
 drivers/edac/edac_mc.c                             |    9 +-
 drivers/edac/edac_mc_sysfs.c                       |    5 -
 drivers/edac/edac_stub.c                           |    1 -
 drivers/edac/mce_amd_inj.c                         |  177 +-
 drivers/edac/mpc85xx_edac.c                        |   10 +-
 drivers/edac/mpc85xx_edac.h                        |    1 +
 drivers/edac/octeon_edac-l2c.c                     |    2 +-
 drivers/edac/octeon_edac-lmc.c                     |    2 +-
 drivers/edac/octeon_edac-pc.c                      |    2 +-
 drivers/edac/sb_edac.c                             |  215 +-
 drivers/edac/xgene_edac.c                          | 1215 +++
 drivers/extcon/Kconfig                             |   25 +-
 drivers/extcon/Makefile                            |    1 +
 drivers/extcon/extcon-adc-jack.c                   |   15 +-
 drivers/extcon/extcon-arizona.c                    |   88 +-
 drivers/extcon/extcon-axp288.c                     |  381 +
 drivers/extcon/extcon-gpio.c                       |    1 -
 drivers/extcon/extcon-max14577.c                   |   60 +-
 drivers/extcon/extcon-max77693.c                   |  140 +-
 drivers/extcon/extcon-max77843.c                   |   89 +-
 drivers/extcon/extcon-max8997.c                    |   64 +-
 drivers/extcon/extcon-palmas.c                     |   23 +-
 drivers/extcon/extcon-rt8973a.c                    |   55 +-
 drivers/extcon/extcon-sm5502.c                     |   33 +-
 drivers/extcon/extcon-usb-gpio.c                   |   35 +-
 drivers/extcon/extcon.c                            |  316 +-
 drivers/firewire/sbp2.c                            |    1 -
 drivers/firmware/Kconfig                           |    1 +
 drivers/firmware/Makefile                          |    4 +-
 drivers/firmware/broadcom/Kconfig                  |   11 +
 drivers/firmware/broadcom/Makefile                 |    1 +
 drivers/firmware/broadcom/bcm47xx_nvram.c          |  248 +
 drivers/firmware/dmi-sysfs.c                       |   17 +-
 drivers/firmware/dmi_scan.c                        |  123 +-
 drivers/firmware/efi/Kconfig                       |    5 +
 drivers/firmware/efi/Makefile                      |    1 +
 drivers/firmware/efi/efi.c                         |   97 +-
 drivers/firmware/efi/efivars.c                     |   11 +-
 drivers/firmware/efi/esrt.c                        |  471 ++
 drivers/firmware/efi/libstub/Makefile              |    2 -
 drivers/firmware/iscsi_ibft.c                      |   36 +-
 drivers/firmware/memmap.c                          |   24 +-
 drivers/firmware/qcom_scm-32.c                     |  503 ++
 drivers/firmware/qcom_scm.c                        |  474 +-
 drivers/firmware/qcom_scm.h                        |   47 +
 drivers/gpio/Kconfig                               |   39 +-
 drivers/gpio/Makefile                              |    4 +
 drivers/gpio/gpio-altera.c                         |    3 +-
 drivers/gpio/gpio-bcm-kona.c                       |   33 +-
 drivers/gpio/gpio-brcmstb.c                        |  252 +
 drivers/gpio/gpio-crystalcove.c                    |    5 +-
 drivers/gpio/gpio-dln2.c                           |    1 -
 drivers/gpio/gpio-dwapb.c                          |    4 +-
 drivers/gpio/gpio-em.c                             |    2 +-
 drivers/gpio/gpio-etraxfs.c                        |  176 +
 drivers/gpio/gpio-f7188x.c                         |    4 +-
 drivers/gpio/gpio-generic.c                        |   22 +-
 drivers/gpio/gpio-grgpio.c                         |    2 +-
 drivers/gpio/gpio-it8761e.c                        |    2 +-
 drivers/gpio/gpio-lpc18xx.c                        |  180 +
 drivers/gpio/gpio-lynxpoint.c                      |    2 +-
 drivers/gpio/gpio-max732x.c                        |   21 +-
 drivers/gpio/gpio-moxart.c                         |   17 +-
 drivers/gpio/gpio-mpc8xxx.c                        |    2 +-
 drivers/gpio/gpio-msic.c                           |    3 +-
 drivers/gpio/gpio-mxc.c                            |   18 +-
 drivers/gpio/gpio-mxs.c                            |    6 +-
 drivers/gpio/gpio-omap.c                           |   83 +-
 drivers/gpio/gpio-pca953x.c                        |   23 +-
 drivers/gpio/gpio-pcf857x.c                        |   56 +-
 drivers/gpio/gpio-rcar.c                           |   13 +-
 drivers/gpio/gpio-sa1100.c                         |    2 +-
 drivers/gpio/gpio-sodaville.c                      |    2 +-
 drivers/gpio/gpio-stp-xway.c                       |   29 +-
 drivers/gpio/gpio-tb10x.c                          |    1 -
 drivers/gpio/gpio-tegra.c                          |    6 +-
 drivers/gpio/gpio-ts5500.c                         |    2 +-
 drivers/gpio/gpio-xgene-sb.c                       |   22 +-
 drivers/gpio/gpio-xilinx.c                         |    4 +-
 drivers/gpio/gpio-xlp.c                            |  427 ++
 drivers/gpio/gpio-zynq.c                           |  193 +-
 drivers/gpio/gpiolib-acpi.c                        |   40 +-
 drivers/gpio/gpiolib-of.c                          |    2 +-
 drivers/gpio/gpiolib-sysfs.c                       |  567 +-
 drivers/gpio/gpiolib.c                             |  116 +-
 drivers/gpio/gpiolib.h                             |   16 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu.h                |    6 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_bo_list.c        |    3 +
 drivers/gpu/drm/amd/amdgpu/amdgpu_cs.c             |   89 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_device.c         |   13 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_fence.c          |   45 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_gem.c            |   10 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_trace.h          |   69 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_ttm.c            |    2 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_vce.c            |  173 +-
 drivers/gpu/drm/amd/amdgpu/amdgpu_vce.h            |    1 -
 drivers/gpu/drm/amd/amdgpu/amdgpu_vm.c             |    4 +
 drivers/gpu/drm/amd/amdgpu/cik.c                   |    4 -
 drivers/gpu/drm/amd/amdgpu/cikd.h                  |    6 +
 drivers/gpu/drm/amd/amdgpu/cz_dpm.c                |    2 +-
 drivers/gpu/drm/amd/amdgpu/cz_dpm.h                |    2 +-
 drivers/gpu/drm/amd/amdgpu/dce_v10_0.c             |   22 +-
 drivers/gpu/drm/amd/amdgpu/dce_v11_0.c             |   22 +-
 drivers/gpu/drm/amd/amdgpu/dce_v8_0.c              |   24 +-
 drivers/gpu/drm/amd/amdgpu/gfx_v7_0.c              |   42 +
 drivers/gpu/drm/amd/amdgpu/gfx_v8_0.c              |   55 +-
 drivers/gpu/drm/amd/amdgpu/sdma_v3_0.c             |   28 +
 drivers/gpu/drm/amd/amdgpu/vi.c                    |    4 -
 drivers/gpu/drm/amd/amdkfd/kfd_process.c           |    9 +-
 drivers/gpu/drm/amd/amdkfd/kfd_topology.c          |    4 +-
 drivers/gpu/drm/drm_crtc.c                         |    6 +-
 drivers/gpu/drm/drm_ioctl.c                        |   14 +-
 drivers/gpu/drm/drm_sysfs.c                        |    2 +-
 drivers/gpu/drm/i915/Kconfig                       |    9 -
 drivers/gpu/drm/i915/Makefile                      |    2 +
 drivers/gpu/drm/i915/dvo_ivch.c                    |   63 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    6 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  286 +-
 drivers/gpu/drm/i915/i915_dma.c                    |   32 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   50 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  250 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  452 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |  101 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c             |    2 -
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  163 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  770 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |   64 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |   15 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h       |    2 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  172 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c            |   29 +-
 drivers/gpu/drm/i915/i915_ioc32.c                  |  140 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  517 +-
 drivers/gpu/drm/i915/i915_params.c                 |   15 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  142 +-
 drivers/gpu/drm/i915/i915_suspend.c                |    2 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   22 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   18 +-
 drivers/gpu/drm/i915/intel_atomic.c                |  249 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c          |   41 +-
 drivers/gpu/drm/i915/intel_audio.c                 |   30 +-
 drivers/gpu/drm/i915/intel_bios.c                  |   62 +-
 drivers/gpu/drm/i915/intel_csr.c                   |    6 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 1100 ++-
 drivers/gpu/drm/i915/intel_display.c               | 4146 +++++-----
 drivers/gpu/drm/i915/intel_dp.c                    |  262 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  152 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |   12 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c               |   97 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |  535 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   49 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |  115 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  423 +-
 drivers/gpu/drm/i915/intel_hotplug.c               |  499 ++
 drivers/gpu/drm/i915/intel_i2c.c                   |   20 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |  888 ++-
 drivers/gpu/drm/i915/intel_lrc.h                   |   21 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   72 +-
 drivers/gpu/drm/i915/intel_mocs.c                  |  335 +
 drivers/gpu/drm/i915/intel_mocs.h                  |   57 +
 drivers/gpu/drm/i915/intel_opregion.c              |  116 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   63 +-
 drivers/gpu/drm/i915/intel_panel.c                 |    8 +
 drivers/gpu/drm/i915/intel_pm.c                    |  825 +-
 drivers/gpu/drm/i915/intel_psr.c                   |   78 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  404 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   95 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   83 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |    2 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  205 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   74 +-
 drivers/gpu/drm/mgag200/mgag200_mode.c             |    5 +
 drivers/gpu/drm/nouveau/nouveau_gem.c              |    5 +-
 drivers/gpu/drm/omapdrm/omap_dmm_tiler.c           |    2 +-
 drivers/gpu/drm/omapdrm/omap_drv.h                 |    6 +-
 drivers/gpu/drm/omapdrm/omap_fb.c                  |   16 +-
 drivers/gpu/drm/omapdrm/omap_fbdev.c               |    2 +-
 drivers/gpu/drm/omapdrm/omap_gem.c                 |   26 +-
 drivers/gpu/drm/omapdrm/omap_plane.c               |   26 +
 drivers/gpu/drm/radeon/atombios.h                  |    4 +-
 drivers/gpu/drm/radeon/atombios_crtc.c             |    7 +-
 drivers/gpu/drm/radeon/cik.c                       |  370 +-
 drivers/gpu/drm/radeon/cik_sdma.c                  |   11 +
 drivers/gpu/drm/radeon/dce3_1_afmt.c               |    2 +-
 drivers/gpu/drm/radeon/evergreen.c                 |  392 +-
 drivers/gpu/drm/radeon/ni.c                        |   25 +-
 drivers/gpu/drm/radeon/r600.c                      |  155 +-
 drivers/gpu/drm/radeon/r600_cp.c                   |    2 +-
 drivers/gpu/drm/radeon/radeon_audio.c              |   18 +-
 drivers/gpu/drm/radeon/radeon_cursor.c             |  109 +-
 drivers/gpu/drm/radeon/radeon_device.c             |   81 +-
 drivers/gpu/drm/radeon/radeon_dp_mst.c             |    5 +
 drivers/gpu/drm/radeon/radeon_gem.c                |   12 +-
 drivers/gpu/drm/radeon/radeon_kms.c                |    3 +
 drivers/gpu/drm/radeon/radeon_mode.h               |    1 -
 drivers/gpu/drm/radeon/radeon_ttm.c                |    2 +-
 drivers/gpu/drm/radeon/radeon_vm.c                 |   57 +-
 drivers/gpu/drm/radeon/si.c                        |  336 +-
 drivers/gpu/drm/rockchip/rockchip_drm_drv.c        |    1 +
 drivers/gpu/drm/rockchip/rockchip_drm_vop.c        |    1 +
 drivers/gpu/ipu-v3/ipu-common.c                    |   13 +-
 drivers/hid/Kconfig                                |    7 +-
 drivers/hid/Makefile                               |    6 +-
 drivers/hid/hid-core.c                             |   38 +-
 drivers/hid/hid-cypress.c                          |    6 +-
 drivers/hid/hid-ids.h                              |   31 +-
 drivers/hid/hid-input.c                            |    3 +-
 drivers/hid/hid-lenovo.c                           |   59 +-
 drivers/hid/hid-lg.c                               |   24 +-
 drivers/hid/hid-lg4ff.c                            |  458 +-
 drivers/hid/hid-lg4ff.h                            |    4 +-
 drivers/hid/hid-logitech-hidpp.c                   |  227 +-
 drivers/hid/hid-microsoft.c                        |    2 +
 drivers/hid/hid-plantronics.c                      |  132 +-
 drivers/hid/hid-prodikeys.c                        |    3 +-
 drivers/hid/hid-rmi.c                              |   15 +-
 drivers/hid/hid-sjoy.c                             |    3 +
 drivers/hid/hid-sony.c                             |  376 +-
 drivers/hid/i2c-hid/i2c-hid.c                      |    7 +-
 drivers/hid/usbhid/hid-quirks.c                    |    6 +-
 drivers/hid/wacom.h                                |    6 +-
 drivers/hid/wacom_sys.c                            |  309 +-
 drivers/hid/wacom_wac.c                            |  419 +-
 drivers/hid/wacom_wac.h                            |   27 +-
 drivers/hsi/clients/cmt_speech.c                   |    9 +-
 drivers/hsi/clients/nokia-modem.c                  |   11 +-
 drivers/hsi/controllers/omap_ssi.h                 |    1 +
 drivers/hv/Makefile                                |    2 +-
 drivers/hv/channel.c                               |   27 +-
 drivers/hv/channel_mgmt.c                          |  156 +-
 drivers/hv/connection.c                            |   13 +-
 drivers/hv/hv_balloon.c                            |    4 +-
 drivers/hv/hv_fcopy.c                              |  287 +-
 drivers/hv/hv_kvp.c                                |  192 +-
 drivers/hv/hv_snapshot.c                           |  168 +-
 drivers/hv/hv_utils_transport.c                    |  276 +
 drivers/hv/hv_utils_transport.h                    |   51 +
 drivers/hv/hyperv_vmbus.h                          |   31 +
 drivers/hv/vmbus_drv.c                             |   21 +-
 drivers/hwmon/Kconfig                              |   29 +-
 drivers/hwmon/Makefile                             |    2 +
 drivers/hwmon/atxp1.c                              |   58 +-
 drivers/hwmon/coretemp.c                           |    3 +-
 drivers/hwmon/dell-smm-hwmon.c                     | 1027 +++
 drivers/hwmon/max197.c                             |    2 +-
 drivers/hwmon/mcp3021.c                            |   14 +-
 drivers/hwmon/nct7802.c                            |    2 +-
 drivers/hwmon/ntc_thermistor.c                     |   82 +-
 drivers/hwmon/sht15.c                              |    2 +-
 drivers/hwmon/tc74.c                               |  177 +
 drivers/hwmon/w83627ehf.c                          |   26 +-
 drivers/hwmon/w83792d.c                            |   27 +-
 drivers/hwspinlock/Kconfig                         |   24 +
 drivers/hwspinlock/Makefile                        |    2 +
 drivers/hwspinlock/hwspinlock_core.c               |   79 +
 drivers/hwspinlock/omap_hwspinlock.c               |   18 +-
 drivers/hwspinlock/qcom_hwspinlock.c               |  181 +
 drivers/hwspinlock/sirf_hwspinlock.c               |  136 +
 drivers/hwtracing/coresight/Kconfig                |   19 +
 drivers/hwtracing/coresight/Makefile               |    2 +
 drivers/hwtracing/coresight/coresight-etb10.c      |   79 +-
 drivers/hwtracing/coresight/coresight-etm.h        |    4 +-
 drivers/hwtracing/coresight/coresight-etm3x.c      |  112 +-
 drivers/hwtracing/coresight/coresight-etm4x.c      | 2702 +++++++
 drivers/hwtracing/coresight/coresight-etm4x.h      |  391 +
 drivers/hwtracing/coresight/coresight-funnel.c     |   61 +-
 .../coresight/coresight-replicator-qcom.c          |  215 +
 drivers/hwtracing/coresight/coresight-replicator.c |   71 +-
 drivers/hwtracing/coresight/coresight-tmc.c        |   31 +-
 drivers/hwtracing/coresight/coresight-tpiu.c       |   60 +-
 drivers/hwtracing/coresight/of_coresight.c         |    2 +-
 drivers/i2c/algos/i2c-algo-pca.c                   |    2 +-
 drivers/i2c/busses/Kconfig                         |   35 +-
 drivers/i2c/busses/Makefile                        |    3 +
 drivers/i2c/busses/i2c-at91.c                      |  362 +-
 drivers/i2c/busses/i2c-axxia.c                     |   41 +
 drivers/i2c/busses/i2c-bcm-iproc.c                 |   57 +
 drivers/i2c/busses/i2c-bcm2835.c                   |   11 +
 drivers/i2c/busses/i2c-brcmstb.c                   |  694 ++
 drivers/i2c/busses/i2c-cros-ec-tunnel.c            |   45 +-
 drivers/i2c/busses/i2c-davinci.c                   |   80 +-
 drivers/i2c/busses/i2c-designware-platdrv.c        |   35 +-
 drivers/i2c/busses/i2c-hix5hd2.c                   |    2 +-
 drivers/i2c/busses/i2c-imx.c                       |    2 +-
 drivers/i2c/busses/i2c-jz4780.c                    |   15 +-
 drivers/i2c/busses/i2c-mt65xx.c                    |  731 ++
 drivers/i2c/busses/i2c-mxs.c                       |    2 +-
 drivers/i2c/busses/i2c-octeon.c                    |    7 +-
 drivers/i2c/busses/i2c-omap.c                      |   74 +-
 drivers/i2c/busses/i2c-parport.c                   |   38 +-
 drivers/i2c/busses/i2c-piix4.c                     |    4 +-
 drivers/i2c/busses/i2c-rcar.c                      |   10 +-
 drivers/i2c/busses/i2c-rk3x.c                      |    2 +-
 drivers/i2c/busses/i2c-s3c2410.c                   |    3 +-
 drivers/i2c/busses/i2c-sh_mobile.c                 |   49 +-
 drivers/i2c/busses/i2c-tegra.c                     |   11 +-
 drivers/i2c/busses/i2c-xgene-slimpro.c             |  470 ++
 drivers/i2c/busses/i2c-xiic.c                      |    1 +
 drivers/i2c/i2c-core.c                             |   91 +-
 drivers/i2c/i2c-mux.c                              |    3 +-
 drivers/i2c/i2c-smbus.c                            |    2 +-
 drivers/i2c/muxes/Kconfig                          |    5 +-
 drivers/i2c/muxes/i2c-mux-pca9541.c                |    4 +-
 drivers/i2c/muxes/i2c-mux-pca954x.c                |    2 +-
 drivers/ide/ide-atapi.c                            |   10 +-
 drivers/ide/ide-cd.c                               |   10 +-
 drivers/ide/ide-cd_ioctl.c                         |    2 +-
 drivers/ide/ide-devsets.c                          |    2 +-
 drivers/ide/ide-eh.c                               |    4 +-
 drivers/ide/ide-floppy.c                           |    8 +-
 drivers/ide/ide-io.c                               |   12 +-
 drivers/ide/ide-ioctls.c                           |    2 +-
 drivers/ide/ide-park.c                             |    4 +-
 drivers/ide/ide-pm.c                               |   56 +-
 drivers/ide/ide-tape.c                             |    6 +-
 drivers/ide/ide-taskfile.c                         |    2 +-
 drivers/ide/ide.c                                  |    2 +-
 drivers/iio/accel/Kconfig                          |   21 +
 drivers/iio/accel/Makefile                         |    3 +
 drivers/iio/accel/bmc150-accel.c                   |   55 +-
 drivers/iio/accel/hid-sensor-accel-3d.c            |   15 +-
 drivers/iio/accel/kxcjk-1013.c                     |   42 +-
 drivers/iio/accel/mma8452.c                        |  555 +-
 drivers/iio/accel/mma9551_core.c                   |    8 +-
 drivers/iio/accel/mma9551_core.h                   |    2 +-
 drivers/iio/accel/mma9553.c                        |  148 +-
 drivers/iio/accel/st_accel.h                       |    1 +
 drivers/iio/accel/st_accel_core.c                  |   86 +
 drivers/iio/accel/st_accel_i2c.c                   |    4 +
 drivers/iio/accel/stk8312.c                        |  390 +
 drivers/iio/accel/stk8ba50.c                       |  302 +
 drivers/iio/adc/Kconfig                            |   11 +-
 drivers/iio/adc/Makefile                           |    1 +
 drivers/iio/adc/axp288_adc.c                       |    2 +-
 drivers/iio/adc/berlin2-adc.c                      |  378 +
 drivers/iio/adc/ti-adc128s052.c                    |   30 +-
 drivers/iio/adc/ti_am335x_adc.c                    |   83 +-
 drivers/iio/adc/twl4030-madc.c                     |    8 +-
 drivers/iio/adc/twl6030-gpadc.c                    |    2 +-
 drivers/iio/adc/vf610_adc.c                        |  146 +-
 drivers/iio/buffer_cb.c                            |    2 +
 drivers/iio/common/st_sensors/st_sensors_core.c    |   27 +-
 drivers/iio/common/st_sensors/st_sensors_trigger.c |    4 +-
 drivers/iio/dac/Kconfig                            |   10 +
 drivers/iio/dac/Makefile                           |    1 +
 drivers/iio/dac/m62332.c                           |  269 +
 drivers/iio/gyro/bmg160.c                          |   67 +-
 drivers/iio/gyro/hid-sensor-gyro-3d.c              |   15 +-
 drivers/iio/humidity/Kconfig                       |    2 +-
 drivers/iio/imu/adis16400.h                        |    2 +
 drivers/iio/imu/adis16400_buffer.c                 |   26 +-
 drivers/iio/imu/adis16400_core.c                   |   41 +-
 drivers/iio/industrialio-buffer.c                  |  378 +-
 drivers/iio/industrialio-core.c                    |    6 +
 drivers/iio/industrialio-event.c                   |    2 +
 drivers/iio/kfifo_buf.c                            |    2 +
 drivers/iio/light/Kconfig                          |   37 +-
 drivers/iio/light/Makefile                         |    3 +
 drivers/iio/light/acpi-als.c                       |  231 +
 drivers/iio/light/bh1750.c                         |  334 +
 drivers/iio/light/hid-sensor-als.c                 |   14 +-
 drivers/iio/light/hid-sensor-prox.c                |    2 +-
 drivers/iio/light/ltr501.c                         | 1284 +++-
 drivers/iio/light/stk3310.c                        |  722 ++
 drivers/iio/light/tsl2563.c                        |   36 +-
 drivers/iio/light/tsl4531.c                        |   10 +-
 drivers/iio/magnetometer/Kconfig                   |   29 +-
 drivers/iio/magnetometer/Makefile                  |    3 +
 drivers/iio/magnetometer/bmc150_magn.c             | 1109 +++
 drivers/iio/magnetometer/hid-sensor-magn-3d.c      |    2 +-
 drivers/iio/magnetometer/mmc35240.c                |  579 ++
 drivers/iio/magnetometer/st_magn.h                 |    1 +
 drivers/iio/magnetometer/st_magn_core.c            |  116 +
 drivers/iio/magnetometer/st_magn_i2c.c             |    5 +
 drivers/iio/orientation/hid-sensor-incl-3d.c       |   16 +-
 drivers/iio/orientation/hid-sensor-rotation.c      |   17 +-
 drivers/iio/pressure/hid-sensor-press.c            |   14 +-
 drivers/iio/proximity/sx9500.c                     |  457 +-
 drivers/iio/temperature/mlx90614.c                 |  353 +-
 drivers/iio/temperature/tmp006.c                   |    6 +-
 drivers/infiniband/core/addr.c                     |    4 +-
 drivers/infiniband/core/agent.c                    |   23 +-
 drivers/infiniband/core/agent.h                    |    6 +-
 drivers/infiniband/core/cache.c                    |   69 +-
 drivers/infiniband/core/cm.c                       |   26 +-
 drivers/infiniband/core/cma.c                      |  287 +-
 drivers/infiniband/core/device.c                   |   96 +-
 drivers/infiniband/core/mad.c                      |  639 +-
 drivers/infiniband/core/mad_priv.h                 |   15 +-
 drivers/infiniband/core/mad_rmpp.c                 |   33 +-
 drivers/infiniband/core/multicast.c                |   12 +-
 drivers/infiniband/core/opa_smi.h                  |   78 +
 drivers/infiniband/core/sa_query.c                 |   33 +-
 drivers/infiniband/core/smi.c                      |  228 +-
 drivers/infiniband/core/sysfs.c                    |    8 +-
 drivers/infiniband/core/ucm.c                      |    3 +-
 drivers/infiniband/core/ucma.c                     |   25 +-
 drivers/infiniband/core/user_mad.c                 |   64 +-
 drivers/infiniband/core/uverbs.h                   |    1 +
 drivers/infiniband/core/uverbs_cmd.c               |  188 +-
 drivers/infiniband/core/uverbs_main.c              |    1 +
 drivers/infiniband/core/verbs.c                    |   85 +-
 drivers/infiniband/hw/amso1100/c2_provider.c       |   42 +-
 drivers/infiniband/hw/cxgb3/iwch_provider.c        |   47 +-
 drivers/infiniband/hw/cxgb4/cq.c                   |   31 +-
 drivers/infiniband/hw/cxgb4/device.c               |   16 +-
 drivers/infiniband/hw/cxgb4/iw_cxgb4.h             |   13 +-
 drivers/infiniband/hw/cxgb4/provider.c             |   44 +-
 drivers/infiniband/hw/cxgb4/qp.c                   |   64 +-
 drivers/infiniband/hw/cxgb4/t4.h                   |   60 +-
 drivers/infiniband/hw/ehca/ehca_cq.c               |    7 +-
 drivers/infiniband/hw/ehca/ehca_hca.c              |    6 +-
 drivers/infiniband/hw/ehca/ehca_iverbs.h           |   16 +-
 drivers/infiniband/hw/ehca/ehca_main.c             |   25 +-
 drivers/infiniband/hw/ehca/ehca_sqp.c              |   21 +-
 drivers/infiniband/hw/ehca/ipz_pt_fn.c             |   10 +-
 drivers/infiniband/hw/ipath/Kconfig                |    3 +
 drivers/infiniband/hw/ipath/ipath_cq.c             |    9 +-
 drivers/infiniband/hw/ipath/ipath_driver.c         |   18 +-
 drivers/infiniband/hw/ipath/ipath_fs.c             |    2 +-
 drivers/infiniband/hw/ipath/ipath_kernel.h         |    4 +-
 drivers/infiniband/hw/ipath/ipath_mad.c            |   15 +-
 drivers/infiniband/hw/ipath/ipath_verbs.c          |   26 +-
 drivers/infiniband/hw/ipath/ipath_verbs.h          |   11 +-
 drivers/infiniband/hw/ipath/ipath_wc_x86_64.c      |   43 +-
 drivers/infiniband/hw/mlx4/alias_GUID.c            |    7 +-
 drivers/infiniband/hw/mlx4/cq.c                    |   13 +-
 drivers/infiniband/hw/mlx4/mad.c                   |   85 +-
 drivers/infiniband/hw/mlx4/main.c                  |  213 +-
 drivers/infiniband/hw/mlx4/mlx4_ib.h               |   37 +-
 drivers/infiniband/hw/mlx4/qp.c                    |    7 +-
 drivers/infiniband/hw/mlx5/Kconfig                 |    4 +-
 drivers/infiniband/hw/mlx5/cq.c                    |   21 +-
 drivers/infiniband/hw/mlx5/mad.c                   |  314 +-
 drivers/infiniband/hw/mlx5/main.c                  |  681 +-
 drivers/infiniband/hw/mlx5/mlx5_ib.h               |   38 +-
 drivers/infiniband/hw/mlx5/mr.c                    |    3 +-
 drivers/infiniband/hw/mlx5/odp.c                   |   47 +-
 drivers/infiniband/hw/mlx5/qp.c                    |   89 +-
 drivers/infiniband/hw/mlx5/srq.c                   |   11 +-
 drivers/infiniband/hw/mthca/mthca_cmd.c            |    4 +-
 drivers/infiniband/hw/mthca/mthca_cmd.h            |    4 +-
 drivers/infiniband/hw/mthca/mthca_dev.h            |    9 +-
 drivers/infiniband/hw/mthca/mthca_mad.c            |   21 +-
 drivers/infiniband/hw/mthca/mthca_profile.c        |    8 +-
 drivers/infiniband/hw/mthca/mthca_provider.c       |   34 +-
 drivers/infiniband/hw/nes/nes_cm.c                 |    7 +
 drivers/infiniband/hw/nes/nes_cm.h                 |    2 +
 drivers/infiniband/hw/nes/nes_verbs.c              |   41 +-
 drivers/infiniband/hw/ocrdma/ocrdma_ah.c           |   13 +-
 drivers/infiniband/hw/ocrdma/ocrdma_ah.h           |    8 +-
 drivers/infiniband/hw/ocrdma/ocrdma_main.c         |   20 +
 drivers/infiniband/hw/ocrdma/ocrdma_verbs.c        |   21 +-
 drivers/infiniband/hw/ocrdma/ocrdma_verbs.h        |   12 +-
 drivers/infiniband/hw/qib/qib_cq.c                 |   11 +-
 drivers/infiniband/hw/qib/qib_fs.c                 |    2 +-
 drivers/infiniband/hw/qib/qib_iba7322.c            |    3 +-
 drivers/infiniband/hw/qib/qib_mad.c                |   20 +-
 drivers/infiniband/hw/qib/qib_verbs.c              |   25 +-
 drivers/infiniband/hw/qib/qib_verbs.h              |   11 +-
 drivers/infiniband/hw/usnic/usnic_ib_main.c        |   17 +
 drivers/infiniband/hw/usnic/usnic_ib_verbs.c       |   16 +-
 drivers/infiniband/hw/usnic/usnic_ib_verbs.h       |   12 +-
 drivers/infiniband/hw/usnic/usnic_uiom.c           |    7 +-
 drivers/infiniband/ulp/ipoib/ipoib_main.c          |   19 +-
 drivers/infiniband/ulp/ipoib/ipoib_verbs.c         |    8 +-
 drivers/infiniband/ulp/iser/iser_verbs.c           |   33 +-
 drivers/infiniband/ulp/isert/ib_isert.c            |   55 +-
 drivers/infiniband/ulp/srp/ib_srp.c                |  150 +-
 drivers/infiniband/ulp/srp/ib_srp.h                |    3 +-
 drivers/infiniband/ulp/srpt/ib_srpt.c              |  191 +-
 drivers/infiniband/ulp/srpt/ib_srpt.h              |   25 +-
 drivers/input/Kconfig                              |   13 +
 drivers/input/Makefile                             |    1 +
 drivers/input/evdev.c                              |    5 +-
 drivers/input/ff-core.c                            |    4 +-
 drivers/input/input-leds.c                         |  212 +
 drivers/input/input.c                              |   36 +-
 drivers/input/joystick/xpad.c                      |   78 +-
 drivers/input/keyboard/Kconfig                     |    3 +-
 drivers/input/keyboard/adp5589-keys.c              |    6 +-
 drivers/input/keyboard/clps711x-keypad.c           |    7 +-
 drivers/input/keyboard/cros_ec_keyb.c              |   31 +-
 drivers/input/keyboard/imx_keypad.c                |    4 +-
 drivers/input/keyboard/max7359_keypad.c            |   31 +-
 drivers/input/keyboard/samsung-keypad.c            |    2 +-
 drivers/input/keyboard/spear-keyboard.c            |    2 +-
 drivers/input/misc/Kconfig                         |   23 +-
 drivers/input/misc/Makefile                        |    2 +
 drivers/input/misc/adxl34x-i2c.c                   |   21 +
 drivers/input/misc/ati_remote2.c                   |    4 +-
 drivers/input/misc/axp20x-pek.c                    |    8 +-
 drivers/input/misc/da9063_onkey.c                  |  226 +
 drivers/input/misc/drv260x.c                       |   13 +-
 drivers/input/misc/drv2665.c                       |  322 +
 drivers/input/misc/gpio-beeper.c                   |    7 +-
 drivers/input/misc/retu-pwrbutton.c                |    3 +-
 drivers/input/misc/soc_button_array.c              |    1 -
 drivers/input/misc/twl4030-pwrbutton.c             |    3 +-
 drivers/input/misc/twl6040-vibra.c                 |    3 +-
 drivers/input/misc/wm831x-on.c                     |    3 +-
 drivers/input/mouse/alps.c                         |  249 +-
 drivers/input/mouse/alps.h                         |    1 +
 drivers/input/mouse/cyapa_gen3.c                   |    3 +-
 drivers/input/mouse/cyapa_gen5.c                   |   23 +-
 drivers/input/mouse/elan_i2c.h                     |    8 +-
 drivers/input/mouse/elan_i2c_core.c                |   75 +-
 drivers/input/mouse/elan_i2c_i2c.c                 |    4 +-
 drivers/input/mouse/elan_i2c_smbus.c               |    6 +-
 drivers/input/mouse/elantech.c                     |    8 +-
 drivers/input/mouse/focaltech.c                    |   13 +
 drivers/input/mouse/psmouse-base.c                 |    4 +-
 drivers/input/mouse/sentelic.h                     |    4 +-
 drivers/input/mouse/synaptics.c                    |    9 +-
 drivers/input/mouse/synaptics_i2c.c                |    6 +-
 drivers/input/serio/Kconfig                        |    1 +
 drivers/input/serio/serport.c                      |    5 +-
 drivers/input/touchscreen/Kconfig                  |   16 +-
 drivers/input/touchscreen/Makefile                 |    1 +
 drivers/input/touchscreen/atmel_mxt_ts.c           |    8 +-
 drivers/input/touchscreen/cyttsp4_core.c           |    5 +-
 drivers/input/touchscreen/edt-ft5x06.c             |    9 +-
 drivers/input/touchscreen/goodix.c                 |   58 +-
 drivers/input/touchscreen/of_touchscreen.c         |   69 +-
 drivers/input/touchscreen/pixcir_i2c_ts.c          |    2 +-
 drivers/input/touchscreen/s3c2410_ts.c             |    2 +-
 drivers/input/touchscreen/stmpe-ts.c               |   37 +-
 drivers/input/touchscreen/sur40.c                  |   46 +-
 drivers/input/touchscreen/tsc2005.c                |    2 +-
 drivers/input/touchscreen/wdt87xx_i2c.c            | 1149 +++
 drivers/input/touchscreen/zforce_ts.c              |    1 -
 drivers/iommu/Kconfig                              |   15 +-
 drivers/iommu/Makefile                             |    1 +
 drivers/iommu/amd_iommu.c                          | 1093 ++-
 drivers/iommu/amd_iommu_init.c                     |   38 +-
 drivers/iommu/amd_iommu_proto.h                    |   11 +-
 drivers/iommu/amd_iommu_types.h                    |   16 +-
 drivers/iommu/arm-smmu-v3.c                        | 2669 +++++++
 drivers/iommu/arm-smmu.c                           |   25 +-
 drivers/iommu/dmar.c                               |   47 +-
 drivers/iommu/exynos-iommu.c                       |  527 +-
 drivers/iommu/intel-iommu.c                        |  526 +-
 drivers/iommu/intel_irq_remapping.c                |  880 ++-
 drivers/iommu/iommu.c                              |  384 +-
 drivers/iommu/iova.c                               |    4 +
 drivers/iommu/irq_remapping.c                      |  253 +-
 drivers/iommu/irq_remapping.h                      |   42 +-
 drivers/iommu/rockchip-iommu.c                     |   27 +-
 drivers/iommu/tegra-smmu.c                         |  109 +
 drivers/irqchip/Kconfig                            |   19 +
 drivers/irqchip/Makefile                           |    5 +
 drivers/irqchip/exynos-combiner.c                  |   66 +-
 drivers/irqchip/irq-armada-370-xp.c                |    2 +-
 drivers/irqchip/irq-atmel-aic5.c                   |    9 +
 drivers/irqchip/irq-bcm2835.c                      |    2 +-
 drivers/irqchip/irq-gic-common.c                   |   17 -
 drivers/irqchip/irq-gic-v3.c                       |    1 +
 drivers/irqchip/irq-gic.c                          |    3 +-
 drivers/irqchip/irq-hip04.c                        |    1 +
 drivers/irqchip/irq-ingenic.c                      |  177 +
 drivers/irqchip/irq-keystone.c                     |    5 +-
 drivers/irqchip/irq-mips-cpu.c                     |  172 +
 drivers/irqchip/irq-mips-gic.c                     |   33 +-
 drivers/irqchip/irq-mtk-sysirq.c                   |    4 +-
 drivers/irqchip/irq-mxs.c                          |    2 +-
 drivers/irqchip/irq-nvic.c                         |   28 +-
 drivers/irqchip/irq-renesas-h8300h.c               |   95 +
 drivers/irqchip/irq-renesas-h8s.c                  |  101 +
 drivers/irqchip/irq-renesas-intc-irqpin.c          |    2 +-
 drivers/irqchip/irq-renesas-irqc.c                 |   19 +-
 drivers/irqchip/irq-s3c24xx.c                      |    4 +-
 drivers/irqchip/irq-sa11x0.c                       |  175 +
 drivers/irqchip/irq-sun4i.c                        |    2 +-
 drivers/irqchip/irq-sunxi-nmi.c                    |    2 +-
 drivers/irqchip/irq-versatile-fpga.c               |    2 +-
 drivers/irqchip/irq-vf610-mscm-ir.c                |   28 +-
 drivers/irqchip/irq-vic.c                          |    2 +-
 drivers/irqchip/irq-vt8500.c                       |    2 +-
 drivers/irqchip/irqchip.h                          |   19 +-
 drivers/irqchip/spear-shirq.c                      |    3 +-
 drivers/isdn/capi/capidrv.c                        |    4 +-
 drivers/isdn/hisax/Kconfig                         |    4 +-
 drivers/isdn/hisax/st5481_usb.c                    |    4 +-
 drivers/isdn/i4l/isdn_net.c                        |    2 +-
 drivers/isdn/mISDN/socket.c                        |   12 +-
 drivers/leds/Kconfig                               |   60 +-
 drivers/leds/Makefile                              |    6 +
 drivers/leds/led-class.c                           |   12 +-
 drivers/leds/led-core.c                            |    5 +-
 drivers/leds/leds-aat1290.c                        |  576 ++
 drivers/leds/leds-bcm6328.c                        |  413 +
 drivers/leds/leds-bcm6358.c                        |  243 +
 drivers/leds/leds-cobalt-raq.c                     |   15 +-
 drivers/leds/leds-gpio.c                           |   14 +-
 drivers/leds/leds-ktd2692.c                        |  443 ++
 drivers/leds/leds-lp5523.c                         |  148 +
 drivers/leds/leds-lp55xx-common.c                  |    2 +-
 drivers/leds/leds-max77693.c                       | 1097 +++
 drivers/leds/leds-syscon.c                         |  170 +-
 drivers/leds/leds-tlc591xx.c                       |  300 +
 drivers/leds/leds.h                                |   24 -
 drivers/lguest/interrupts_and_traps.c              |   10 +-
 drivers/lguest/x86/core.c                          |   12 +-
 drivers/macintosh/nvram.c                          |  130 -
 drivers/mailbox/Kconfig                            |   10 +
 drivers/mailbox/Makefile                           |    2 +
 drivers/mailbox/arm_mhu.c                          |    2 +-
 drivers/mailbox/bcm2835-mailbox.c                  |  217 +
 drivers/mailbox/mailbox-altera.c                   |    2 +-
 drivers/mailbox/mailbox.c                          |   40 +-
 drivers/mailbox/omap-mailbox.c                     |    8 +-
 drivers/mailbox/pcc.c                              |    2 +-
 drivers/mailbox/pl320-ipc.c                        |    2 +-
 drivers/md/Kconfig                                 |   12 +
 drivers/md/Makefile                                |    2 +
 drivers/md/bcache/io.c                             |    2 +-
 drivers/md/bcache/journal.c                        |    2 +-
 drivers/md/bcache/request.c                        |    3 +-
 drivers/md/bcache/super.c                          |   10 +-
 drivers/md/bcache/util.h                           |   10 +-
 drivers/md/bitmap.c                                |    4 +-
 drivers/md/dm-bio-prison.c                         |   26 +
 drivers/md/dm-bio-prison.h                         |   13 +
 drivers/md/dm-cache-metadata.c                     |  133 +-
 drivers/md/dm-cache-metadata.h                     |   10 +
 drivers/md/dm-cache-policy-cleaner.c               |    6 +-
 drivers/md/dm-cache-policy-internal.h              |   52 +-
 drivers/md/dm-cache-policy-mq.c                    |   93 +-
 drivers/md/dm-cache-policy-smq.c                   | 1791 +++++
 drivers/md/dm-cache-policy.h                       |   30 +-
 drivers/md/dm-cache-target.c                       |  838 +-
 drivers/md/dm-crypt.c                              |   30 +-
 drivers/md/dm-log-writes.c                         |    4 +-
 drivers/md/dm-raid.c                               |  225 +-
 drivers/md/dm-raid1.c                              |   77 +-
 drivers/md/dm-snap.c                               |    1 -
 drivers/md/dm-stats.c                              |  341 +-
 drivers/md/dm-stats.h                              |    4 +-
 drivers/md/dm-stripe.c                             |    4 +-
 drivers/md/dm-thin-metadata.c                      |  124 +-
 drivers/md/dm-thin-metadata.h                      |   11 +
 drivers/md/dm-thin.c                               |  615 +-
 drivers/md/dm-verity.c                             |    2 +-
 drivers/md/dm.c                                    |  123 +-
 drivers/md/dm.h                                    |    1 +
 drivers/md/md.c                                    |  187 +-
 drivers/md/md.h                                    |    1 +
 drivers/md/persistent-data/dm-block-manager.c      |    6 +
 drivers/md/persistent-data/dm-block-manager.h      |    1 +
 drivers/md/persistent-data/dm-btree-remove.c       |  127 +
 drivers/md/persistent-data/dm-btree.h              |    9 +
 drivers/md/persistent-data/dm-space-map-metadata.c |   50 +-
 drivers/md/raid1.c                                 |    4 +-
 drivers/md/raid10.c                                |   21 +-
 drivers/md/raid5.c                                 |   47 +-
 drivers/md/raid5.h                                 |    3 +-
 drivers/media/Kconfig                              |    3 +-
 drivers/media/common/b2c2/Kconfig                  |    1 +
 drivers/media/common/b2c2/flexcop-common.h         |    1 +
 drivers/media/common/b2c2/flexcop-fe-tuner.c       |   63 +-
 drivers/media/common/b2c2/flexcop-hw-filter.c      |   16 +-
 drivers/media/common/b2c2/flexcop-misc.c           |    1 +
 drivers/media/common/b2c2/flexcop-reg.h            |    1 +
 drivers/media/common/siano/smscoreapi.h            |    3 +-
 drivers/media/common/siano/smsdvb-main.c           |    6 +-
 drivers/media/common/siano/smsdvb.h                |    2 +-
 drivers/media/common/siano/smsir.c                 |    2 +-
 drivers/media/dvb-core/dvb_frontend.c              |   78 +-
 drivers/media/dvb-core/dvb_frontend.h              |   45 +-
 drivers/media/dvb-frontends/Kconfig                |   13 +-
 drivers/media/dvb-frontends/Makefile               |    1 +
 drivers/media/dvb-frontends/a8293.c                |   89 +-
 drivers/media/dvb-frontends/a8293.h                |   15 +
 drivers/media/dvb-frontends/af9013.c               |    8 +-
 drivers/media/dvb-frontends/af9033.c               |    4 +-
 drivers/media/dvb-frontends/as102_fe.c             |    4 +-
 drivers/media/dvb-frontends/atbm8830.c             |    3 +-
 drivers/media/dvb-frontends/au8522_dig.c           |    4 +-
 drivers/media/dvb-frontends/au8522_priv.h          |    2 +-
 drivers/media/dvb-frontends/bcm3510.c              |    6 +-
 drivers/media/dvb-frontends/cx22700.c              |    9 +-
 drivers/media/dvb-frontends/cx22702.c              |    2 +-
 drivers/media/dvb-frontends/cx24110.c              |   19 +-
 drivers/media/dvb-frontends/cx24116.c              |   46 +-
 drivers/media/dvb-frontends/cx24117.c              |   42 +-
 drivers/media/dvb-frontends/cx24120.c              | 1595 ++++
 drivers/media/dvb-frontends/cx24120.h              |   58 +
 drivers/media/dvb-frontends/cx24123.c              |   18 +-
 drivers/media/dvb-frontends/cx24123.h              |    2 +-
 drivers/media/dvb-frontends/cxd2820r_c.c           |    2 +-
 drivers/media/dvb-frontends/cxd2820r_core.c        |    5 +-
 drivers/media/dvb-frontends/cxd2820r_priv.h        |    8 +-
 drivers/media/dvb-frontends/cxd2820r_t.c           |    2 +-
 drivers/media/dvb-frontends/cxd2820r_t2.c          |    2 +-
 drivers/media/dvb-frontends/dib0070.c              |  575 +-
 drivers/media/dvb-frontends/dib0090.c              |    4 +-
 drivers/media/dvb-frontends/dib3000mb.c            |    7 +-
 drivers/media/dvb-frontends/dib3000mc.c            |   20 +-
 drivers/media/dvb-frontends/dib7000m.c             |    2 +-
 drivers/media/dvb-frontends/dib7000p.c             |    6 +-
 drivers/media/dvb-frontends/dib8000.c              |   10 +-
 drivers/media/dvb-frontends/dib8000.h              |    2 +-
 drivers/media/dvb-frontends/dib9000.c              |    4 +-
 drivers/media/dvb-frontends/drx39xyj/drxj.c        |   42 +-
 drivers/media/dvb-frontends/drxd_hard.c            |    2 +-
 drivers/media/dvb-frontends/drxk_hard.c            |   11 +-
 drivers/media/dvb-frontends/drxk_hard.h            |    2 +-
 drivers/media/dvb-frontends/ds3000.c               |   13 +-
 drivers/media/dvb-frontends/dvb_dummy_fe.c         |    9 +-
 drivers/media/dvb-frontends/ec100.c                |    2 +-
 drivers/media/dvb-frontends/hd29l2.c               |    2 +-
 drivers/media/dvb-frontends/hd29l2_priv.h          |    2 +-
 drivers/media/dvb-frontends/isl6405.c              |    3 +-
 drivers/media/dvb-frontends/isl6421.c              |    6 +-
 drivers/media/dvb-frontends/l64781.c               |    2 +-
 drivers/media/dvb-frontends/lg2160.c               |    2 +-
 drivers/media/dvb-frontends/lgdt3305.c             |    4 +-
 drivers/media/dvb-frontends/lgdt3306a.c            |   11 +-
 drivers/media/dvb-frontends/lgdt330x.c             |    8 +-
 drivers/media/dvb-frontends/lgs8gl5.c              |    2 +-
 drivers/media/dvb-frontends/lgs8gxx.c              |    3 +-
 drivers/media/dvb-frontends/lnbp21.c               |    4 +-
 drivers/media/dvb-frontends/lnbp22.c               |    3 +-
 drivers/media/dvb-frontends/m88ds3103.c            | 1275 ++--
 drivers/media/dvb-frontends/m88ds3103.h            |   67 +-
 drivers/media/dvb-frontends/m88ds3103_priv.h       |   20 +-
 drivers/media/dvb-frontends/m88rs2000.c            |   19 +-
 drivers/media/dvb-frontends/mb86a16.c              |    7 +-
 drivers/media/dvb-frontends/mb86a16.h              |    3 +-
 drivers/media/dvb-frontends/mb86a20s.c             |    6 +-
 drivers/media/dvb-frontends/mb86a20s.h             |    2 +-
 drivers/media/dvb-frontends/mt312.c                |   17 +-
 drivers/media/dvb-frontends/mt352.c                |    2 +-
 drivers/media/dvb-frontends/nxt200x.c              |    2 +-
 drivers/media/dvb-frontends/nxt6000.c              |   12 +-
 drivers/media/dvb-frontends/or51132.c              |    6 +-
 drivers/media/dvb-frontends/or51211.c              |    2 +-
 drivers/media/dvb-frontends/rtl2830.c              |    2 +-
 drivers/media/dvb-frontends/rtl2830_priv.h         |    2 +-
 drivers/media/dvb-frontends/rtl2832.c              |   10 +-
 drivers/media/dvb-frontends/rtl2832.h              |    2 +
 drivers/media/dvb-frontends/rtl2832_priv.h         |   51 +-
 drivers/media/dvb-frontends/rtl2832_sdr.c          |  120 +-
 drivers/media/dvb-frontends/rtl2832_sdr.h          |    1 +
 drivers/media/dvb-frontends/s5h1409.c              |    6 +-
 drivers/media/dvb-frontends/s5h1411.c              |    6 +-
 drivers/media/dvb-frontends/s5h1420.c              |   43 +-
 drivers/media/dvb-frontends/s5h1432.c              |    4 +-
 drivers/media/dvb-frontends/s921.c                 |    6 +-
 drivers/media/dvb-frontends/s921.h                 |    2 +-
 drivers/media/dvb-frontends/si2165.c               |    2 +-
 drivers/media/dvb-frontends/si2168.c               |  144 +-
 drivers/media/dvb-frontends/si2168.h               |    3 +
 drivers/media/dvb-frontends/si2168_priv.h          |    6 +-
 drivers/media/dvb-frontends/si21xx.c               |   10 +-
 drivers/media/dvb-frontends/sp8870.c               |    3 +-
 drivers/media/dvb-frontends/sp887x.c               |    2 +-
 drivers/media/dvb-frontends/stb0899_drv.c          |    8 +-
 drivers/media/dvb-frontends/stv0288.c              |   39 +-
 drivers/media/dvb-frontends/stv0297.c              |   19 +-
 drivers/media/dvb-frontends/stv0299.c              |   34 +-
 drivers/media/dvb-frontends/stv0367.c              |   12 +-
 drivers/media/dvb-frontends/stv0367_priv.h         |    2 +-
 drivers/media/dvb-frontends/stv0900_core.c         |    6 +-
 drivers/media/dvb-frontends/stv0900_sw.c           |    6 +-
 drivers/media/dvb-frontends/stv090x.c              |    5 +-
 drivers/media/dvb-frontends/stv6110.c              |    2 +-
 drivers/media/dvb-frontends/tc90522.c              |   17 +-
 drivers/media/dvb-frontends/tda10021.c             |    9 +-
 drivers/media/dvb-frontends/tda10023.c             |    5 +-
 drivers/media/dvb-frontends/tda10048.c             |    2 +-
 drivers/media/dvb-frontends/tda1004x.c             |    5 +-
 drivers/media/dvb-frontends/tda10071.c             |  117 +-
 drivers/media/dvb-frontends/tda10071.h             |   29 +
 drivers/media/dvb-frontends/tda10071_priv.h        |   11 +-
 drivers/media/dvb-frontends/tda10086.c             |   13 +-
 drivers/media/dvb-frontends/tda8083.c              |   38 +-
 drivers/media/dvb-frontends/ts2020.c               |  591 +-
 drivers/media/dvb-frontends/ts2020.h               |   17 +-
 drivers/media/dvb-frontends/ves1820.c              |    6 +-
 drivers/media/dvb-frontends/ves1x93.c              |   15 +-
 drivers/media/dvb-frontends/zl10353.c              |   12 +-
 drivers/media/firewire/firedtv-fe.c                |    8 +-
 drivers/media/firewire/firedtv.h                   |    4 +-
 drivers/media/i2c/Kconfig                          |    4 +-
 drivers/media/i2c/adp1653.c                        |  100 +-
 drivers/media/i2c/adv7170.c                        |   42 +-
 drivers/media/i2c/adv7175.c                        |   42 +-
 drivers/media/i2c/adv7183.c                        |   61 +-
 drivers/media/i2c/adv7511.c                        |  160 +-
 drivers/media/i2c/adv7604.c                        |  192 +-
 drivers/media/i2c/adv7842.c                        |  309 +-
 drivers/media/i2c/ak881x.c                         |   39 +-
 drivers/media/i2c/cx25840/cx25840-core.c           |   17 +-
 drivers/media/i2c/ml86v7667.c                      |   29 +-
 drivers/media/i2c/mt9v011.c                        |   53 +-
 drivers/media/i2c/ov2659.c                         |   38 +-
 drivers/media/i2c/ov7670.c                         |   65 +-
 drivers/media/i2c/s5c73m3/s5c73m3-core.c           |    2 +-
 drivers/media/i2c/s5k5baf.c                        |    4 +-
 drivers/media/i2c/s5k6aa.c                         |    2 +-
 drivers/media/i2c/saa6752hs.c                      |   42 +-
 drivers/media/i2c/saa7115.c                        |   16 +-
 drivers/media/i2c/saa717x.c                        |   20 +-
 drivers/media/i2c/smiapp/smiapp-core.c             |   38 +-
 drivers/media/i2c/soc_camera/imx074.c              |   66 +-
 drivers/media/i2c/soc_camera/mt9m001.c             |   43 +-
 drivers/media/i2c/soc_camera/mt9m111.c             |   57 +-
 drivers/media/i2c/soc_camera/mt9t031.c             |   74 +-
 drivers/media/i2c/soc_camera/mt9t112.c             |   41 +-
 drivers/media/i2c/soc_camera/mt9v022.c             |   43 +-
 drivers/media/i2c/soc_camera/ov2640.c              |   62 +-
 drivers/media/i2c/soc_camera/ov5642.c              |   60 +-
 drivers/media/i2c/soc_camera/ov6650.c              |   43 +-
 drivers/media/i2c/soc_camera/ov772x.c              |   41 +-
 drivers/media/i2c/soc_camera/ov9640.c              |   32 +-
 drivers/media/i2c/soc_camera/ov9740.c              |   35 +-
 drivers/media/i2c/soc_camera/rj54n1cb0c.c          |   66 +-
 drivers/media/i2c/soc_camera/tw9910.c              |   41 +-
 drivers/media/i2c/sr030pc30.c                      |   62 +-
 drivers/media/i2c/tvaudio.c                        |    2 +-
 drivers/media/i2c/tvp514x.c                        |   55 +-
 drivers/media/i2c/tvp5150.c                        |   30 +-
 drivers/media/i2c/tvp7002.c                        |   48 -
 drivers/media/i2c/vs6624.c                         |   55 +-
 drivers/media/pci/Kconfig                          |    2 +
 drivers/media/pci/Makefile                         |    2 +
 drivers/media/pci/bt8xx/bttv-audio-hook.c          |  443 +-
 drivers/media/pci/bt8xx/bttv-driver.c              |    5 +-
 drivers/media/pci/bt8xx/dst.c                      |   25 +-
 drivers/media/pci/bt8xx/dst_ca.c                   |  138 +-
 drivers/media/pci/bt8xx/dst_common.h               |   12 +-
 drivers/media/pci/cobalt/Kconfig                   |   18 +
 drivers/media/pci/cobalt/Makefile                  |    5 +
 drivers/media/pci/cobalt/cobalt-alsa-main.c        |  162 +
 drivers/media/pci/cobalt/cobalt-alsa-pcm.c         |  603 ++
 drivers/media/pci/cobalt/cobalt-alsa-pcm.h         |   22 +
 drivers/media/pci/cobalt/cobalt-alsa.h             |   41 +
 drivers/media/pci/cobalt/cobalt-cpld.c             |  341 +
 drivers/media/pci/cobalt/cobalt-cpld.h             |   29 +
 drivers/media/pci/cobalt/cobalt-driver.c           |  832 ++
 drivers/media/pci/cobalt/cobalt-driver.h           |  380 +
 drivers/media/pci/cobalt/cobalt-flash.c            |  128 +
 drivers/media/pci/cobalt/cobalt-flash.h            |   29 +
 drivers/media/pci/cobalt/cobalt-i2c.c              |  396 +
 drivers/media/pci/cobalt/cobalt-i2c.h              |   25 +
 drivers/media/pci/cobalt/cobalt-irq.c              |  258 +
 drivers/media/pci/cobalt/cobalt-irq.h              |   25 +
 drivers/media/pci/cobalt/cobalt-omnitek.c          |  341 +
 drivers/media/pci/cobalt/cobalt-omnitek.h          |   62 +
 drivers/media/pci/cobalt/cobalt-v4l2.c             | 1272 ++++
 drivers/media/pci/cobalt/cobalt-v4l2.h             |   22 +
 .../cobalt/m00233_video_measure_memmap_package.h   |  115 +
 .../pci/cobalt/m00235_fdma_packer_memmap_package.h |   44 +
 .../media/pci/cobalt/m00389_cvi_memmap_package.h   |   59 +
 .../media/pci/cobalt/m00460_evcnt_memmap_package.h |   44 +
 .../pci/cobalt/m00473_freewheel_memmap_package.h   |   57 +
 .../m00479_clk_loss_detector_memmap_package.h      |   53 +
 .../m00514_syncgen_flow_evcnt_memmap_package.h     |   88 +
 drivers/media/pci/cx18/cx18-av-core.c              |   16 +-
 drivers/media/pci/cx18/cx18-controls.c             |   13 +-
 drivers/media/pci/cx18/cx18-driver.c               |    4 +-
 drivers/media/pci/cx18/cx18-ioctl.c                |   12 +-
 drivers/media/pci/cx18/cx18-streams.c              |    1 +
 drivers/media/pci/cx23885/altera-ci.c              |    2 +-
 drivers/media/pci/cx23885/cx23885-dvb.c            |  150 +-
 drivers/media/pci/cx23885/cx23885-f300.c           |    2 +-
 drivers/media/pci/cx23885/cx23885-f300.h           |    2 +-
 drivers/media/pci/cx23885/cx23885-video.c          |   12 +-
 drivers/media/pci/cx23885/cx23885.h                |    3 +-
 drivers/media/pci/cx25821/cx25821-medusa-reg.h     |    6 +-
 drivers/media/pci/cx88/cx88-core.c                 |    2 +
 drivers/media/pci/cx88/cx88-dvb.c                  |   12 +-
 drivers/media/pci/cx88/cx88-mpeg.c                 |    6 +-
 drivers/media/pci/cx88/cx88-vbi.c                  |    6 +-
 drivers/media/pci/cx88/cx88-video.c                |    9 +-
 drivers/media/pci/cx88/cx88.h                      |    6 +-
 drivers/media/pci/ddbridge/ddbridge-core.c         |    3 +-
 drivers/media/pci/dm1105/dm1105.c                  |    3 +-
 drivers/media/pci/dt3155/Kconfig                   |   13 +
 drivers/media/pci/dt3155/Makefile                  |    1 +
 drivers/media/pci/dt3155/dt3155.c                  |  631 ++
 drivers/media/pci/dt3155/dt3155.h                  |  196 +
 drivers/media/pci/ivtv/Kconfig                     |    3 +
 drivers/media/pci/ivtv/ivtv-controls.c             |   12 +-
 drivers/media/pci/ivtv/ivtv-driver.c               |    4 +-
 drivers/media/pci/ivtv/ivtv-driver.h               |    3 +-
 drivers/media/pci/ivtv/ivtv-ioctl.c                |   15 +-
 drivers/media/pci/ivtv/ivtvfb.c                    |   58 +-
 drivers/media/pci/mantis/hopper_cards.c            |   14 +-
 drivers/media/pci/mantis/mantis_cards.c            |   94 +-
 drivers/media/pci/mantis/mantis_common.h           |   33 +-
 drivers/media/pci/mantis/mantis_dma.c              |    5 +-
 drivers/media/pci/mantis/mantis_i2c.c              |   12 +-
 drivers/media/pci/mantis/mantis_input.c            |  110 +-
 drivers/media/pci/mantis/mantis_input.h            |   24 +
 drivers/media/pci/mantis/mantis_pcmcia.c           |    4 +-
 drivers/media/pci/mantis/mantis_uart.c             |   61 +-
 drivers/media/pci/mantis/mantis_vp1034.c           |    2 +-
 drivers/media/pci/mantis/mantis_vp1034.h           |    3 +-
 drivers/media/pci/ngene/ngene-core.c               |   10 +-
 drivers/media/pci/ngene/ngene.h                    |    2 +-
 drivers/media/pci/pt1/pt1.c                        |    6 +-
 drivers/media/pci/pt1/va1j5jf8007s.c               |    4 +-
 drivers/media/pci/pt1/va1j5jf8007t.c               |    4 +-
 drivers/media/pci/pt3/pt3.c                        |    2 +-
 drivers/media/pci/saa7134/saa7134-alsa.c           |   55 +-
 drivers/media/pci/saa7134/saa7134-cards.c          |  150 +-
 drivers/media/pci/saa7134/saa7134-core.c           |  161 +-
 drivers/media/pci/saa7134/saa7134-dvb.c            |  122 +-
 drivers/media/pci/saa7134/saa7134-empress.c        |   55 +-
 drivers/media/pci/saa7134/saa7134-go7007.c         |   11 +-
 drivers/media/pci/saa7134/saa7134-i2c.c            |   87 +-
 drivers/media/pci/saa7134/saa7134-input.c          |   59 +-
 drivers/media/pci/saa7134/saa7134-ts.c             |   24 +-
 drivers/media/pci/saa7134/saa7134-tvaudio.c        |  168 +-
 drivers/media/pci/saa7134/saa7134-vbi.c            |   14 +-
 drivers/media/pci/saa7134/saa7134-video.c          |   43 +-
 drivers/media/pci/saa7134/saa7134.h                |    6 +-
 drivers/media/pci/saa7164/saa7164-api.c            |   11 +-
 drivers/media/pci/saa7164/saa7164-buffer.c         |    2 +-
 drivers/media/pci/saa7164/saa7164-bus.c            |    2 +-
 drivers/media/pci/saa7164/saa7164-cards.c          |  188 +-
 drivers/media/pci/saa7164/saa7164-cmd.c            |    2 +-
 drivers/media/pci/saa7164/saa7164-core.c           |   82 +-
 drivers/media/pci/saa7164/saa7164-dvb.c            |  241 +-
 drivers/media/pci/saa7164/saa7164-encoder.c        |   13 +-
 drivers/media/pci/saa7164/saa7164-fw.c             |    2 +-
 drivers/media/pci/saa7164/saa7164-i2c.c            |    9 +-
 drivers/media/pci/saa7164/saa7164-reg.h            |    2 +-
 drivers/media/pci/saa7164/saa7164-types.h          |    2 +-
 drivers/media/pci/saa7164/saa7164-vbi.c            |   13 +-
 drivers/media/pci/saa7164/saa7164.h                |    8 +-
 drivers/media/pci/smipcie/smipcie.c                |    1 +
 drivers/media/pci/sta2x11/sta2x11_vip.c            |    3 +-
 drivers/media/pci/ttpci/av7110.c                   |   18 +-
 drivers/media/pci/ttpci/av7110.h                   |   27 +-
 drivers/media/pci/ttpci/budget-core.c              |    3 +-
 drivers/media/pci/ttpci/budget-patch.c             |   15 +-
 drivers/media/pci/ttpci/budget.c                   |   12 +-
 drivers/media/pci/ttpci/budget.h                   |    2 +-
 drivers/media/pci/zoran/zoran_device.c             |   13 +-
 drivers/media/platform/Kconfig                     |   10 +
 drivers/media/platform/Makefile                    |    2 +
 drivers/media/platform/am437x/am437x-vpfe.c        |   35 +-
 drivers/media/platform/blackfin/bfin_capture.c     |   40 +-
 drivers/media/platform/coda/coda-bit.c             |    4 +-
 drivers/media/platform/coda/coda-common.c          |   31 +-
 drivers/media/platform/coda/coda.h                 |    3 +
 drivers/media/platform/coda/trace.h                |    2 -
 drivers/media/platform/davinci/vpbe_display.c      |    9 +-
 drivers/media/platform/davinci/vpfe_capture.c      |   19 +-
 drivers/media/platform/exynos-gsc/gsc-core.c       |    2 +-
 drivers/media/platform/exynos4-is/Kconfig          |    1 +
 drivers/media/platform/exynos4-is/media-dev.c      |    2 +-
 drivers/media/platform/fsl-viu.c                   |    2 +-
 drivers/media/platform/m2m-deinterlace.c           |    1 -
 drivers/media/platform/marvell-ccic/cafe-driver.c  |   13 +-
 drivers/media/platform/marvell-ccic/mcam-core.c    |  480 +-
 drivers/media/platform/marvell-ccic/mcam-core.h    |    3 +-
 drivers/media/platform/marvell-ccic/mmp-driver.c   |    1 +
 drivers/media/platform/omap/omap_vout.c            |   10 +-
 drivers/media/platform/omap3isp/isppreview.c       |    4 -
 drivers/media/platform/s3c-camif/camif-capture.c   |   13 +-
 drivers/media/platform/s3c-camif/camif-core.c      |    2 +-
 drivers/media/platform/s5p-g2d/g2d.c               |    2 +-
 drivers/media/platform/s5p-mfc/s5p_mfc.c           |    5 +-
 drivers/media/platform/s5p-mfc/s5p_mfc_opr_v5.c    |    6 +-
 drivers/media/platform/s5p-mfc/s5p_mfc_opr_v6.c    |    4 +-
 drivers/media/platform/s5p-tv/hdmi_drv.c           |   14 +-
 drivers/media/platform/s5p-tv/mixer_drv.c          |   15 +-
 drivers/media/platform/s5p-tv/sdo_drv.c            |   14 +-
 drivers/media/platform/sh_vou.c                    |   75 +-
 drivers/media/platform/soc_camera/atmel-isi.c      |   74 +-
 drivers/media/platform/soc_camera/mx2_camera.c     |  113 +-
 drivers/media/platform/soc_camera/mx3_camera.c     |  105 +-
 drivers/media/platform/soc_camera/omap1_camera.c   |  106 +-
 drivers/media/platform/soc_camera/pxa_camera.c     |   99 +-
 drivers/media/platform/soc_camera/rcar_vin.c       |  113 +-
 .../platform/soc_camera/sh_mobile_ceu_camera.c     |  115 +-
 drivers/media/platform/soc_camera/sh_mobile_csi2.c |   35 +-
 drivers/media/platform/soc_camera/soc_camera.c     |   30 +-
 .../platform/soc_camera/soc_camera_platform.c      |   24 +-
 drivers/media/platform/soc_camera/soc_scale_crop.c |   37 +-
 drivers/media/platform/sti/bdisp/Makefile          |    3 +
 drivers/media/platform/sti/bdisp/bdisp-debug.c     |  679 ++
 drivers/media/platform/sti/bdisp/bdisp-filter.h    |  346 +
 drivers/media/platform/sti/bdisp/bdisp-hw.c        |  823 ++
 drivers/media/platform/sti/bdisp/bdisp-reg.h       |  235 +
 drivers/media/platform/sti/bdisp/bdisp-v4l2.c      | 1416 ++++
 drivers/media/platform/sti/bdisp/bdisp.h           |  216 +
 drivers/media/platform/via-camera.c                |   19 +-
 drivers/media/platform/vim2m.c                     |   12 +-
 drivers/media/platform/vivid/vivid-core.c          |   20 +-
 drivers/media/platform/vivid/vivid-core.h          |    6 +-
 drivers/media/platform/vivid/vivid-ctrls.c         |  139 +-
 drivers/media/platform/vivid/vivid-radio-rx.c      |    2 +
 drivers/media/platform/vivid/vivid-sdr-cap.c       |   96 +-
 drivers/media/platform/vivid/vivid-sdr-cap.h       |    2 +
 drivers/media/platform/vivid/vivid-tpg-colors.c    |  478 +-
 drivers/media/platform/vivid/vivid-tpg-colors.h    |    4 +-
 drivers/media/platform/vivid/vivid-tpg.c           |  313 +-
 drivers/media/platform/vivid/vivid-tpg.h           |   20 +
 drivers/media/platform/vivid/vivid-vid-cap.c       |   31 +-
 drivers/media/platform/vivid/vivid-vid-common.c    |   68 +-
 drivers/media/platform/vivid/vivid-vid-out.c       |    7 +-
 drivers/media/platform/xilinx/Kconfig              |    2 +-
 drivers/media/platform/xilinx/xilinx-dma.c         |    4 +-
 drivers/media/radio/radio-si476x.c                 |    4 +-
 drivers/media/radio/radio-timb.c                   |    4 +-
 drivers/media/radio/si470x/radio-si470x-i2c.c      |    9 +-
 drivers/media/radio/si470x/radio-si470x-usb.c      |    6 +-
 drivers/media/radio/si470x/radio-si470x.h          |    8 +-
 drivers/media/radio/si4713/si4713.c                |    4 +-
 drivers/media/radio/wl128x/Kconfig                 |    4 +-
 drivers/media/radio/wl128x/fmdrv.h                 |    2 +-
 drivers/media/rc/fintek-cir.c                      |    1 -
 drivers/media/rc/gpio-ir-recv.c                    |    4 +-
 drivers/media/rc/ir-hix5hd2.c                      |    8 +-
 drivers/media/rc/ir-rc5-decoder.c                  |  116 +
 drivers/media/rc/ir-rc6-decoder.c                  |  122 +
 drivers/media/rc/ir-sony-decoder.c                 |   28 +-
 drivers/media/rc/keymaps/Makefile                  |    4 +
 drivers/media/rc/keymaps/rc-technisat-ts35.c       |   76 +
 .../media/rc/keymaps/rc-terratec-cinergy-c-pci.c   |   88 +
 .../media/rc/keymaps/rc-terratec-cinergy-s2-hd.c   |   86 +
 drivers/media/rc/keymaps/rc-twinhan-dtv-cab-ci.c   |   98 +
 drivers/media/rc/nuvoton-cir.c                     |  127 +
 drivers/media/rc/nuvoton-cir.h                     |    1 +
 drivers/media/rc/rc-core-priv.h                    |   36 +
 drivers/media/rc/rc-ir-raw.c                       |  139 +
 drivers/media/rc/rc-loopback.c                     |   36 +
 drivers/media/rc/rc-main.c                         |    9 +-
 drivers/media/rc/redrat3.c                         |    7 +-
 drivers/media/rc/st_rc.c                           |   12 +-
 drivers/media/rc/streamzap.c                       |    6 +-
 drivers/media/tuners/Kconfig                       |    5 +-
 drivers/media/tuners/e4000.c                       |  592 +-
 drivers/media/tuners/e4000.h                       |    1 -
 drivers/media/tuners/e4000_priv.h                  |   11 +-
 drivers/media/tuners/fc0013.c                      |    2 -
 drivers/media/tuners/fc2580.c                      |  781 +-
 drivers/media/tuners/fc2580.h                      |   40 +-
 drivers/media/tuners/fc2580_priv.h                 |   36 +-
 drivers/media/tuners/msi001.c                      |  267 +-
 drivers/media/tuners/qt1010.c                      |    8 +-
 drivers/media/tuners/r820t.c                       |    4 +-
 drivers/media/tuners/si2157.c                      |   44 +-
 drivers/media/tuners/si2157.h                      |    6 +
 drivers/media/tuners/si2157_priv.h                 |    2 +
 drivers/media/tuners/tua9001.c                     |  331 +-
 drivers/media/tuners/tua9001.h                     |   35 +-
 drivers/media/tuners/tua9001_priv.h                |   19 +-
 drivers/media/tuners/tuner-i2c.h                   |   10 +-
 drivers/media/tuners/tuner-xc2028.c                |    2 +-
 drivers/media/usb/as102/as102_drv.c                |    1 +
 drivers/media/usb/au0828/au0828-cards.c            |    2 -
 drivers/media/usb/au0828/au0828-core.c             |    2 +
 drivers/media/usb/cx231xx/cx231xx-417.c            |   21 +-
 drivers/media/usb/cx231xx/cx231xx-avcore.c         |   44 +-
 drivers/media/usb/cx231xx/cx231xx-cards.c          |   56 +-
 drivers/media/usb/cx231xx/cx231xx-core.c           |   30 +-
 drivers/media/usb/cx231xx/cx231xx-dvb.c            |    2 +
 drivers/media/usb/cx231xx/cx231xx-vbi.c            |    3 +-
 drivers/media/usb/cx231xx/cx231xx-video.c          |   26 +-
 drivers/media/usb/cx231xx/cx231xx.h                |    1 +
 drivers/media/usb/dvb-usb-v2/af9015.c              |    2 +-
 drivers/media/usb/dvb-usb-v2/af9015.h              |    2 +-
 drivers/media/usb/dvb-usb-v2/af9035.c              |   58 +-
 drivers/media/usb/dvb-usb-v2/dvbsky.c              |   18 +-
 drivers/media/usb/dvb-usb-v2/lmedm04.c             |  112 +-
 drivers/media/usb/dvb-usb-v2/mxl111sf-demod.c      |   14 +-
 drivers/media/usb/dvb-usb-v2/rtl28xxu.c            |  193 +-
 drivers/media/usb/dvb-usb-v2/rtl28xxu.h            |    5 +
 drivers/media/usb/dvb-usb/af9005-fe.c              |    7 +-
 drivers/media/usb/dvb-usb/az6027.c                 |    3 +-
 drivers/media/usb/dvb-usb/cinergyT2-fe.c           |    2 +-
 drivers/media/usb/dvb-usb/cxusb.c                  |    1 +
 drivers/media/usb/dvb-usb/dib0700.h                |    2 +-
 drivers/media/usb/dvb-usb/dib0700_core.c           |   70 +-
 drivers/media/usb/dvb-usb/dib0700_devices.c        |  145 +-
 drivers/media/usb/dvb-usb/dtt200u-fe.c             |    7 +-
 drivers/media/usb/dvb-usb/dw2102.c                 |   55 +-
 drivers/media/usb/dvb-usb/friio-fe.c               |    3 +-
 drivers/media/usb/dvb-usb/gp8psk-fe.c              |   13 +-
 drivers/media/usb/dvb-usb/opera1.c                 |    3 +-
 drivers/media/usb/dvb-usb/technisat-usb2.c         |    2 +-
 drivers/media/usb/dvb-usb/vp702x-fe.c              |   17 +-
 drivers/media/usb/dvb-usb/vp702x.c                 |    7 +-
 drivers/media/usb/dvb-usb/vp7045-fe.c              |    3 +-
 drivers/media/usb/em28xx/em28xx-camera.c           |   12 +-
 drivers/media/usb/em28xx/em28xx-dvb.c              |  216 +-
 drivers/media/usb/em28xx/em28xx-video.c            |    1 -
 drivers/media/usb/go7007/go7007-driver.c           |    3 +-
 drivers/media/usb/go7007/go7007-usb.c              |    4 +
 drivers/media/usb/go7007/go7007-v4l2.c             |   12 +-
 drivers/media/usb/go7007/s2250-board.c             |   18 +-
 drivers/media/usb/gspca/benq.c                     |    4 +-
 drivers/media/usb/gspca/sn9c2028.c                 |  241 +-
 drivers/media/usb/gspca/sn9c2028.h                 |   18 +-
 drivers/media/usb/gspca/sonixj.c                   |    2 +-
 drivers/media/usb/gspca/stk014.c                   |    2 +-
 drivers/media/usb/gspca/xirlink_cit.c              |   12 +-
 drivers/media/usb/gspca/zc3xx.c                    |   16 +-
 drivers/media/usb/msi2500/msi2500.c                |  655 +-
 drivers/media/usb/pvrusb2/pvrusb2-context.c        |    3 +-
 drivers/media/usb/pvrusb2/pvrusb2-hdw.c            |   35 +-
 drivers/media/usb/pvrusb2/pvrusb2-io.c             |   30 +-
 drivers/media/usb/pvrusb2/pvrusb2-ioread.c         |   24 +-
 drivers/media/usb/stk1160/stk1160-v4l.c            |    3 +-
 drivers/media/usb/tm6000/tm6000-video.c            |    5 +-
 drivers/media/usb/ttusb-budget/dvb-ttusb-budget.c  |    9 +-
 drivers/media/usb/ttusb-dec/ttusb_dec.c            |    4 +-
 drivers/media/usb/ttusb-dec/ttusbdecfe.c           |   10 +-
 drivers/media/usb/usbtv/usbtv-video.c              |   12 +-
 drivers/media/usb/usbvision/usbvision-core.c       |    4 +-
 drivers/media/usb/usbvision/usbvision-video.c      |   17 +-
 drivers/media/usb/uvc/uvc_driver.c                 |    2 -
 drivers/media/usb/uvc/uvc_queue.c                  |   12 +
 drivers/media/usb/uvc/uvc_v4l2.c                   |   16 +-
 drivers/media/usb/uvc/uvc_video.c                  |    8 +
 drivers/media/usb/uvc/uvcvideo.h                   |    7 +-
 drivers/media/usb/zr364xx/zr364xx.c                |    3 +-
 drivers/media/v4l2-core/Kconfig                    |   13 +-
 drivers/media/v4l2-core/Makefile                   |    2 +
 drivers/media/v4l2-core/v4l2-async.c               |   39 +-
 drivers/media/v4l2-core/v4l2-dv-timings.c          |  117 +-
 drivers/media/v4l2-core/v4l2-flash-led-class.c     |  710 ++
 drivers/media/v4l2-core/v4l2-ioctl.c               |  214 +-
 drivers/media/v4l2-core/v4l2-mem2mem.c             |   38 +-
 drivers/media/v4l2-core/v4l2-of.c                  |  100 +-
 drivers/media/v4l2-core/videobuf2-core.c           |   63 +-
 drivers/media/v4l2-core/videobuf2-dma-contig.c     |    6 +-
 drivers/media/v4l2-core/videobuf2-dma-sg.c         |   22 +-
 drivers/media/v4l2-core/videobuf2-vmalloc.c        |    6 +-
 drivers/memory/Kconfig                             |    8 +
 drivers/memory/omap-gpmc.c                         |   14 +-
 drivers/memory/tegra/Kconfig                       |   10 +
 drivers/memory/tegra/Makefile                      |    3 +
 drivers/memory/tegra/mc.c                          |  143 +-
 drivers/memory/tegra/mc.h                          |    4 +
 drivers/memory/tegra/tegra114.c                    |   32 +-
 drivers/memory/tegra/tegra124-emc.c                | 1140 +++
 drivers/memory/tegra/tegra124.c                    |  123 +-
 drivers/memory/tegra/tegra30.c                     |   32 +-
 drivers/memstick/host/jmb38x_ms.c                  |   12 +-
 drivers/memstick/host/r592.c                       |   10 +-
 drivers/message/fusion/mptbase.c                   |   24 -
 drivers/message/fusion/mptbase.h                   |    1 -
 drivers/message/fusion/mptsas.c                    |    4 +-
 drivers/mfd/88pm860x-core.c                        |    2 +-
 drivers/mfd/Kconfig                                |   12 +-
 drivers/mfd/Makefile                               |    6 +-
 drivers/mfd/ab8500-core.c                          |    2 +-
 drivers/mfd/ab8500-debugfs.c                       |    2 +-
 drivers/mfd/ab8500-gpadc.c                         |    6 +-
 drivers/mfd/arizona-core.c                         |  361 +-
 drivers/mfd/arizona-irq.c                          |    2 +-
 drivers/mfd/asic3.c                                |    3 +-
 drivers/mfd/axp20x.c                               |  100 +
 drivers/mfd/cros_ec.c                              |  173 +-
 drivers/mfd/cros_ec_i2c.c                          |  170 +-
 drivers/mfd/cros_ec_spi.c                          |  408 +-
 drivers/mfd/da9052-irq.c                           |    4 +-
 drivers/mfd/da9055-core.c                          |    6 +-
 drivers/mfd/da9063-core.c                          |   54 +
 drivers/mfd/da9063-irq.c                           |    4 +-
 drivers/mfd/da9150-core.c                          |    4 +-
 drivers/mfd/db8500-prcmu.c                         |    2 +-
 drivers/mfd/htc-i2cpld.c                           |    3 +-
 drivers/mfd/intel_soc_pmic_core.h                  |    2 +-
 drivers/mfd/intel_soc_pmic_crc.c                   |    2 +-
 drivers/mfd/janz-cmodio.c                          |    4 +
 drivers/mfd/lp8788-irq.c                           |    2 +-
 drivers/mfd/lpc_ich.c                              |    8 +-
 drivers/mfd/max8925-core.c                         |    2 +-
 drivers/mfd/max8997-irq.c                          |    2 +-
 drivers/mfd/max8998-irq.c                          |    2 +-
 drivers/mfd/mc13xxx-core.c                         |    2 +-
 drivers/mfd/mfd-core.c                             |    8 +-
 drivers/mfd/mt6397-core.c                          |   23 +-
 drivers/mfd/si476x-i2c.c                           |    3 +-
 drivers/mfd/stmpe.c                                |    2 +-
 drivers/mfd/tc3589x.c                              |    2 +-
 drivers/mfd/tps6586x.c                             |    2 +-
 drivers/mfd/twl4030-irq.c                          |    2 +-
 drivers/mfd/twl4030-power.c                        |   45 +-
 drivers/mfd/twl6030-irq.c                          |    2 +-
 drivers/mfd/ucb1x00-core.c                         |    3 +-
 drivers/mfd/wm831x-auxadc.c                        |    3 +-
 drivers/mfd/wm831x-irq.c                           |    2 +-
 drivers/mfd/wm8350-core.c                          |    3 +-
 drivers/mfd/wm8994-irq.c                           |    6 +-
 drivers/misc/Kconfig                               |    1 -
 drivers/misc/Makefile                              |    1 -
 drivers/misc/altera-stapl/altera.c                 |    2 +-
 drivers/misc/carma/Kconfig                         |   15 -
 drivers/misc/carma/Makefile                        |    2 -
 drivers/misc/carma/carma-fpga-program.c            | 1182 ---
 drivers/misc/carma/carma-fpga.c                    | 1507 ----
 drivers/misc/cxl/Kconfig                           |    5 +
 drivers/misc/cxl/Makefile                          |    4 +-
 drivers/misc/cxl/api.c                             |  329 +
 drivers/misc/cxl/base.c                            |    2 +-
 drivers/misc/cxl/context.c                         |   50 +-
 drivers/misc/cxl/cxl.h                             |   38 +-
 drivers/misc/cxl/fault.c                           |   34 +-
 drivers/misc/cxl/file.c                            |   48 +-
 drivers/misc/cxl/irq.c                             |   37 +-
 drivers/misc/cxl/main.c                            |    4 +-
 drivers/misc/cxl/native.c                          |   83 +-
 drivers/misc/cxl/pci.c                             |  131 +-
 drivers/misc/cxl/sysfs.c                           |   35 +-
 drivers/misc/cxl/vphb.c                            |  271 +
 drivers/misc/kgdbts.c                              |    2 +-
 drivers/misc/lis3lv02d/lis3lv02d.c                 |    2 +-
 drivers/misc/mei/amthif.c                          |   28 +-
 drivers/misc/mei/bus.c                             |  166 +-
 drivers/misc/mei/client.c                          |  473 +-
 drivers/misc/mei/client.h                          |  114 +-
 drivers/misc/mei/debugfs.c                         |   15 +-
 drivers/misc/mei/hbm.c                             |   16 +-
 drivers/misc/mei/hw-me.c                           |   59 +-
 drivers/misc/mei/hw-txe.c                          |   33 +-
 drivers/misc/mei/init.c                            |   10 +-
 drivers/misc/mei/interrupt.c                       |   95 +-
 drivers/misc/mei/main.c                            |   57 +-
 drivers/misc/mei/mei_dev.h                         |  102 +-
 drivers/misc/mei/nfc.c                             |  226 +-
 drivers/misc/mei/pci-txe.c                         |    2 +-
 drivers/misc/mei/wd.c                              |   22 +-
 drivers/misc/mic/Kconfig                           |   40 +-
 drivers/misc/mic/Makefile                          |    3 +-
 drivers/misc/mic/bus/Makefile                      |    1 +
 drivers/misc/mic/bus/scif_bus.c                    |  210 +
 drivers/misc/mic/bus/scif_bus.h                    |  129 +
 drivers/misc/mic/card/mic_device.c                 |  132 +-
 drivers/misc/mic/card/mic_device.h                 |   11 +-
 drivers/misc/mic/card/mic_x100.c                   |   61 +-
 drivers/misc/mic/card/mic_x100.h                   |    1 +
 drivers/misc/mic/common/mic_dev.h                  |    3 +
 drivers/misc/mic/host/mic_boot.c                   |  264 +-
 drivers/misc/mic/host/mic_debugfs.c                |   13 +
 drivers/misc/mic/host/mic_device.h                 |   11 +-
 drivers/misc/mic/host/mic_intr.h                   |    3 +-
 drivers/misc/mic/host/mic_main.c                   |    6 +
 drivers/misc/mic/host/mic_smpt.c                   |    7 +-
 drivers/misc/mic/host/mic_smpt.h                   |    1 +
 drivers/misc/mic/host/mic_virtio.c                 |    6 +-
 drivers/misc/mic/host/mic_x100.c                   |    3 +-
 drivers/misc/mic/scif/Makefile                     |   15 +
 drivers/misc/mic/scif/scif_api.c                   | 1276 ++++
 drivers/misc/mic/scif/scif_debugfs.c               |   85 +
 drivers/misc/mic/scif/scif_epd.c                   |  353 +
 drivers/misc/mic/scif/scif_epd.h                   |  160 +
 drivers/misc/mic/scif/scif_fd.c                    |  303 +
 drivers/misc/mic/scif/scif_main.c                  |  388 +
 drivers/misc/mic/scif/scif_main.h                  |  254 +
 drivers/misc/mic/scif/scif_map.h                   |  113 +
 drivers/misc/mic/scif/scif_nm.c                    |  237 +
 drivers/misc/mic/scif/scif_nodeqp.c                | 1312 ++++
 drivers/misc/mic/scif/scif_nodeqp.h                |  183 +
 drivers/misc/mic/scif/scif_peer_bus.c              |  124 +
 drivers/misc/mic/scif/scif_peer_bus.h              |   65 +
 drivers/misc/mic/scif/scif_ports.c                 |  124 +
 drivers/misc/mic/scif/scif_rb.c                    |  249 +
 drivers/misc/mic/scif/scif_rb.h                    |  100 +
 drivers/misc/spear13xx_pcie_gadget.c               |    2 +-
 drivers/misc/sram.c                                |  137 +-
 drivers/misc/ti-st/st_kim.c                        |    3 +-
 drivers/mmc/card/block.c                           |   25 +-
 drivers/mmc/card/mmc_test.c                        |  104 +-
 drivers/mmc/card/queue.c                           |    6 +-
 drivers/mmc/card/queue.h                           |    1 +
 drivers/mmc/core/core.c                            |  100 +-
 drivers/mmc/core/core.h                            |    4 +
 drivers/mmc/core/host.c                            |   88 +
 drivers/mmc/core/host.h                            |    6 +
 drivers/mmc/core/mmc.c                             |  156 +-
 drivers/mmc/core/mmc_ops.c                         |   44 +-
 drivers/mmc/core/mmc_ops.h                         |    1 +
 drivers/mmc/core/sd.c                              |  113 +-
 drivers/mmc/core/sdio.c                            |   90 +-
 drivers/mmc/core/sdio_bus.c                        |   12 +-
 drivers/mmc/host/Kconfig                           |    9 +
 drivers/mmc/host/Makefile                          |    1 +
 drivers/mmc/host/android-goldfish.c                |    2 +-
 drivers/mmc/host/davinci_mmc.c                     |    2 +-
 drivers/mmc/host/dw_mmc-exynos.c                   |    2 +-
 drivers/mmc/host/dw_mmc-k3.c                       |  105 +-
 drivers/mmc/host/dw_mmc-rockchip.c                 |    2 +-
 drivers/mmc/host/dw_mmc.c                          |   63 +-
 drivers/mmc/host/dw_mmc.h                          |    5 +-
 drivers/mmc/host/mtk-sd.c                          | 1462 ++++
 drivers/mmc/host/mxcmmc.c                          |    6 +-
 drivers/mmc/host/mxs-mmc.c                         |    2 +-
 drivers/mmc/host/omap_hsmmc.c                      |   49 +-
 drivers/mmc/host/rtsx_pci_sdmmc.c                  |    2 +-
 drivers/mmc/host/rtsx_usb_sdmmc.c                  |    2 +-
 drivers/mmc/host/s3cmci.c                          |    2 +-
 drivers/mmc/host/sdhci-bcm2835.c                   |   12 +-
 drivers/mmc/host/sdhci-esdhc-imx.c                 |   94 +-
 drivers/mmc/host/sdhci-of-arasan.c                 |    7 +
 drivers/mmc/host/sdhci-of-esdhc.c                  |   11 +-
 drivers/mmc/host/sdhci-pci-data.c                  |    3 +
 drivers/mmc/host/sdhci-pci.c                       |  109 +-
 drivers/mmc/host/sdhci-pci.h                       |    4 +
 drivers/mmc/host/sdhci-pxav2.c                     |    4 +-
 drivers/mmc/host/sdhci-pxav3.c                     |   10 +-
 drivers/mmc/host/sdhci-s3c.c                       |    2 +-
 drivers/mmc/host/sdhci-sirf.c                      |   44 +-
 drivers/mmc/host/sdhci-st.c                        |    2 +-
 drivers/mmc/host/sdhci.c                           |  151 +-
 drivers/mmc/host/sdhci.h                           |    7 +-
 drivers/mmc/host/sdhci_f_sdh30.c                   |    9 +-
 drivers/mmc/host/sh_mmcif.c                        |  296 +-
 drivers/mmc/host/tmio_mmc.c                        |   10 +-
 drivers/mmc/host/tmio_mmc_pio.c                    |    5 +-
 drivers/mtd/chips/Kconfig                          |    1 +
 drivers/mtd/chips/cfi_cmdset_0002.c                |    2 +-
 drivers/mtd/chips/cfi_util.c                       |  188 +
 drivers/mtd/devices/Kconfig                        |    8 +
 drivers/mtd/devices/Makefile                       |    1 +
 drivers/mtd/devices/block2mtd.c                    |    1 +
 drivers/mtd/devices/docg3.c                        |   18 +-
 drivers/mtd/devices/m25p80.c                       |   60 +-
 drivers/mtd/devices/powernv_flash.c                |  285 +
 drivers/mtd/devices/spear_smi.c                    |    4 +-
 drivers/mtd/maps/Kconfig                           |    2 +-
 drivers/mtd/maps/amd76xrom.c                       |    2 +-
 drivers/mtd/maps/dc21285.c                         |    4 +-
 drivers/mtd/maps/esb2rom.c                         |    2 +-
 drivers/mtd/maps/ichxrom.c                         |    2 +-
 drivers/mtd/maps/lantiq-flash.c                    |    4 +-
 drivers/mtd/maps/physmap_of.c                      |    4 +-
 drivers/mtd/mtd_blkdevs.c                          |    7 +-
 drivers/mtd/mtdcore.c                              |   62 +-
 drivers/mtd/nand/Kconfig                           |   10 +-
 drivers/mtd/nand/Makefile                          |    1 +
 drivers/mtd/nand/brcmnand/Makefile                 |    6 +
 drivers/mtd/nand/brcmnand/bcm63138_nand.c          |  111 +
 drivers/mtd/nand/brcmnand/brcmnand.c               | 2246 ++++++
 drivers/mtd/nand/brcmnand/brcmnand.h               |   73 +
 drivers/mtd/nand/brcmnand/brcmstb_nand.c           |   44 +
 drivers/mtd/nand/brcmnand/iproc_nand.c             |  150 +
 drivers/mtd/nand/cs553x_nand.c                     |   12 +-
 drivers/mtd/nand/diskonchip.c                      |   37 +-
 drivers/mtd/nand/fsmc_nand.c                       |    8 +-
 drivers/mtd/nand/mpc5121_nfc.c                     |    2 +-
 drivers/mtd/nand/mxc_nand.c                        |  112 +-
 drivers/mtd/nand/nand_base.c                       |   48 +-
 drivers/mtd/nand/nand_bbt.c                        |   26 +-
 drivers/mtd/nand/nand_ids.c                        |    2 -
 drivers/mtd/nand/nandsim.c                         |   10 +
 drivers/mtd/nand/ndfc.c                            |    2 -
 drivers/mtd/nand/plat_nand.c                       |    4 +-
 drivers/mtd/nand/pxa3xx_nand.c                     |   43 +-
 drivers/mtd/nand/r852.c                            |    6 +-
 drivers/mtd/nand/s3c2410.c                         |    2 +-
 drivers/mtd/nand/xway_nand.c                       |    4 -
 drivers/mtd/onenand/samsung.c                      |    2 +-
 drivers/mtd/spi-nor/fsl-quadspi.c                  |    2 +-
 drivers/mtd/spi-nor/spi-nor.c                      |   18 +-
 drivers/mtd/ubi/block.c                            |   18 +-
 drivers/mtd/ubi/build.c                            |  107 +-
 drivers/mtd/ubi/fastmap.c                          |   83 +-
 drivers/mtd/ubi/ubi.h                              |    2 +-
 drivers/mtd/ubi/vmt.c                              |   98 +-
 drivers/mtd/ubi/vtbl.c                             |   45 +-
 drivers/mtd/ubi/wl.c                               |    2 +-
 drivers/net/Kconfig                                |   32 +-
 drivers/net/Makefile                               |    1 +
 drivers/net/arcnet/Kconfig                         |    4 -
 drivers/net/bonding/bond_3ad.c                     |   26 +-
 drivers/net/bonding/bond_main.c                    |   55 +-
 drivers/net/bonding/bond_netlink.c                 |   66 +-
 drivers/net/bonding/bond_options.c                 |   91 +
 drivers/net/bonding/bond_procfs.c                  |   93 +-
 drivers/net/bonding/bond_sysfs.c                   |   52 +-
 drivers/net/bonding/bond_sysfs_slave.c             |   32 +
 drivers/net/can/dev.c                              |    5 +
 drivers/net/can/flexcan.c                          |   53 +-
 drivers/net/can/janz-ican3.c                       |  125 +-
 drivers/net/can/slcan.c                            |    1 +
 drivers/net/can/spi/mcp251x.c                      |    9 +-
 drivers/net/can/vcan.c                             |    3 +
 drivers/net/dsa/Kconfig                            |   12 +-
 drivers/net/dsa/bcm_sf2.c                          |   14 +-
 drivers/net/dsa/mv88e6123_61_65.c                  |  186 +-
 drivers/net/dsa/mv88e6131.c                        |  185 +-
 drivers/net/dsa/mv88e6171.c                        |  234 +-
 drivers/net/dsa/mv88e6352.c                        |  188 +-
 drivers/net/dsa/mv88e6xxx.c                        |  980 ++-
 drivers/net/dsa/mv88e6xxx.h                        |  112 +-
 drivers/net/ethernet/3com/Kconfig                  |   18 +-
 drivers/net/ethernet/8390/Kconfig                  |   26 +-
 drivers/net/ethernet/Kconfig                       |    2 +
 drivers/net/ethernet/Makefile                      |    2 +
 drivers/net/ethernet/adaptec/Kconfig               |    4 +-
 drivers/net/ethernet/adi/Kconfig                   |    2 -
 drivers/net/ethernet/agere/Kconfig                 |    4 +-
 drivers/net/ethernet/allwinner/Kconfig             |    3 +-
 drivers/net/ethernet/alteon/Kconfig                |    4 +-
 drivers/net/ethernet/amd/Kconfig                   |   20 +-
 drivers/net/ethernet/amd/xgbe/xgbe-common.h        |  155 +
 drivers/net/ethernet/amd/xgbe/xgbe-dcb.c           |   17 +-
 drivers/net/ethernet/amd/xgbe/xgbe-desc.c          |   37 +-
 drivers/net/ethernet/amd/xgbe/xgbe-dev.c           |   99 +-
 drivers/net/ethernet/amd/xgbe/xgbe-drv.c           |  343 +-
 drivers/net/ethernet/amd/xgbe/xgbe-ethtool.c       |   79 +-
 drivers/net/ethernet/amd/xgbe/xgbe-main.c          |  411 +-
 drivers/net/ethernet/amd/xgbe/xgbe-mdio.c          | 1332 +++-
 drivers/net/ethernet/amd/xgbe/xgbe.h               |  236 +-
 drivers/net/ethernet/apm/xgene/Makefile            |    2 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_hw.c     |   43 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_hw.h     |    8 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_main.c   |  259 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_main.h   |   30 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_ring2.c  |  200 +
 drivers/net/ethernet/apm/xgene/xgene_enet_ring2.h  |   49 +
 drivers/net/ethernet/apm/xgene/xgene_enet_sgmac.c  |   73 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_xgmac.c  |   12 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_xgmac.h  |   20 +
 drivers/net/ethernet/apple/Kconfig                 |    7 +-
 drivers/net/ethernet/arc/Kconfig                   |    4 +-
 drivers/net/ethernet/atheros/Kconfig               |    4 +-
 drivers/net/ethernet/broadcom/Kconfig              |    3 +-
 drivers/net/ethernet/broadcom/b44.c                |    2 +
 drivers/net/ethernet/broadcom/b44.h                |    8 +-
 drivers/net/ethernet/broadcom/bcmsysport.c         |  170 +-
 drivers/net/ethernet/broadcom/bcmsysport.h         |    4 +-
 drivers/net/ethernet/broadcom/bgmac.h              |    3 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x.h        |   28 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_cmn.c    |   53 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_cmn.h    |   21 +-
 .../net/ethernet/broadcom/bnx2x/bnx2x_ethtool.c    |   58 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_link.c   |   43 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_main.c   |   69 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_sp.c     |    2 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_stats.c  |   20 +-
 drivers/net/ethernet/broadcom/genet/bcmgenet.c     |   67 +
 drivers/net/ethernet/broadcom/genet/bcmgenet.h     |    1 +
 drivers/net/ethernet/broadcom/genet/bcmmii.c       |   73 +-
 drivers/net/ethernet/broadcom/tg3.c                |    2 +-
 drivers/net/ethernet/brocade/Kconfig               |    4 +-
 drivers/net/ethernet/brocade/bna/Makefile          |    2 -
 drivers/net/ethernet/brocade/bna/bfa_cee.c         |    1 -
 drivers/net/ethernet/brocade/bna/bfa_cs.h          |   14 -
 drivers/net/ethernet/brocade/bna/bfa_defs.h        |   11 +-
 drivers/net/ethernet/brocade/bna/bfa_defs_cna.h    |   16 +-
 .../net/ethernet/brocade/bna/bfa_defs_mfg_comm.h   |   10 +-
 drivers/net/ethernet/brocade/bna/bfa_ioc.c         |   75 +-
 drivers/net/ethernet/brocade/bna/bfa_ioc.h         |   23 +-
 drivers/net/ethernet/brocade/bna/bfa_ioc_ct.c      |  101 +-
 drivers/net/ethernet/brocade/bna/bfa_msgq.c        |   10 +-
 drivers/net/ethernet/brocade/bna/bfi.h             |   84 +-
 drivers/net/ethernet/brocade/bna/bfi_cna.h         |   30 +-
 drivers/net/ethernet/brocade/bna/bfi_enet.h        |  176 +-
 drivers/net/ethernet/brocade/bna/bna.h             |  199 +-
 drivers/net/ethernet/brocade/bna/bna_enet.c        |  101 +-
 drivers/net/ethernet/brocade/bna/bna_hw_defs.h     |   70 +-
 drivers/net/ethernet/brocade/bna/bna_tx_rx.c       |  673 +-
 drivers/net/ethernet/brocade/bna/bna_types.h       |   19 -
 drivers/net/ethernet/brocade/bna/bnad.c            |  123 +-
 drivers/net/ethernet/brocade/bna/bnad.h            |    4 +-
 drivers/net/ethernet/brocade/bna/bnad_debugfs.c    |   67 +-
 drivers/net/ethernet/brocade/bna/bnad_ethtool.c    |   15 +-
 drivers/net/ethernet/brocade/bna/cna.h             |   62 -
 drivers/net/ethernet/brocade/bna/cna_fwimg.c       |    9 +-
 drivers/net/ethernet/cadence/Kconfig               |    2 -
 drivers/net/ethernet/cadence/macb.c                |   61 +-
 drivers/net/ethernet/cadence/macb.h                |    9 +
 drivers/net/ethernet/cavium/Kconfig                |   57 +
 drivers/net/ethernet/cavium/Makefile               |    5 +
 drivers/net/ethernet/cavium/liquidio/Makefile      |   16 +
 .../net/ethernet/cavium/liquidio/cn66xx_device.c   |  796 ++
 .../net/ethernet/cavium/liquidio/cn66xx_device.h   |  107 +
 drivers/net/ethernet/cavium/liquidio/cn66xx_regs.h |  535 ++
 .../net/ethernet/cavium/liquidio/cn68xx_device.c   |  198 +
 .../net/ethernet/cavium/liquidio/cn68xx_device.h   |   33 +
 drivers/net/ethernet/cavium/liquidio/cn68xx_regs.h |   51 +
 drivers/net/ethernet/cavium/liquidio/lio_ethtool.c | 1217 +++
 drivers/net/ethernet/cavium/liquidio/lio_main.c    | 3668 +++++++++
 .../net/ethernet/cavium/liquidio/liquidio_common.h |  673 ++
 .../net/ethernet/cavium/liquidio/liquidio_image.h  |   57 +
 .../net/ethernet/cavium/liquidio/octeon_config.h   |  424 ++
 .../net/ethernet/cavium/liquidio/octeon_console.c  |  723 ++
 .../net/ethernet/cavium/liquidio/octeon_device.c   | 1304 ++++
 .../net/ethernet/cavium/liquidio/octeon_device.h   |  649 ++
 drivers/net/ethernet/cavium/liquidio/octeon_droq.c |  987 +++
 drivers/net/ethernet/cavium/liquidio/octeon_droq.h |  426 ++
 drivers/net/ethernet/cavium/liquidio/octeon_iq.h   |  319 +
 drivers/net/ethernet/cavium/liquidio/octeon_main.h |  237 +
 .../net/ethernet/cavium/liquidio/octeon_mem_ops.c  |  199 +
 .../net/ethernet/cavium/liquidio/octeon_mem_ops.h  |   75 +
 .../net/ethernet/cavium/liquidio/octeon_network.h  |  224 +
 drivers/net/ethernet/cavium/liquidio/octeon_nic.c  |  189 +
 drivers/net/ethernet/cavium/liquidio/octeon_nic.h  |  227 +
 .../net/ethernet/cavium/liquidio/request_manager.c |  765 ++
 .../ethernet/cavium/liquidio/response_manager.c    |  178 +
 .../ethernet/cavium/liquidio/response_manager.h    |  140 +
 drivers/net/ethernet/cavium/thunder/Makefile       |   11 +
 drivers/net/ethernet/cavium/thunder/nic.h          |  423 ++
 drivers/net/ethernet/cavium/thunder/nic_main.c     |  932 +++
 drivers/net/ethernet/cavium/thunder/nic_reg.h      |  213 +
 .../net/ethernet/cavium/thunder/nicvf_ethtool.c    |  600 ++
 drivers/net/ethernet/cavium/thunder/nicvf_main.c   | 1341 ++++
 drivers/net/ethernet/cavium/thunder/nicvf_queues.c | 1545 ++++
 drivers/net/ethernet/cavium/thunder/nicvf_queues.h |  381 +
 drivers/net/ethernet/cavium/thunder/q_struct.h     |  701 ++
 drivers/net/ethernet/cavium/thunder/thunder_bgx.c  |  966 +++
 drivers/net/ethernet/cavium/thunder/thunder_bgx.h  |  220 +
 drivers/net/ethernet/chelsio/Kconfig               |    4 +-
 drivers/net/ethernet/chelsio/cxgb3/cxgb3_main.c    |   12 +-
 drivers/net/ethernet/chelsio/cxgb3/cxgb3_offload.c |    5 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4.h         |  221 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_debugfs.c |  363 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_ethtool.c |  294 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_main.c    |  498 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_uld.h     |    4 +-
 drivers/net/ethernet/chelsio/cxgb4/sge.c           |  382 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_hw.c         | 2222 ++++--
 drivers/net/ethernet/chelsio/cxgb4/t4_hw.h         |   38 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_msg.h        |   86 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_pci_id_tbl.h |    2 +
 drivers/net/ethernet/chelsio/cxgb4/t4_regs.h       |  184 +
 drivers/net/ethernet/chelsio/cxgb4/t4_values.h     |   24 +
 drivers/net/ethernet/chelsio/cxgb4/t4fw_api.h      |   72 +-
 drivers/net/ethernet/chelsio/cxgb4/t4fw_version.h  |    5 +
 .../net/ethernet/chelsio/cxgb4vf/cxgb4vf_main.c    |   13 +-
 drivers/net/ethernet/chelsio/cxgb4vf/sge.c         |  123 +-
 drivers/net/ethernet/chelsio/cxgb4vf/t4vf_common.h |   18 +-
 drivers/net/ethernet/chelsio/cxgb4vf/t4vf_hw.c     |   36 +-
 drivers/net/ethernet/cirrus/Kconfig                |   12 +-
 drivers/net/ethernet/cisco/Kconfig                 |    4 +-
 drivers/net/ethernet/cisco/enic/enic_clsf.c        |   31 +-
 drivers/net/ethernet/cisco/enic/enic_ethtool.c     |   28 +-
 drivers/net/ethernet/cisco/enic/enic_main.c        |   13 +-
 drivers/net/ethernet/cisco/enic/vnic_rq.c          |    9 +-
 drivers/net/ethernet/cisco/enic/vnic_rq.h          |   91 +-
 drivers/net/ethernet/dec/Kconfig                   |    4 +-
 drivers/net/ethernet/dec/tulip/Kconfig             |   10 +-
 drivers/net/ethernet/dec/tulip/de4x5.c             |   10 +-
 drivers/net/ethernet/dec/tulip/uli526x.c           |    2 +-
 drivers/net/ethernet/dlink/Kconfig                 |    4 +-
 drivers/net/ethernet/dlink/dl2k.c                  |    4 +-
 drivers/net/ethernet/emulex/Kconfig                |    4 +-
 drivers/net/ethernet/emulex/benet/Kconfig          |    9 +
 drivers/net/ethernet/emulex/benet/be.h             |   55 +-
 drivers/net/ethernet/emulex/benet/be_cmds.c        |  143 +-
 drivers/net/ethernet/emulex/benet/be_cmds.h        |   50 +-
 drivers/net/ethernet/emulex/benet/be_ethtool.c     |   29 +-
 drivers/net/ethernet/emulex/benet/be_hw.h          |   14 +-
 drivers/net/ethernet/emulex/benet/be_main.c        |  517 +-
 drivers/net/ethernet/emulex/benet/be_roce.c        |    2 +-
 drivers/net/ethernet/emulex/benet/be_roce.h        |    2 +-
 drivers/net/ethernet/ezchip/Kconfig                |   26 +
 drivers/net/ethernet/ezchip/Makefile               |    1 +
 drivers/net/ethernet/ezchip/nps_enet.c             |  658 ++
 drivers/net/ethernet/ezchip/nps_enet.h             |  336 +
 drivers/net/ethernet/faraday/Kconfig               |    4 +-
 drivers/net/ethernet/freescale/Kconfig             |    8 +-
 drivers/net/ethernet/freescale/fec.h               |    2 +
 drivers/net/ethernet/freescale/fec_main.c          |  112 +-
 drivers/net/ethernet/freescale/fec_ptp.c           |    1 +
 .../net/ethernet/freescale/fs_enet/fs_enet-main.c  |   33 +-
 drivers/net/ethernet/freescale/gianfar.c           |   53 +-
 drivers/net/ethernet/fujitsu/Kconfig               |    4 +-
 drivers/net/ethernet/hisilicon/Kconfig             |    4 +-
 drivers/net/ethernet/hisilicon/hip04_eth.c         |    2 +-
 drivers/net/ethernet/hisilicon/hix5hd2_gmac.c      |    1 -
 drivers/net/ethernet/hp/Kconfig                    |    8 +-
 drivers/net/ethernet/i825xx/Kconfig                |    4 +-
 drivers/net/ethernet/ibm/Kconfig                   |    4 +-
 drivers/net/ethernet/ibm/emac/core.c               |   10 +-
 drivers/net/ethernet/ibm/ibmveth.c                 |   41 +-
 drivers/net/ethernet/ibm/ibmveth.h                 |    5 +-
 drivers/net/ethernet/icplus/ipg.c                  |    2 +-
 drivers/net/ethernet/icplus/ipg.h                  |    2 +-
 drivers/net/ethernet/intel/Kconfig                 |    4 +-
 drivers/net/ethernet/intel/e100.c                  |    6 +-
 drivers/net/ethernet/intel/e1000/e1000_main.c      |   19 +-
 drivers/net/ethernet/intel/e1000e/80003es2lan.c    |    2 +-
 drivers/net/ethernet/intel/e1000e/80003es2lan.h    |    2 +-
 drivers/net/ethernet/intel/e1000e/82571.c          |    4 +-
 drivers/net/ethernet/intel/e1000e/82571.h          |    2 +-
 drivers/net/ethernet/intel/e1000e/defines.h        |    2 +-
 drivers/net/ethernet/intel/e1000e/e1000.h          |    8 +-
 drivers/net/ethernet/intel/e1000e/ethtool.c        |   29 +-
 drivers/net/ethernet/intel/e1000e/hw.h             |    2 +-
 drivers/net/ethernet/intel/e1000e/ich8lan.c        |  152 +-
 drivers/net/ethernet/intel/e1000e/ich8lan.h        |   11 +-
 drivers/net/ethernet/intel/e1000e/mac.c            |    2 +-
 drivers/net/ethernet/intel/e1000e/mac.h            |    2 +-
 drivers/net/ethernet/intel/e1000e/manage.c         |    2 +-
 drivers/net/ethernet/intel/e1000e/manage.h         |    2 +-
 drivers/net/ethernet/intel/e1000e/netdev.c         |  243 +-
 drivers/net/ethernet/intel/e1000e/nvm.c            |    2 +-
 drivers/net/ethernet/intel/e1000e/nvm.h            |    2 +-
 drivers/net/ethernet/intel/e1000e/param.c          |    2 +-
 drivers/net/ethernet/intel/e1000e/phy.c            |    2 +-
 drivers/net/ethernet/intel/e1000e/phy.h            |    2 +-
 drivers/net/ethernet/intel/e1000e/ptp.c            |    2 +-
 drivers/net/ethernet/intel/e1000e/regs.h           |    4 +-
 drivers/net/ethernet/intel/fm10k/fm10k_ethtool.c   |    5 +-
 drivers/net/ethernet/intel/fm10k/fm10k_iov.c       |   38 +-
 drivers/net/ethernet/intel/fm10k/fm10k_main.c      |   66 +-
 drivers/net/ethernet/intel/fm10k/fm10k_mbx.c       |    5 -
 drivers/net/ethernet/intel/fm10k/fm10k_netdev.c    |   11 +-
 drivers/net/ethernet/intel/fm10k/fm10k_pci.c       |   27 +-
 drivers/net/ethernet/intel/fm10k/fm10k_pf.c        |   18 +-
 drivers/net/ethernet/intel/fm10k/fm10k_pf.h        |    8 +-
 drivers/net/ethernet/intel/fm10k/fm10k_ptp.c       |   13 +-
 drivers/net/ethernet/intel/fm10k/fm10k_type.h      |    2 +-
 drivers/net/ethernet/intel/i40e/i40e.h             |    6 +-
 drivers/net/ethernet/intel/i40e/i40e_debugfs.c     |    9 +
 drivers/net/ethernet/intel/i40e/i40e_ethtool.c     |   30 +-
 drivers/net/ethernet/intel/i40e/i40e_fcoe.c        |   11 +-
 drivers/net/ethernet/intel/i40e/i40e_main.c        |   78 +-
 drivers/net/ethernet/intel/i40e/i40e_txrx.c        |  171 +-
 drivers/net/ethernet/intel/i40e/i40e_txrx.h        |    2 +-
 drivers/net/ethernet/intel/i40e/i40e_type.h        |    1 +
 drivers/net/ethernet/intel/i40e/i40e_virtchnl_pf.c |   17 +-
 drivers/net/ethernet/intel/i40evf/i40e_txrx.c      |  189 +-
 drivers/net/ethernet/intel/i40evf/i40e_txrx.h      |    2 +-
 drivers/net/ethernet/intel/i40evf/i40e_type.h      |    1 +
 drivers/net/ethernet/intel/i40evf/i40evf.h         |    1 -
 drivers/net/ethernet/intel/i40evf/i40evf_ethtool.c |    6 +-
 drivers/net/ethernet/intel/i40evf/i40evf_main.c    |  110 +-
 drivers/net/ethernet/intel/igb/e1000_82575.c       |   12 +-
 drivers/net/ethernet/intel/igb/e1000_defines.h     |    3 +-
 drivers/net/ethernet/intel/igb/igb_main.c          |   40 +-
 drivers/net/ethernet/intel/igb/igb_ptp.c           |    4 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe.h           |    1 +
 drivers/net/ethernet/intel/ixgbe/ixgbe_82598.c     |    3 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_82599.c     |    7 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_common.c    |   63 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_common.h    |    2 +
 drivers/net/ethernet/intel/ixgbe/ixgbe_ethtool.c   |   51 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_main.c      |  161 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_phy.c       |   91 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_phy.h       |    1 +
 drivers/net/ethernet/intel/ixgbe/ixgbe_type.h      |  272 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_x540.c      |   46 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_x550.c      | 1000 ++-
 drivers/net/ethernet/marvell/Kconfig               |    4 +-
 drivers/net/ethernet/marvell/mv643xx_eth.c         |    9 +-
 drivers/net/ethernet/marvell/mvneta.c              |   35 +-
 drivers/net/ethernet/mellanox/Kconfig              |    4 +-
 drivers/net/ethernet/mellanox/mlx4/cmd.c           |  110 +-
 drivers/net/ethernet/mellanox/mlx4/cq.c            |   13 +-
 drivers/net/ethernet/mellanox/mlx4/en_cq.c         |   56 +-
 drivers/net/ethernet/mellanox/mlx4/en_ethtool.c    |   17 +
 drivers/net/ethernet/mellanox/mlx4/en_netdev.c     |   34 +-
 drivers/net/ethernet/mellanox/mlx4/en_port.c       |   29 +-
 drivers/net/ethernet/mellanox/mlx4/en_resources.c  |    2 +-
 drivers/net/ethernet/mellanox/mlx4/en_rx.c         |   30 +-
 drivers/net/ethernet/mellanox/mlx4/en_tx.c         |   20 +-
 drivers/net/ethernet/mellanox/mlx4/eq.c            |  400 +-
 drivers/net/ethernet/mellanox/mlx4/intf.c          |    8 +-
 drivers/net/ethernet/mellanox/mlx4/main.c          |  285 +-
 drivers/net/ethernet/mellanox/mlx4/mlx4.h          |   17 +-
 drivers/net/ethernet/mellanox/mlx4/mlx4_en.h       |    6 +-
 drivers/net/ethernet/mellanox/mlx4/mlx4_stats.h    |   10 +-
 drivers/net/ethernet/mellanox/mlx4/profile.c       |    8 +-
 drivers/net/ethernet/mellanox/mlx4/qp.c            |    9 +-
 .../net/ethernet/mellanox/mlx4/resource_tracker.c  |  208 +-
 drivers/net/ethernet/mellanox/mlx5/core/Kconfig    |   14 +-
 drivers/net/ethernet/mellanox/mlx5/core/Makefile   |    5 +-
 drivers/net/ethernet/mellanox/mlx5/core/alloc.c    |   96 +-
 drivers/net/ethernet/mellanox/mlx5/core/cmd.c      |   36 +-
 drivers/net/ethernet/mellanox/mlx5/core/cq.c       |   18 +
 drivers/net/ethernet/mellanox/mlx5/core/en.h       |  521 ++
 .../net/ethernet/mellanox/mlx5/core/en_ethtool.c   |  679 ++
 .../ethernet/mellanox/mlx5/core/en_flow_table.c    |  860 +++
 drivers/net/ethernet/mellanox/mlx5/core/en_main.c  | 1915 +++++
 drivers/net/ethernet/mellanox/mlx5/core/en_rx.c    |  252 +
 drivers/net/ethernet/mellanox/mlx5/core/en_tx.c    |  350 +
 drivers/net/ethernet/mellanox/mlx5/core/en_txrx.c  |  105 +
 drivers/net/ethernet/mellanox/mlx5/core/eq.c       |   23 +-
 .../net/ethernet/mellanox/mlx5/core/flow_table.c   |  422 ++
 drivers/net/ethernet/mellanox/mlx5/core/fw.c       |  146 +-
 drivers/net/ethernet/mellanox/mlx5/core/mad.c      |    2 +-
 drivers/net/ethernet/mellanox/mlx5/core/main.c     |  343 +-
 drivers/net/ethernet/mellanox/mlx5/core/mcg.c      |    2 +-
 .../net/ethernet/mellanox/mlx5/core/mlx5_core.h    |   21 +-
 drivers/net/ethernet/mellanox/mlx5/core/port.c     |  226 +
 drivers/net/ethernet/mellanox/mlx5/core/qp.c       |    7 +
 drivers/net/ethernet/mellanox/mlx5/core/srq.c      |  444 +-
 drivers/net/ethernet/mellanox/mlx5/core/transobj.c |  360 +
 drivers/net/ethernet/mellanox/mlx5/core/transobj.h |   64 +
 drivers/net/ethernet/mellanox/mlx5/core/uar.c      |   44 +-
 drivers/net/ethernet/mellanox/mlx5/core/vport.c    |  345 +
 drivers/net/ethernet/mellanox/mlx5/core/wq.c       |  183 +
 drivers/net/ethernet/mellanox/mlx5/core/wq.h       |  171 +
 drivers/net/ethernet/micrel/Kconfig                |    4 +-
 drivers/net/ethernet/micrel/ksz884x.c              |    4 +-
 drivers/net/ethernet/microchip/Kconfig             |    4 +-
 drivers/net/ethernet/moxa/Kconfig                  |    4 +-
 drivers/net/ethernet/moxa/moxart_ether.c           |    1 -
 drivers/net/ethernet/myricom/Kconfig               |    4 +-
 drivers/net/ethernet/myricom/myri10ge/myri10ge.c   |    6 +-
 drivers/net/ethernet/natsemi/Kconfig               |    7 +-
 drivers/net/ethernet/neterion/Kconfig              |    4 +-
 drivers/net/ethernet/neterion/s2io.c               |    6 +-
 drivers/net/ethernet/neterion/vxge/vxge-traffic.c  |    7 +-
 drivers/net/ethernet/nuvoton/Kconfig               |    4 +-
 drivers/net/ethernet/nvidia/Kconfig                |    8 +-
 drivers/net/ethernet/oki-semi/Kconfig              |    4 +-
 drivers/net/ethernet/packetengines/Kconfig         |    8 +-
 drivers/net/ethernet/pasemi/Kconfig                |    4 +-
 drivers/net/ethernet/qlogic/Kconfig                |    4 +-
 drivers/net/ethernet/qlogic/qlcnic/qlcnic.h        |    3 -
 drivers/net/ethernet/qlogic/qlcnic/qlcnic_main.c   |    2 +-
 drivers/net/ethernet/qlogic/qlcnic/qlcnic_sysfs.c  |   77 +-
 drivers/net/ethernet/qlogic/qlge/qlge_main.c       |    4 +-
 drivers/net/ethernet/qualcomm/Kconfig              |    4 +-
 drivers/net/ethernet/qualcomm/qca_spi.c            |   46 +-
 drivers/net/ethernet/rdc/Kconfig                   |    4 +-
 drivers/net/ethernet/realtek/Kconfig               |   14 +-
 drivers/net/ethernet/renesas/Kconfig               |   29 +
 drivers/net/ethernet/renesas/Makefile              |    4 +
 drivers/net/ethernet/renesas/ravb.h                |  832 ++
 drivers/net/ethernet/renesas/ravb_main.c           | 1824 +++++
 drivers/net/ethernet/renesas/ravb_ptp.c            |  359 +
 drivers/net/ethernet/rocker/rocker.c               | 1615 ++--
 drivers/net/ethernet/rocker/rocker.h               |   28 +-
 drivers/net/ethernet/seeq/Kconfig                  |    4 +-
 drivers/net/ethernet/sfc/Kconfig                   |    9 +
 drivers/net/ethernet/sfc/Makefile                  |    2 +-
 drivers/net/ethernet/sfc/ef10.c                    | 1147 ++-
 drivers/net/ethernet/sfc/ef10_sriov.c              |  783 ++
 drivers/net/ethernet/sfc/ef10_sriov.h              |   69 +
 drivers/net/ethernet/sfc/efx.c                     |  319 +-
 drivers/net/ethernet/sfc/efx.h                     |   15 +
 drivers/net/ethernet/sfc/enum.h                    |    2 +
 drivers/net/ethernet/sfc/ethtool.c                 |    7 +-
 drivers/net/ethernet/sfc/falcon.c                  |   33 +-
 drivers/net/ethernet/sfc/farch.c                   |   64 +-
 drivers/net/ethernet/sfc/mcdi.c                    |  228 +-
 drivers/net/ethernet/sfc/mcdi.h                    |   16 +-
 drivers/net/ethernet/sfc/mcdi_pcol.h               |  434 +-
 drivers/net/ethernet/sfc/mcdi_port.c               |   13 +-
 drivers/net/ethernet/sfc/net_driver.h              |   34 +-
 drivers/net/ethernet/sfc/nic.h                     |  251 +-
 drivers/net/ethernet/sfc/ptp.c                     |   40 +-
 drivers/net/ethernet/sfc/rx.c                      |   42 +-
 drivers/net/ethernet/sfc/siena.c                   |   27 +-
 drivers/net/ethernet/sfc/siena_sriov.c             |  156 +-
 drivers/net/ethernet/sfc/siena_sriov.h             |   79 +
 drivers/net/ethernet/sfc/sriov.c                   |   83 +
 drivers/net/ethernet/sfc/sriov.h                   |   31 +
 drivers/net/ethernet/sgi/Kconfig                   |    8 +-
 drivers/net/ethernet/silan/Kconfig                 |    4 +-
 drivers/net/ethernet/sis/Kconfig                   |    4 +-
 drivers/net/ethernet/sis/sis900.h                  |    4 +-
 drivers/net/ethernet/smsc/Kconfig                  |   18 +-
 drivers/net/ethernet/stmicro/Kconfig               |    4 +-
 drivers/net/ethernet/stmicro/stmmac/Kconfig        |   90 +
 drivers/net/ethernet/stmicro/stmmac/Makefile       |   14 +-
 drivers/net/ethernet/stmicro/stmmac/descs.h        |    2 +
 .../net/ethernet/stmicro/stmmac/dwmac-generic.c    |   41 +
 .../net/ethernet/stmicro/stmmac/dwmac-ipq806x.c    |  365 +
 .../net/ethernet/stmicro/stmmac/dwmac-lpc18xx.c    |   99 +
 drivers/net/ethernet/stmicro/stmmac/dwmac-meson.c  |   24 +-
 drivers/net/ethernet/stmicro/stmmac/dwmac-rk.c     |  350 +-
 .../net/ethernet/stmicro/stmmac/dwmac-socfpga.c    |   21 +-
 drivers/net/ethernet/stmicro/stmmac/dwmac-sti.c    |   29 +-
 drivers/net/ethernet/stmicro/stmmac/dwmac-sunxi.c  |   25 +-
 drivers/net/ethernet/stmicro/stmmac/enh_desc.c     |    3 +-
 drivers/net/ethernet/stmicro/stmmac/mmc_core.c     |    4 +-
 drivers/net/ethernet/stmicro/stmmac/norm_desc.c    |    3 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac.h       |   14 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac_main.c  |  108 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac_pci.c   |   19 +-
 .../net/ethernet/stmicro/stmmac/stmmac_platform.c  |  129 +-
 .../net/ethernet/stmicro/stmmac/stmmac_platform.h  |    9 +-
 drivers/net/ethernet/sun/Kconfig                   |    4 +-
 drivers/net/ethernet/sun/cassini.c                 |    1 -
 drivers/net/ethernet/tehuti/Kconfig                |    4 +-
 drivers/net/ethernet/ti/Kconfig                    |    8 +-
 drivers/net/ethernet/ti/cpsw.c                     |    9 +-
 drivers/net/ethernet/ti/cpsw_ale.c                 |   45 -
 drivers/net/ethernet/ti/cpsw_ale.h                 |    2 -
 drivers/net/ethernet/ti/netcp_core.c               |    3 +-
 drivers/net/ethernet/tile/tilepro.c                |    3 -
 drivers/net/ethernet/toshiba/Kconfig               |    4 +-
 drivers/net/ethernet/toshiba/ps3_gelic_net.c       |   24 +-
 drivers/net/ethernet/toshiba/spider_net.c          |    3 +-
 drivers/net/ethernet/tundra/Kconfig                |    4 +-
 drivers/net/ethernet/via/Kconfig                   |   10 +-
 drivers/net/ethernet/via/via-rhine.c               |  250 +-
 drivers/net/ethernet/wiznet/Kconfig                |    4 +-
 drivers/net/ethernet/xilinx/Kconfig                |    4 +-
 drivers/net/ethernet/xilinx/ll_temac_main.c        |   16 +-
 drivers/net/ethernet/xilinx/xilinx_axienet.h       |  108 +-
 drivers/net/ethernet/xilinx/xilinx_axienet_main.c  |  288 +-
 drivers/net/ethernet/xilinx/xilinx_axienet_mdio.c  |   30 +-
 drivers/net/ethernet/xircom/Kconfig                |    4 +-
 drivers/net/ethernet/xscale/Kconfig                |    4 +-
 drivers/net/fddi/skfp/srf.c                        |    2 +-
 drivers/net/geneve.c                               |  523 ++
 drivers/net/hamradio/bpqether.c                    |    1 -
 drivers/net/hyperv/hyperv_net.h                    |   10 +
 drivers/net/hyperv/netvsc.c                        |   55 +-
 drivers/net/hyperv/netvsc_drv.c                    |   97 +-
 drivers/net/hyperv/rndis_filter.c                  |   17 +-
 drivers/net/ieee802154/Kconfig                     |   10 +
 drivers/net/ieee802154/Makefile                    |    1 +
 drivers/net/ieee802154/at86rf230.c                 |  488 +-
 drivers/net/ieee802154/at86rf230.h                 |  220 +
 drivers/net/ieee802154/atusb.c                     |  762 ++
 drivers/net/ieee802154/atusb.h                     |   84 +
 drivers/net/ieee802154/cc2520.c                    |  153 +-
 drivers/net/ieee802154/fakelb.c                    |  212 +-
 drivers/net/ieee802154/mrf24j40.c                  |   12 +-
 drivers/net/ipvlan/ipvlan.h                        |    5 +
 drivers/net/ipvlan/ipvlan_core.c                   |  138 +-
 drivers/net/ipvlan/ipvlan_main.c                   |   25 +-
 drivers/net/irda/irda-usb.c                        |    4 +-
 drivers/net/macvtap.c                              |  109 +-
 drivers/net/netconsole.c                           |  169 +-
 drivers/net/ntb_netdev.c                           |   58 +-
 drivers/net/phy/Kconfig                            |   13 +-
 drivers/net/phy/Makefile                           |    2 +-
 drivers/net/phy/amd-xgbe-phy.c                     | 1901 -----
 drivers/net/phy/bcm7xxx.c                          |   14 +-
 drivers/net/phy/davicom.c                          |   13 +
 drivers/net/phy/dp83867.c                          |  239 +
 drivers/net/phy/icplus.c                           |    5 +-
 drivers/net/phy/marvell.c                          |   10 +-
 drivers/net/phy/mdio-bcm-unimac.c                  |   51 +-
 drivers/net/phy/mdio-bitbang.c                     |    7 +-
 drivers/net/phy/mdio-gpio.c                        |    1 +
 drivers/net/phy/mdio-mux-gpio.c                    |    3 +-
 drivers/net/phy/micrel.c                           |   53 +-
 drivers/net/phy/phy.c                              |   34 +-
 drivers/net/phy/phy_device.c                       |   25 +-
 drivers/net/phy/realtek.c                          |   68 +-
 drivers/net/phy/vitesse.c                          |   14 +
 drivers/net/ppp/pppoe.c                            |    4 +-
 drivers/net/ppp/pppox.c                            |    2 +-
 drivers/net/ppp/pptp.c                             |    6 +-
 drivers/net/team/team.c                            |   10 +-
 drivers/net/tun.c                                  |   93 +-
 drivers/net/vmxnet3/vmxnet3_defs.h                 |   38 +-
 drivers/net/vmxnet3/vmxnet3_drv.c                  |  164 +-
 drivers/net/vmxnet3/vmxnet3_int.h                  |    8 +-
 drivers/net/vxlan.c                                |   10 +-
 drivers/net/wan/cosa.c                             |    2 +-
 drivers/net/wan/dscc4.c                            |    9 +-
 drivers/net/wan/lapbether.c                        |    1 -
 drivers/net/wireless/Kconfig                       |    1 +
 drivers/net/wireless/Makefile                      |    2 +
 drivers/net/wireless/adm8211.c                     |   35 +-
 drivers/net/wireless/at76c50x-usb.c                |    4 +-
 drivers/net/wireless/at76c50x-usb.h                |    2 +-
 drivers/net/wireless/ath/ar5523/ar5523.c           |    9 +-
 drivers/net/wireless/ath/ath.h                     |    2 +
 drivers/net/wireless/ath/ath10k/Makefile           |    4 +-
 drivers/net/wireless/ath/ath10k/core.c             |  182 +-
 drivers/net/wireless/ath/ath10k/core.h             |   65 +-
 drivers/net/wireless/ath/ath10k/debug.c            |  127 +-
 drivers/net/wireless/ath/ath10k/debug.h            |    1 +
 drivers/net/wireless/ath/ath10k/htc.c              |   50 +-
 drivers/net/wireless/ath/ath10k/htt.c              |   98 +
 drivers/net/wireless/ath/ath10k/htt.h              |  132 +-
 drivers/net/wireless/ath/ath10k/htt_rx.c           |  208 +-
 drivers/net/wireless/ath/ath10k/htt_tx.c           |   34 +-
 drivers/net/wireless/ath/ath10k/hw.c               |   21 +
 drivers/net/wireless/ath/ath10k/hw.h               |   59 +-
 drivers/net/wireless/ath/ath10k/mac.c              | 2922 +++++--
 drivers/net/wireless/ath/ath10k/mac.h              |   29 +
 drivers/net/wireless/ath/ath10k/p2p.c              |  156 +
 drivers/net/wireless/ath/ath10k/p2p.h              |   28 +
 drivers/net/wireless/ath/ath10k/pci.c              |  362 +-
 drivers/net/wireless/ath/ath10k/pci.h              |   95 +-
 drivers/net/wireless/ath/ath10k/rx_desc.h          |   22 +
 drivers/net/wireless/ath/ath10k/spectral.c         |    5 +-
 drivers/net/wireless/ath/ath10k/thermal.c          |  134 +-
 drivers/net/wireless/ath/ath10k/thermal.h          |   10 +-
 drivers/net/wireless/ath/ath10k/trace.h            |   22 +-
 drivers/net/wireless/ath/ath10k/txrx.c             |    9 +-
 drivers/net/wireless/ath/ath10k/wmi-ops.h          |  194 +-
 drivers/net/wireless/ath/ath10k/wmi-tlv.c          |  582 +-
 drivers/net/wireless/ath/ath10k/wmi-tlv.h          |  168 +
 drivers/net/wireless/ath/ath10k/wmi.c              |  312 +-
 drivers/net/wireless/ath/ath10k/wmi.h              |  229 +-
 drivers/net/wireless/ath/ath10k/wow.c              |  321 +
 drivers/net/wireless/ath/ath10k/wow.h              |   40 +
 drivers/net/wireless/ath/ath5k/ath5k.h             |    1 -
 drivers/net/wireless/ath/ath5k/base.c              |   12 +-
 drivers/net/wireless/ath/ath5k/led.c               |    2 +-
 drivers/net/wireless/ath/ath5k/mac80211-ops.c      |   16 +-
 drivers/net/wireless/ath/ath6kl/cfg80211.c         |    4 +-
 drivers/net/wireless/ath/ath6kl/wmi.h              |    2 +-
 drivers/net/wireless/ath/ath9k/ar5008_phy.c        |  155 +-
 drivers/net/wireless/ath/ath9k/ar9002_phy.c        |  144 +-
 drivers/net/wireless/ath/ath9k/common-spectral.c   |  740 +-
 drivers/net/wireless/ath/ath9k/common-spectral.h   |   35 +-
 drivers/net/wireless/ath/ath9k/htc.h               |    8 +-
 drivers/net/wireless/ath/ath9k/htc_drv_beacon.c    |   19 +
 drivers/net/wireless/ath/ath9k/htc_drv_init.c      |   25 +-
 drivers/net/wireless/ath/ath9k/htc_drv_main.c      |   20 +-
 drivers/net/wireless/ath/ath9k/htc_drv_txrx.c      |    9 +-
 drivers/net/wireless/ath/ath9k/hw.h                |    2 +
 drivers/net/wireless/ath/ath9k/init.c              |   24 +-
 drivers/net/wireless/ath/ath9k/main.c              |   15 +-
 drivers/net/wireless/ath/ath9k/recv.c              |    5 -
 drivers/net/wireless/ath/carl9170/fw.c             |    5 +-
 drivers/net/wireless/ath/carl9170/led.c            |    2 +-
 drivers/net/wireless/ath/carl9170/main.c           |   27 +-
 drivers/net/wireless/ath/carl9170/usb.c            |    5 +-
 drivers/net/wireless/ath/dfs_pattern_detector.c    |   72 +-
 drivers/net/wireless/ath/dfs_pattern_detector.h    |    4 +
 drivers/net/wireless/ath/dfs_pri_detector.c        |    4 +
 drivers/net/wireless/ath/wcn36xx/main.c            |   12 +-
 drivers/net/wireless/ath/wcn36xx/smd.c             |    4 +-
 drivers/net/wireless/ath/wil6210/Makefile          |    1 +
 drivers/net/wireless/ath/wil6210/cfg80211.c        |  174 +-
 drivers/net/wireless/ath/wil6210/debugfs.c         |  115 +-
 drivers/net/wireless/ath/wil6210/main.c            |   37 +-
 drivers/net/wireless/ath/wil6210/netdev.c          |    8 +-
 drivers/net/wireless/ath/wil6210/pcie_bus.c        |  105 +-
 drivers/net/wireless/ath/wil6210/pmc.c             |  375 +
 drivers/net/wireless/ath/wil6210/pmc.h             |   27 +
 drivers/net/wireless/ath/wil6210/txrx.c            |   50 +-
 drivers/net/wireless/ath/wil6210/txrx.h            |   24 +-
 drivers/net/wireless/ath/wil6210/wil6210.h         |   33 +-
 drivers/net/wireless/ath/wil6210/wil_platform.c    |   14 +-
 drivers/net/wireless/ath/wil6210/wil_platform.h    |    3 +
 drivers/net/wireless/ath/wil6210/wmi.c             |   75 +-
 drivers/net/wireless/ath/wil6210/wmi.h             |   50 +-
 drivers/net/wireless/b43/main.c                    |   16 +-
 drivers/net/wireless/b43legacy/main.c              |   13 +-
 drivers/net/wireless/brcm80211/brcmfmac/bcmsdh.c   |   37 +-
 drivers/net/wireless/brcm80211/brcmfmac/cfg80211.c |  521 +-
 drivers/net/wireless/brcm80211/brcmfmac/chip.c     |    1 +
 .../net/wireless/brcm80211/brcmfmac/commonring.c   |   37 +-
 .../net/wireless/brcm80211/brcmfmac/commonring.h   |    3 +-
 drivers/net/wireless/brcm80211/brcmfmac/core.c     |    4 +-
 drivers/net/wireless/brcm80211/brcmfmac/debug.c    |   50 +-
 drivers/net/wireless/brcm80211/brcmfmac/feature.c  |    2 +
 drivers/net/wireless/brcm80211/brcmfmac/feature.h  |    8 +-
 drivers/net/wireless/brcm80211/brcmfmac/firmware.c |  251 +-
 drivers/net/wireless/brcm80211/brcmfmac/firmware.h |    6 +
 drivers/net/wireless/brcm80211/brcmfmac/flowring.c |    5 +-
 drivers/net/wireless/brcm80211/brcmfmac/flowring.h |    4 +-
 .../net/wireless/brcm80211/brcmfmac/fwil_types.h   |   79 +-
 drivers/net/wireless/brcm80211/brcmfmac/fwsignal.c |    2 +-
 drivers/net/wireless/brcm80211/brcmfmac/msgbuf.c   |   44 +-
 drivers/net/wireless/brcm80211/brcmfmac/of.c       |   11 +-
 drivers/net/wireless/brcm80211/brcmfmac/p2p.c      |  203 +-
 drivers/net/wireless/brcm80211/brcmfmac/pcie.c     |  200 +-
 drivers/net/wireless/brcm80211/brcmfmac/sdio.c     |   17 +-
 drivers/net/wireless/brcm80211/brcmfmac/usb.c      |    9 +-
 .../net/wireless/brcm80211/brcmsmac/mac80211_if.c  |   12 +-
 drivers/net/wireless/brcm80211/brcmsmac/main.c     |    2 +-
 .../net/wireless/brcm80211/include/brcm_hw_ids.h   |    3 +
 drivers/net/wireless/cw1200/main.c                 |   16 +-
 drivers/net/wireless/cw1200/sta.c                  |   10 +-
 drivers/net/wireless/iwlegacy/3945-mac.c           |   10 +-
 drivers/net/wireless/iwlegacy/4965-mac.c           |   16 +-
 drivers/net/wireless/iwlwifi/Kconfig               |   12 +-
 drivers/net/wireless/iwlwifi/Makefile              |    1 +
 drivers/net/wireless/iwlwifi/dvm/mac80211.c        |   33 +-
 drivers/net/wireless/iwlwifi/iwl-7000.c            |   25 +-
 drivers/net/wireless/iwlwifi/iwl-8000.c            |   71 +-
 drivers/net/wireless/iwlwifi/iwl-config.h          |   45 +
 .../net/wireless/iwlwifi/iwl-devtrace-iwlwifi.h    |   15 +-
 drivers/net/wireless/iwlwifi/iwl-drv.c             |   32 +-
 drivers/net/wireless/iwlwifi/iwl-fh.h              |    6 -
 drivers/net/wireless/iwlwifi/iwl-fw-error-dump.h   |    6 +-
 drivers/net/wireless/iwlwifi/iwl-fw-file.h         |  107 +-
 drivers/net/wireless/iwlwifi/iwl-fw.h              |   24 +-
 drivers/net/wireless/iwlwifi/iwl-nvm-parse.c       |    4 +-
 drivers/net/wireless/iwlwifi/iwl-prph.h            |    3 +
 drivers/net/wireless/iwlwifi/iwl-trans.c           |  113 +
 drivers/net/wireless/iwlwifi/iwl-trans.h           |   22 +-
 drivers/net/wireless/iwlwifi/mvm/coex.c            |  103 +-
 drivers/net/wireless/iwlwifi/mvm/d3.c              |   22 +-
 drivers/net/wireless/iwlwifi/mvm/debugfs-vif.c     |   21 +-
 drivers/net/wireless/iwlwifi/mvm/debugfs.c         |   14 +-
 drivers/net/wireless/iwlwifi/mvm/fw-api-scan.h     |   91 +-
 drivers/net/wireless/iwlwifi/mvm/fw-api.h          |   63 +-
 drivers/net/wireless/iwlwifi/mvm/fw.c              |   23 +-
 drivers/net/wireless/iwlwifi/mvm/mac-ctxt.c        |    4 +-
 drivers/net/wireless/iwlwifi/mvm/mac80211.c        |  443 +-
 drivers/net/wireless/iwlwifi/mvm/mvm.h             |  162 +-
 drivers/net/wireless/iwlwifi/mvm/nvm.c             |   16 +-
 drivers/net/wireless/iwlwifi/mvm/ops.c             |   37 +-
 drivers/net/wireless/iwlwifi/mvm/rs.c              |   44 +-
 drivers/net/wireless/iwlwifi/mvm/rs.h              |    2 -
 drivers/net/wireless/iwlwifi/mvm/rx.c              |    4 +-
 drivers/net/wireless/iwlwifi/mvm/scan.c            | 1418 ++--
 drivers/net/wireless/iwlwifi/mvm/sta.c             |   14 +-
 drivers/net/wireless/iwlwifi/mvm/time-event.c      |   33 +-
 drivers/net/wireless/iwlwifi/mvm/tt.c              |   40 +-
 drivers/net/wireless/iwlwifi/mvm/tx.c              |   35 +-
 drivers/net/wireless/iwlwifi/mvm/utils.c           |    2 +-
 drivers/net/wireless/iwlwifi/pcie/drv.c            |   17 +-
 drivers/net/wireless/iwlwifi/pcie/internal.h       |   57 +-
 drivers/net/wireless/iwlwifi/pcie/rx.c             |  415 +-
 drivers/net/wireless/iwlwifi/pcie/trans.c          |  139 +-
 drivers/net/wireless/iwlwifi/pcie/tx.c             |   25 +-
 drivers/net/wireless/libertas/cfg.c                |   13 +-
 drivers/net/wireless/libertas/cfg.h                |    3 +-
 drivers/net/wireless/libertas/cmd.h                |    3 +-
 drivers/net/wireless/libertas/cmdresp.c            |   13 +-
 drivers/net/wireless/libertas_tf/if_usb.c          |    6 +-
 drivers/net/wireless/libertas_tf/main.c            |    9 +-
 drivers/net/wireless/mac80211_hwsim.c              |   77 +-
 drivers/net/wireless/mediatek/Kconfig              |   10 +
 drivers/net/wireless/mediatek/Makefile             |    1 +
 drivers/net/wireless/mediatek/mt7601u/Kconfig      |    6 +
 drivers/net/wireless/mediatek/mt7601u/Makefile     |    9 +
 drivers/net/wireless/mediatek/mt7601u/core.c       |   78 +
 drivers/net/wireless/mediatek/mt7601u/debugfs.c    |  172 +
 drivers/net/wireless/mediatek/mt7601u/dma.c        |  505 ++
 drivers/net/wireless/mediatek/mt7601u/dma.h        |  127 +
 drivers/net/wireless/mediatek/mt7601u/eeprom.c     |  418 +
 drivers/net/wireless/mediatek/mt7601u/eeprom.h     |  151 +
 drivers/net/wireless/mediatek/mt7601u/init.c       |  628 ++
 drivers/net/wireless/mediatek/mt7601u/initvals.h   |  164 +
 .../net/wireless/mediatek/mt7601u/initvals_phy.h   |  291 +
 drivers/net/wireless/mediatek/mt7601u/mac.c        |  573 ++
 drivers/net/wireless/mediatek/mt7601u/mac.h        |  178 +
 drivers/net/wireless/mediatek/mt7601u/main.c       |  413 +
 drivers/net/wireless/mediatek/mt7601u/mcu.c        |  534 ++
 drivers/net/wireless/mediatek/mt7601u/mcu.h        |   94 +
 drivers/net/wireless/mediatek/mt7601u/mt7601u.h    |  390 +
 drivers/net/wireless/mediatek/mt7601u/phy.c        | 1251 +++
 drivers/net/wireless/mediatek/mt7601u/regs.h       |  636 ++
 drivers/net/wireless/mediatek/mt7601u/trace.c      |   21 +
 drivers/net/wireless/mediatek/mt7601u/trace.h      |  400 +
 drivers/net/wireless/mediatek/mt7601u/tx.c         |  319 +
 drivers/net/wireless/mediatek/mt7601u/usb.c        |  367 +
 drivers/net/wireless/mediatek/mt7601u/usb.h        |   77 +
 drivers/net/wireless/mediatek/mt7601u/util.c       |   42 +
 drivers/net/wireless/mediatek/mt7601u/util.h       |   77 +
 drivers/net/wireless/mwifiex/11h.c                 |   72 +-
 drivers/net/wireless/mwifiex/11n.c                 |  128 +-
 drivers/net/wireless/mwifiex/11n_aggr.c            |    7 +-
 drivers/net/wireless/mwifiex/11n_rxreorder.c       |  136 +-
 drivers/net/wireless/mwifiex/Kconfig               |    2 +
 drivers/net/wireless/mwifiex/README                |    6 +-
 drivers/net/wireless/mwifiex/cfg80211.c            |  592 +-
 drivers/net/wireless/mwifiex/cfp.c                 |   50 +-
 drivers/net/wireless/mwifiex/cmdevt.c              |  368 +-
 drivers/net/wireless/mwifiex/debugfs.c             |  165 +-
 drivers/net/wireless/mwifiex/decl.h                |    7 +-
 drivers/net/wireless/mwifiex/ethtool.c             |   97 -
 drivers/net/wireless/mwifiex/fw.h                  |   71 +-
 drivers/net/wireless/mwifiex/ie.c                  |  102 +-
 drivers/net/wireless/mwifiex/init.c                |   54 +-
 drivers/net/wireless/mwifiex/ioctl.h               |    7 +
 drivers/net/wireless/mwifiex/join.c                |  254 +-
 drivers/net/wireless/mwifiex/main.c                |  224 +-
 drivers/net/wireless/mwifiex/main.h                |   96 +-
 drivers/net/wireless/mwifiex/pcie.c                |  589 +-
 drivers/net/wireless/mwifiex/scan.c                |  377 +-
 drivers/net/wireless/mwifiex/sdio.c                |  458 +-
 drivers/net/wireless/mwifiex/sta_cmd.c             |  159 +-
 drivers/net/wireless/mwifiex/sta_cmdresp.c         |  223 +-
 drivers/net/wireless/mwifiex/sta_event.c           |  194 +-
 drivers/net/wireless/mwifiex/sta_ioctl.c           |  147 +-
 drivers/net/wireless/mwifiex/sta_rx.c              |   13 +-
 drivers/net/wireless/mwifiex/sta_tx.c              |   18 +-
 drivers/net/wireless/mwifiex/tdls.c                |   86 +-
 drivers/net/wireless/mwifiex/txrx.c                |   51 +-
 drivers/net/wireless/mwifiex/uap_cmd.c             |   75 +-
 drivers/net/wireless/mwifiex/uap_event.c           |  112 +-
 drivers/net/wireless/mwifiex/uap_txrx.c            |   54 +-
 drivers/net/wireless/mwifiex/usb.c                 |  141 +-
 drivers/net/wireless/mwifiex/util.c                |   84 +-
 drivers/net/wireless/mwifiex/wmm.c                 |  107 +-
 drivers/net/wireless/mwl8k.c                       |   11 +-
 drivers/net/wireless/p54/fwio.c                    |    3 +-
 drivers/net/wireless/p54/led.c                     |    2 +-
 drivers/net/wireless/p54/main.c                    |   18 +-
 drivers/net/wireless/ray_cs.c                      |    2 +-
 drivers/net/wireless/rndis_wlan.c                  |    8 +-
 drivers/net/wireless/rsi/rsi_91x_mac80211.c        |    7 +-
 drivers/net/wireless/rt2x00/rt2400pci.c            |   12 +-
 drivers/net/wireless/rt2x00/rt2500pci.c            |   12 +-
 drivers/net/wireless/rt2x00/rt2500usb.c            |   13 +-
 drivers/net/wireless/rt2x00/rt2800.h               |   10 +
 drivers/net/wireless/rt2x00/rt2800lib.c            |   92 +-
 drivers/net/wireless/rt2x00/rt2800lib.h            |    5 +-
 drivers/net/wireless/rt2x00/rt2800pci.c            |    2 +-
 drivers/net/wireless/rt2x00/rt2800soc.c            |    2 +-
 drivers/net/wireless/rt2x00/rt2800usb.c            |    2 +-
 drivers/net/wireless/rt2x00/rt2x00mac.c            |   22 +-
 drivers/net/wireless/rt2x00/rt61pci.c              |   13 +-
 drivers/net/wireless/rt2x00/rt73usb.c              |   13 +-
 drivers/net/wireless/rtl818x/rtl8180/dev.c         |    9 +-
 drivers/net/wireless/rtl818x/rtl8187/dev.c         |    6 +-
 drivers/net/wireless/rtlwifi/Kconfig               |    2 +-
 drivers/net/wireless/rtlwifi/base.c                |   22 +-
 .../wireless/rtlwifi/btcoexist/halbtc8723b2ant.c   |    7 +-
 drivers/net/wireless/rtlwifi/core.h                |    3 +-
 drivers/net/wireless/rtlwifi/regd.c                |   42 +-
 drivers/net/wireless/rtlwifi/regd.h                |    1 +
 drivers/net/wireless/rtlwifi/rtl8188ee/dm.c        |    7 +-
 drivers/net/wireless/rtlwifi/rtl8188ee/hw.c        |   20 +-
 drivers/net/wireless/rtlwifi/rtl8188ee/pwrseq.c    |    2 +-
 drivers/net/wireless/rtlwifi/rtl8188ee/pwrseq.h    |    2 +-
 drivers/net/wireless/rtlwifi/rtl8192c/dm_common.c  |    7 +-
 drivers/net/wireless/rtlwifi/rtl8192c/fw_common.c  |    3 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/hw.c        |   54 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/mac.c       |   17 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/mac.h       |    5 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/phy.c       |   28 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/rf.c        |   22 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/trx.c       |    2 +-
 drivers/net/wireless/rtlwifi/rtl8192de/dm.c        |    7 +-
 drivers/net/wireless/rtlwifi/rtl8192ee/fw.c        |    2 +-
 drivers/net/wireless/rtlwifi/rtl8192ee/hw.c        |   21 +-
 drivers/net/wireless/rtlwifi/rtl8192se/dm.c        |    7 +-
 drivers/net/wireless/rtlwifi/rtl8723ae/hw.c        |   13 -
 drivers/net/wireless/rtlwifi/rtl8723be/dm.c        |    7 +-
 drivers/net/wireless/rtlwifi/rtl8723be/fw.c        |    2 +-
 drivers/net/wireless/rtlwifi/rtl8723be/hw.c        |   21 +-
 drivers/net/wireless/rtlwifi/rtl8821ae/dm.c        |   14 +-
 drivers/net/wireless/rtlwifi/rtl8821ae/hw.c        |   24 +-
 drivers/net/wireless/rtlwifi/wifi.h                |    1 +
 drivers/net/wireless/ti/wl1251/acx.c               |    3 -
 drivers/net/wireless/ti/wl1251/main.c              |   12 +-
 drivers/net/wireless/ti/wl18xx/main.c              |   71 +-
 drivers/net/wireless/ti/wl18xx/reg.h               |    1 +
 drivers/net/wireless/ti/wlcore/main.c              |   54 +-
 drivers/net/wireless/zd1211rw/zd_mac.c             |   12 +-
 drivers/net/xen-netback/netback.c                  |   25 +-
 drivers/net/xen-netback/xenbus.c                   |   37 +-
 drivers/net/xen-netfront.c                         |   15 +-
 drivers/nfc/Kconfig                                |    2 +-
 drivers/nfc/Makefile                               |    4 +-
 drivers/nfc/mei_phy.c                              |  295 +-
 drivers/nfc/mei_phy.h                              |   38 +-
 drivers/nfc/microread/i2c.c                        |    3 -
 drivers/nfc/microread/mei.c                        |    2 +-
 drivers/nfc/nfcmrvl/Kconfig                        |   11 +
 drivers/nfc/nfcmrvl/Makefile                       |    3 +
 drivers/nfc/nfcmrvl/main.c                         |  134 +-
 drivers/nfc/nfcmrvl/nfcmrvl.h                      |   60 +-
 drivers/nfc/nfcmrvl/uart.c                         |  225 +
 drivers/nfc/nfcmrvl/usb.c                          |   27 +-
 drivers/nfc/nxp-nci/Makefile                       |    2 -
 drivers/nfc/nxp-nci/i2c.c                          |   52 +
 drivers/nfc/pn544/i2c.c                            |   43 +-
 drivers/nfc/pn544/mei.c                            |    2 +-
 drivers/nfc/st-nci/Kconfig                         |   23 +
 drivers/nfc/st-nci/Makefile                        |    9 +
 drivers/nfc/st-nci/core.c                          |  179 +
 drivers/nfc/st-nci/i2c.c                           |  385 +
 drivers/nfc/st-nci/ndlc.c                          |  313 +
 drivers/nfc/st-nci/ndlc.h                          |   60 +
 drivers/nfc/st-nci/st-nci.h                        |   50 +
 drivers/nfc/st-nci/st-nci_se.c                     |  714 ++
 drivers/nfc/st-nci/st-nci_se.h                     |   61 +
 drivers/nfc/st21nfcb/Kconfig                       |   22 -
 drivers/nfc/st21nfcb/Makefile                      |    9 -
 drivers/nfc/st21nfcb/i2c.c                         |  398 -
 drivers/nfc/st21nfcb/ndlc.c                        |  300 -
 drivers/nfc/st21nfcb/ndlc.h                        |   59 -
 drivers/nfc/st21nfcb/st21nfcb.c                    |  143 -
 drivers/nfc/st21nfcb/st21nfcb.h                    |   38 -
 drivers/nfc/st21nfcb/st21nfcb_se.c                 |  713 --
 drivers/nfc/st21nfcb/st21nfcb_se.h                 |   61 -
 drivers/nfc/trf7970a.c                             |   23 +-
 drivers/ntb/Kconfig                                |   39 +-
 drivers/ntb/Makefile                               |    5 +-
 drivers/ntb/hw/Kconfig                             |    1 +
 drivers/ntb/hw/Makefile                            |    1 +
 drivers/ntb/hw/intel/Kconfig                       |    7 +
 drivers/ntb/hw/intel/Makefile                      |    1 +
 drivers/ntb/hw/intel/ntb_hw_intel.c                | 2274 ++++++
 drivers/ntb/hw/intel/ntb_hw_intel.h                |  342 +
 drivers/ntb/ntb.c                                  |  251 +
 drivers/ntb/ntb_hw.c                               | 1896 -----
 drivers/ntb/ntb_hw.h                               |  256 -
 drivers/ntb/ntb_regs.h                             |  177 -
 drivers/ntb/ntb_transport.c                        | 1029 ++-
 drivers/ntb/test/Kconfig                           |   19 +
 drivers/ntb/test/Makefile                          |    2 +
 drivers/ntb/test/ntb_pingpong.c                    |  250 +
 drivers/ntb/test/ntb_tool.c                        |  556 ++
 drivers/nvdimm/Kconfig                             |   68 +
 drivers/nvdimm/Makefile                            |   20 +
 drivers/nvdimm/blk.c                               |  384 +
 drivers/nvdimm/btt.c                               | 1479 ++++
 drivers/nvdimm/btt.h                               |  185 +
 drivers/nvdimm/btt_devs.c                          |  425 ++
 drivers/nvdimm/bus.c                               |  725 ++
 drivers/nvdimm/core.c                              |  465 ++
 drivers/nvdimm/dimm.c                              |  102 +
 drivers/nvdimm/dimm_devs.c                         |  551 ++
 drivers/nvdimm/label.c                             |  927 +++
 drivers/nvdimm/label.h                             |  141 +
 drivers/nvdimm/namespace_devs.c                    | 1870 +++++
 drivers/nvdimm/nd-core.h                           |   83 +
 drivers/nvdimm/nd.h                                |  220 +
 drivers/nvdimm/pmem.c                              |  301 +
 drivers/nvdimm/region.c                            |  114 +
 drivers/nvdimm/region_devs.c                       |  787 ++
 drivers/of/Kconfig                                 |   17 +-
 drivers/of/Makefile                                |    3 -
 drivers/of/address.c                               |    4 +-
 drivers/of/base.c                                  |   10 +-
 drivers/of/device.c                                |   12 +
 drivers/of/dynamic.c                               |    2 +-
 drivers/of/fdt.c                                   |   41 +-
 drivers/of/irq.c                                   |    9 +-
 drivers/of/of_mdio.c                               |    3 +
 drivers/of/overlay.c                               |    6 +-
 drivers/of/platform.c                              |    1 +
 drivers/parport/parport_pc.c                       |    4 +-
 drivers/parport/procfs.c                           |   15 +-
 drivers/parport/share.c                            |  373 +-
 drivers/pci/Kconfig                                |    4 +
 drivers/pci/bus.c                                  |   10 +-
 drivers/pci/host/Kconfig                           |   20 +
 drivers/pci/host/Makefile                          |    2 +
 drivers/pci/host/pci-dra7xx.c                      |   19 +-
 drivers/pci/host/pci-exynos.c                      |   34 +-
 drivers/pci/host/pci-imx6.c                        |   88 +-
 drivers/pci/host/pci-keystone.c                    |   23 +-
 drivers/pci/host/pci-layerscape.c                  |   25 +-
 drivers/pci/host/pci-mvebu.c                       |   18 +-
 drivers/pci/host/pci-tegra.c                       |   16 -
 drivers/pci/host/pci-xgene-msi.c                   |  596 ++
 drivers/pci/host/pci-xgene.c                       |   66 +-
 drivers/pci/host/pcie-designware.c                 |  154 +-
 drivers/pci/host/pcie-iproc-bcma.c                 |  110 +
 drivers/pci/host/pcie-iproc-platform.c             |   12 +-
 drivers/pci/host/pcie-iproc.c                      |    6 +-
 drivers/pci/host/pcie-iproc.h                      |    4 +-
 drivers/pci/host/pcie-spear13xx.c                  |   23 +-
 drivers/pci/hotplug/Makefile                       |    3 -
 drivers/pci/hotplug/acpiphp_glue.c                 |    5 +-
 drivers/pci/hotplug/pciehp.h                       |   23 +-
 drivers/pci/hotplug/pciehp_acpi.c                  |  137 -
 drivers/pci/hotplug/pciehp_core.c                  |   54 +-
 drivers/pci/hotplug/pciehp_ctrl.c                  |  154 +-
 drivers/pci/hotplug/pciehp_hpc.c                   |  145 +-
 drivers/pci/htirq.c                                |   48 +-
 drivers/pci/msi.c                                  |   53 +-
 drivers/pci/pci-acpi.c                             |    2 +-
 drivers/pci/pci.c                                  |   44 +-
 drivers/pci/pci.h                                  |   32 +-
 drivers/pci/pcie/aer/aerdrv_core.c                 |    3 +-
 drivers/pci/pcie/aspm.c                            |   57 +-
 drivers/pci/probe.c                                |   69 +-
 drivers/pci/quirks.c                               |   13 +-
 drivers/pci/setup-bus.c                            |    9 +-
 drivers/pci/vc.c                                   |    3 +-
 drivers/pci/xen-pcifront.c                         |   24 +-
 drivers/pcmcia/Kconfig                             |    1 -
 drivers/pcmcia/at91_cf.c                           |   25 +-
 drivers/pcmcia/cistpl.c                            |   50 +-
 drivers/pcmcia/cs.c                                |   39 +-
 drivers/pcmcia/ds.c                                |   76 +-
 drivers/pcmcia/electra_cf.c                        |   19 +-
 drivers/pcmcia/i82365.c                            |   43 +-
 drivers/pcmcia/m32r_cfc.c                          |    7 -
 drivers/pcmcia/m32r_pcc.c                          |    7 -
 drivers/pcmcia/pcmcia_cis.c                        |    4 +-
 drivers/pcmcia/pcmcia_resource.c                   |   11 +-
 drivers/pcmcia/rsrc_nonstatic.c                    |   44 +-
 drivers/pcmcia/ti113x.h                            |   78 +-
 drivers/pcmcia/topic.h                             |   16 +
 drivers/pcmcia/vrc4171_card.c                      |   30 +-
 drivers/pcmcia/xxs1500_ss.c                        |    1 +
 drivers/pcmcia/yenta_socket.c                      |   94 +-
 drivers/phy/Kconfig                                |   53 +-
 drivers/phy/Makefile                               |    5 +
 drivers/phy/phy-brcmstb-sata.c                     |  216 +
 drivers/phy/phy-core.c                             |   71 +-
 drivers/phy/phy-miphy28lp.c                        |    9 +-
 drivers/phy/phy-miphy365x.c                        |    9 +-
 drivers/phy/phy-omap-usb2.c                        |    1 +
 drivers/phy/phy-pistachio-usb.c                    |  206 +
 drivers/phy/phy-pxa-28nm-hsic.c                    |  220 +
 drivers/phy/phy-pxa-28nm-usb2.c                    |  355 +
 drivers/phy/phy-rcar-gen2.c                        |   10 +-
 drivers/phy/phy-spear1310-miphy.c                  |    6 +-
 drivers/phy/phy-spear1340-miphy.c                  |    6 +-
 drivers/phy/phy-sun4i-usb.c                        |    9 +
 drivers/phy/phy-tusb1210.c                         |  153 +
 drivers/phy/phy-twl4030-usb.c                      |   34 +-
 drivers/phy/ulpi_phy.h                             |   31 +
 drivers/pinctrl/Kconfig                            |   19 +
 drivers/pinctrl/Makefile                           |    2 +
 drivers/pinctrl/bcm/pinctrl-bcm281xx.c             |    4 +-
 drivers/pinctrl/bcm/pinctrl-bcm2835.c              |    4 +-
 drivers/pinctrl/bcm/pinctrl-cygnus-gpio.c          |    8 +-
 drivers/pinctrl/bcm/pinctrl-cygnus-mux.c           |    4 +-
 drivers/pinctrl/berlin/berlin-bg2.c                |   44 +-
 drivers/pinctrl/berlin/berlin-bg2cd.c              |   34 +-
 drivers/pinctrl/berlin/berlin-bg2q.c               |   42 +-
 drivers/pinctrl/berlin/berlin.c                    |   13 +-
 drivers/pinctrl/core.c                             |   21 +-
 drivers/pinctrl/freescale/Kconfig                  |    7 +
 drivers/pinctrl/freescale/Makefile                 |    1 +
 drivers/pinctrl/freescale/pinctrl-imx.c            |   59 +-
 drivers/pinctrl/freescale/pinctrl-imx1-core.c      |    4 +-
 drivers/pinctrl/freescale/pinctrl-imx7d.c          |  384 +
 drivers/pinctrl/freescale/pinctrl-mxs.c            |    4 +-
 drivers/pinctrl/intel/pinctrl-cherryview.c         |    4 +-
 drivers/pinctrl/intel/pinctrl-intel.c              |    4 +-
 drivers/pinctrl/intel/pinctrl-sunrisepoint.c       |  263 +
 drivers/pinctrl/mediatek/Kconfig                   |   13 +
 drivers/pinctrl/mediatek/Makefile                  |    2 +
 drivers/pinctrl/mediatek/pinctrl-mt6397.c          |   77 +
 drivers/pinctrl/mediatek/pinctrl-mt8127.c          |  358 +
 drivers/pinctrl/mediatek/pinctrl-mt8135.c          |   13 +-
 drivers/pinctrl/mediatek/pinctrl-mt8173.c          |  377 +-
 drivers/pinctrl/mediatek/pinctrl-mtk-common.c      |  164 +-
 drivers/pinctrl/mediatek/pinctrl-mtk-common.h      |   75 +-
 drivers/pinctrl/mediatek/pinctrl-mtk-mt6397.h      |  424 ++
 drivers/pinctrl/mediatek/pinctrl-mtk-mt8127.h      | 1318 ++++
 drivers/pinctrl/meson/pinctrl-meson.c              |    4 +-
 drivers/pinctrl/mvebu/pinctrl-armada-370.c         |   22 +-
 drivers/pinctrl/mvebu/pinctrl-armada-375.c         |   50 +-
 drivers/pinctrl/mvebu/pinctrl-armada-38x.c         |  120 +-
 drivers/pinctrl/mvebu/pinctrl-armada-39x.c         |  131 +-
 drivers/pinctrl/mvebu/pinctrl-armada-xp.c          |   98 +-
 drivers/pinctrl/mvebu/pinctrl-mvebu.c              |    4 +-
 drivers/pinctrl/nomadik/pinctrl-ab8505.c           |    2 +-
 drivers/pinctrl/nomadik/pinctrl-abx500.c           |    5 +-
 drivers/pinctrl/nomadik/pinctrl-nomadik.c          |   66 +-
 drivers/pinctrl/pinctrl-adi2.c                     |    9 +-
 drivers/pinctrl/pinctrl-amd.c                      |    5 +-
 drivers/pinctrl/pinctrl-as3722.c                   |    4 +-
 drivers/pinctrl/pinctrl-at91.c                     |   20 +-
 drivers/pinctrl/pinctrl-lantiq.c                   |    4 +-
 drivers/pinctrl/pinctrl-lpc18xx.c                  | 1220 +++
 drivers/pinctrl/pinctrl-palmas.c                   |    4 +-
 drivers/pinctrl/pinctrl-pistachio.c                | 1504 ++++
 drivers/pinctrl/pinctrl-rockchip.c                 |  131 +-
 drivers/pinctrl/pinctrl-single.c                   |    6 +-
 drivers/pinctrl/pinctrl-st.c                       |    8 +-
 drivers/pinctrl/pinctrl-tb10x.c                    |    4 +-
 drivers/pinctrl/pinctrl-tegra-xusb.c               |   27 +-
 drivers/pinctrl/pinctrl-tegra.c                    |    4 +-
 drivers/pinctrl/pinctrl-tz1090-pdc.c               |    4 +-
 drivers/pinctrl/pinctrl-tz1090.c                   |    4 +-
 drivers/pinctrl/pinctrl-u300.c                     |    4 +-
 drivers/pinctrl/pinctrl-zynq.c                     |   91 +-
 drivers/pinctrl/pinmux.c                           |   59 +-
 drivers/pinctrl/qcom/Kconfig                       |    8 +
 drivers/pinctrl/qcom/Makefile                      |    1 +
 drivers/pinctrl/qcom/pinctrl-msm.c                 |    4 +-
 drivers/pinctrl/qcom/pinctrl-msm8660.c             |  984 +++
 drivers/pinctrl/qcom/pinctrl-spmi-gpio.c           |    4 +-
 drivers/pinctrl/qcom/pinctrl-spmi-mpp.c            |    4 +-
 drivers/pinctrl/samsung/pinctrl-exynos.c           |    4 +-
 drivers/pinctrl/samsung/pinctrl-exynos5440.c       |    4 +-
 drivers/pinctrl/samsung/pinctrl-s3c24xx.c          |    3 +-
 drivers/pinctrl/samsung/pinctrl-s3c64xx.c          |    8 +-
 drivers/pinctrl/samsung/pinctrl-samsung.c          |    6 +-
 drivers/pinctrl/sh-pfc/Kconfig                     |   10 +
 drivers/pinctrl/sh-pfc/Makefile                    |    2 +
 drivers/pinctrl/sh-pfc/core.c                      |   15 +-
 drivers/pinctrl/sh-pfc/core.h                      |    2 +
 drivers/pinctrl/sh-pfc/pfc-r8a73a4.c               |    4 -
 drivers/pinctrl/sh-pfc/pfc-r8a7740.c               |    2 +-
 drivers/pinctrl/sh-pfc/pfc-r8a7790.c               |  101 +
 drivers/pinctrl/sh-pfc/pfc-r8a7791.c               |  186 +-
 drivers/pinctrl/sh-pfc/pfc-r8a7794.c               | 4207 +++++++++++
 drivers/pinctrl/sh-pfc/pinctrl.c                   |    4 +-
 drivers/pinctrl/sirf/Makefile                      |    1 +
 drivers/pinctrl/sirf/pinctrl-atlas7.c              | 4637 ++++++++++++
 drivers/pinctrl/sirf/pinctrl-sirf.c                |    4 +-
 drivers/pinctrl/spear/pinctrl-spear.c              |    4 +-
 drivers/pinctrl/sunxi/Kconfig                      |    4 +
 drivers/pinctrl/sunxi/Makefile                     |    1 +
 drivers/pinctrl/sunxi/pinctrl-sun6i-a31s.c         |    1 -
 drivers/pinctrl/sunxi/pinctrl-sun8i-a33.c          |  513 ++
 drivers/pinctrl/sunxi/pinctrl-sunxi.c              |   10 +-
 drivers/pinctrl/vt8500/pinctrl-wmt.c               |    4 +-
 drivers/platform/chrome/Kconfig                    |    9 +-
 drivers/platform/chrome/Makefile                   |    1 +
 drivers/platform/chrome/cros_ec_dev.c              |  189 +-
 drivers/platform/chrome/cros_ec_dev.h              |    7 -
 drivers/platform/chrome/cros_ec_lightbar.c         |  217 +-
 drivers/platform/chrome/cros_ec_lpc.c              |   85 +-
 drivers/platform/chrome/cros_ec_proto.c            |  382 +
 drivers/platform/chrome/cros_ec_sysfs.c            |  178 +-
 drivers/platform/goldfish/goldfish_pipe.c          |   20 +-
 drivers/platform/goldfish/pdev_bus.c               |   12 +-
 drivers/platform/x86/Kconfig                       |   50 +-
 drivers/platform/x86/Makefile                      |    2 +
 drivers/platform/x86/acer-wmi.c                    |   10 +-
 drivers/platform/x86/acerhdf.c                     |    3 +-
 drivers/platform/x86/apple-gmux.c                  |    4 +-
 drivers/platform/x86/asus-laptop.c                 |    6 +-
 drivers/platform/x86/asus-wmi.c                    |  359 +-
 drivers/platform/x86/compal-laptop.c               |    4 +-
 drivers/platform/x86/dell-laptop.c                 |  285 +-
 drivers/platform/x86/dell-rbtn.c                   |  423 ++
 drivers/platform/x86/dell-rbtn.h                   |   24 +
 drivers/platform/x86/dell-wmi.c                    |    3 +-
 drivers/platform/x86/eeepc-laptop.c                |    5 +-
 drivers/platform/x86/fujitsu-laptop.c              |    6 +-
 drivers/platform/x86/ideapad-laptop.c              |   13 +-
 drivers/platform/x86/intel_oaktrail.c              |    7 +-
 drivers/platform/x86/intel_pmc_ipc.c               |  767 ++
 drivers/platform/x86/msi-laptop.c                  |    6 +-
 drivers/platform/x86/msi-wmi.c                     |    4 +-
 drivers/platform/x86/pvpanic.c                     |   10 +-
 drivers/platform/x86/samsung-laptop.c              |   27 +-
 drivers/platform/x86/sony-laptop.c                 |    7 +-
 drivers/platform/x86/tc1100-wmi.c                  |    2 +-
 drivers/platform/x86/thinkpad_acpi.c               |    5 +-
 drivers/platform/x86/toshiba_acpi.c                |  253 +-
 drivers/platform/x86/toshiba_bluetooth.c           |  174 +-
 drivers/platform/x86/toshiba_haps.c                |   32 +-
 drivers/pnp/pnpacpi/rsparser.c                     |   10 +-
 drivers/power/88pm860x_charger.c                   |    1 -
 drivers/power/Kconfig                              |   28 +
 drivers/power/Makefile                             |    4 +
 drivers/power/axp288_charger.c                     |  941 +++
 drivers/power/axp288_fuel_gauge.c                  |    2 +-
 drivers/power/bq2415x_charger.c                    |   94 +-
 drivers/power/bq24190_charger.c                    |   11 +-
 drivers/power/bq24257_charger.c                    |  858 +++
 drivers/power/bq25890_charger.c                    |  994 +++
 drivers/power/charger-manager.c                    |    3 +-
 drivers/power/max17042_battery.c                   |  199 +-
 drivers/power/power_supply_core.c                  |  106 +-
 drivers/power/power_supply_leds.c                  |    4 +-
 drivers/power/power_supply_sysfs.c                 |    4 +-
 drivers/power/reset/at91-reset.c                   |    2 +-
 drivers/power/reset/gpio-poweroff.c                |   25 +-
 drivers/power/reset/gpio-restart.c                 |    2 +-
 drivers/power/reset/ltc2952-poweroff.c             |    8 +-
 drivers/power/reset/syscon-reboot.c                |    2 +-
 drivers/power/rt9455_charger.c                     | 1752 +++++
 drivers/power/sbs-battery.c                        |   21 +-
 drivers/power/test_power.c                         |   16 +-
 drivers/power/twl4030_charger.c                    |   21 +-
 drivers/power/wm831x_power.c                       |    1 +
 drivers/powercap/intel_rapl.c                      |   51 +-
 drivers/pwm/core.c                                 |   40 +-
 drivers/pwm/pwm-atmel.c                            |   63 +-
 drivers/pwm/pwm-bcm-kona.c                         |    9 +-
 drivers/pwm/pwm-lpss-pci.c                         |    2 +
 drivers/pwm/pwm-samsung.c                          |    1 +
 drivers/rapidio/rio-scan.c                         |    2 +-
 drivers/regulator/88pm8607.c                       |    2 +-
 drivers/regulator/Kconfig                          |   23 +-
 drivers/regulator/Makefile                         |    2 +
 drivers/regulator/arizona-ldo1.c                   |   20 +-
 drivers/regulator/axp20x-regulator.c               |  239 +-
 drivers/regulator/core.c                           |   76 +-
 drivers/regulator/da9062-regulator.c               |  842 +++
 drivers/regulator/da9063-regulator.c               |   21 +-
 drivers/regulator/fan53555.c                       |    1 +
 drivers/regulator/helpers.c                        |    2 +-
 drivers/regulator/lp8755.c                         |   23 +-
 drivers/regulator/max14577.c                       |  128 +-
 drivers/regulator/max77686.c                       |    8 +-
 drivers/regulator/max77693.c                       |   17 +-
 drivers/regulator/max77802.c                       |    1 +
 drivers/regulator/max77843.c                       |   68 +-
 drivers/regulator/max8973-regulator.c              |  269 +-
 drivers/regulator/of_regulator.c                   |   16 +-
 drivers/regulator/pwm-regulator.c                  |   41 +-
 drivers/regulator/qcom_spmi-regulator.c            | 1435 ++++
 drivers/regulator/s2mps11.c                        |   10 +-
 drivers/regulator/wm831x-dcdc.c                    |   12 +-
 drivers/regulator/wm831x-isink.c                   |    3 +-
 drivers/regulator/wm831x-ldo.c                     |    6 +-
 drivers/remoteproc/Kconfig                         |   13 +
 drivers/remoteproc/Makefile                        |    1 +
 drivers/remoteproc/da8xx_remoteproc.c              |    3 +-
 drivers/remoteproc/remoteproc_core.c               |  115 +-
 drivers/remoteproc/remoteproc_internal.h           |    2 +-
 drivers/remoteproc/ste_modem_rproc.c               |    4 +-
 drivers/remoteproc/wkup_m3_rproc.c                 |  257 +
 drivers/reset/reset-berlin.c                       |   74 +-
 drivers/rtc/Kconfig                                |  117 +-
 drivers/rtc/Makefile                               |   43 +-
 drivers/rtc/interface.c                            |   49 +-
 drivers/rtc/rtc-ab8500.c                           |    2 +-
 drivers/rtc/rtc-at32ap700x.c                       |    2 +-
 drivers/rtc/rtc-ds1216.c                           |    4 +-
 drivers/rtc/rtc-ds1286.c                           |    4 +-
 drivers/rtc/rtc-ds1307.c                           |   12 +-
 drivers/rtc/rtc-ds1672.c                           |    1 +
 drivers/rtc/rtc-efi.c                              |   43 +-
 drivers/rtc/rtc-ep93xx.c                           |    6 +-
 drivers/rtc/rtc-gemini.c                           |  175 +
 drivers/rtc/rtc-hid-sensor-time.c                  |    2 +-
 drivers/rtc/rtc-hym8563.c                          |   18 +-
 drivers/rtc/rtc-imxdi.c                            |  438 +-
 drivers/rtc/rtc-isl1208.c                          |    9 +-
 drivers/rtc/rtc-ls1x.c                             |    2 +-
 drivers/rtc/rtc-max6900.c                          |    1 +
 drivers/rtc/rtc-max77686.c                         |    1 +
 drivers/rtc/rtc-max77802.c                         |    1 +
 drivers/rtc/rtc-max8998.c                          |    1 +
 drivers/rtc/rtc-mc13xxx.c                          |    2 +-
 drivers/rtc/rtc-mt6397.c                           |  395 +
 drivers/rtc/rtc-mv.c                               |   13 +-
 drivers/rtc/rtc-mxc.c                              |    2 +-
 drivers/rtc/rtc-palmas.c                           |    2 +-
 drivers/rtc/rtc-pcf8563.c                          |   21 +-
 drivers/rtc/rtc-s3c.c                              |   14 +-
 drivers/rtc/rtc-snvs.c                             |   30 +-
 drivers/rtc/rtc-spear.c                            |    7 +-
 drivers/rtc/rtc-st-lpc.c                           |  354 +
 drivers/rtc/rtc-sunxi.c                            |   32 +-
 drivers/rtc/rtc-v3020.c                            |   41 +-
 drivers/rtc/systohc.c                              |    2 +-
 drivers/s390/block/dasd.c                          |   17 +
 drivers/s390/block/dasd_genhd.c                    |   19 +-
 drivers/s390/char/keyboard.c                       |   13 +-
 drivers/s390/char/sclp.c                           |    2 +-
 drivers/s390/char/sclp.h                           |   15 +-
 drivers/s390/char/sclp_cmd.c                       |   50 +-
 drivers/s390/char/sclp_early.c                     |  120 +-
 drivers/s390/char/sclp_sdias.c                     |    3 +-
 drivers/s390/char/zcore.c                          |   12 +-
 drivers/s390/crypto/ap_bus.c                       |  424 +-
 drivers/s390/crypto/ap_bus.h                       |   13 +-
 drivers/s390/crypto/zcrypt_cex4.c                  |    2 +-
 drivers/s390/crypto/zcrypt_pcicc.c                 |    8 +-
 drivers/s390/kvm/kvm_virtio.c                      |    4 +-
 drivers/s390/kvm/virtio_ccw.c                      |   11 +-
 drivers/s390/net/lcs.c                             |    2 +-
 drivers/s390/net/qeth_core.h                       |    2 +
 drivers/s390/net/qeth_core_main.c                  |    3 +-
 drivers/s390/net/qeth_core_mpc.c                   |    3 +-
 drivers/s390/net/qeth_core_mpc.h                   |    3 +-
 drivers/s390/net/qeth_l2_main.c                    |  124 +-
 drivers/s390/net/qeth_l2_sys.c                     |   74 +-
 drivers/s390/net/qeth_l3_main.c                    |    9 +-
 drivers/s390/scsi/zfcp_scsi.c                      |    1 -
 drivers/scsi/Kconfig                               |   22 +-
 drivers/scsi/Makefile                              |    2 +
 drivers/scsi/NCR53c406a.c                          |    1 -
 drivers/scsi/a100u2w.c                             |    1 -
 drivers/scsi/aacraid/src.c                         |    2 +-
 drivers/scsi/advansys.c                            | 1474 ++--
 drivers/scsi/aha152x.c                             |    1 -
 drivers/scsi/aha1542.c                             |    1 -
 drivers/scsi/aha1740.c                             |    1 -
 drivers/scsi/aha1740.h                             |    1 -
 drivers/scsi/aic94xx/aic94xx_init.c                |    1 -
 drivers/scsi/arm/arxescsi.c                        |    1 -
 drivers/scsi/arm/cumana_2.c                        |    1 -
 drivers/scsi/arm/eesox.c                           |    1 -
 drivers/scsi/atp870u.c                             |    1 -
 drivers/scsi/atp870u.h                             |    1 -
 drivers/scsi/be2iscsi/be_cmds.c                    |    4 +
 drivers/scsi/be2iscsi/be_cmds.h                    |   12 +
 drivers/scsi/be2iscsi/be_main.c                    |   76 +-
 drivers/scsi/be2iscsi/be_main.h                    |    6 +-
 drivers/scsi/be2iscsi/be_mgmt.c                    |   69 +
 drivers/scsi/be2iscsi/be_mgmt.h                    |    3 +
 drivers/scsi/bnx2i/bnx2i_iscsi.c                   |    5 +-
 drivers/scsi/csiostor/csio_hw.c                    |    1 +
 drivers/scsi/cxgbi/cxgb3i/cxgb3i.c                 |   20 +-
 drivers/scsi/cxgbi/cxgb3i/cxgb3i.h                 |    2 +-
 drivers/scsi/cxgbi/cxgb4i/cxgb4i.c                 |   52 +-
 drivers/scsi/cxgbi/cxgb4i/cxgb4i.h                 |    4 +-
 drivers/scsi/cxgbi/libcxgbi.c                      |   22 +-
 drivers/scsi/cxgbi/libcxgbi.h                      |   11 +-
 drivers/scsi/dpt_i2o.c                             |    1 -
 drivers/scsi/fdomain.c                             |    1 -
 drivers/scsi/fnic/fnic_debugfs.c                   |    1 +
 drivers/scsi/fnic/fnic_trace.c                     |    1 +
 drivers/scsi/hpsa.c                                | 2794 +++++--
 drivers/scsi/hpsa.h                                |   19 +-
 drivers/scsi/hpsa_cmd.h                            |   34 +-
 drivers/scsi/ibmvscsi/ibmvscsi.c                   |    6 +-
 drivers/scsi/imm.c                                 |    1 -
 drivers/scsi/initio.c                              |    1 -
 drivers/scsi/ipr.h                                 |    2 +-
 drivers/scsi/ips.c                                 |    9 +-
 drivers/scsi/isci/init.c                           |    1 -
 drivers/scsi/lpfc/lpfc.h                           |    2 +
 drivers/scsi/lpfc/lpfc_crtn.h                      |    2 +
 drivers/scsi/lpfc/lpfc_debugfs.c                   |   12 +-
 drivers/scsi/lpfc/lpfc_disc.h                      |    4 +-
 drivers/scsi/lpfc/lpfc_els.c                       |  733 +-
 drivers/scsi/lpfc/lpfc_hbadisc.c                   |  181 +-
 drivers/scsi/lpfc/lpfc_hw.h                        |  201 +
 drivers/scsi/lpfc/lpfc_hw4.h                       |  236 +-
 drivers/scsi/lpfc/lpfc_init.c                      |   26 +-
 drivers/scsi/lpfc/lpfc_mbox.c                      |  152 +
 drivers/scsi/lpfc/lpfc_nportdisc.c                 |   10 +-
 drivers/scsi/lpfc/lpfc_scsi.c                      |   65 +-
 drivers/scsi/lpfc/lpfc_scsi.h                      |    3 +
 drivers/scsi/lpfc/lpfc_sli.c                       |   82 +-
 drivers/scsi/lpfc/lpfc_sli4.h                      |   21 +
 drivers/scsi/lpfc/lpfc_version.h                   |    2 +-
 drivers/scsi/lpfc/lpfc_vport.c                     |    9 +-
 drivers/scsi/mac53c94.c                            |    1 -
 drivers/scsi/megaraid/megaraid_sas.h               |  342 +-
 drivers/scsi/megaraid/megaraid_sas_base.c          |  741 +-
 drivers/scsi/megaraid/megaraid_sas_fp.c            |   25 +-
 drivers/scsi/megaraid/megaraid_sas_fusion.c        |  556 +-
 drivers/scsi/megaraid/megaraid_sas_fusion.h        |  281 +-
 drivers/scsi/mvsas/mv_init.c                       |    1 -
 drivers/scsi/nsp32.c                               |    1 -
 drivers/scsi/pcmcia/nsp_cs.c                       |    1 -
 drivers/scsi/pcmcia/qlogic_stub.c                  |    1 -
 drivers/scsi/pcmcia/sym53c500_cs.c                 |    1 -
 drivers/scsi/pm8001/pm8001_init.c                  |    1 -
 drivers/scsi/ppa.c                                 |    1 -
 drivers/scsi/ps3rom.c                              |    1 -
 drivers/scsi/qla1280.c                             |    1 -
 drivers/scsi/qla2xxx/qla_init.c                    |    2 +-
 drivers/scsi/qla2xxx/qla_iocb.c                    |    8 +-
 drivers/scsi/qla2xxx/qla_isr.c                     |    4 +-
 drivers/scsi/qla2xxx/qla_nx.c                      |    2 +-
 drivers/scsi/qla2xxx/qla_nx2.c                     |   13 +-
 drivers/scsi/qla2xxx/qla_os.c                      |    7 +-
 drivers/scsi/qla2xxx/qla_target.c                  |   68 +-
 drivers/scsi/qla2xxx/qla_target.h                  |    1 -
 drivers/scsi/qla2xxx/tcm_qla2xxx.c                 |  228 +-
 drivers/scsi/qla2xxx/tcm_qla2xxx.h                 |    6 +-
 drivers/scsi/qla4xxx/ql4_83xx.c                    |    2 +-
 drivers/scsi/qla4xxx/ql4_bsg.c                     |    2 +-
 drivers/scsi/qla4xxx/ql4_def.h                     |    1 +
 drivers/scsi/qlogicfas.c                           |    1 -
 drivers/scsi/qlogicpti.c                           |    1 -
 drivers/scsi/scsi.c                                |   46 -
 drivers/scsi/scsi_common.c                         |  178 +
 drivers/scsi/scsi_debug.c                          |   12 +-
 drivers/scsi/scsi_error.c                          |   64 -
 drivers/scsi/scsi_scan.c                           |   65 +-
 drivers/scsi/scsi_transport_iscsi.c                |    2 +
 drivers/scsi/scsi_transport_srp.c                  |   67 +-
 drivers/scsi/sd.c                                  |    3 +-
 drivers/scsi/snic/Makefile                         |   17 +
 drivers/scsi/snic/cq_desc.h                        |   77 +
 drivers/scsi/snic/cq_enet_desc.h                   |   38 +
 drivers/scsi/snic/snic.h                           |  414 +
 drivers/scsi/snic/snic_attrs.c                     |   77 +
 drivers/scsi/snic/snic_ctl.c                       |  279 +
 drivers/scsi/snic/snic_debugfs.c                   |  560 ++
 drivers/scsi/snic/snic_disc.c                      |  551 ++
 drivers/scsi/snic/snic_disc.h                      |  124 +
 drivers/scsi/snic/snic_fwint.h                     |  525 ++
 drivers/scsi/snic/snic_io.c                        |  518 ++
 drivers/scsi/snic/snic_io.h                        |  118 +
 drivers/scsi/snic/snic_isr.c                       |  204 +
 drivers/scsi/snic/snic_main.c                      | 1044 +++
 drivers/scsi/snic/snic_res.c                       |  295 +
 drivers/scsi/snic/snic_res.h                       |   97 +
 drivers/scsi/snic/snic_scsi.c                      | 2632 +++++++
 drivers/scsi/snic/snic_stats.h                     |  123 +
 drivers/scsi/snic/snic_trc.c                       |  181 +
 drivers/scsi/snic/snic_trc.h                       |  121 +
 drivers/scsi/snic/vnic_cq.c                        |   86 +
 drivers/scsi/snic/vnic_cq.h                        |  110 +
 drivers/scsi/snic/vnic_cq_fw.h                     |   62 +
 drivers/scsi/snic/vnic_dev.c                       |  748 ++
 drivers/scsi/snic/vnic_dev.h                       |  110 +
 drivers/scsi/snic/vnic_devcmd.h                    |  270 +
 drivers/scsi/snic/vnic_intr.c                      |   59 +
 drivers/scsi/snic/vnic_intr.h                      |  105 +
 drivers/scsi/snic/vnic_resource.h                  |   68 +
 drivers/scsi/snic/vnic_snic.h                      |   54 +
 drivers/scsi/snic/vnic_stats.h                     |   68 +
 drivers/scsi/snic/vnic_wq.c                        |  237 +
 drivers/scsi/snic/vnic_wq.h                        |  170 +
 drivers/scsi/snic/wq_enet_desc.h                   |   96 +
 drivers/scsi/st.c                                  |  272 +-
 drivers/scsi/st.h                                  |   22 +
 drivers/scsi/sym53c416.c                           |    1 -
 drivers/scsi/ufs/Kconfig                           |    2 +-
 drivers/scsi/ufs/ufs-qcom.c                        |   39 +-
 drivers/scsi/ufs/ufshcd.c                          |  108 +-
 drivers/scsi/ufs/ufshcd.h                          |   53 +-
 drivers/scsi/ufs/ufshci.h                          |    8 +-
 drivers/scsi/ufs/unipro.h                          |    8 +
 drivers/scsi/virtio_scsi.c                         |   11 +-
 drivers/scsi/wd719x.c                              |    1 -
 drivers/scsi/wd719x.h                              |    2 -
 drivers/sh/intc/core.c                             |    5 +-
 drivers/sh/intc/virq.c                             |    3 +-
 drivers/sh/pm_runtime.c                            |   47 +-
 drivers/soc/Kconfig                                |    1 +
 drivers/soc/Makefile                               |    1 +
 drivers/soc/mediatek/Kconfig                       |    1 +
 drivers/soc/mediatek/mtk-pmic-wrap.c               |   54 +-
 drivers/soc/qcom/Kconfig                           |    7 +
 drivers/soc/qcom/Makefile                          |    1 +
 drivers/soc/qcom/spm.c                             |  385 +
 drivers/soc/sunxi/Kconfig                          |   10 +
 drivers/soc/sunxi/Makefile                         |    1 +
 drivers/soc/sunxi/sunxi_sram.c                     |  284 +
 drivers/soc/tegra/fuse/fuse-tegra20.c              |    6 +-
 drivers/soc/tegra/fuse/tegra-apbmisc.c             |   21 +
 drivers/soc/tegra/pmc.c                            |   25 +-
 drivers/soc/versatile/soc-realview.c               |    2 +-
 drivers/spi/Kconfig                                |   26 +-
 drivers/spi/Makefile                               |    3 +-
 drivers/spi/spi-ath79.c                            |   34 +-
 drivers/spi/spi-atmel.c                            |  292 +-
 drivers/spi/spi-bcm2835.c                          |  392 +-
 drivers/spi/spi-davinci.c                          |    2 +-
 drivers/spi/spi-fsl-dspi.c                         |  307 +-
 drivers/spi/spi-fsl-espi.c                         |    6 +-
 drivers/spi/spi-imx.c                              |    2 +-
 drivers/spi/spi-omap2-mcspi.c                      |  280 +-
 drivers/spi/spi-orion.c                            |   70 +-
 drivers/spi/spi-pxa2xx-pci.c                       |    8 +-
 drivers/spi/spi-pxa2xx-pxadma.c                    |  487 --
 drivers/spi/spi-pxa2xx.c                           |  159 +-
 drivers/spi/spi-pxa2xx.h                           |    6 +-
 drivers/spi/spi-rb4xx.c                            |  210 +
 drivers/spi/spi-rspi.c                             |   23 +-
 drivers/spi/spi-s3c64xx.c                          |    2 +-
 drivers/spi/spi-sh-msiof.c                         |    2 +-
 drivers/spi/spi-sirf.c                             |  877 ++-
 drivers/spi/spi-zynqmp-gqspi.c                     | 1122 +++
 drivers/spi/spi.c                                  |   45 +-
 drivers/spi/spidev.c                               |   33 +-
 drivers/spmi/Kconfig                               |    1 +
 drivers/ssb/driver_chipcommon_pmu.c                |    6 +-
 drivers/staging/Kconfig                            |    4 +-
 drivers/staging/Makefile                           |    4 +-
 drivers/staging/android/Kconfig                    |   11 +-
 drivers/staging/android/ion/ion.c                  |    1 +
 drivers/staging/android/ion/ion_chunk_heap.c       |    2 +-
 drivers/staging/android/ion/ion_priv.h             |    6 +-
 drivers/staging/android/ion/ion_test.c             |   20 +-
 drivers/staging/android/ion/tegra/tegra_ion.c      |    1 +
 drivers/staging/android/lowmemorykiller.c          |   19 +-
 drivers/staging/android/uapi/ion.h                 |    2 +-
 drivers/staging/board/Kconfig                      |    1 -
 drivers/staging/board/Makefile                     |    3 +-
 drivers/staging/board/armadillo800eva.c            |  105 +
 drivers/staging/board/board.c                      |  136 +
 drivers/staging/board/board.h                      |   27 +-
 drivers/staging/board/kzm9d.c                      |   10 +-
 drivers/staging/comedi/Kconfig                     |   18 +-
 drivers/staging/comedi/comedi.h                    |    2 +-
 drivers/staging/comedi/comedi_fops.c               |   48 +-
 drivers/staging/comedi/comedi_internal.h           |    1 +
 drivers/staging/comedi/comedidev.h                 |   30 +-
 drivers/staging/comedi/drivers.c                   |    2 +-
 drivers/staging/comedi/drivers/8255.c              |  232 +-
 drivers/staging/comedi/drivers/8255.h              |   19 +-
 drivers/staging/comedi/drivers/Makefile            |    3 +-
 drivers/staging/comedi/drivers/addi_apci_1516.c    |   24 +-
 drivers/staging/comedi/drivers/addi_apci_3120.c    |   14 +-
 drivers/staging/comedi/drivers/addi_watchdog.h     |    2 +-
 drivers/staging/comedi/drivers/adv_pci1724.c       |    6 +-
 drivers/staging/comedi/drivers/adv_pci_dio.c       |   48 +-
 drivers/staging/comedi/drivers/amplc_dio200.c      |   37 +-
 drivers/staging/comedi/drivers/amplc_dio200.h      |   44 +-
 .../staging/comedi/drivers/amplc_dio200_common.c   |   61 +-
 drivers/staging/comedi/drivers/amplc_dio200_pci.c  |   35 +-
 .../staging/comedi/drivers/amplc_pc236_common.c    |   12 +-
 drivers/staging/comedi/drivers/amplc_pci224.c      |   42 +-
 drivers/staging/comedi/drivers/amplc_pci230.c      |   52 +-
 drivers/staging/comedi/drivers/cb_pcidas.c         |   64 +-
 drivers/staging/comedi/drivers/cb_pcidas64.c       |  372 +-
 drivers/staging/comedi/drivers/cb_pcidda.c         |   16 +-
 drivers/staging/comedi/drivers/cb_pcimdas.c        |    8 +-
 drivers/staging/comedi/drivers/cb_pcimdda.c        |    6 +-
 drivers/staging/comedi/drivers/comedi_8254.h       |    6 +
 drivers/staging/comedi/drivers/comedi_8255.c       |  285 +
 drivers/staging/comedi/drivers/comedi_bond.c       |    3 +-
 drivers/staging/comedi/drivers/comedi_isadma.h     |    4 +
 drivers/staging/comedi/drivers/daqboard2000.c      |  196 +-
 drivers/staging/comedi/drivers/das08.c             |  378 +-
 drivers/staging/comedi/drivers/das08.h             |   48 +-
 drivers/staging/comedi/drivers/das08_isa.c         |    4 +-
 drivers/staging/comedi/drivers/das16m1.c           |    4 +-
 drivers/staging/comedi/drivers/das1800.c           |   86 +-
 drivers/staging/comedi/drivers/das800.c            |   75 +-
 drivers/staging/comedi/drivers/dt3000.c            |   22 +-
 drivers/staging/comedi/drivers/gsc_hpdi.c          |  191 +-
 drivers/staging/comedi/drivers/me4000.c            |   30 +-
 drivers/staging/comedi/drivers/me_daq.c            |    3 +-
 drivers/staging/comedi/drivers/mite.c              |  110 +-
 drivers/staging/comedi/drivers/mite.h              |   88 +-
 drivers/staging/comedi/drivers/ni_670x.c           |   12 +-
 drivers/staging/comedi/drivers/ni_at_a2150.c       |   40 +-
 drivers/staging/comedi/drivers/ni_atmio.c          |   27 +-
 drivers/staging/comedi/drivers/ni_mio_common.c     | 2408 +++---
 drivers/staging/comedi/drivers/ni_pcimio.c         |   17 +-
 drivers/staging/comedi/drivers/ni_stc.h            | 2237 +++---
 drivers/staging/comedi/drivers/pcl812.c            |   10 +-
 drivers/staging/comedi/drivers/pcl816.c            |    2 +-
 drivers/staging/comedi/drivers/serial2002.c        |    2 +-
 drivers/staging/comedi/drivers/vmk80xx.c           |   33 +-
 drivers/staging/dgap/dgap.c                        |  133 +-
 drivers/staging/dgap/dgap.h                        |    2 +-
 drivers/staging/dgnc/TODO                          |    6 +-
 drivers/staging/dgnc/dgnc_cls.c                    |    4 +-
 drivers/staging/dgnc/dgnc_driver.c                 |    9 -
 drivers/staging/dgnc/dgnc_driver.h                 |    6 +-
 drivers/staging/dgnc/dgnc_neo.c                    |    4 +-
 drivers/staging/dgnc/dgnc_sysfs.c                  |  110 +-
 drivers/staging/dgnc/dgnc_tty.c                    |  110 +-
 drivers/staging/dgnc/digi.h                        |   14 +-
 drivers/staging/emxx_udc/emxx_udc.c                |   65 +-
 drivers/staging/emxx_udc/emxx_udc.h                |   10 -
 drivers/staging/fbtft/Kconfig                      |    8 +-
 drivers/staging/fbtft/Makefile                     |    1 +
 drivers/staging/fbtft/fb_agm1264k-fl.c             |    6 +-
 drivers/staging/fbtft/fb_hx8357d.c                 |  222 +
 drivers/staging/fbtft/fb_hx8357d.h                 |  102 +
 drivers/staging/fbtft/fb_ili9320.c                 |  118 +-
 drivers/staging/fbtft/fb_ra8875.c                  |   13 +-
 drivers/staging/fbtft/fb_st7735r.c                 |    8 +-
 drivers/staging/fbtft/fb_tinylcd.c                 |    2 +-
 drivers/staging/fbtft/fb_tls8204.c                 |   12 +-
 drivers/staging/fbtft/fbtft-bus.c                  |    8 +-
 drivers/staging/fbtft/fbtft-core.c                 |   34 +-
 drivers/staging/fbtft/fbtft.h                      |    5 -
 drivers/staging/fbtft/fbtft_device.c               |   54 +-
 drivers/staging/fbtft/flexfb.c                     |  161 +-
 drivers/staging/fbtft/internal.h                   |    2 +-
 drivers/staging/fsl-mc/bus/mc-bus.c                |    1 -
 drivers/staging/ft1000/ft1000-usb/ft1000_debug.c   |    7 +-
 drivers/staging/ft1000/ft1000-usb/ft1000_hw.c      |   58 +-
 drivers/staging/ft1000/ft1000-usb/ft1000_usb.c     |    2 -
 drivers/staging/fwserial/dma_fifo.c                |    2 +-
 drivers/staging/fwserial/fwserial.c                |    5 +-
 drivers/staging/fwserial/fwserial.h                |    2 +-
 drivers/staging/gdm724x/gdm_endian.c               |   46 +-
 drivers/staging/gdm724x/gdm_endian.h               |   11 -
 drivers/staging/gdm72xx/gdm_wimax.c                |    2 +-
 drivers/staging/gdm72xx/netlink_k.c                |    2 +-
 drivers/staging/goldfish/goldfish_audio.c          |    2 +-
 drivers/staging/goldfish/goldfish_nand.c           |    2 +-
 drivers/staging/i2o/Kconfig                        |  120 -
 drivers/staging/i2o/Makefile                       |   16 -
 drivers/staging/i2o/README                         |   98 -
 drivers/staging/i2o/README.ioctl                   |  394 -
 drivers/staging/i2o/bus-osm.c                      |  177 -
 drivers/staging/i2o/config-osm.c                   |   90 -
 drivers/staging/i2o/core.h                         |   69 -
 drivers/staging/i2o/debug.c                        |  473 --
 drivers/staging/i2o/device.c                       |  592 --
 drivers/staging/i2o/driver.c                       |  381 -
 drivers/staging/i2o/exec-osm.c                     |  612 --
 drivers/staging/i2o/i2o.h                          |  988 ---
 drivers/staging/i2o/i2o_block.c                    | 1228 ---
 drivers/staging/i2o/i2o_block.h                    |  103 -
 drivers/staging/i2o/i2o_config.c                   | 1162 ---
 drivers/staging/i2o/i2o_proc.c                     | 2049 -----
 drivers/staging/i2o/i2o_scsi.c                     |  814 --
 drivers/staging/i2o/iop.c                          | 1255 ---
 drivers/staging/i2o/memory.c                       |  312 -
 drivers/staging/i2o/pci.c                          |  500 --
 drivers/staging/iio/Documentation/device.txt       |    2 +-
 drivers/staging/iio/accel/Kconfig                  |    2 +-
 drivers/staging/iio/accel/sca3000_ring.c           |    2 +
 drivers/staging/iio/adc/Kconfig                    |    6 +-
 drivers/staging/iio/adc/ad7606_par.c               |    2 +-
 drivers/staging/iio/addac/Kconfig                  |    2 +-
 drivers/staging/iio/iio_dummy_evgen.c              |    4 +-
 drivers/staging/iio/iio_dummy_evgen.h              |    2 +-
 drivers/staging/iio/iio_simple_dummy.c             |   21 +-
 drivers/staging/iio/iio_simple_dummy.h             |   10 +-
 drivers/staging/iio/iio_simple_dummy_events.c      |    6 +-
 drivers/staging/iio/light/isl29018.c               |  297 +-
 drivers/staging/iio/resolver/Kconfig               |    4 +-
 drivers/staging/lustre/TODO                        |    2 +-
 .../staging/lustre/include/linux/libcfs/libcfs.h   |   44 +-
 .../lustre/include/linux/libcfs/libcfs_ioctl.h     |    2 +-
 .../lustre/include/linux/libcfs/libcfs_private.h   |   68 -
 .../lustre/include/linux/libcfs/linux/libcfs.h     |    1 -
 .../lustre/include/linux/lnet/api-support.h        |   44 -
 drivers/staging/lustre/include/linux/lnet/api.h    |   49 +-
 .../staging/lustre/include/linux/lnet/lib-lnet.h   |  285 +-
 .../staging/lustre/include/linux/lnet/lib-types.h  |  667 +-
 .../lustre/include/linux/lnet/linux/api-support.h  |   42 -
 .../lustre/include/linux/lnet/linux/lib-lnet.h     |   71 -
 .../lustre/include/linux/lnet/linux/lib-types.h    |   45 -
 .../staging/lustre/include/linux/lnet/linux/lnet.h |   56 -
 .../lustre/include/linux/lnet/lnet-sysctl.h        |   49 -
 drivers/staging/lustre/include/linux/lnet/lnet.h   |   17 +-
 .../staging/lustre/include/linux/lnet/lnetctl.h    |    7 +-
 drivers/staging/lustre/include/linux/lnet/lnetst.h |  490 +-
 drivers/staging/lustre/include/linux/lnet/nidstr.h |   77 +
 drivers/staging/lustre/include/linux/lnet/ptllnd.h |   93 -
 .../lustre/include/linux/lnet/ptllnd_wire.h        |  119 -
 .../staging/lustre/include/linux/lnet/socklnd.h    |   68 +-
 drivers/staging/lustre/include/linux/lnet/types.h  |  290 +-
 .../staging/lustre/lnet/klnds/o2iblnd/o2iblnd.c    |  474 +-
 .../staging/lustre/lnet/klnds/o2iblnd/o2iblnd.h    |  681 +-
 .../staging/lustre/lnet/klnds/o2iblnd/o2iblnd_cb.c |  484 +-
 .../lustre/lnet/klnds/o2iblnd/o2iblnd_modparams.c  |   48 +-
 drivers/staging/lustre/lnet/klnds/socklnd/Makefile |    2 +-
 .../staging/lustre/lnet/klnds/socklnd/socklnd.c    |  485 +-
 .../staging/lustre/lnet/klnds/socklnd/socklnd.h    |  602 +-
 .../staging/lustre/lnet/klnds/socklnd/socklnd_cb.c |  402 +-
 .../lustre/lnet/klnds/socklnd/socklnd_lib-linux.c  |  714 --
 .../lustre/lnet/klnds/socklnd/socklnd_lib-linux.h  |   86 -
 .../lustre/lnet/klnds/socklnd/socklnd_lib.c        |  710 ++
 .../lustre/lnet/klnds/socklnd/socklnd_modparams.c  |   33 +-
 .../lustre/lnet/klnds/socklnd/socklnd_proto.c      |  171 +-
 drivers/staging/lustre/lnet/lnet/Makefile          |    7 +-
 drivers/staging/lustre/lnet/lnet/acceptor.c        |   91 +-
 drivers/staging/lustre/lnet/lnet/api-ni.c          |  325 +-
 drivers/staging/lustre/lnet/lnet/config.c          |  310 +-
 drivers/staging/lustre/lnet/lnet/lib-eq.c          |   46 +-
 drivers/staging/lustre/lnet/lnet/lib-md.c          |   32 +-
 drivers/staging/lustre/lnet/lnet/lib-me.c          |   26 +-
 drivers/staging/lustre/lnet/lnet/lib-move.c        |  264 +-
 drivers/staging/lustre/lnet/lnet/lib-msg.c         |   52 +-
 drivers/staging/lustre/lnet/lnet/lib-ptl.c         |  116 +-
 drivers/staging/lustre/lnet/lnet/lib-socket.c      |  594 ++
 drivers/staging/lustre/lnet/lnet/lo.c              |    2 +-
 drivers/staging/lustre/lnet/lnet/module.c          |   28 +-
 drivers/staging/lustre/lnet/lnet/peer.c            |   50 +-
 drivers/staging/lustre/lnet/lnet/router.c          |  355 +-
 drivers/staging/lustre/lnet/lnet/router_proc.c     |  210 +-
 drivers/staging/lustre/lnet/selftest/brw_test.c    |   60 +-
 drivers/staging/lustre/lnet/selftest/conctl.c      |   54 +-
 drivers/staging/lustre/lnet/selftest/conrpc.c      |  124 +-
 drivers/staging/lustre/lnet/selftest/conrpc.h      |   34 +-
 drivers/staging/lustre/lnet/selftest/console.c     |  246 +-
 drivers/staging/lustre/lnet/selftest/console.h     |  186 +-
 drivers/staging/lustre/lnet/selftest/framework.c   |  160 +-
 drivers/staging/lustre/lnet/selftest/module.c      |   10 +-
 drivers/staging/lustre/lnet/selftest/ping_test.c   |   24 +-
 drivers/staging/lustre/lnet/selftest/rpc.c         |  152 +-
 drivers/staging/lustre/lnet/selftest/rpc.h         |  141 +-
 drivers/staging/lustre/lnet/selftest/selftest.h    |  311 +-
 drivers/staging/lustre/lnet/selftest/timer.c       |   20 +-
 drivers/staging/lustre/lnet/selftest/timer.h       |   16 +-
 drivers/staging/lustre/lustre/fid/Makefile         |    3 +-
 drivers/staging/lustre/lustre/fid/fid_internal.h   |    6 +-
 drivers/staging/lustre/lustre/fid/fid_request.c    |   78 +-
 drivers/staging/lustre/lustre/fid/lproc_fid.c      |   55 +-
 drivers/staging/lustre/lustre/fld/Makefile         |    3 +-
 drivers/staging/lustre/lustre/fld/fld_cache.c      |   16 +-
 drivers/staging/lustre/lustre/fld/fld_internal.h   |    5 +-
 drivers/staging/lustre/lustre/fld/fld_request.c    |   74 +-
 drivers/staging/lustre/lustre/fld/lproc_fld.c      |   43 +-
 drivers/staging/lustre/lustre/include/dt_object.h  |    3 -
 .../lustre/lustre/include/linux/lustre_compat25.h  |   15 -
 .../lustre/lustre/include/linux/lustre_lite.h      |    1 -
 .../lustre/include/linux/lustre_patchless_compat.h |    4 +-
 drivers/staging/lustre/lustre/include/linux/obd.h  |   10 +-
 .../staging/lustre/lustre/include/lprocfs_status.h |  316 +-
 drivers/staging/lustre/lustre/include/lu_object.h  |    2 -
 .../lustre/lustre/include/lustre/lustre_idl.h      |    1 +
 .../lustre/lustre/include/lustre/lustre_user.h     |    1 -
 drivers/staging/lustre/lustre/include/lustre_dlm.h |   69 +-
 .../lustre/lustre/include/lustre_dlm_flags.h       |   17 +-
 .../staging/lustre/lustre/include/lustre_export.h  |   29 -
 drivers/staging/lustre/lustre/include/lustre_fid.h |    5 +-
 drivers/staging/lustre/lustre/include/lustre_fld.h |   16 +-
 drivers/staging/lustre/lustre/include/lustre_lib.h |   15 +-
 drivers/staging/lustre/lustre/include/lustre_net.h |   21 +-
 .../staging/lustre/lustre/include/lustre_quota.h   |  241 -
 drivers/staging/lustre/lustre/include/lustre_sec.h |    8 -
 drivers/staging/lustre/lustre/include/obd.h        |   22 +-
 drivers/staging/lustre/lustre/include/obd_class.h  |   36 +-
 .../staging/lustre/lustre/include/obd_support.h    |   66 +-
 drivers/staging/lustre/lustre/lclient/lcommon_cl.c |   45 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_internal.h |   76 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_lib.c      |   10 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_lock.c     |   22 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_lockd.c    |   90 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_pool.c     |  178 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_request.c  |    4 +-
 drivers/staging/lustre/lustre/ldlm/ldlm_resource.c |  358 +-
 drivers/staging/lustre/lustre/libcfs/Makefile      |    2 +-
 drivers/staging/lustre/lustre/libcfs/fail.c        |    2 +-
 drivers/staging/lustre/lustre/libcfs/hash.c        |   32 +-
 .../staging/lustre/lustre/libcfs/linux/linux-cpu.c |    2 +-
 .../staging/lustre/lustre/libcfs/linux/linux-mem.c |   59 +
 .../lustre/lustre/libcfs/linux/linux-tcpip.c       |  623 --
 .../lustre/lustre/libcfs/linux/linux-tracefile.c   |   13 +-
 drivers/staging/lustre/lustre/libcfs/module.c      |   12 +-
 drivers/staging/lustre/lustre/libcfs/tracefile.c   |    2 +-
 drivers/staging/lustre/lustre/llite/Makefile       |    3 +-
 drivers/staging/lustre/lustre/llite/dcache.c       |    6 +-
 drivers/staging/lustre/lustre/llite/dir.c          |  128 +-
 drivers/staging/lustre/lustre/llite/file.c         |   95 +-
 drivers/staging/lustre/lustre/llite/llite_capa.c   |    1 +
 drivers/staging/lustre/lustre/llite/llite_close.c  |    6 +-
 .../staging/lustre/lustre/llite/llite_internal.h   |   45 +-
 drivers/staging/lustre/lustre/llite/llite_lib.c    |   74 +-
 drivers/staging/lustre/lustre/llite/llite_nfs.c    |    2 +-
 drivers/staging/lustre/lustre/llite/llite_rmtacl.c |    4 +-
 drivers/staging/lustre/lustre/llite/lloop.c        |    8 +-
 drivers/staging/lustre/lustre/llite/lproc_llite.c  |  713 +-
 drivers/staging/lustre/lustre/llite/namei.c        |    2 +-
 drivers/staging/lustre/lustre/llite/rw26.c         |   24 +-
 drivers/staging/lustre/lustre/llite/statahead.c    |   21 +-
 drivers/staging/lustre/lustre/llite/super25.c      |   27 +-
 drivers/staging/lustre/lustre/llite/symlink.c      |   26 +-
 drivers/staging/lustre/lustre/llite/vvp_dev.c      |   23 +-
 drivers/staging/lustre/lustre/llite/xattr.c        |   10 +-
 drivers/staging/lustre/lustre/llite/xattr_cache.c  |    6 +-
 drivers/staging/lustre/lustre/lmv/Makefile         |    3 +-
 drivers/staging/lustre/lustre/lmv/lmv_intent.c     |    4 +-
 drivers/staging/lustre/lustre/lmv/lmv_internal.h   |    8 +-
 drivers/staging/lustre/lustre/lmv/lmv_obd.c        |  124 +-
 drivers/staging/lustre/lustre/lmv/lproc_lmv.c      |  107 +-
 drivers/staging/lustre/lustre/lov/Makefile         |    3 +-
 drivers/staging/lustre/lustre/lov/lov_dev.c        |   19 +-
 drivers/staging/lustre/lustre/lov/lov_ea.c         |    7 +-
 drivers/staging/lustre/lustre/lov/lov_internal.h   |   44 -
 drivers/staging/lustre/lustre/lov/lov_io.c         |   23 +-
 drivers/staging/lustre/lustre/lov/lov_lock.c       |    5 +-
 drivers/staging/lustre/lustre/lov/lov_obd.c        |   95 +-
 drivers/staging/lustre/lustre/lov/lov_object.c     |    5 +-
 drivers/staging/lustre/lustre/lov/lov_pack.c       |   11 +-
 drivers/staging/lustre/lustre/lov/lov_pool.c       |   53 +-
 drivers/staging/lustre/lustre/lov/lov_request.c    |   48 +-
 drivers/staging/lustre/lustre/lov/lovsub_dev.c     |    4 +-
 drivers/staging/lustre/lustre/lov/lproc_lov.c      |   58 +-
 drivers/staging/lustre/lustre/mdc/Makefile         |    3 +-
 drivers/staging/lustre/lustre/mdc/lproc_mdc.c      |   92 +-
 drivers/staging/lustre/lustre/mdc/mdc_internal.h   |    7 -
 drivers/staging/lustre/lustre/mdc/mdc_locks.c      |    6 +-
 drivers/staging/lustre/lustre/mdc/mdc_request.c    |   51 +-
 drivers/staging/lustre/lustre/mgc/Makefile         |    3 +-
 drivers/staging/lustre/lustre/mgc/lproc_mgc.c      |    9 -
 drivers/staging/lustre/lustre/mgc/mgc_internal.h   |   11 -
 drivers/staging/lustre/lustre/mgc/mgc_request.c    |   28 +-
 drivers/staging/lustre/lustre/obdclass/Makefile    |    4 +-
 drivers/staging/lustre/lustre/obdclass/acl.c       |   30 +-
 drivers/staging/lustre/lustre/obdclass/capa.c      |    4 +-
 drivers/staging/lustre/lustre/obdclass/cl_io.c     |   13 +-
 drivers/staging/lustre/lustre/obdclass/cl_object.c |    6 +-
 drivers/staging/lustre/lustre/obdclass/cl_page.c   |   20 +-
 drivers/staging/lustre/lustre/obdclass/class_obd.c |   41 +-
 drivers/staging/lustre/lustre/obdclass/dt_object.c |   15 +-
 drivers/staging/lustre/lustre/obdclass/genops.c    |   75 +-
 .../lustre/lustre/obdclass/linux/linux-module.c    |  157 +-
 .../lustre/lustre/obdclass/linux/linux-sysctl.c    |   25 -
 drivers/staging/lustre/lustre/obdclass/llog.c      |   25 +-
 drivers/staging/lustre/lustre/obdclass/llog_cat.c  |    6 +-
 drivers/staging/lustre/lustre/obdclass/llog_obd.c  |    4 +-
 .../lustre/lustre/obdclass/lprocfs_status.c        |  625 +-
 drivers/staging/lustre/lustre/obdclass/lu_object.c |   18 +-
 .../lustre/lustre/obdclass/lustre_handles.c        |    7 +-
 .../staging/lustre/lustre/obdclass/lustre_peer.c   |    6 +-
 .../staging/lustre/lustre/obdclass/obd_config.c    |  147 +-
 drivers/staging/lustre/lustre/obdclass/obd_mount.c |  109 +-
 drivers/staging/lustre/lustre/obdecho/Makefile     |    2 +-
 .../staging/lustre/lustre/obdecho/echo_client.c    |   53 +-
 drivers/staging/lustre/lustre/obdecho/lproc_echo.c |   57 -
 drivers/staging/lustre/lustre/osc/Makefile         |    3 +-
 drivers/staging/lustre/lustre/osc/lproc_osc.c      |  408 +-
 drivers/staging/lustre/lustre/osc/osc_cache.c      |  196 +-
 drivers/staging/lustre/lustre/osc/osc_dev.c        |   16 +-
 drivers/staging/lustre/lustre/osc/osc_internal.h   |    8 -
 drivers/staging/lustre/lustre/osc/osc_io.c         |  124 +-
 drivers/staging/lustre/lustre/osc/osc_lock.c       |  151 +-
 drivers/staging/lustre/lustre/osc/osc_object.c     |   18 +-
 drivers/staging/lustre/lustre/osc/osc_page.c       |   38 +-
 drivers/staging/lustre/lustre/osc/osc_quota.c      |   14 +-
 drivers/staging/lustre/lustre/osc/osc_request.c    |  221 +-
 drivers/staging/lustre/lustre/ptlrpc/Makefile      |    3 +-
 drivers/staging/lustre/lustre/ptlrpc/client.c      |  114 +-
 drivers/staging/lustre/lustre/ptlrpc/connection.c  |    6 +-
 drivers/staging/lustre/lustre/ptlrpc/events.c      |   60 +-
 drivers/staging/lustre/lustre/ptlrpc/import.c      |    8 +-
 drivers/staging/lustre/lustre/ptlrpc/layout.c      |   70 +-
 drivers/staging/lustre/lustre/ptlrpc/llog_client.c |   82 +-
 .../staging/lustre/lustre/ptlrpc/lproc_ptlrpc.c    |  371 +-
 drivers/staging/lustre/lustre/ptlrpc/niobuf.c      |   62 +-
 drivers/staging/lustre/lustre/ptlrpc/nrs.c         |  178 +-
 drivers/staging/lustre/lustre/ptlrpc/nrs_fifo.c    |   10 +-
 .../staging/lustre/lustre/ptlrpc/pack_generic.c    |   18 +-
 drivers/staging/lustre/lustre/ptlrpc/pinger.c      |   30 +-
 .../staging/lustre/lustre/ptlrpc/ptlrpc_internal.h |   21 +-
 drivers/staging/lustre/lustre/ptlrpc/ptlrpcd.c     |   20 +-
 drivers/staging/lustre/lustre/ptlrpc/sec.c         |  108 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_bulk.c    |   76 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_config.c  |   76 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_gc.c      |    2 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_lproc.c   |   39 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_null.c    |   24 +-
 drivers/staging/lustre/lustre/ptlrpc/sec_plain.c   |  107 +-
 drivers/staging/lustre/lustre/ptlrpc/service.c     |  364 +-
 drivers/staging/lustre/sysfs-fs-lustre             |  543 ++
 drivers/staging/media/Kconfig                      |    2 -
 drivers/staging/media/Makefile                     |    1 -
 drivers/staging/media/bcm2048/radio-bcm2048.c      |    7 +-
 drivers/staging/media/davinci_vpfe/dm365_resizer.c |    1 +
 .../staging/media/davinci_vpfe/vpfe_mc_capture.h   |    2 -
 drivers/staging/media/davinci_vpfe/vpfe_video.c    |   18 +-
 drivers/staging/media/dt3155v4l/Kconfig            |   29 -
 drivers/staging/media/dt3155v4l/Makefile           |    1 -
 drivers/staging/media/dt3155v4l/dt3155v4l.c        |  981 ---
 drivers/staging/media/dt3155v4l/dt3155v4l.h        |  212 -
 drivers/staging/media/lirc/lirc_imon.c             |   97 +-
 drivers/staging/media/lirc/lirc_sir.c              |   75 +-
 drivers/staging/media/mn88472/mn88472.c            |    6 +-
 drivers/staging/media/mn88472/mn88472_priv.h       |    2 +-
 drivers/staging/media/mn88473/mn88473.c            |    2 +-
 drivers/staging/media/mn88473/mn88473_priv.h       |    2 +-
 drivers/staging/media/omap4iss/iss.c               |    2 +-
 drivers/staging/media/omap4iss/iss_csi2.c          |   18 +-
 drivers/staging/media/omap4iss/iss_ipipe.c         |   30 +-
 drivers/staging/media/omap4iss/iss_ipipeif.c       |   10 +-
 drivers/staging/media/omap4iss/iss_resizer.c       |    8 +-
 drivers/staging/nvec/nvec.c                        |    2 +-
 drivers/staging/nvec/nvec_ps2.c                    |    4 +-
 drivers/staging/octeon-usb/octeon-hcd.c            |   16 +-
 drivers/staging/octeon-usb/octeon-hcd.h            |    2 +-
 drivers/staging/octeon/ethernet-defines.h          |   62 +-
 drivers/staging/octeon/ethernet-mdio.c             |   48 +-
 drivers/staging/octeon/ethernet-mdio.h             |   24 +-
 drivers/staging/octeon/ethernet-mem.c              |   30 +-
 drivers/staging/octeon/ethernet-mem.h              |   23 +-
 drivers/staging/octeon/ethernet-rgmii.c            |  306 +-
 drivers/staging/octeon/ethernet-rx.c               |   38 +-
 drivers/staging/octeon/ethernet-rx.h               |   24 +-
 drivers/staging/octeon/ethernet-sgmii.c            |  112 +-
 drivers/staging/octeon/ethernet-spi.c              |  237 +-
 drivers/staging/octeon/ethernet-tx.c               |   28 +-
 drivers/staging/octeon/ethernet-tx.h               |   23 +-
 drivers/staging/octeon/ethernet-util.h             |   23 +-
 drivers/staging/octeon/ethernet-xaui.c             |  114 +-
 drivers/staging/octeon/ethernet.c                  |  115 +-
 drivers/staging/octeon/octeon-ethernet.h           |   35 +-
 drivers/staging/ozwpan/ozhcd.c                     |    8 +-
 drivers/staging/ozwpan/ozusbif.h                   |    4 +-
 drivers/staging/ozwpan/ozusbsvc1.c                 |   30 +-
 drivers/staging/panel/panel.c                      |   74 +-
 drivers/staging/rtl8188eu/core/rtw_ap.c            |   56 +-
 drivers/staging/rtl8188eu/core/rtw_efuse.c         |    2 +-
 drivers/staging/rtl8188eu/core/rtw_ioctl_set.c     |   19 +-
 drivers/staging/rtl8188eu/core/rtw_led.c           |    2 +-
 drivers/staging/rtl8188eu/core/rtw_mlme.c          |   11 +-
 drivers/staging/rtl8188eu/core/rtw_mlme_ext.c      | 6291 ++++++++--------
 drivers/staging/rtl8188eu/core/rtw_recv.c          |    2 +
 drivers/staging/rtl8188eu/core/rtw_sta_mgt.c       |   38 +-
 drivers/staging/rtl8188eu/core/rtw_wlan_util.c     |   12 +-
 .../staging/rtl8188eu/hal/Hal8188ERateAdaptive.c   |    2 +-
 drivers/staging/rtl8188eu/hal/hal_intf.c           |    2 +
 drivers/staging/rtl8188eu/hal/phy.c                |   10 +-
 drivers/staging/rtl8188eu/hal/pwrseqcmd.c          |    2 +-
 drivers/staging/rtl8188eu/hal/rtl8188e_dm.c        |    2 -
 drivers/staging/rtl8188eu/hal/usb_halinit.c        |    1 -
 drivers/staging/rtl8188eu/include/drv_types.h      |    1 -
 drivers/staging/rtl8188eu/include/ieee80211.h      |   29 +-
 drivers/staging/rtl8188eu/include/odm.h            |   10 +-
 drivers/staging/rtl8188eu/include/odm_HWConfig.h   |    3 -
 drivers/staging/rtl8188eu/include/osdep_intf.h     |    2 -
 drivers/staging/rtl8188eu/include/osdep_service.h  |    2 -
 drivers/staging/rtl8188eu/include/recv_osdep.h     |    3 -
 drivers/staging/rtl8188eu/include/rtl8188e_hal.h   |   17 +-
 drivers/staging/rtl8188eu/include/rtw_ap.h         |    2 -
 drivers/staging/rtl8188eu/include/rtw_ioctl.h      |    2 -
 drivers/staging/rtl8188eu/include/rtw_led.h        |    2 +-
 drivers/staging/rtl8188eu/include/rtw_mlme.h       |    2 +-
 drivers/staging/rtl8188eu/include/rtw_mlme_ext.h   |   86 +-
 drivers/staging/rtl8188eu/include/rtw_security.h   |    2 +-
 drivers/staging/rtl8188eu/include/wifi.h           |   77 -
 drivers/staging/rtl8188eu/os_dep/ioctl_linux.c     |    8 +-
 drivers/staging/rtl8188eu/os_dep/mlme_linux.c      |    4 +-
 drivers/staging/rtl8188eu/os_dep/os_intfs.c        |  112 +-
 drivers/staging/rtl8188eu/os_dep/osdep_service.c   |    3 +-
 drivers/staging/rtl8188eu/os_dep/rtw_android.c     |    2 +-
 drivers/staging/rtl8188eu/os_dep/usb_intf.c        |   12 -
 drivers/staging/rtl8192e/dot11d.h                  |    4 +-
 drivers/staging/rtl8192e/rtl8192e/r8190P_def.h     |   40 -
 drivers/staging/rtl8192e/rtl8192e/r8190P_rtl8256.c |   25 +-
 drivers/staging/rtl8192e/rtl8192e/r8192E_cmdpkt.c  |  290 -
 drivers/staging/rtl8192e/rtl8192e/r8192E_cmdpkt.h  |  134 -
 drivers/staging/rtl8192e/rtl8192e/r8192E_dev.c     |   74 +-
 .../staging/rtl8192e/rtl8192e/r8192E_firmware.c    |   43 +-
 .../staging/rtl8192e/rtl8192e/r8192E_firmware.h    |    5 -
 drivers/staging/rtl8192e/rtl8192e/r8192E_hwimg.h   |    6 -
 drivers/staging/rtl8192e/rtl8192e/r8192E_phy.c     |  118 +-
 drivers/staging/rtl8192e/rtl8192e/r8192E_phy.h     |    6 -
 drivers/staging/rtl8192e/rtl8192e/r8192E_phyreg.h  | 1496 ++--
 drivers/staging/rtl8192e/rtl8192e/r819xE_phyreg.h  |  908 ---
 drivers/staging/rtl8192e/rtl8192e/rtl_cam.c        |   34 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_cam.h        |    9 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_core.c       |  148 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_core.h       |  419 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_crypto.h     |  382 -
 drivers/staging/rtl8192e/rtl8192e/rtl_dm.c         | 1188 +--
 drivers/staging/rtl8192e/rtl8192e/rtl_dm.h         |  103 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_pci.c        |    6 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_pci.h        |   17 -
 drivers/staging/rtl8192e/rtl8192e/rtl_pm.c         |    6 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_ps.c         |   13 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_wx.c         |  107 +-
 drivers/staging/rtl8192e/rtl8192e/rtl_wx.h         |    4 -
 drivers/staging/rtl8192e/rtl819x_BAProc.c          |  149 +-
 drivers/staging/rtl8192e/rtl819x_HT.h              |  136 -
 drivers/staging/rtl8192e/rtl819x_HTProc.c          |   55 +-
 drivers/staging/rtl8192e/rtl819x_Qos.h             |  198 +-
 drivers/staging/rtl8192e/rtl819x_TS.h              |    3 +-
 drivers/staging/rtl8192e/rtl819x_TSProc.c          |   93 +-
 drivers/staging/rtl8192e/rtllib.h                  |  645 +-
 drivers/staging/rtl8192e/rtllib_crypt.c            |  254 -
 drivers/staging/rtl8192e/rtllib_crypt.h            |   34 -
 drivers/staging/rtl8192e/rtllib_crypt_ccmp.c       |    2 +-
 drivers/staging/rtl8192e/rtllib_crypt_tkip.c       |   89 +-
 drivers/staging/rtl8192e/rtllib_debug.h            |   11 +-
 drivers/staging/rtl8192e/rtllib_module.c           |   72 +-
 drivers/staging/rtl8192e/rtllib_rx.c               | 1016 +--
 drivers/staging/rtl8192e/rtllib_softmac.c          |  295 +-
 drivers/staging/rtl8192e/rtllib_softmac_wx.c       |   18 +-
 drivers/staging/rtl8192e/rtllib_tx.c               |   78 +-
 drivers/staging/rtl8192e/rtllib_wx.c               |  108 +-
 drivers/staging/rtl8192u/ieee80211/ieee80211.h     |  188 +-
 .../rtl8192u/ieee80211/ieee80211_crypt_ccmp.c      |   10 +-
 .../rtl8192u/ieee80211/ieee80211_crypt_tkip.c      |   26 +-
 .../rtl8192u/ieee80211/ieee80211_crypt_wep.c       |   43 +-
 drivers/staging/rtl8192u/ieee80211/ieee80211_rx.c  |   76 +-
 .../staging/rtl8192u/ieee80211/ieee80211_softmac.c |   61 +-
 drivers/staging/rtl8192u/ieee80211/ieee80211_tx.c  |   14 +-
 .../staging/rtl8192u/ieee80211/rtl819x_BAProc.c    |   48 +-
 drivers/staging/rtl8192u/r8192U_core.c             |   26 +-
 drivers/staging/rtl8192u/r8192U_dm.c               |   14 +-
 drivers/staging/rtl8192u/r819xU_firmware.h         |   11 +-
 drivers/staging/rtl8712/ieee80211.h                |   29 -
 drivers/staging/rtl8712/os_intfs.c                 |    8 +-
 drivers/staging/rtl8712/recv_linux.c               |    4 +-
 drivers/staging/rtl8712/rtl8712_led.c              |  144 +-
 drivers/staging/rtl8712/rtl8712_recv.c             |    3 +-
 drivers/staging/rtl8712/rtl8712_xmit.c             |   12 +-
 drivers/staging/rtl8712/rtl871x_cmd.c              |    2 +-
 drivers/staging/rtl8712/rtl871x_ioctl_linux.c      |    3 +-
 drivers/staging/rtl8712/rtl871x_mlme.c             |    6 +-
 drivers/staging/rtl8712/rtl871x_mp_phy_regdef.h    |    2 +-
 drivers/staging/rtl8712/rtl871x_pwrctrl.c          |    2 +-
 drivers/staging/rtl8712/rtl871x_sta_mgt.c          |    6 +-
 drivers/staging/rtl8723au/core/rtw_ap.c            |    8 +-
 drivers/staging/rtl8723au/core/rtw_mlme_ext.c      |   20 +-
 drivers/staging/rtl8723au/core/rtw_wlan_util.c     |   12 +-
 drivers/staging/rtl8723au/hal/HalPwrSeqCmd.c       |    2 +-
 drivers/staging/rtl8723au/hal/odm.c                |    4 +-
 drivers/staging/rtl8723au/hal/rtl8723a_cmd.c       |    2 +-
 drivers/staging/rtl8723au/hal/rtl8723a_hal_init.c  |    4 +-
 drivers/staging/rtl8723au/hal/rtl8723a_phycfg.c    |    2 +-
 drivers/staging/rtl8723au/hal/rtl8723a_rf6052.c    |    8 +-
 drivers/staging/rtl8723au/hal/rtl8723au_xmit.c     |    2 +-
 drivers/staging/rtl8723au/hal/usb_halinit.c        |    2 +-
 drivers/staging/rtl8723au/include/odm_debug.h      |    2 +-
 drivers/staging/rtl8723au/include/rtl8723a_hal.h   |   23 +-
 drivers/staging/rtl8723au/include/rtw_cmd.h        |    2 +-
 drivers/staging/rtl8723au/include/rtw_mlme.h       |   10 +-
 drivers/staging/rtl8723au/include/rtw_mlme_ext.h   |    2 +-
 drivers/staging/rtl8723au/include/sta_info.h       |    2 +-
 drivers/staging/rtl8723au/os_dep/ioctl_cfg80211.c  |    4 +-
 drivers/staging/rtl8723au/os_dep/os_intfs.c        |    4 +-
 drivers/staging/rts5208/rtsx.c                     |    3 +-
 drivers/staging/rts5208/rtsx.h                     |   28 +-
 drivers/staging/rts5208/rtsx_chip.c                |  452 +-
 drivers/staging/rts5208/rtsx_scsi.c                |    8 +-
 drivers/staging/rts5208/xd.c                       |    3 +-
 drivers/staging/skein/skein_api.h                  |    6 +-
 drivers/staging/slicoss/TODO                       |    1 -
 drivers/staging/slicoss/slic.h                     |   22 +-
 drivers/staging/slicoss/slicoss.c                  |  130 +-
 drivers/staging/sm750fb/Kconfig                    |    4 +
 drivers/staging/sm750fb/TODO                       |    3 +-
 drivers/staging/sm750fb/ddk750_chip.c              |   19 +-
 drivers/staging/sm750fb/ddk750_chip.h              |   75 +-
 drivers/staging/sm750fb/ddk750_display.c           |   44 +-
 drivers/staging/sm750fb/ddk750_dvi.c               |    4 +-
 drivers/staging/sm750fb/ddk750_dvi.h               |   68 +-
 drivers/staging/sm750fb/ddk750_help.c              |    6 +-
 drivers/staging/sm750fb/ddk750_help.h              |    8 +-
 drivers/staging/sm750fb/ddk750_hwi2c.h             |    4 +-
 drivers/staging/sm750fb/ddk750_mode.c              |   56 +-
 drivers/staging/sm750fb/ddk750_mode.h              |    2 +-
 drivers/staging/sm750fb/ddk750_power.c             |    4 +-
 drivers/staging/sm750fb/ddk750_power.h             |    2 +-
 drivers/staging/sm750fb/ddk750_reg.h               |    4 +-
 drivers/staging/sm750fb/ddk750_sii164.c            |   15 +-
 drivers/staging/sm750fb/ddk750_swi2c.c             |  448 +-
 drivers/staging/sm750fb/ddk750_swi2c.h             |   18 +-
 drivers/staging/sm750fb/modedb.h                   |  446 +-
 drivers/staging/sm750fb/readme                     |    8 +-
 drivers/staging/sm750fb/sm750.c                    |   62 +-
 drivers/staging/sm750fb/sm750.h                    |   70 +-
 drivers/staging/sm750fb/sm750_accel.c              |  199 +-
 drivers/staging/sm750fb/sm750_accel.h              |   16 +-
 drivers/staging/sm750fb/sm750_cursor.c             |   63 +-
 drivers/staging/sm750fb/sm750_cursor.h             |   24 +-
 drivers/staging/sm750fb/sm750_help.h               |   40 +-
 drivers/staging/sm750fb/sm750_hw.c                 |   89 +-
 drivers/staging/sm750fb/sm750_hw.h                 |   23 +-
 drivers/staging/sm7xxfb/sm7xx.h                    |  664 +-
 drivers/staging/sm7xxfb/sm7xxfb.c                  | 1074 ++-
 drivers/staging/speakup/main.c                     |    9 +-
 drivers/staging/unisys/Kconfig                     |   10 +-
 drivers/staging/unisys/Makefile                    |    8 +-
 .../unisys/common-spar/include/channels/channel.h  |  590 --
 .../include/channels/controlframework.h            |   62 -
 .../include/channels/controlvmchannel.h            |  511 --
 .../common-spar/include/channels/diagchannel.h     |  427 --
 .../common-spar/include/channels/iochannel.h       |  784 --
 .../common-spar/include/channels/vbuschannel.h     |   94 -
 .../include/diagnostics/appos_subsystems.h         |  310 -
 .../unisys/common-spar/include/vmcallinterface.h   |  163 -
 drivers/staging/unisys/include/channel.h           |  555 ++
 .../include/channels => include}/channel_guid.h    |    0
 drivers/staging/unisys/include/diagchannel.h       |   43 +
 drivers/staging/unisys/include/guestlinuxdebug.h   |    3 +-
 drivers/staging/unisys/include/iochannel.h         |  644 ++
 drivers/staging/unisys/include/periodic_work.h     |   10 +-
 drivers/staging/unisys/include/procobjecttree.h    |   47 -
 drivers/staging/unisys/include/sparstop.h          |   30 -
 drivers/staging/unisys/include/timskmod.h          |  153 -
 drivers/staging/unisys/include/uisqueue.h          |  396 -
 drivers/staging/unisys/include/uisthread.h         |   42 -
 drivers/staging/unisys/include/uisutils.h          |  299 -
 drivers/staging/unisys/include/vbushelper.h        |    2 -
 .../unisys/{common-spar => }/include/version.h     |    0
 drivers/staging/unisys/include/visorbus.h          |  222 +
 drivers/staging/unisys/uislib/Kconfig              |   10 -
 drivers/staging/unisys/uislib/Makefile             |   12 -
 drivers/staging/unisys/uislib/uislib.c             | 1372 ----
 drivers/staging/unisys/uislib/uisqueue.c           |  322 -
 drivers/staging/unisys/uislib/uisthread.c          |   69 -
 drivers/staging/unisys/uislib/uisutils.c           |  137 -
 drivers/staging/unisys/virthba/Kconfig             |   13 -
 drivers/staging/unisys/virthba/Makefile            |   12 -
 drivers/staging/unisys/virthba/virthba.c           | 1572 ----
 drivers/staging/unisys/virthba/virthba.h           |   27 -
 drivers/staging/unisys/virtpci/Kconfig             |   10 -
 drivers/staging/unisys/virtpci/Makefile            |   10 -
 drivers/staging/unisys/virtpci/virtpci.c           | 1394 ----
 drivers/staging/unisys/virtpci/virtpci.h           |  103 -
 drivers/staging/unisys/visorbus/Kconfig            |    9 +
 drivers/staging/unisys/visorbus/Makefile           |   13 +
 drivers/staging/unisys/visorbus/controlvmchannel.h |  485 ++
 .../controlvmcompletionstatus.h                    |    0
 .../include => visorbus}/iovmcall_gnuc.h           |    0
 drivers/staging/unisys/visorbus/periodic_work.c    |  204 +
 drivers/staging/unisys/visorbus/vbuschannel.h      |   94 +
 .../include => visorbus}/vbusdeviceinfo.h          |    0
 drivers/staging/unisys/visorbus/visorbus_main.c    | 1518 ++++
 drivers/staging/unisys/visorbus/visorbus_private.h |   69 +
 drivers/staging/unisys/visorbus/visorchannel.c     |  613 ++
 drivers/staging/unisys/visorbus/visorchipset.c     | 2437 ++++++
 drivers/staging/unisys/visorbus/vmcallinterface.h  |  149 +
 drivers/staging/unisys/visorchannel/Kconfig        |   10 -
 drivers/staging/unisys/visorchannel/Makefile       |   12 -
 drivers/staging/unisys/visorchannel/globals.h      |   27 -
 drivers/staging/unisys/visorchannel/visorchannel.h |   76 -
 .../unisys/visorchannel/visorchannel_funcs.c       |  665 --
 .../unisys/visorchannel/visorchannel_main.c        |   50 -
 drivers/staging/unisys/visorchipset/Kconfig        |   11 -
 drivers/staging/unisys/visorchipset/Makefile       |   15 -
 drivers/staging/unisys/visorchipset/file.c         |  160 -
 drivers/staging/unisys/visorchipset/file.h         |   27 -
 drivers/staging/unisys/visorchipset/globals.h      |   42 -
 drivers/staging/unisys/visorchipset/parser.c       |  430 --
 drivers/staging/unisys/visorchipset/parser.h       |   46 -
 drivers/staging/unisys/visorchipset/visorchipset.h |  236 -
 .../unisys/visorchipset/visorchipset_main.c        | 2335 ------
 .../unisys/visorchipset/visorchipset_umode.h       |   35 -
 drivers/staging/unisys/visornic/Kconfig            |   15 +
 drivers/staging/unisys/visornic/Makefile           |   10 +
 drivers/staging/unisys/visornic/visornic_main.c    | 2140 ++++++
 drivers/staging/unisys/visorutil/Kconfig           |    9 -
 drivers/staging/unisys/visorutil/Makefile          |    9 -
 drivers/staging/unisys/visorutil/charqueue.c       |  127 -
 drivers/staging/unisys/visorutil/charqueue.h       |   37 -
 drivers/staging/unisys/visorutil/memregion.h       |   43 -
 .../staging/unisys/visorutil/memregion_direct.c    |  207 -
 drivers/staging/unisys/visorutil/periodic_work.c   |  204 -
 drivers/staging/unisys/visorutil/visorkmodutils.c  |   71 -
 drivers/staging/vme/devices/vme_user.c             |  257 +-
 drivers/staging/vt6655/Makefile                    |    1 -
 drivers/staging/vt6655/device.h                    |   11 +-
 drivers/staging/vt6655/device_main.c               |  250 +-
 drivers/staging/vt6655/mac.c                       |   49 +-
 drivers/staging/vt6655/mib.c                       |  139 -
 drivers/staging/vt6655/mib.h                       |   82 -
 drivers/staging/vt6655/power.h                     |    8 +-
 drivers/staging/vt6655/rxtx.c                      |   10 +-
 drivers/staging/vt6656/card.c                      |    2 +-
 drivers/staging/vt6656/device.h                    |    2 +-
 drivers/staging/vt6656/main_usb.c                  |   21 +-
 drivers/staging/vt6656/rxtx.c                      |    2 +-
 drivers/staging/vt6656/usbpipe.c                   |    2 +-
 drivers/staging/wilc1000/Kconfig                   |   59 +
 drivers/staging/wilc1000/Makefile                  |   34 +
 drivers/staging/wilc1000/TODO                      |   14 +
 drivers/staging/wilc1000/coreconfigsimulator.h     |   17 +
 drivers/staging/wilc1000/coreconfigurator.c        | 2156 ++++++
 drivers/staging/wilc1000/coreconfigurator.h        |  197 +
 drivers/staging/wilc1000/fifo_buffer.c             |  133 +
 drivers/staging/wilc1000/fifo_buffer.h             |   26 +
 drivers/staging/wilc1000/host_interface.c          | 7963 ++++++++++++++++++++
 drivers/staging/wilc1000/host_interface.h          | 1281 ++++
 drivers/staging/wilc1000/linux_mon.c               |  598 ++
 drivers/staging/wilc1000/linux_wlan.c              | 2783 +++++++
 drivers/staging/wilc1000/linux_wlan_common.h       |  182 +
 drivers/staging/wilc1000/linux_wlan_sdio.c         |  249 +
 drivers/staging/wilc1000/linux_wlan_sdio.h         |   14 +
 drivers/staging/wilc1000/linux_wlan_spi.c          |  479 ++
 drivers/staging/wilc1000/linux_wlan_spi.h          |   14 +
 drivers/staging/wilc1000/wilc_debugfs.c            |  191 +
 drivers/staging/wilc1000/wilc_errorsupport.h       |   67 +
 drivers/staging/wilc1000/wilc_exported_buf.c       |   76 +
 drivers/staging/wilc1000/wilc_log.h                |   47 +
 drivers/staging/wilc1000/wilc_memory.c             |   58 +
 drivers/staging/wilc1000/wilc_memory.h             |  239 +
 drivers/staging/wilc1000/wilc_msgqueue.c           |  190 +
 drivers/staging/wilc1000/wilc_msgqueue.h           |  108 +
 drivers/staging/wilc1000/wilc_osconfig.h           |    9 +
 drivers/staging/wilc1000/wilc_oswrapper.h          |   41 +
 drivers/staging/wilc1000/wilc_platform.h           |   52 +
 drivers/staging/wilc1000/wilc_sdio.c               | 1254 +++
 drivers/staging/wilc1000/wilc_sleep.c              |   18 +
 drivers/staging/wilc1000/wilc_sleep.h              |   20 +
 drivers/staging/wilc1000/wilc_spi.c                | 1406 ++++
 drivers/staging/wilc1000/wilc_strutils.c           |   80 +
 drivers/staging/wilc1000/wilc_strutils.h           |  134 +
 drivers/staging/wilc1000/wilc_timer.c              |   45 +
 drivers/staging/wilc1000/wilc_timer.h              |  129 +
 drivers/staging/wilc1000/wilc_type.h               |   34 +
 drivers/staging/wilc1000/wilc_wfi_cfgoperations.c  | 3957 ++++++++++
 drivers/staging/wilc1000/wilc_wfi_cfgoperations.h  |  129 +
 drivers/staging/wilc1000/wilc_wfi_netdevice.c      |  951 +++
 drivers/staging/wilc1000/wilc_wfi_netdevice.h      |  254 +
 drivers/staging/wilc1000/wilc_wlan.c               | 2332 ++++++
 drivers/staging/wilc1000/wilc_wlan.h               |  321 +
 drivers/staging/wilc1000/wilc_wlan_cfg.c           |  617 ++
 drivers/staging/wilc1000/wilc_wlan_cfg.h           |   33 +
 drivers/staging/wilc1000/wilc_wlan_if.h            |  969 +++
 drivers/staging/wlan-ng/cfg80211.c                 |    2 +-
 drivers/staging/wlan-ng/p80211conv.c               |    6 +-
 drivers/staging/wlan-ng/p80211wep.c                |   14 -
 drivers/staging/wlan-ng/prism2fw.c                 |    8 +-
 drivers/staging/wlan-ng/prism2sta.c                |   23 +-
 drivers/staging/xgifb/XGI_main_26.c                |   27 +-
 drivers/staging/xgifb/vb_setmode.c                 |   21 +-
 drivers/target/iscsi/iscsi_target.c                |   41 +-
 drivers/target/iscsi/iscsi_target_configfs.c       |  137 +-
 drivers/target/iscsi/iscsi_target_device.c         |    1 -
 drivers/target/iscsi/iscsi_target_erl0.c           |   53 -
 drivers/target/iscsi/iscsi_target_erl0.h           |    1 -
 drivers/target/iscsi/iscsi_target_login.c          |   58 +-
 drivers/target/iscsi/iscsi_target_login.h          |    1 -
 drivers/target/iscsi/iscsi_target_parameters.c     |  275 +-
 drivers/target/iscsi/iscsi_target_parameters.h     |   11 +-
 drivers/target/iscsi/iscsi_target_tmr.c            |    6 +-
 drivers/target/iscsi/iscsi_target_tpg.c            |   12 +-
 drivers/target/iscsi/iscsi_target_util.c           |   53 +-
 drivers/target/iscsi/iscsi_target_util.h           |    1 -
 drivers/target/loopback/tcm_loop.c                 |  182 +-
 drivers/target/loopback/tcm_loop.h                 |    9 -
 drivers/target/sbp/sbp_target.c                    |  277 +-
 drivers/target/sbp/sbp_target.h                    |   11 +-
 drivers/target/target_core_alua.c                  |  466 +-
 drivers/target/target_core_alua.h                  |   14 +-
 drivers/target/target_core_configfs.c              |  758 +-
 drivers/target/target_core_device.c                | 1293 +---
 drivers/target/target_core_fabric_configfs.c       |  230 +-
 drivers/target/target_core_fabric_lib.c            |  287 +-
 drivers/target/target_core_file.c                  |  239 +-
 drivers/target/target_core_file.h                  |    6 -
 drivers/target/target_core_hba.c                   |   97 +-
 drivers/target/target_core_iblock.c                |   99 +-
 drivers/target/target_core_internal.h              |  103 +-
 drivers/target/target_core_pr.c                    |  383 +-
 drivers/target/target_core_pr.h                    |    6 +-
 drivers/target/target_core_pscsi.c                 |   57 +-
 drivers/target/target_core_pscsi.h                 |    6 +-
 drivers/target/target_core_rd.c                    |   93 +-
 drivers/target/target_core_sbc.c                   |  287 +-
 drivers/target/target_core_spc.c                   |   93 +-
 drivers/target/target_core_stat.c                  |  611 +-
 drivers/target/target_core_tmr.c                   |   26 +-
 drivers/target/target_core_tpg.c                   |  577 +-
 drivers/target/target_core_transport.c             |  264 +-
 drivers/target/target_core_ua.c                    |   84 +-
 drivers/target/target_core_ua.h                    |    6 +-
 drivers/target/target_core_user.c                  |  272 +-
 drivers/target/target_core_xcopy.c                 |   28 +-
 drivers/target/tcm_fc/tcm_fc.h                     |    3 +-
 drivers/target/tcm_fc/tfc_cmd.c                    |   15 +-
 drivers/target/tcm_fc/tfc_conf.c                   |  116 +-
 drivers/target/tcm_fc/tfc_io.c                     |    5 -
 drivers/target/tcm_fc/tfc_sess.c                   |    5 -
 drivers/thermal/Kconfig                            |   68 +-
 drivers/thermal/Makefile                           |    5 +
 drivers/thermal/cpu_cooling.c                      |  585 +-
 drivers/thermal/db8500_thermal.c                   |    2 +-
 drivers/thermal/fair_share.c                       |   41 +-
 drivers/thermal/hisi_thermal.c                     |  421 ++
 drivers/thermal/imx_thermal.c                      |    3 +-
 .../int340x_thermal/processor_thermal_device.c     |   59 +-
 drivers/thermal/intel_powerclamp.c                 |    5 +-
 drivers/thermal/intel_quark_dts_thermal.c          |  473 ++
 drivers/thermal/intel_soc_dts_iosf.c               |  478 ++
 drivers/thermal/intel_soc_dts_iosf.h               |   62 +
 drivers/thermal/intel_soc_dts_thermal.c            |  430 +-
 drivers/thermal/of-thermal.c                       |   41 +-
 drivers/thermal/power_allocator.c                  |  539 ++
 drivers/thermal/qcom-spmi-temp-alarm.c             |  309 +
 drivers/thermal/samsung/exynos_tmu.c               |  187 +-
 drivers/thermal/samsung/exynos_tmu.h               |    1 +
 drivers/thermal/thermal_core.c                     |  314 +-
 drivers/thermal/thermal_core.h                     |   11 +
 drivers/thermal/ti-soc-thermal/ti-bandgap.c        |  104 +-
 drivers/thermal/ti-soc-thermal/ti-thermal-common.c |    5 +-
 drivers/thermal/x86_pkg_temp_thermal.c             |    2 +-
 drivers/tty/amiserial.c                            |   11 +-
 drivers/tty/cyclades.c                             |    8 +-
 drivers/tty/goldfish.c                             |    4 +-
 drivers/tty/hvc/Kconfig                            |    7 -
 drivers/tty/hvc/Makefile                           |    1 -
 drivers/tty/hvc/hvc_beat.c                         |  134 -
 drivers/tty/hvc/hvc_console.c                      |    3 +-
 drivers/tty/hvc/hvc_iucv.c                         |    2 +-
 drivers/tty/hvc/hvc_opal.c                         |   33 +-
 drivers/tty/hvc/hvc_tile.c                         |    3 +-
 drivers/tty/hvc/hvc_xen.c                          |    2 +-
 drivers/tty/hvc/hvcs.c                             |    4 +-
 drivers/tty/metag_da.c                             |   20 +-
 drivers/tty/n_gsm.c                                |    5 +-
 drivers/tty/n_tty.c                                |   28 +-
 drivers/tty/nozomi.c                               |    8 +-
 drivers/tty/rocket.h                               |    2 +-
 drivers/tty/serial/68328serial.c                   |    3 +-
 drivers/tty/serial/8250/8250_core.c                |   27 +-
 drivers/tty/serial/8250/8250_dw.c                  |   19 +-
 drivers/tty/serial/8250/8250_early.c               |    2 +-
 drivers/tty/serial/8250/8250_ingenic.c             |  266 +
 drivers/tty/serial/8250/8250_lpc18xx.c             |  230 +
 drivers/tty/serial/8250/8250_mtk.c                 |  119 +-
 drivers/tty/serial/8250/8250_omap.c                |  193 +-
 drivers/tty/serial/8250/8250_pci.c                 |   41 +-
 drivers/tty/serial/8250/8250_uniphier.c            |  257 +
 drivers/tty/serial/8250/Kconfig                    |   24 +
 drivers/tty/serial/8250/Makefile                   |    5 +
 drivers/tty/serial/Kconfig                         |   74 +-
 drivers/tty/serial/Makefile                        |    4 +-
 drivers/tty/serial/altera_jtaguart.c               |    2 +-
 drivers/tty/serial/altera_uart.c                   |    2 +-
 drivers/tty/serial/amba-pl011.c                    |  657 +-
 drivers/tty/serial/atmel_serial.c                  |   22 +-
 drivers/tty/serial/bfin_uart.c                     |   24 +-
 drivers/tty/serial/crisv10.c                       |  106 +-
 drivers/tty/serial/earlycon.c                      |    9 +-
 drivers/tty/serial/icom.c                          |   11 +-
 drivers/tty/serial/ifx6x60.c                       |   19 +-
 drivers/tty/serial/imx.c                           |   26 +-
 drivers/tty/serial/ioc3_serial.c                   |    3 +-
 drivers/tty/serial/ioc4_serial.c                   |    9 +-
 drivers/tty/serial/kgdb_nmi.c                      |    6 +-
 drivers/tty/serial/mcf.c                           |    2 +-
 drivers/tty/serial/meson_uart.c                    |    2 +-
 drivers/tty/serial/mpc52xx_uart.c                  |    2 +-
 drivers/tty/serial/mpsc.c                          |   25 +-
 drivers/tty/serial/msm_smd_tty.c                   |  232 -
 drivers/tty/serial/mxs-auart.c                     |    2 +-
 drivers/tty/serial/of_serial.c                     |    8 +-
 drivers/tty/serial/omap-serial.c                   |   35 +-
 drivers/tty/serial/samsung.c                       |    4 +-
 drivers/tty/serial/sc16is7xx.c                     |  328 +-
 drivers/tty/serial/serial-tegra.c                  |  158 +-
 drivers/tty/serial/serial_core.c                   |   32 +-
 drivers/tty/serial/serial_ks8695.c                 |    2 +-
 drivers/tty/serial/serial_mctrl_gpio.c             |    7 +-
 drivers/tty/serial/sh-sci.c                        |  136 +-
 drivers/tty/serial/sh-sci.h                        |  140 +-
 drivers/tty/serial/sirfsoc_uart.c                  |  622 +-
 drivers/tty/serial/sirfsoc_uart.h                  |  120 +-
 drivers/tty/serial/sprd_serial.c                   |    2 +-
 drivers/tty/serial/stm32-usart.c                   |  739 ++
 drivers/tty/serial/xilinx_uartps.c                 |    3 +-
 drivers/tty/synclink.c                             |   15 +-
 drivers/tty/synclink_gt.c                          |   15 +-
 drivers/tty/synclinkmp.c                           |   12 +-
 drivers/tty/sysrq.c                                |   24 +-
 drivers/tty/tty_buffer.c                           |    3 +-
 drivers/tty/tty_io.c                               |   34 +-
 drivers/tty/tty_ioctl.c                            |    4 +-
 drivers/tty/tty_ldisc.c                            |    8 +-
 drivers/tty/tty_ldsem.c                            |    3 +-
 drivers/tty/vt/consolemap.c                        |   60 +-
 drivers/tty/vt/keyboard.c                          |  156 +-
 drivers/tty/vt/vt.c                                |   92 +-
 drivers/uio/Kconfig                                |    2 +-
 drivers/uio/uio.c                                  |    3 +-
 drivers/uio/uio_pruss.c                            |    1 +
 drivers/usb/atm/speedtch.c                         |   18 +-
 drivers/usb/atm/ueagle-atm.c                       |    4 +-
 drivers/usb/atm/usbatm.c                           |    6 +-
 drivers/usb/atm/xusbatm.c                          |    6 +-
 drivers/usb/chipidea/ci_hdrc_usb2.c                |    8 +-
 drivers/usb/chipidea/host.c                        |   12 +
 drivers/usb/chipidea/usbmisc_imx.c                 |    2 +-
 drivers/usb/class/cdc-acm.c                        |   57 +-
 drivers/usb/class/cdc-acm.h                        |    3 +-
 drivers/usb/class/usblp.c                          |   15 +-
 drivers/usb/class/usbtmc.c                         |    1 +
 drivers/usb/common/Makefile                        |    1 +
 drivers/usb/common/ulpi.c                          |  255 +
 drivers/usb/core/Kconfig                           |   20 +
 drivers/usb/core/buffer.c                          |    3 +-
 drivers/usb/core/devio.c                           |    2 +-
 drivers/usb/core/hcd.c                             |    3 +-
 drivers/usb/core/hub.c                             |  122 +-
 drivers/usb/dwc2/Kconfig                           |    8 -
 drivers/usb/dwc2/Makefile                          |    9 +-
 drivers/usb/dwc2/core.c                            |  439 +-
 drivers/usb/dwc2/core.h                            |  120 +-
 drivers/usb/dwc2/core_intr.c                       |   45 +-
 drivers/usb/dwc2/debug.h                           |   27 +
 drivers/usb/dwc2/debugfs.c                         |  771 ++
 drivers/usb/dwc2/gadget.c                          |  459 +-
 drivers/usb/dwc2/hcd.c                             |  100 +-
 drivers/usb/dwc2/hcd.h                             |    7 +-
 drivers/usb/dwc2/hcd_intr.c                        |   66 +-
 drivers/usb/dwc2/hcd_queue.c                       |   18 +-
 drivers/usb/dwc2/platform.c                        |   25 +-
 drivers/usb/dwc3/Kconfig                           |    7 +
 drivers/usb/dwc3/Makefile                          |    4 +
 drivers/usb/dwc3/core.c                            |  108 +-
 drivers/usb/dwc3/core.h                            |   30 +-
 drivers/usb/dwc3/dwc3-pci.c                        |   36 +
 drivers/usb/dwc3/gadget.c                          |   10 +-
 drivers/usb/dwc3/platform_data.h                   |    2 +
 drivers/usb/dwc3/ulpi.c                            |   91 +
 drivers/usb/gadget/epautoconf.c                    |   24 +-
 drivers/usb/gadget/function/f_fs.c                 |   25 +-
 drivers/usb/gadget/function/f_mass_storage.c       |    2 +-
 drivers/usb/gadget/function/f_midi.c               |    8 +-
 drivers/usb/gadget/function/f_rndis.c              |   60 +-
 drivers/usb/gadget/function/f_uac1.c               |    5 +-
 drivers/usb/gadget/function/rndis.c                |  352 +-
 drivers/usb/gadget/function/rndis.h                |   33 +-
 drivers/usb/gadget/function/storage_common.c       |    2 +-
 drivers/usb/gadget/function/u_rndis.h              |    2 -
 drivers/usb/gadget/function/uvc.h                  |    1 -
 drivers/usb/gadget/legacy/g_ffs.c                  |    4 +-
 drivers/usb/gadget/legacy/inode.c                  |    9 +-
 drivers/usb/gadget/legacy/tcm_usb_gadget.c         |  193 +-
 drivers/usb/gadget/legacy/tcm_usb_gadget.h         |   12 -
 drivers/usb/gadget/udc/atmel_usba_udc.c            |    6 +-
 drivers/usb/gadget/udc/net2280.c                   |  140 +-
 drivers/usb/gadget/udc/s3c2410_udc.c               |   30 +-
 drivers/usb/host/Kconfig                           |    6 +-
 drivers/usb/host/Makefile                          |    5 +-
 drivers/usb/host/ehci-dbg.c                        |    3 +-
 drivers/usb/host/ehci-fsl.c                        |  168 +-
 drivers/usb/host/ehci-hcd.c                        |    8 +-
 drivers/usb/host/ehci-hub.c                        |    3 +-
 drivers/usb/host/ehci-platform.c                   |   73 +-
 drivers/usb/host/ehci-tegra.c                      |   12 +-
 drivers/usb/host/ehci.h                            |    3 +
 drivers/usb/host/fsl-mph-dr-of.c                   |    8 +
 drivers/usb/host/fusbh200-hcd.c                    |    3 +-
 drivers/usb/host/isp116x-hcd.c                     |    3 +-
 drivers/usb/host/ohci-dbg.c                        |    6 +-
 drivers/usb/host/ohci-hcd.c                        |    3 +-
 drivers/usb/host/ohci-platform.c                   |   69 +-
 drivers/usb/host/ohci-q.c                          |    3 +-
 drivers/usb/host/ssb-hcd.c                         |   15 +-
 drivers/usb/host/xhci-hub.c                        |   65 +-
 drivers/usb/host/xhci-pci.c                        |   17 +-
 drivers/usb/host/xhci-plat.c                       |   43 +-
 drivers/usb/host/xhci-ring.c                       |    7 +-
 drivers/usb/host/xhci.c                            |  101 +-
 drivers/usb/host/xhci.h                            |   21 +-
 drivers/usb/image/microtek.c                       |    1 -
 drivers/usb/isp1760/isp1760-udc.c                  |    2 +
 drivers/usb/misc/ldusb.c                           |   10 -
 drivers/usb/misc/lvstest.c                         |    2 +-
 drivers/usb/misc/sisusbvga/sisusb.c                |   39 +-
 drivers/usb/misc/sisusbvga/sisusb_con.c            |   54 +-
 drivers/usb/misc/uss720.c                          |    6 +-
 drivers/usb/mon/mon_bin.c                          |    7 +-
 drivers/usb/mon/mon_main.c                         |   12 +-
 drivers/usb/mon/mon_stat.c                         |    3 +-
 drivers/usb/musb/am35x.c                           |    8 +-
 drivers/usb/musb/blackfin.c                        |    5 +
 drivers/usb/musb/cppi_dma.c                        |    9 +-
 drivers/usb/musb/da8xx.c                           |    6 +-
 drivers/usb/musb/davinci.c                         |    7 +-
 drivers/usb/musb/jz4740.c                          |    6 +-
 drivers/usb/musb/musb_core.c                       |   95 +-
 drivers/usb/musb/musb_core.h                       |   28 +-
 drivers/usb/musb/musb_cppi41.c                     |    8 +-
 drivers/usb/musb/musb_debugfs.c                    |  100 +-
 drivers/usb/musb/musb_dma.h                        |   67 +-
 drivers/usb/musb/musb_dsps.c                       |    6 +-
 drivers/usb/musb/musb_gadget.c                     |   24 +-
 drivers/usb/musb/musb_host.c                       |  536 +-
 drivers/usb/musb/musb_io.h                         |    2 +
 drivers/usb/musb/musb_regs.h                       |   80 +-
 drivers/usb/musb/musb_virthub.c                    |    2 +
 drivers/usb/musb/musbhsdma.c                       |    9 +-
 drivers/usb/musb/omap2430.c                        |    5 +
 drivers/usb/musb/tusb6010.c                        |    8 +-
 drivers/usb/musb/tusb6010.h                        |    6 -
 drivers/usb/musb/tusb6010_omap.c                   |    9 +-
 drivers/usb/musb/ux500.c                           |    8 +-
 drivers/usb/musb/ux500_dma.c                       |    8 +-
 drivers/usb/phy/Kconfig                            |   16 +-
 drivers/usb/phy/Makefile                           |    1 -
 drivers/usb/phy/phy-ab8500-usb.c                   |    8 +-
 drivers/usb/phy/phy-msm-usb.c                      |  110 +
 drivers/usb/phy/phy-rcar-gen2-usb.c                |  246 -
 drivers/usb/phy/phy-tahvo.c                        |   12 +-
 drivers/usb/phy/phy.c                              |   97 +-
 drivers/usb/renesas_usbhs/common.c                 |   19 +-
 drivers/usb/renesas_usbhs/fifo.c                   |   38 +-
 drivers/usb/renesas_usbhs/fifo.h                   |    9 +-
 drivers/usb/renesas_usbhs/mod.c                    |   63 +-
 drivers/usb/serial/Kconfig                         |    2 +-
 drivers/usb/serial/cp210x.c                        |    1 +
 drivers/usb/serial/ftdi_sio.c                      |    1 +
 drivers/usb/serial/ftdi_sio_ids.h                  |    1 +
 drivers/usb/serial/mos7840.c                       |   11 +-
 drivers/usb/storage/alauda.c                       |   12 +-
 drivers/usb/storage/cypress_atacb.c                |   10 +-
 drivers/usb/storage/datafab.c                      |   12 +-
 drivers/usb/storage/ene_ub6250.c                   |   11 +-
 drivers/usb/storage/freecom.c                      |   12 +-
 drivers/usb/storage/isd200.c                       |   11 +-
 drivers/usb/storage/jumpshot.c                     |   11 +-
 drivers/usb/storage/karma.c                        |   12 +-
 drivers/usb/storage/onetouch.c                     |   12 +-
 drivers/usb/storage/realtek_cr.c                   |   12 +-
 drivers/usb/storage/scsiglue.c                     |   31 +-
 drivers/usb/storage/scsiglue.h                     |    3 +-
 drivers/usb/storage/sddr09.c                       |   12 +-
 drivers/usb/storage/sddr55.c                       |   11 +-
 drivers/usb/storage/shuttle_usbat.c                |   12 +-
 drivers/usb/storage/uas.c                          |    1 -
 drivers/usb/storage/usb.c                          |   16 +-
 drivers/usb/storage/usb.h                          |   16 +-
 drivers/vfio/Kconfig                               |    2 +-
 drivers/vfio/pci/vfio_pci.c                        |   16 +-
 drivers/vfio/platform/Kconfig                      |    4 +-
 drivers/vfio/platform/Makefile                     |    2 +
 drivers/vfio/platform/reset/Kconfig                |    7 +
 drivers/vfio/platform/reset/Makefile               |    5 +
 .../platform/reset/vfio_platform_calxedaxgmac.c    |   86 +
 drivers/vfio/platform/vfio_platform_common.c       |   60 +-
 drivers/vfio/platform/vfio_platform_private.h      |    7 +
 drivers/vfio/vfio.c                                |   27 +-
 drivers/vfio/vfio_iommu_spapr_tce.c                | 1101 ++-
 drivers/vfio/vfio_spapr_eeh.c                      |   10 +
 drivers/vhost/Kconfig                              |   15 +
 drivers/vhost/scsi.c                               |  223 +-
 drivers/vhost/vhost.c                              |   85 +-
 drivers/vhost/vhost.h                              |   25 +-
 drivers/video/backlight/Kconfig                    |    8 +-
 drivers/video/backlight/da9052_bl.c                |    2 +-
 drivers/video/backlight/gpio_backlight.c           |    2 +
 drivers/video/backlight/lp855x_bl.c                |   18 +-
 drivers/video/backlight/pwm_bl.c                   |    6 +-
 drivers/video/console/fbcon.c                      |    5 +-
 drivers/video/console/fbcon.h                      |    1 +
 drivers/video/console/newport_con.c                |    6 +-
 drivers/video/fbdev/Kconfig                        |    8 +-
 drivers/video/fbdev/Makefile                       |    1 -
 drivers/video/fbdev/amifb.c                        |    8 +-
 drivers/video/fbdev/atafb.c                        |    3 +-
 drivers/video/fbdev/atmel_lcdfb.c                  |    3 +-
 drivers/video/fbdev/aty/aty128fb.c                 |   36 +-
 drivers/video/fbdev/aty/radeon_base.c              |   29 +-
 drivers/video/fbdev/aty/radeonfb.h                 |    2 +-
 drivers/video/fbdev/core/Makefile                  |    2 +-
 drivers/video/fbdev/core/fb_defio.c                |    2 -
 drivers/video/fbdev/core/fbmon.c                   |    4 +-
 drivers/video/fbdev/gbefb.c                        |   25 +-
 drivers/video/fbdev/geode/gxfb_core.c              |    3 +-
 drivers/video/fbdev/hpfb.c                         |    4 +-
 drivers/video/fbdev/i810/i810.h                    |    3 +-
 drivers/video/fbdev/i810/i810_main.c               |   11 +-
 drivers/video/fbdev/i810/i810_main.h               |   26 -
 drivers/video/fbdev/imxfb.c                        |    2 +-
 drivers/video/fbdev/intelfb/intelfb.h              |    4 +-
 drivers/video/fbdev/intelfb/intelfbdrv.c           |   38 +-
 drivers/video/fbdev/matrox/matroxfb_base.c         |   42 +-
 drivers/video/fbdev/matrox/matroxfb_base.h         |   27 +-
 drivers/video/fbdev/msm/Makefile                   |   19 -
 drivers/video/fbdev/msm/mddi.c                     |  821 --
 drivers/video/fbdev/msm/mddi_client_dummy.c        |   85 -
 drivers/video/fbdev/msm/mddi_client_nt35399.c      |  252 -
 drivers/video/fbdev/msm/mddi_client_toshiba.c      |  280 -
 drivers/video/fbdev/msm/mddi_hw.h                  |  305 -
 drivers/video/fbdev/msm/mdp.c                      |  520 --
 drivers/video/fbdev/msm/mdp_csc_table.h            |  582 --
 drivers/video/fbdev/msm/mdp_hw.h                   |  627 --
 drivers/video/fbdev/msm/mdp_ppp.c                  |  731 --
 drivers/video/fbdev/msm/mdp_scale_tables.c         |  766 --
 drivers/video/fbdev/msm/mdp_scale_tables.h         |   38 -
 drivers/video/fbdev/msm/msm_fb.c                   |  659 --
 drivers/video/fbdev/mxsfb.c                        |   70 +-
 drivers/video/fbdev/neofb.c                        |   26 +-
 drivers/video/fbdev/nvidia/nv_type.h               |    7 +-
 drivers/video/fbdev/nvidia/nvidia.c                |   37 +-
 drivers/video/fbdev/omap/Kconfig                   |    2 +-
 .../fbdev/omap2/displays-new/encoder-opa362.c      |   12 +-
 drivers/video/fbdev/omap2/displays-new/panel-dpi.c |   13 +-
 .../omap2/displays-new/panel-lgphilips-lb035q02.c  |    7 +-
 .../omap2/displays-new/panel-sharp-ls037v7dw01.c   |    9 +-
 drivers/video/fbdev/omap2/dss/core.c               |   80 +-
 drivers/video/fbdev/omap2/dss/dispc.c              |  156 +-
 drivers/video/fbdev/omap2/dss/display-sysfs.c      |    2 +-
 drivers/video/fbdev/omap2/dss/dpi.c                |   36 +-
 drivers/video/fbdev/omap2/dss/dsi.c                |   27 +-
 drivers/video/fbdev/omap2/dss/dss.c                |  232 +-
 drivers/video/fbdev/omap2/dss/dss.h                |   32 +-
 drivers/video/fbdev/omap2/dss/hdmi4.c              |   30 +-
 drivers/video/fbdev/omap2/dss/hdmi4_core.c         |   12 +-
 drivers/video/fbdev/omap2/dss/hdmi5.c              |   28 +-
 drivers/video/fbdev/omap2/dss/hdmi5_core.c         |    5 +-
 drivers/video/fbdev/omap2/dss/hdmi_wp.c            |   16 +
 drivers/video/fbdev/omap2/dss/rfbi.c               |   32 +-
 drivers/video/fbdev/omap2/dss/sdi.c                |   35 +-
 drivers/video/fbdev/omap2/dss/venc.c               |   31 +-
 drivers/video/fbdev/pm2fb.c                        |   31 +-
 drivers/video/fbdev/pm3fb.c                        |   30 +-
 drivers/video/fbdev/riva/fbdev.c                   |   39 +-
 drivers/video/fbdev/riva/rivafb.h                  |    4 +-
 drivers/video/fbdev/savage/savagefb.h              |    4 +-
 drivers/video/fbdev/savage/savagefb_driver.c       |   17 +-
 drivers/video/fbdev/sis/sis.h                      |    2 +-
 drivers/video/fbdev/sis/sis_main.c                 |   27 +-
 drivers/video/fbdev/ssd1307fb.c                    |  289 +-
 drivers/video/fbdev/stifb.c                        |   40 +-
 drivers/video/fbdev/tdfxfb.c                       |   41 +-
 drivers/video/fbdev/uvesafb.c                      |    2 +-
 drivers/video/fbdev/vesafb.c                       |   80 +-
 drivers/video/fbdev/vt8623fb.c                     |    4 +-
 drivers/virtio/virtio_mmio.c                       |    2 +-
 drivers/virtio/virtio_pci_common.c                 |   11 +-
 drivers/virtio/virtio_pci_common.h                 |    2 +
 drivers/virtio/virtio_pci_legacy.c                 |   13 +-
 drivers/virtio/virtio_pci_modern.c                 |   24 +-
 drivers/vme/bridges/Kconfig                        |    2 +-
 drivers/vme/bridges/vme_ca91cx42.c                 |   18 +-
 drivers/vme/bridges/vme_ca91cx42.h                 |    2 +-
 drivers/vme/bridges/vme_tsi148.c                   |   42 +-
 drivers/vme/vme.c                                  |   11 +-
 drivers/w1/masters/ds2482.c                        |    1 +
 drivers/w1/slaves/w1_therm.c                       |  162 +-
 drivers/w1/w1.c                                    |   17 +-
 drivers/watchdog/Kconfig                           |   48 +-
 drivers/watchdog/Makefile                          |    3 +
 drivers/watchdog/at91sam9_wdt.c                    |    4 +-
 drivers/watchdog/bcm2835_wdt.c                     |   62 +
 drivers/watchdog/da9062_wdt.c                      |  253 +
 drivers/watchdog/digicolor_wdt.c                   |  205 +
 drivers/watchdog/dw_wdt.c                          |    8 +-
 drivers/watchdog/gpio_wdt.c                        |    9 +
 drivers/watchdog/hpwdt.c                           |   16 +-
 drivers/watchdog/imgpdc_wdt.c                      |   84 +-
 drivers/watchdog/imx2_wdt.c                        |   18 +-
 drivers/watchdog/max63xx_wdt.c                     |  172 +-
 drivers/watchdog/mena21_wdt.c                      |    5 +-
 drivers/watchdog/omap_wdt.c                        |   92 +-
 drivers/watchdog/omap_wdt.h                        |    1 +
 drivers/watchdog/st_lpc_wdt.c                      |  344 +
 drivers/watchdog/watchdog_core.c                   |  118 +-
 drivers/xen/events/events_base.c                   |    2 +-
 drivers/xen/events/events_fifo.c                   |    2 +-
 drivers/xen/gntdev.c                               |    2 +-
 drivers/xen/grant-table.c                          |    1 -
 drivers/xen/manage.c                               |    2 +-
 drivers/xen/tmem.c                                 |   12 +-
 drivers/xen/xen-acpi-cpuhotplug.c                  |   12 +-
 drivers/xen/xen-scsiback.c                         |  196 +-
 drivers/xen/xenbus/xenbus_client.c                 |    8 +-
 drivers/xen/xenbus/xenbus_probe.c                  |    2 +-
 firmware/README.AddingFirmware                     |   14 +-
 fs/9p/v9fs.c                                       |   50 +-
 fs/9p/v9fs.h                                       |    2 -
 fs/9p/vfs_inode.c                                  |  126 +-
 fs/9p/vfs_inode_dotl.c                             |   42 +-
 fs/9p/vfs_super.c                                  |    8 +-
 fs/Makefile                                        |    1 -
 fs/adfs/super.c                                    |    2 +-
 fs/affs/affs.h                                     |    2 +-
 fs/affs/amigaffs.c                                 |    2 +-
 fs/affs/inode.c                                    |    2 +-
 fs/affs/symlink.c                                  |    4 +-
 fs/afs/rxrpc.c                                     |    2 +-
 fs/autofs4/autofs_i.h                              |    5 -
 fs/autofs4/symlink.c                               |    5 +-
 fs/befs/befs.h                                     |    2 +-
 fs/befs/btree.c                                    |    6 +-
 fs/befs/linuxvfs.c                                 |   57 +-
 fs/binfmt_elf.c                                    |    4 +-
 fs/block_dev.c                                     |   25 +-
 fs/btrfs/async-thread.c                            |    1 +
 fs/btrfs/async-thread.h                            |    2 +
 fs/btrfs/backref.c                                 |   59 +-
 fs/btrfs/btrfs_inode.h                             |    2 +
 fs/btrfs/ctree.c                                   |   16 +-
 fs/btrfs/ctree.h                                   |   29 +-
 fs/btrfs/delayed-ref.c                             |  372 +-
 fs/btrfs/delayed-ref.h                             |   29 +-
 fs/btrfs/dev-replace.c                             |    7 +-
 fs/btrfs/disk-io.c                                 |  110 +-
 fs/btrfs/extent-tree.c                             |  311 +-
 fs/btrfs/extent-tree.h                             |    0
 fs/btrfs/extent_io.c                               |   11 +-
 fs/btrfs/file.c                                    |   11 +-
 fs/btrfs/free-space-cache.c                        |   14 +-
 fs/btrfs/inode-map.c                               |   17 +-
 fs/btrfs/inode.c                                   |  111 +-
 fs/btrfs/ioctl.c                                   |  285 +-
 fs/btrfs/ordered-data.c                            |   42 +-
 fs/btrfs/ordered-data.h                            |    6 +-
 fs/btrfs/qgroup.c                                  | 1101 +--
 fs/btrfs/qgroup.h                                  |   61 +-
 fs/btrfs/relocation.c                              |   21 +-
 fs/btrfs/scrub.c                                   |   57 +-
 fs/btrfs/send.c                                    |  147 +-
 fs/btrfs/super.c                                   |  397 +-
 fs/btrfs/sysfs.c                                   |  148 +-
 fs/btrfs/sysfs.h                                   |    8 +-
 fs/btrfs/tests/qgroup-tests.c                      |  109 +-
 fs/btrfs/transaction.c                             |   79 +-
 fs/btrfs/transaction.h                             |   24 +
 fs/btrfs/tree-defrag.c                             |    3 -
 fs/btrfs/tree-log.c                                |  232 +-
 fs/btrfs/ulist.c                                   |   47 +-
 fs/btrfs/ulist.h                                   |    1 +
 fs/btrfs/volumes.c                                 |  254 +-
 fs/btrfs/volumes.h                                 |   11 +-
 fs/buffer.c                                        |   75 +-
 fs/cachefiles/internal.h                           |    1 -
 fs/cachefiles/namei.c                              |   33 +-
 fs/ceph/acl.c                                      |    4 +-
 fs/ceph/addr.c                                     |  308 +-
 fs/ceph/caps.c                                     |  836 +-
 fs/ceph/dir.c                                      |  383 +-
 fs/ceph/file.c                                     |   63 +-
 fs/ceph/inode.c                                    |  166 +-
 fs/ceph/mds_client.c                               |  425 +-
 fs/ceph/mds_client.h                               |   23 +-
 fs/ceph/snap.c                                     |  173 +-
 fs/ceph/super.c                                    |   25 +-
 fs/ceph/super.h                                    |  125 +-
 fs/ceph/xattr.c                                    |   65 +-
 fs/cifs/Kconfig                                    |    9 +
 fs/cifs/cifsfs.h                                   |    2 +-
 fs/cifs/cifsglob.h                                 |   13 +
 fs/cifs/cifspdu.h                                  |   12 +
 fs/cifs/cifssmb.c                                  |    5 +-
 fs/cifs/connect.c                                  |   13 +
 fs/cifs/ioctl.c                                    |   27 +-
 fs/cifs/link.c                                     |   28 +-
 fs/cifs/smb2ops.c                                  |  180 +
 fs/cifs/smb2pdu.c                                  |   67 +-
 fs/cifs/smb2pdu.h                                  |   81 +-
 fs/cifs/smbfsctl.h                                 |    3 +
 fs/coda/coda_linux.h                               |    2 +-
 fs/compat_ioctl.c                                  |    1 +
 fs/configfs/inode.c                                |    2 +-
 fs/configfs/item.c                                 |    3 +-
 fs/configfs/mount.c                                |   10 +-
 fs/configfs/symlink.c                              |   31 +-
 fs/coredump.c                                      |   21 +-
 fs/dax.c                                           |   42 +-
 fs/dcache.c                                        |   39 +-
 fs/debugfs/file.c                                  |   12 -
 fs/debugfs/inode.c                                 |   28 +-
 fs/devpts/inode.c                                  |   31 +-
 fs/dlm/lowcomms.c                                  |   16 +-
 fs/ecryptfs/file.c                                 |    1 -
 fs/ecryptfs/inode.c                                |   11 +-
 fs/efs/super.c                                     |    2 +-
 fs/exofs/Kbuild                                    |    2 +-
 fs/exofs/dir.c                                     |    6 -
 fs/exofs/exofs.h                                   |    4 -
 fs/exofs/inode.c                                   |    9 +-
 fs/exofs/namei.c                                   |    5 +-
 fs/exofs/symlink.c                                 |   55 -
 fs/ext2/dir.c                                      |    5 -
 fs/ext2/file.c                                     |    4 +-
 fs/ext2/inode.c                                    |    1 +
 fs/ext2/namei.c                                    |    3 +-
 fs/ext2/super.c                                    |    1 +
 fs/ext2/symlink.c                                  |   10 +-
 fs/ext3/inode.c                                    |    1 +
 fs/ext3/namei.c                                    |    3 +-
 fs/ext3/super.c                                    |    2 +-
 fs/ext3/symlink.c                                  |   10 +-
 fs/ext4/Kconfig                                    |    1 +
 fs/ext4/balloc.c                                   |    4 +-
 fs/ext4/crypto.c                                   |  211 +-
 fs/ext4/crypto_fname.c                             |  490 +-
 fs/ext4/crypto_key.c                               |  152 +-
 fs/ext4/crypto_policy.c                            |   87 +-
 fs/ext4/dir.c                                      |   29 +-
 fs/ext4/ext4.h                                     |  160 +-
 fs/ext4/ext4_crypto.h                              |   51 +-
 fs/ext4/extents.c                                  |  346 +-
 fs/ext4/file.c                                     |   35 +-
 fs/ext4/ialloc.c                                   |   45 +-
 fs/ext4/indirect.c                                 |    4 +-
 fs/ext4/inline.c                                   |   31 +-
 fs/ext4/inode.c                                    |  143 +-
 fs/ext4/ioctl.c                                    |   12 +-
 fs/ext4/mballoc.c                                  |   60 +-
 fs/ext4/migrate.c                                  |   17 +-
 fs/ext4/move_extent.c                              |   19 +-
 fs/ext4/namei.c                                    |  553 +-
 fs/ext4/page-io.c                                  |    3 +-
 fs/ext4/readpage.c                                 |   10 +-
 fs/ext4/super.c                                    |   67 +-
 fs/ext4/symlink.c                                  |   62 +-
 fs/f2fs/Kconfig                                    |   19 +
 fs/f2fs/Makefile                                   |    2 +
 fs/f2fs/acl.c                                      |   46 +-
 fs/f2fs/checkpoint.c                               |   56 +-
 fs/f2fs/crypto.c                                   |  491 ++
 fs/f2fs/crypto_fname.c                             |  440 ++
 fs/f2fs/crypto_key.c                               |  255 +
 fs/f2fs/crypto_policy.c                            |  209 +
 fs/f2fs/data.c                                     |  593 +-
 fs/f2fs/debug.c                                    |   11 +-
 fs/f2fs/dir.c                                      |  194 +-
 fs/f2fs/f2fs.h                                     |  320 +-
 fs/f2fs/f2fs_crypto.h                              |  151 +
 fs/f2fs/file.c                                     |  516 +-
 fs/f2fs/gc.c                                       |  115 +-
 fs/f2fs/hash.c                                     |    3 +-
 fs/f2fs/inline.c                                   |   43 +-
 fs/f2fs/inode.c                                    |    9 +-
 fs/f2fs/namei.c                                    |  390 +-
 fs/f2fs/node.c                                     |   52 +-
 fs/f2fs/node.h                                     |   22 -
 fs/f2fs/recovery.c                                 |   28 +-
 fs/f2fs/segment.c                                  |  250 +-
 fs/f2fs/segment.h                                  |    4 +-
 fs/f2fs/super.c                                    |  178 +-
 fs/f2fs/trace.c                                    |    6 +-
 fs/f2fs/trace.h                                    |    4 +-
 fs/f2fs/xattr.c                                    |    3 +
 fs/f2fs/xattr.h                                    |    4 +
 fs/fat/file.c                                      |    1 +
 fs/fat/inode.c                                     |    1 +
 fs/fhandle.c                                       |    5 +-
 fs/file.c                                          |   77 +-
 fs/file_table.c                                    |    1 -
 fs/freevxfs/vxfs_extern.h                          |    3 -
 fs/freevxfs/vxfs_immed.c                           |   34 -
 fs/freevxfs/vxfs_inode.c                           |    7 +-
 fs/freevxfs/vxfs_lookup.c                          |    7 -
 fs/fs-writeback.c                                  | 1167 ++-
 fs/fscache/cookie.c                                |    8 +-
 fs/fscache/internal.h                              |   12 +-
 fs/fscache/object.c                                |   69 +-
 fs/fscache/operation.c                             |  254 +-
 fs/fscache/page.c                                  |   86 +-
 fs/fscache/stats.c                                 |   14 +-
 fs/fuse/cuse.c                                     |   15 +-
 fs/fuse/dev.c                                      |  825 +-
 fs/fuse/dir.c                                      |   22 +-
 fs/fuse/file.c                                     |   34 +-
 fs/fuse/fuse_i.h                                   |  167 +-
 fs/fuse/inode.c                                    |   95 +-
 fs/gfs2/aops.c                                     |   12 +-
 fs/gfs2/file.c                                     |    4 +-
 fs/gfs2/glock.c                                    |    3 +-
 fs/gfs2/glops.c                                    |   20 +-
 fs/gfs2/incore.h                                   |    2 +
 fs/gfs2/inode.c                                    |  221 +-
 fs/gfs2/ops_fstype.c                               |    1 +
 fs/gfs2/quota.c                                    |  212 +-
 fs/gfs2/rgrp.c                                     |   48 +-
 fs/gfs2/rgrp.h                                     |    1 +
 fs/gfs2/super.c                                    |    2 +-
 fs/gfs2/sys.c                                      |   66 +-
 fs/hfs/hfs_fs.h                                    |    2 +-
 fs/hfs/super.c                                     |    1 +
 fs/hfsplus/hfsplus_fs.h                            |    2 +-
 fs/hfsplus/super.c                                 |    1 +
 fs/hostfs/hostfs_kern.c                            |   15 +-
 fs/hpfs/alloc.c                                    |   95 +
 fs/hpfs/dir.c                                      |    1 +
 fs/hpfs/file.c                                     |    1 +
 fs/hpfs/hpfs_fn.h                                  |    6 +-
 fs/hpfs/super.c                                    |   47 +-
 fs/hppfs/Makefile                                  |    6 -
 fs/hppfs/hppfs.c                                   |  766 --
 fs/hugetlbfs/inode.c                               |    1 -
 fs/inode.c                                         |   98 +-
 fs/internal.h                                      |    1 +
 fs/jbd2/checkpoint.c                               |    7 +-
 fs/jbd2/journal.c                                  |   67 +-
 fs/jbd2/revoke.c                                   |   15 +-
 fs/jbd2/transaction.c                              |  270 +-
 fs/jffs2/dir.c                                     |    1 +
 fs/jffs2/fs.c                                      |    8 +-
 fs/jffs2/os-linux.h                                |    2 +-
 fs/jffs2/readinode.c                               |   27 +-
 fs/jffs2/symlink.c                                 |   45 +-
 fs/jfs/inode.c                                     |    3 +-
 fs/jfs/ioctl.c                                     |    3 -
 fs/jfs/jfs_incore.h                                |    2 +-
 fs/jfs/namei.c                                     |    5 +-
 fs/jfs/symlink.c                                   |   10 +-
 fs/kernfs/dir.c                                    |   38 +-
 fs/kernfs/file.c                                   |    1 -
 fs/kernfs/inode.c                                  |    2 +
 fs/kernfs/kernfs-internal.h                        |    1 -
 fs/kernfs/symlink.c                                |   25 +-
 fs/libfs.c                                         |  125 +-
 fs/logfs/dir.c                                     |    1 +
 fs/minix/dir.c                                     |    5 -
 fs/minix/inode.c                                   |    2 +-
 fs/minix/minix.h                                   |    2 +-
 fs/mount.h                                         |    4 +-
 fs/mpage.c                                         |    3 +
 fs/namei.c                                         | 1455 ++--
 fs/namespace.c                                     |   72 +-
 fs/ncpfs/dir.c                                     |    2 +
 fs/nfs/callback.c                                  |    6 +-
 fs/nfs/callback_proc.c                             |   38 +-
 fs/nfs/callback_xdr.c                              |    2 +-
 fs/nfs/client.c                                    |   40 +-
 fs/nfs/dir.c                                       |    5 +-
 fs/nfs/file.c                                      |   15 +-
 fs/nfs/filelayout/filelayout.c                     |    1 +
 fs/nfs/flexfilelayout/flexfilelayout.c             |  480 +-
 fs/nfs/flexfilelayout/flexfilelayout.h             |   33 +-
 fs/nfs/flexfilelayout/flexfilelayoutdev.c          |    7 +-
 fs/nfs/inode.c                                     |   12 +-
 fs/nfs/internal.h                                  |    2 +-
 fs/nfs/nfs3xdr.c                                   |    2 +-
 fs/nfs/nfs42.h                                     |    9 +-
 fs/nfs/nfs42proc.c                                 |   87 +
 fs/nfs/nfs42xdr.c                                  |  106 +
 fs/nfs/nfs4_fs.h                                   |    1 +
 fs/nfs/nfs4client.c                                |    1 -
 fs/nfs/nfs4file.c                                  |    4 +
 fs/nfs/nfs4getroot.c                               |    7 -
 fs/nfs/nfs4idmap.c                                 |    7 +-
 fs/nfs/nfs4proc.c                                  |  221 +-
 fs/nfs/nfs4state.c                                 |    4 +-
 fs/nfs/nfs4xdr.c                                   |   15 +-
 fs/nfs/pagelist.c                                  |   10 +-
 fs/nfs/pnfs.c                                      |   64 +
 fs/nfs/pnfs.h                                      |   13 +-
 fs/nfs/super.c                                     |    2 +-
 fs/nfs/symlink.c                                   |   19 +-
 fs/nfs/write.c                                     |   12 +-
 fs/nfsd/nfs3xdr.c                                  |   12 +-
 fs/nfsd/nfs4acl.c                                  |   18 +-
 fs/nfsd/nfs4callback.c                             |   15 +-
 fs/nfsd/nfs4proc.c                                 |   43 +-
 fs/nfsd/nfs4state.c                                |  148 +-
 fs/nfsd/nfs4xdr.c                                  |   75 +-
 fs/nfsd/nfsproc.c                                  |   52 +-
 fs/nfsd/state.h                                    |    7 +-
 fs/nfsd/vfs.c                                      |  128 +-
 fs/nfsd/vfs.h                                      |   11 +-
 fs/nfsd/xdr4.h                                     |    1 +
 fs/nilfs2/dir.c                                    |    5 -
 fs/nilfs2/inode.c                                  |   22 +-
 fs/nilfs2/ioctl.c                                  |    1 -
 fs/nilfs2/namei.c                                  |    5 +-
 fs/nilfs2/segbuf.c                                 |   12 -
 fs/notify/inotify/inotify_user.c                   |    4 +-
 fs/ntfs/file.c                                     |    5 +-
 fs/ntfs/inode.h                                    |    2 +-
 fs/ntfs/malloc.h                                   |    7 +-
 fs/ntfs/namei.c                                    |    2 +-
 fs/ocfs2/alloc.c                                   |   37 +-
 fs/ocfs2/aops.c                                    |   23 +-
 fs/ocfs2/aops.h                                    |    7 -
 fs/ocfs2/cluster/masklog.c                         |   34 +
 fs/ocfs2/cluster/masklog.h                         |   42 +-
 fs/ocfs2/cluster/tcp.c                             |    2 +-
 fs/ocfs2/dir.c                                     |   25 +-
 fs/ocfs2/dlm/dlmcommon.h                           |    1 -
 fs/ocfs2/file.c                                    |   32 +-
 fs/ocfs2/ioctl.c                                   |    1 -
 fs/ocfs2/journal.c                                 |   76 +-
 fs/ocfs2/namei.c                                   |   33 +-
 fs/ocfs2/namei.h                                   |    4 +-
 fs/ocfs2/ocfs2.h                                   |   10 +
 fs/ocfs2/refcounttree.c                            |    6 +-
 fs/ocfs2/xattr.c                                   |    2 +-
 fs/open.c                                          |   63 +-
 fs/overlayfs/inode.c                               |   60 +-
 fs/overlayfs/overlayfs.h                           |    1 +
 fs/overlayfs/readdir.c                             |   77 +-
 fs/overlayfs/super.c                               |  114 +-
 fs/posix_acl.c                                     |   46 +-
 fs/proc/Kconfig                                    |    4 +
 fs/proc/array.c                                    |   12 +-
 fs/proc/base.c                                     |  222 +-
 fs/proc/generic.c                                  |   23 +
 fs/proc/inode.c                                    |   13 +-
 fs/proc/internal.h                                 |    6 +
 fs/proc/namespaces.c                               |    4 +-
 fs/proc/nommu.c                                    |    2 +-
 fs/proc/proc_sysctl.c                              |   37 +
 fs/proc/root.c                                     |    9 +-
 fs/proc/self.c                                     |   24 +-
 fs/proc/task_mmu.c                                 |    4 +-
 fs/proc/task_nommu.c                               |    2 +-
 fs/proc/thread_self.c                              |   22 +-
 fs/proc_namespace.c                                |   34 +-
 fs/pstore/inode.c                                  |   12 +-
 fs/pstore/platform.c                               |    8 +-
 fs/pstore/ram.c                                    |   50 +-
 fs/qnx6/dir.c                                      |    5 -
 fs/reiserfs/super.c                                |    4 +-
 fs/select.c                                        |    6 +-
 fs/seq_file.c                                      |   34 +-
 fs/splice.c                                        |    3 +-
 fs/squashfs/squashfs_fs_i.h                        |    2 +-
 fs/super.c                                         |    2 +-
 fs/sysfs/dir.c                                     |   34 +
 fs/sysfs/file.c                                    |    2 +-
 fs/sysfs/group.c                                   |    6 +-
 fs/sysfs/mount.c                                   |    5 +-
 fs/sysv/Makefile                                   |    2 +-
 fs/sysv/dir.c                                      |    5 -
 fs/sysv/inode.c                                    |    5 +-
 fs/sysv/symlink.c                                  |   20 -
 fs/sysv/sysv.h                                     |    3 +-
 fs/tracefs/inode.c                                 |   17 +-
 fs/ubifs/dir.c                                     |    1 +
 fs/ubifs/file.c                                    |   11 +-
 fs/ubifs/super.c                                   |    6 +-
 fs/udf/dir.c                                       |    2 +-
 fs/udf/file.c                                      |    2 -
 fs/udf/namei.c                                     |   95 +-
 fs/udf/super.c                                     |   26 +-
 fs/udf/symlink.c                                   |    3 +
 fs/udf/udf_i.h                                     |    2 +-
 fs/udf/unicode.c                                   |   49 +-
 fs/ufs/balloc.c                                    |   34 +-
 fs/ufs/dir.c                                       |   19 +-
 fs/ufs/ialloc.c                                    |   16 +-
 fs/ufs/inode.c                                     |   10 +-
 fs/ufs/namei.c                                     |   82 +-
 fs/ufs/super.c                                     |   12 +
 fs/ufs/symlink.c                                   |   13 +-
 fs/ufs/ufs.h                                       |    3 +-
 fs/xattr.c                                         |   10 +-
 fs/xfs/libxfs/xfs_alloc.c                          |  281 +-
 fs/xfs/libxfs/xfs_alloc.h                          |   10 +-
 fs/xfs/libxfs/xfs_attr.c                           |   25 +-
 fs/xfs/libxfs/xfs_bmap.c                           |   29 +-
 fs/xfs/libxfs/xfs_format.h                         |   65 +-
 fs/xfs/libxfs/xfs_fs.h                             |    1 +
 fs/xfs/libxfs/xfs_ialloc.c                         |  542 +-
 fs/xfs/libxfs/xfs_ialloc.h                         |   15 +-
 fs/xfs/libxfs/xfs_ialloc_btree.c                   |   93 +-
 fs/xfs/libxfs/xfs_ialloc_btree.h                   |   10 +
 fs/xfs/libxfs/xfs_inode_buf.c                      |    8 +-
 fs/xfs/libxfs/xfs_sb.c                             |   34 +-
 fs/xfs/libxfs/xfs_shared.h                         |    6 -
 fs/xfs/libxfs/xfs_trans_resv.h                     |    4 +-
 fs/xfs/libxfs/xfs_trans_space.h                    |    2 +-
 fs/xfs/xfs_aops.c                                  |  171 +-
 fs/xfs/xfs_aops.h                                  |    7 +-
 fs/xfs/xfs_attr_inactive.c                         |   16 +-
 fs/xfs/xfs_bmap_util.c                             |   89 +-
 fs/xfs/xfs_buf.c                                   |    6 +-
 fs/xfs/xfs_buf.h                                   |    2 +-
 fs/xfs/xfs_dquot.c                                 |    8 +-
 fs/xfs/xfs_error.c                                 |    4 +-
 fs/xfs/xfs_error.h                                 |    4 +-
 fs/xfs/xfs_extfree_item.c                          |    2 +-
 fs/xfs/xfs_file.c                                  |  178 +-
 fs/xfs/xfs_filestream.c                            |    3 +-
 fs/xfs/xfs_fsops.c                                 |   10 +-
 fs/xfs/xfs_inode.c                                 |  204 +-
 fs/xfs/xfs_ioctl.c                                 |   14 +-
 fs/xfs/xfs_iomap.c                                 |   18 +-
 fs/xfs/xfs_iops.c                                  |   59 +-
 fs/xfs/xfs_itable.c                                |   13 +-
 fs/xfs/xfs_linux.h                                 |   14 -
 fs/xfs/xfs_log.c                                   |   51 +-
 fs/xfs/xfs_log.h                                   |   13 +-
 fs/xfs/xfs_log_cil.c                               |   12 +-
 fs/xfs/xfs_log_priv.h                              |    2 +-
 fs/xfs/xfs_log_recover.c                           |   97 +-
 fs/xfs/xfs_mount.c                                 |   16 +
 fs/xfs/xfs_mount.h                                 |    4 +
 fs/xfs/xfs_pnfs.c                                  |    4 +-
 fs/xfs/xfs_qm.c                                    |    7 +-
 fs/xfs/xfs_qm_syscalls.c                           |   20 +-
 fs/xfs/xfs_quota.h                                 |    1 -
 fs/xfs/xfs_rtalloc.c                               |   16 +-
 fs/xfs/xfs_super.c                                 |   25 +-
 fs/xfs/xfs_symlink.c                               |   19 +-
 fs/xfs/xfs_trace.h                                 |   47 +
 fs/xfs/xfs_trans.c                                 |   91 +-
 fs/xfs/xfs_trans.h                                 |    7 +-
 fs/xfs/xfs_trans_ail.c                             |    6 +-
 fs/xfs/xfs_trans_dquot.c                           |   32 +-
 fs/xfs/xfs_trans_priv.h                            |    2 +-
 include/acpi/acnames.h                             |    1 +
 include/acpi/acoutput.h                            |   13 +-
 include/acpi/acpi_bus.h                            |   43 +-
 include/acpi/acpiosxf.h                            |    2 +-
 include/acpi/acpixf.h                              |   28 +-
 include/acpi/actbl.h                               |   18 +-
 include/acpi/actbl1.h                              |  187 +-
 include/acpi/actbl2.h                              |  226 +-
 include/acpi/actbl3.h                              |   98 +-
 include/acpi/actypes.h                             |   39 +-
 include/acpi/acuuid.h                              |   89 +
 include/acpi/platform/acenv.h                      |   42 +-
 include/acpi/platform/acenvex.h                    |    9 +
 include/acpi/platform/acgcc.h                      |    4 +
 include/acpi/video.h                               |   21 +-
 include/asm-generic/asm-offsets.h                  |    1 +
 include/asm-generic/barrier.h                      |   32 +-
 include/asm-generic/cmpxchg.h                      |    3 -
 include/asm-generic/futex.h                        |    7 +-
 include/asm-generic/gpio.h                         |    5 -
 include/asm-generic/io.h                           |   17 +
 include/asm-generic/iomap.h                        |    4 +
 include/asm-generic/pci.h                          |   13 -
 include/asm-generic/pgtable.h                      |   38 +-
 include/asm-generic/preempt.h                      |    7 +-
 include/asm-generic/qspinlock.h                    |  139 +
 include/asm-generic/qspinlock_types.h              |   79 +
 include/asm-generic/scatterlist.h                  |   34 -
 include/clocksource/timer-sp804.h                  |   28 +
 include/crypto/aead.h                              |  533 +-
 include/crypto/akcipher.h                          |  340 +
 include/crypto/algapi.h                            |   35 +-
 include/crypto/compress.h                          |    8 +-
 include/crypto/cryptd.h                            |    1 +
 include/crypto/drbg.h                              |   59 +-
 include/crypto/hash.h                              |    2 +-
 include/crypto/internal/aead.h                     |  102 +-
 include/crypto/internal/akcipher.h                 |   60 +
 include/crypto/internal/geniv.h                    |   24 +
 include/crypto/internal/rng.h                      |   21 +-
 include/crypto/internal/rsa.h                      |   27 +
 include/crypto/md5.h                               |    5 +
 include/crypto/null.h                              |    3 +
 include/crypto/rng.h                               |  100 +-
 include/crypto/scatterwalk.h                       |    4 +
 include/drm/drm_mem_util.h                         |    5 +-
 include/drm/i915_component.h                       |    1 +
 include/drm/intel-gtt.h                            |    4 +-
 include/dt-bindings/clock/bcm-cygnus.h             |   68 +
 include/dt-bindings/clock/hi6220-clock.h           |  173 +
 include/dt-bindings/clock/imx7d-clock.h            |  450 ++
 include/dt-bindings/clock/jz4740-cgu.h             |   37 +
 include/dt-bindings/clock/jz4780-cgu.h             |   88 +
 include/dt-bindings/clock/lpc18xx-ccu.h            |   74 +
 include/dt-bindings/clock/lpc18xx-cgu.h            |   41 +
 include/dt-bindings/clock/marvell,mmp2.h           |    1 +
 include/dt-bindings/clock/marvell,pxa168.h         |    3 +
 include/dt-bindings/clock/marvell,pxa1928.h        |   57 +
 include/dt-bindings/clock/marvell,pxa910.h         |    4 +
 include/dt-bindings/clock/meson8b-clkc.h           |   25 +
 include/dt-bindings/clock/mt8135-clk.h             |  194 +
 include/dt-bindings/clock/mt8173-clk.h             |  235 +
 include/dt-bindings/clock/qcom,gcc-ipq806x.h       |    2 +
 include/dt-bindings/clock/r8a73a4-clock.h          |    1 +
 include/dt-bindings/clock/r8a7790-clock.h          |    3 +
 include/dt-bindings/clock/r8a7791-clock.h          |    3 +
 include/dt-bindings/clock/r8a7794-clock.h          |    3 +
 include/dt-bindings/clock/samsung,s2mps11.h        |   23 +
 include/dt-bindings/clock/vf610-clock.h            |    3 +-
 include/dt-bindings/clock/zx296702-clock.h         |  170 +
 include/dt-bindings/mfd/arizona.h                  |   18 +
 include/dt-bindings/mfd/st-lpc.h                   |   15 +
 include/dt-bindings/net/ti-dp83867.h               |   45 +
 include/dt-bindings/phy/phy-pistachio-usb.h        |   16 +
 include/dt-bindings/pinctrl/am43xx.h               |    1 +
 include/dt-bindings/pinctrl/bcm2835.h              |   27 +
 include/dt-bindings/pinctrl/mt6397-pinfunc.h       |  256 +
 .../dt-bindings/reset-controller/mt8135-resets.h   |   64 +
 .../dt-bindings/reset-controller/mt8173-resets.h   |   63 +
 include/dt-bindings/reset/qcom,gcc-ipq806x.h       |   43 +
 include/dt-bindings/sound/apq8016-lpass.h          |    9 +
 include/dt-bindings/sound/audio-jack-events.h      |    9 +
 include/dt-bindings/sound/tas2552.h                |   18 +
 include/linux/acpi.h                               |   89 +-
 include/linux/alarmtimer.h                         |    4 +-
 include/linux/ata.h                                |   12 +-
 include/linux/backing-dev-defs.h                   |  256 +
 include/linux/backing-dev.h                        |  562 +-
 include/linux/backlight.h                          |    8 +-
 include/linux/basic_mmio_gpio.h                    |    1 +
 include/linux/bcm47xx_nvram.h                      |   17 +-
 include/linux/bcma/bcma.h                          |    9 +
 include/linux/bcma/bcma_driver_pci.h               |   11 +
 include/linux/bio.h                                |   20 +-
 include/linux/blk-cgroup.h                         |  655 ++
 include/linux/blk-mq.h                             |    4 +
 include/linux/blk_types.h                          |   23 +-
 include/linux/blkdev.h                             |   63 +-
 include/linux/bootmem.h                            |    8 +-
 include/linux/bottom_half.h                        |    1 -
 include/linux/bpf.h                                |   36 +-
 include/linux/brcmphy.h                            |    7 +
 include/linux/buffer_head.h                        |    7 +
 include/linux/cacheinfo.h                          |    2 +-
 include/linux/ceph/libceph.h                       |   21 +-
 include/linux/ceph/messenger.h                     |    3 +
 include/linux/ceph/osd_client.h                    |    2 +-
 include/linux/cgroup-defs.h                        |  501 ++
 include/linux/cgroup.h                             | 1066 +--
 include/linux/clk-provider.h                       |   16 +-
 include/linux/clk.h                                |   27 +-
 include/linux/clkdev.h                             |    6 +-
 include/linux/clockchips.h                         |   37 +-
 include/linux/clocksource.h                        |    1 -
 include/linux/compiler-gcc.h                       |  207 +-
 include/linux/compiler-gcc3.h                      |   23 -
 include/linux/compiler-gcc4.h                      |   91 -
 include/linux/compiler-gcc5.h                      |   67 -
 include/linux/compiler-intel.h                     |    2 +
 include/linux/compiler.h                           |   37 +-
 include/linux/configfs.h                           |    1 -
 include/linux/console.h                            |    1 +
 include/linux/console_struct.h                     |    1 +
 include/linux/context_tracking.h                   |   10 -
 include/linux/context_tracking_state.h             |    1 +
 include/linux/cpu_cooling.h                        |   39 +
 include/linux/cpufreq.h                            |    5 +-
 include/linux/cpuidle.h                            |   20 +-
 include/linux/crc-itu-t.h                          |    2 +-
 include/linux/crc-t10dif.h                         |    1 +
 include/linux/crush/crush.h                        |   40 +-
 include/linux/crush/hash.h                         |    6 +
 include/linux/crush/mapper.h                       |    2 +-
 include/linux/crypto.h                             |  501 +-
 include/linux/cryptouser.h                         |  105 -
 include/linux/dcache.h                             |    7 +
 include/linux/debugfs.h                            |    1 -
 include/linux/device.h                             |   53 +
 include/linux/dma-buf.h                            |   10 +-
 include/linux/dma/pxa-dma.h                        |   27 +
 include/linux/dmaengine.h                          |   76 +
 include/linux/dmapool.h                            |    2 +-
 include/linux/dmar.h                               |   85 +-
 include/linux/dmi.h                                |    4 +-
 include/linux/efi.h                                |   18 +-
 include/linux/elevator.h                           |    2 +
 include/linux/etherdevice.h                        |   42 +-
 include/linux/extcon.h                             |  134 +-
 include/linux/extcon/extcon-adc-jack.h             |    5 +-
 include/linux/f2fs_fs.h                            |    8 +
 include/linux/fdtable.h                            |    3 +
 include/linux/filter.h                             |   30 +-
 include/linux/frontswap.h                          |   14 +-
 include/linux/fs.h                                 |   69 +-
 include/linux/fscache-cache.h                      |   55 +-
 include/linux/fsl_devices.h                        |    1 +
 include/linux/fsnotify_backend.h                   |    2 -
 include/linux/ftrace_event.h                       |  627 --
 include/linux/genalloc.h                           |    6 +-
 include/linux/gfp.h                                |   13 +
 include/linux/goldfish.h                           |   19 +-
 include/linux/gpio.h                               |    7 -
 include/linux/gpio/consumer.h                      |   58 +-
 include/linux/gpio/driver.h                        |   13 +-
 include/linux/gsmmux.h                             |   36 -
 include/linux/hardirq.h                            |    2 +-
 include/linux/hid.h                                |    2 +
 include/linux/highmem.h                            |    2 +
 include/linux/hrtimer.h                            |  167 +-
 include/linux/htirq.h                              |   22 +-
 include/linux/hwspinlock.h                         |    7 +
 include/linux/hyperv.h                             |   48 +-
 include/linux/i2c/twl.h                            |    1 +
 include/linux/ide.h                                |   27 +
 include/linux/ieee802154.h                         |   16 +-
 include/linux/if_link.h                            |    9 +
 include/linux/if_macvlan.h                         |    2 +-
 include/linux/if_pppox.h                           |    2 +-
 include/linux/if_vlan.h                            |   28 +-
 include/linux/igmp.h                               |    1 +
 include/linux/iio/buffer.h                         |    3 +
 include/linux/iio/iio.h                            |    3 +
 include/linux/iio/types.h                          |    2 +
 include/linux/inet_diag.h                          |    1 +
 include/linux/inetdevice.h                         |    3 +
 include/linux/init.h                               |   11 -
 include/linux/init_task.h                          |   13 +-
 include/linux/input/touchscreen.h                  |    5 +-
 include/linux/intel-iommu.h                        |   13 +-
 include/linux/interrupt.h                          |    9 +-
 include/linux/io-mapping.h                         |    2 +
 include/linux/io.h                                 |    8 +
 include/linux/iommu.h                              |   44 +
 include/linux/irq.h                                |   89 +-
 include/linux/irqchip.h                            |   14 +
 include/linux/irqchip/ingenic.h                    |   23 +
 include/linux/irqchip/irq-sa11x0.h                 |   17 +
 include/linux/irqdesc.h                            |   68 +-
 include/linux/irqdomain.h                          |    8 +-
 include/linux/irqnr.h                              |    6 -
 include/linux/jbd2.h                               |    4 +-
 include/linux/jiffies.h                            |  130 +-
 include/linux/kernel.h                             |   30 +-
 include/linux/kernfs.h                             |    8 +
 include/linux/kmemleak.h                           |    6 +-
 include/linux/kvm_host.h                           |   96 +-
 include/linux/kvm_types.h                          |    1 +
 include/linux/leds.h                               |   25 +
 include/linux/lglock.h                             |    5 +
 include/linux/libata.h                             |    3 +-
 include/linux/libfdt_env.h                         |    4 +
 include/linux/libnvdimm.h                          |  151 +
 include/linux/livepatch.h                          |    8 +-
 include/linux/lockdep.h                            |   14 +-
 include/linux/lsm_hooks.h                          | 1888 +++++
 include/linux/mailbox_client.h                     |    2 +
 include/linux/mailbox_controller.h                 |    2 +-
 include/linux/mbus.h                               |    5 +
 include/linux/mdio-gpio.h                          |    3 +-
 include/linux/mei_cl_bus.h                         |   38 +-
 include/linux/memblock.h                           |   67 +-
 include/linux/memcontrol.h                         |   29 +
 include/linux/mfd/arizona/core.h                   |    9 +
 include/linux/mfd/arizona/pdata.h                  |    8 +-
 include/linux/mfd/arizona/registers.h              |   27 +-
 include/linux/mfd/axp20x.h                         |   98 +
 include/linux/mfd/cros_ec.h                        |   86 +-
 include/linux/mfd/cros_ec_commands.h               |  277 +-
 include/linux/mfd/da9055/core.h                    |    2 +-
 include/linux/mfd/da9063/pdata.h                   |    1 +
 include/linux/mfd/max77686.h                       |    5 -
 include/linux/mfd/stmpe.h                          |   44 -
 include/linux/mfd/syscon/atmel-mc.h                |  144 +
 include/linux/mlx4/cmd.h                           |    6 +
 include/linux/mlx4/device.h                        |   30 +-
 include/linux/mlx5/cq.h                            |    3 +
 include/linux/mlx5/device.h                        |  215 +-
 include/linux/mlx5/driver.h                        |  173 +-
 include/linux/mlx5/flow_table.h                    |   54 +
 include/linux/mlx5/mlx5_ifc.h                      | 6622 +++++++++++++++-
 include/linux/mlx5/qp.h                            |   25 +
 include/linux/mlx5/vport.h                         |   55 +
 include/linux/mm-arch-hooks.h                      |   25 +
 include/linux/mm.h                                 |   53 +-
 include/linux/mm_types.h                           |   18 +
 include/linux/mmc/card.h                           |    2 +
 include/linux/mmc/core.h                           |    1 +
 include/linux/mmc/dw_mmc.h                         |    6 -
 include/linux/mmc/host.h                           |   28 +-
 include/linux/mmc/mmc.h                            |    4 +
 include/linux/mmc/sdhci-pci-data.h                 |    2 +
 include/linux/mmu_notifier.h                       |   12 +-
 include/linux/mmzone.h                             |   23 +-
 include/linux/mod_devicetable.h                    |   21 +
 include/linux/module.h                             |   73 +-
 include/linux/moduleparam.h                        |  111 +-
 include/linux/mpi.h                                |   15 +
 include/linux/mtd/cfi.h                            |  188 +-
 include/linux/mtd/nand.h                           |    6 +-
 include/linux/namei.h                              |   41 +-
 include/linux/nd.h                                 |  151 +
 include/linux/net.h                                |    3 +-
 include/linux/netdev_features.h                    |    5 +-
 include/linux/netdevice.h                          |   31 +-
 include/linux/netfilter.h                          |   45 +-
 include/linux/netfilter/ipset/ip_set.h             |   61 +-
 include/linux/netfilter/ipset/ip_set_comment.h     |   38 +-
 include/linux/netfilter/ipset/ip_set_timeout.h     |   27 +-
 include/linux/netfilter/x_tables.h                 |   60 +-
 include/linux/netfilter_bridge.h                   |    7 -
 include/linux/netfilter_bridge/ebtables.h          |    2 +-
 include/linux/netfilter_defs.h                     |    9 +
 include/linux/netfilter_ingress.h                  |   41 +
 include/linux/netfilter_ipv6.h                     |    3 +
 include/linux/netlink.h                            |    2 +
 include/linux/nfs4.h                               |    1 +
 include/linux/nfs_fs.h                             |    1 +
 include/linux/nfs_fs_sb.h                          |    1 +
 include/linux/nfs_page.h                           |    1 -
 include/linux/nfs_xdr.h                            |   51 +-
 include/linux/nmi.h                                |    3 +
 include/linux/ntb.h                                |  970 ++-
 include/linux/ntb_transport.h                      |   85 +
 include/linux/nvme.h                               |   31 +-
 include/linux/nx842.h                              |   11 -
 include/linux/of.h                                 |   34 +-
 include/linux/of_device.h                          |    7 +
 include/linux/of_dma.h                             |   21 +
 include/linux/of_fdt.h                             |    4 +-
 include/linux/oom.h                                |   12 +-
 include/linux/osq_lock.h                           |    5 +
 include/linux/pagemap.h                            |    9 +-
 include/linux/parport.h                            |   43 +-
 include/linux/pci.h                                |   44 +-
 include/linux/pci_ids.h                            |    3 +
 include/linux/perf_event.h                         |   57 +-
 include/linux/phy.h                                |   14 +
 include/linux/phy/phy-sun4i-usb.h                  |   26 +
 include/linux/phy/phy.h                            |    9 +
 include/linux/pinctrl/consumer.h                   |    2 +-
 include/linux/pinctrl/pinctrl.h                    |    2 +-
 include/linux/pinctrl/pinmux.h                     |    6 +-
 include/linux/platform_data/dma-rcar-audmapp.h     |   34 -
 include/linux/platform_data/gpio-ath79.h           |   19 +
 include/linux/platform_data/gpio-omap.h            |   12 +-
 include/linux/platform_data/irq-renesas-irqc.h     |   27 -
 include/linux/platform_data/keyboard-spear.h       |    2 +-
 include/linux/platform_data/nfcmrvl.h              |   40 +
 include/linux/platform_data/ntc_thermistor.h       |    1 +
 include/linux/platform_data/st-nci.h               |   29 +
 include/linux/platform_data/st21nfcb.h             |   29 -
 include/linux/platform_data/st_nci.h               |   29 +
 include/linux/platform_data/usb-rcar-gen2-phy.h    |   22 -
 include/linux/platform_data/video-msm_fb.h         |  146 -
 include/linux/platform_data/wkup_m3.h              |   30 +
 include/linux/platform_device.h                    |   23 +
 include/linux/pm.h                                 |   14 +
 include/linux/pm_clock.h                           |   10 +
 include/linux/pm_wakeirq.h                         |   51 +
 include/linux/pm_wakeup.h                          |    9 +
 include/linux/pmem.h                               |  152 +
 include/linux/power/max17042_battery.h             |    4 +
 include/linux/power_supply.h                       |   11 +
 include/linux/preempt.h                            |  161 +-
 include/linux/preempt_mask.h                       |  117 -
 include/linux/printk.h                             |    2 +
 include/linux/property.h                           |    2 +
 include/linux/pwm.h                                |   12 +
 include/linux/pxa2xx_ssp.h                         |    3 +-
 include/linux/qcom_scm.h                           |   13 +-
 include/linux/random.h                             |    9 +
 include/linux/rbtree.h                             |   16 +-
 include/linux/rbtree_augmented.h                   |   21 +-
 include/linux/rbtree_latch.h                       |  212 +
 include/linux/rculist.h                            |   10 +-
 include/linux/rcupdate.h                           |   89 +-
 include/linux/rcutiny.h                            |   16 +
 include/linux/rcutree.h                            |    9 +-
 include/linux/regmap.h                             |   14 +
 include/linux/regulator/driver.h                   |   11 +
 include/linux/regulator/machine.h                  |    9 +
 include/linux/regulator/max8973-regulator.h        |    4 +
 include/linux/remoteproc.h                         |    9 +-
 include/linux/reset/bcm63xx_pmb.h                  |   88 +
 include/linux/rio.h                                |    2 +-
 include/linux/rtc.h                                |   20 +-
 include/linux/rtc/sirfsoc_rtciobrg.h               |    4 +
 include/linux/rtnetlink.h                          |   16 +-
 include/linux/scatterlist.h                        |   47 +-
 include/linux/sched.h                              |  234 +-
 include/linux/sched/sysctl.h                       |   12 -
 include/linux/scif.h                               |  993 +++
 include/linux/security.h                           | 1628 +---
 include/linux/seq_file.h                           |    1 +
 include/linux/seqlock.h                            |  128 +-
 include/linux/serial_8250.h                        |    3 +
 include/linux/serial_core.h                        |    2 +-
 include/linux/serial_sci.h                         |   86 +-
 include/linux/skbuff.h                             |   77 +-
 include/linux/slab.h                               |    4 +-
 include/linux/smpboot.h                            |    5 +
 include/linux/soc/sunxi/sunxi_sram.h               |   19 +
 include/linux/sock_diag.h                          |   42 +
 include/linux/spi/cc2520.h                         |    1 -
 include/linux/spinlock.h                           |    2 +-
 include/linux/ssb/ssb.h                            |    8 +-
 include/linux/stddef.h                             |    8 +-
 include/linux/stmmac.h                             |    1 +
 include/linux/string.h                             |    1 +
 include/linux/sunrpc/bc_xprt.h                     |    1 -
 include/linux/sunrpc/clnt.h                        |    1 +
 include/linux/sunrpc/sched.h                       |   19 +-
 include/linux/sunrpc/svc_rdma.h                    |   11 +-
 include/linux/sunrpc/xprt.h                        |   39 +-
 include/linux/sunrpc/xprtrdma.h                    |    3 +-
 include/linux/sw842.h                              |   12 +
 include/linux/swap.h                               |    1 -
 include/linux/syscalls.h                           |   18 +-
 include/linux/sysctl.h                             |    3 +
 include/linux/sysfs.h                              |   15 +
 include/linux/syslog.h                             |    6 +-
 include/linux/tcp.h                                |   15 +-
 include/linux/thermal.h                            |   97 +-
 include/linux/tick.h                               |   26 +-
 include/linux/time64.h                             |    2 +
 include/linux/timekeeper_internal.h                |   19 +
 include/linux/timekeeping.h                        |    3 +-
 include/linux/timer.h                              |   63 +-
 include/linux/timerqueue.h                         |    8 +-
 include/linux/topology.h                           |    6 +-
 include/linux/trace_events.h                       |  616 ++
 include/linux/tty.h                                |    2 +-
 include/linux/types.h                              |   12 +-
 include/linux/u64_stats_sync.h                     |    7 +-
 include/linux/uaccess.h                            |   48 +-
 include/linux/ulpi/driver.h                        |   60 +
 include/linux/ulpi/interface.h                     |   23 +
 include/linux/ulpi/regs.h                          |  130 +
 include/linux/usb/hcd.h                            |    2 -
 include/linux/usb/msm_hsusb.h                      |   22 +
 include/linux/usb/msm_hsusb_hw.h                   |    9 +
 include/linux/usb/net2280.h                        |    3 +
 include/linux/usb/phy.h                            |    8 +
 include/linux/usb/renesas_usbhs.h                  |    3 +-
 include/linux/usb/ulpi.h                           |  134 +-
 include/linux/usb/usb338x.h                        |    4 +
 include/linux/virtio_byteorder.h                   |   24 +-
 include/linux/virtio_config.h                      |   18 +-
 include/linux/vme.h                                |    2 +
 include/linux/vringh.h                             |   18 +-
 include/linux/wait.h                               |   30 +-
 include/linux/watchdog.h                           |    3 +
 include/linux/workqueue.h                          |   31 +-
 include/linux/writeback.h                          |  221 +-
 include/linux/zpool.h                              |    5 +-
 include/media/adp1653.h                            |    8 +-
 include/media/adv7511.h                            |    7 +-
 include/media/adv7604.h                            |    1 -
 include/media/adv7842.h                            |  142 +-
 include/media/rc-core.h                            |    9 +-
 include/media/rc-map.h                             |    4 +
 include/media/v4l2-dv-timings.h                    |    6 +-
 include/media/v4l2-flash-led-class.h               |  148 +
 include/media/v4l2-mediabus.h                      |    2 +
 include/media/v4l2-mem2mem.h                       |    4 +
 include/media/v4l2-of.h                            |   20 +-
 include/media/v4l2-subdev.h                        |   20 +-
 include/media/videobuf2-core.h                     |   13 +
 include/misc/cxl-base.h                            |   48 +
 include/misc/cxl.h                                 |  207 +-
 include/net/addrconf.h                             |    1 +
 include/net/af_unix.h                              |    1 -
 include/net/af_vsock.h                             |    2 +-
 include/net/ax25.h                                 |   16 +-
 include/net/bluetooth/bluetooth.h                  |   11 +
 include/net/bluetooth/hci.h                        |   10 +
 include/net/bluetooth/hci_core.h                   |   47 +-
 include/net/bond_options.h                         |    3 +
 include/net/bonding.h                              |    3 +
 include/net/cfg80211.h                             |    8 +-
 include/net/cfg802154.h                            |   70 +-
 include/net/checksum.h                             |    4 +-
 include/net/codel.h                                |   12 +-
 include/net/dst.h                                  |   18 -
 include/net/fib_rules.h                            |    3 +-
 include/net/flow_dissector.h                       |  220 +
 include/net/flow_keys.h                            |   45 -
 include/net/geneve.h                               |    5 +
 include/net/ieee802154_netdev.h                    |   34 +-
 include/net/inet_common.h                          |    2 +-
 include/net/inet_connection_sock.h                 |    3 +-
 include/net/inet_frag.h                            |    2 +-
 include/net/inet_hashtables.h                      |   49 +-
 include/net/inet_sock.h                            |    1 +
 include/net/ip.h                                   |   43 +-
 include/net/ip6_fib.h                              |   45 +-
 include/net/ip6_route.h                            |   21 +-
 include/net/ip_fib.h                               |   20 +-
 include/net/ipv6.h                                 |   43 +-
 include/net/llc_conn.h                             |    2 +-
 include/net/mac80211.h                             |  270 +-
 include/net/mac802154.h                            |  160 +-
 include/net/net_namespace.h                        |    5 +
 include/net/netfilter/br_netfilter.h               |   60 +
 include/net/netfilter/nf_queue.h                   |    2 +
 include/net/netfilter/nf_tables.h                  |   15 +
 include/net/netns/ipv4.h                           |    3 +
 include/net/netns/ipv6.h                           |    1 +
 include/net/netns/netfilter.h                      |    4 +-
 include/net/netns/nftables.h                       |    1 +
 include/net/netns/sctp.h                           |    1 +
 include/net/netns/x_tables.h                       |    2 +-
 include/net/nfc/hci.h                              |    7 +
 include/net/nfc/nci.h                              |    1 +
 include/net/nfc/nci_core.h                         |   71 +
 include/net/nfc/nfc.h                              |   22 +
 include/net/nl802154.h                             |   85 +-
 include/net/request_sock.h                         |    4 +-
 include/net/sch_generic.h                          |   23 -
 include/net/sctp/structs.h                         |    4 +
 include/net/sock.h                                 |   34 +-
 include/net/switchdev.h                            |  257 +-
 include/net/tcp.h                                  |   95 +-
 include/net/xfrm.h                                 |    3 +
 include/ras/ras_event.h                            |   85 +
 include/rdma/ib_addr.h                             |    6 +-
 include/rdma/ib_cache.h                            |    8 +-
 include/rdma/ib_mad.h                              |   41 +-
 include/rdma/ib_verbs.h                            |  394 +-
 include/rdma/iw_cm.h                               |    1 +
 include/rdma/opa_smi.h                             |  106 +
 include/rdma/rdma_cm.h                             |    2 +
 include/scsi/scsi.h                                |  291 +-
 include/scsi/scsi_common.h                         |   64 +
 include/scsi/scsi_device.h                         |    2 -
 include/scsi/scsi_eh.h                             |   31 -
 include/scsi/scsi_proto.h                          |  281 +
 include/scsi/srp.h                                 |    7 +-
 include/soc/at91/at91rm9200_sdramc.h               |   63 -
 include/soc/imx/revision.h                         |   37 +
 include/soc/imx/timer.h                            |   26 +
 include/soc/sa1100/pwer.h                          |   15 +
 include/soc/tegra/emc.h                            |   19 +
 include/soc/tegra/fuse.h                           |    1 +
 include/soc/tegra/mc.h                             |   20 +-
 include/soc/tegra/pmc.h                            |    2 -
 include/sound/control.h                            |    2 +-
 include/sound/core.h                               |    4 -
 include/sound/dmaengine_pcm.h                      |    5 -
 include/sound/emux_synth.h                         |    2 +-
 include/sound/hda_i915.h                           |   36 +
 include/sound/hda_register.h                       |  244 +
 include/sound/hda_regmap.h                         |    2 +
 include/sound/hdaudio.h                            |  309 +-
 include/sound/hdaudio_ext.h                        |  132 +
 include/sound/info.h                               |   37 +-
 include/sound/jack.h                               |   13 +-
 include/sound/pcm.h                                |    5 +-
 include/sound/pcm_drm_eld.h                        |    6 +
 include/sound/pcm_iec958.h                         |    9 +
 include/sound/rt5645.h                             |    6 -
 include/sound/soc-dapm.h                           |   49 +
 include/sound/soc-topology.h                       |  168 +
 include/sound/soc.h                                |  118 +-
 include/sound/tlv.h                                |   15 +-
 include/target/iscsi/iscsi_target_core.h           |   13 +-
 include/target/target_core_backend.h               |   72 +-
 include/target/target_core_backend_configfs.h      |  118 -
 include/target/target_core_base.h                  |  201 +-
 include/target/target_core_configfs.h              |   48 -
 include/target/target_core_fabric.h                |   71 +-
 include/trace/define_trace.h                       |    3 +-
 include/trace/events/btrfs.h                       |   55 -
 include/trace/events/ext4.h                        |   35 +-
 include/trace/events/f2fs.h                        |   33 +-
 include/trace/events/power.h                       |   27 +-
 include/trace/events/sched.h                       |    3 +-
 include/trace/events/target.h                      |    2 +-
 include/trace/events/thermal.h                     |   58 +
 include/trace/events/thermal_power_allocator.h     |   87 +
 include/trace/events/timer.h                       |   12 +-
 include/trace/events/v4l2.h                        |    3 +-
 include/trace/events/writeback.h                   |   16 +-
 include/trace/ftrace.h                             |  859 ---
 include/trace/perf.h                               |  350 +
 include/trace/syscall.h                            |    6 +-
 include/trace/trace_events.h                       |  508 ++
 include/uapi/drm/amdgpu_drm.h                      |   12 +
 include/uapi/drm/i915_drm.h                        |   16 +-
 include/uapi/drm/radeon_drm.h                      |    3 +-
 include/uapi/linux/Kbuild                          |    3 +
 include/uapi/linux/bpf.h                           |   43 +
 include/uapi/linux/can.h                           |    6 +
 include/uapi/linux/can/gw.h                        |    5 +
 include/uapi/linux/cryptouser.h                    |  111 +
 include/uapi/linux/dcbnl.h                         |   10 +-
 include/uapi/linux/dm-ioctl.h                      |    4 +-
 include/uapi/linux/dvb/dmx.h                       |   10 +-
 include/uapi/linux/dvb/frontend.h                  |  223 +-
 include/uapi/linux/elf-em.h                        |    1 +
 include/uapi/linux/ethtool.h                       |   37 +-
 include/uapi/linux/fuse.h                          |    3 +
 include/uapi/linux/gsmmux.h                        |   39 +
 include/uapi/linux/hsi/cs-protocol.h               |   16 +-
 include/uapi/linux/hyperv.h                        |    8 +-
 include/uapi/linux/i2c.h                           |    1 +
 include/uapi/linux/if_link.h                       |   29 +
 include/uapi/linux/if_packet.h                     |    7 +
 include/uapi/linux/if_tun.h                        |    6 +
 include/uapi/linux/iio/types.h                     |    2 +
 include/uapi/linux/in.h                            |   19 +-
 include/uapi/linux/inet_diag.h                     |    4 +-
 include/uapi/linux/ip.h                            |    1 +
 include/uapi/linux/ipv6_route.h                    |    1 +
 include/uapi/linux/kvm.h                           |    9 +-
 include/uapi/linux/libc-compat.h                   |   22 +
 include/uapi/linux/mic_common.h                    |   12 +
 include/uapi/linux/nbd.h                           |    2 -
 include/uapi/linux/ndctl.h                         |  197 +
 include/uapi/linux/netfilter.h                     |    9 +-
 include/uapi/linux/netfilter/ipset/ip_set.h        |    6 +-
 include/uapi/linux/netfilter/nf_tables.h           |    2 +
 include/uapi/linux/netfilter/nfnetlink_queue.h     |    4 +-
 include/uapi/linux/netfilter/xt_socket.h           |    8 +
 include/uapi/linux/netfilter_bridge/ebtables.h     |    2 +-
 include/uapi/linux/netlink.h                       |   16 +-
 include/uapi/linux/nfc.h                           |   10 +
 include/uapi/linux/nfs4.h                          |    7 +
 include/uapi/linux/nl80211.h                       |   28 +-
 include/uapi/linux/nvme.h                          |    5 +
 include/uapi/linux/openvswitch.h                   |    4 +
 include/uapi/linux/perf_event.h                    |   18 +
 include/uapi/linux/pkt_cls.h                       |   57 +-
 include/uapi/linux/pkt_sched.h                     |    7 +-
 include/uapi/linux/rds.h                           |   10 +
 include/uapi/linux/rtnetlink.h                     |    3 +
 include/uapi/linux/scif_ioctl.h                    |  130 +
 include/uapi/linux/serial_core.h                   |    3 +
 include/uapi/linux/serial_reg.h                    |    3 +
 include/uapi/linux/snmp.h                          |    2 +
 include/uapi/linux/sock_diag.h                     |   10 +
 include/uapi/linux/tcp.h                           |    6 +-
 include/uapi/linux/tty.h                           |    1 +
 include/uapi/linux/tty_flags.h                     |    2 +-
 include/uapi/linux/v4l2-mediabus.h                 |    4 +-
 include/uapi/linux/vfio.h                          |  102 +-
 include/uapi/linux/vhost.h                         |   14 +
 include/uapi/linux/videodev2.h                     |   83 +-
 include/uapi/linux/virtio_balloon.h                |    1 +
 include/uapi/misc/cxl.h                            |   22 +
 include/uapi/rdma/ib_user_verbs.h                  |   19 +
 include/uapi/sound/asoc.h                          |  388 +
 include/uapi/sound/tlv.h                           |   31 +
 include/video/neomagic.h                           |    5 +-
 include/video/tdfx.h                               |    2 +-
 init/Kconfig                                       |  113 +-
 init/do_mounts.c                                   |    9 +-
 init/main.c                                        |   28 +-
 ipc/mqueue.c                                       |   54 +-
 ipc/msg.c                                          |   50 +-
 ipc/sem.c                                          |    4 +-
 ipc/shm.c                                          |   12 +-
 ipc/util.c                                         |   28 +-
 ipc/util.h                                         |    2 +-
 kernel/Kconfig.locks                               |   13 +-
 kernel/Makefile                                    |    2 +-
 kernel/audit.c                                     |    2 +-
 kernel/auditsc.c                                   |    7 +-
 kernel/bpf/arraymap.c                              |  113 +-
 kernel/bpf/core.c                                  |  105 +-
 kernel/bpf/helpers.c                               |  105 +-
 kernel/bpf/syscall.c                               |   42 +-
 kernel/bpf/verifier.c                              |   54 +-
 kernel/cgroup.c                                    |  283 +-
 kernel/compat.c                                    |    6 +-
 kernel/configs/xen.config                          |   48 +
 kernel/context_tracking.c                          |   67 +-
 kernel/cpu.c                                       |   26 +-
 kernel/events/core.c                               |  193 +-
 kernel/events/internal.h                           |   19 +-
 kernel/events/ring_buffer.c                        |   43 +-
 kernel/exit.c                                      |    4 +-
 kernel/fork.c                                      |   60 +-
 kernel/futex.c                                     |   72 +-
 kernel/gcov/base.c                                 |    6 +
 kernel/gcov/gcc_4_7.c                              |    4 +-
 kernel/irq/chip.c                                  |   87 +-
 kernel/irq/devres.c                                |    4 +-
 kernel/irq/dummychip.c                             |    1 +
 kernel/irq/generic-chip.c                          |    5 +-
 kernel/irq/internals.h                             |   21 +-
 kernel/irq/irqdesc.c                               |   13 +-
 kernel/irq/irqdomain.c                             |   25 +-
 kernel/irq/manage.c                                |   33 +-
 kernel/irq/migration.c                             |   15 +-
 kernel/irq/msi.c                                   |    2 +-
 kernel/irq/pm.c                                    |    4 +
 kernel/irq/proc.c                                  |    2 +-
 kernel/jump_label.c                                |   10 +-
 kernel/kexec.c                                     |   11 +
 kernel/livepatch/core.c                            |   96 +-
 kernel/locking/Makefile                            |    3 +-
 kernel/locking/lglock.c                            |   22 +
 kernel/locking/lockdep.c                           |  183 +-
 kernel/locking/lockdep_proc.c                      |   22 +-
 kernel/locking/locktorture.c                       |   14 +-
 kernel/locking/mcs_spinlock.h                      |    1 +
 kernel/locking/qrwlock.c                           |   30 +-
 kernel/locking/qspinlock.c                         |  473 ++
 kernel/locking/qspinlock_paravirt.h                |  325 +
 kernel/locking/rtmutex.c                           |  105 +-
 kernel/locking/rtmutex_common.h                    |    3 +
 kernel/locking/rwsem-xadd.c                        |   44 +
 kernel/module.c                                    |  330 +-
 kernel/panic.c                                     |    5 +-
 kernel/params.c                                    |  127 +-
 kernel/power/Kconfig                               |    2 +-
 kernel/power/Makefile                              |    3 +-
 kernel/power/block_io.c                            |  103 -
 kernel/power/hibernate.c                           |    4 +-
 kernel/power/main.c                                |    2 +-
 kernel/power/power.h                               |    9 -
 kernel/power/suspend.c                             |    8 +-
 kernel/power/swap.c                                |  159 +-
 kernel/printk/printk.c                             |  241 +-
 kernel/rcu/rcutorture.c                            |  103 +-
 kernel/rcu/srcu.c                                  |   10 +-
 kernel/rcu/tiny.c                                  |   40 +-
 kernel/rcu/tiny_plugin.h                           |   12 +-
 kernel/rcu/tree.c                                  |  367 +-
 kernel/rcu/tree.h                                  |   35 +-
 kernel/rcu/tree_plugin.h                           |  232 +-
 kernel/rcu/tree_trace.c                            |    6 +-
 kernel/rcu/update.c                                |   30 +-
 kernel/relay.c                                     |    5 +-
 kernel/sched/Makefile                              |    2 +-
 kernel/sched/auto_group.c                          |    6 +-
 kernel/sched/auto_group.h                          |    2 +-
 kernel/sched/core.c                                |  741 +-
 kernel/sched/cputime.c                             |    2 +-
 kernel/sched/deadline.c                            |  299 +-
 kernel/sched/debug.c                               |   53 +-
 kernel/sched/fair.c                                |  483 +-
 kernel/sched/idle.c                                |  114 +-
 kernel/sched/loadavg.c                             |  394 +
 kernel/sched/proc.c                                |  584 --
 kernel/sched/rt.c                                  |  108 +-
 kernel/sched/sched.h                               |   60 +-
 kernel/sched/stats.h                               |   19 +-
 kernel/sched/wait.c                                |    8 +-
 kernel/seccomp.c                                   |   70 +-
 kernel/signal.c                                    |   19 +-
 kernel/smpboot.c                                   |   60 +-
 kernel/stop_machine.c                              |   42 +-
 kernel/sys.c                                       |  166 +-
 kernel/sysctl.c                                    |   33 +-
 kernel/time/Makefile                               |   17 -
 kernel/time/alarmtimer.c                           |   17 +-
 kernel/time/clockevents.c                          |   91 +-
 kernel/time/clocksource.c                          |   24 +-
 kernel/time/hrtimer.c                              |  699 +-
 kernel/time/ntp.c                                  |   61 +-
 kernel/time/ntp_internal.h                         |    1 +
 kernel/time/posix-cpu-timers.c                     |   87 +-
 kernel/time/posix-timers.c                         |   17 +-
 kernel/time/tick-broadcast-hrtimer.c               |   18 +-
 kernel/time/tick-broadcast.c                       |  256 +-
 kernel/time/tick-common.c                          |   56 +-
 kernel/time/tick-internal.h                        |   31 +-
 kernel/time/tick-oneshot.c                         |   22 +-
 kernel/time/tick-sched.c                           |  320 +-
 kernel/time/tick-sched.h                           |   12 +-
 kernel/time/time.c                                 |   78 +-
 kernel/time/timeconst.bc                           |    3 +-
 kernel/time/timekeeping.c                          |  182 +-
 kernel/time/timekeeping.h                          |   11 +-
 kernel/time/timer.c                                |  363 +-
 kernel/time/timer_list.c                           |   51 +-
 kernel/time/timer_stats.c                          |   10 +-
 kernel/torture.c                                   |   26 +-
 kernel/trace/blktrace.c                            |   10 +-
 kernel/trace/bpf_trace.c                           |   42 +-
 kernel/trace/ring_buffer.c                         |  221 +-
 kernel/trace/ring_buffer_benchmark.c               |   25 +-
 kernel/trace/trace.c                               |   23 +-
 kernel/trace/trace.h                               |   44 +-
 kernel/trace/trace_branch.c                        |    4 +-
 kernel/trace/trace_clock.c                         |    3 +
 kernel/trace/trace_event_perf.c                    |   20 +-
 kernel/trace/trace_events.c                        |  304 +-
 kernel/trace/trace_events_filter.c                 |  110 +-
 kernel/trace/trace_events_trigger.c                |   70 +-
 kernel/trace/trace_export.c                        |   10 +-
 kernel/trace/trace_functions_graph.c               |    8 +-
 kernel/trace/trace_kprobe.c                        |   70 +-
 kernel/trace/trace_mmiotrace.c                     |    4 +-
 kernel/trace/trace_output.c                        |   78 +-
 kernel/trace/trace_output.h                        |    2 +-
 kernel/trace/trace_probe.h                         |    8 +-
 kernel/trace/trace_sched_wakeup.c                  |    4 +-
 kernel/trace/trace_syscalls.c                      |   72 +-
 kernel/trace/trace_uprobe.c                        |   46 +-
 kernel/watchdog.c                                  |   67 +-
 kernel/workqueue.c                                 |  491 +-
 lib/842/842.h                                      |  127 +
 lib/842/842_compress.c                             |  626 ++
 lib/842/842_debugfs.h                              |   52 +
 lib/842/842_decompress.c                           |  405 +
 lib/842/Makefile                                   |    2 +
 lib/Kconfig                                        |    9 +
 lib/Kconfig.debug                                  |   71 +-
 lib/Kconfig.kasan                                  |    4 -
 lib/Makefile                                       |    5 +
 lib/bitmap.c                                       |   32 +-
 lib/bug.c                                          |    7 +-
 lib/cpu_rmap.c                                     |    2 +-
 lib/cpumask.c                                      |    9 +-
 lib/crc-itu-t.c                                    |    2 +-
 lib/crc-t10dif.c                                   |   12 +-
 lib/debug_info.c                                   |   27 +
 lib/dynamic_debug.c                                |    4 +-
 lib/genalloc.c                                     |   14 +-
 lib/kobject.c                                      |   14 +-
 lib/list_sort.c                                    |    2 +-
 lib/lz4/lz4_decompress.c                           |   12 +-
 lib/mpi/longlong.h                                 |    4 +-
 lib/mpi/mpicoder.c                                 |   87 +-
 lib/mpi/mpiutil.c                                  |    6 +-
 lib/radix-tree.c                                   |   30 +-
 lib/raid6/Makefile                                 |    2 +-
 lib/raid6/x86.h                                    |    2 +-
 lib/rbtree.c                                       |   76 +-
 lib/rhashtable.c                                   |    9 +-
 lib/scatterlist.c                                  |   50 +-
 lib/sort.c                                         |   23 +-
 lib/string.c                                       |   17 +
 lib/strnlen_user.c                                 |   18 +-
 lib/swiotlb.c                                      |   18 +-
 lib/test-hexdump.c                                 |    6 +-
 lib/test_bpf.c                                     | 2972 +++++++-
 lib/test_rhashtable.c                              |  215 +-
 lib/timerqueue.c                                   |   10 +-
 mm/Kconfig                                         |   19 +
 mm/backing-dev.c                                   |  651 +-
 mm/bootmem.c                                       |   13 +-
 mm/cma.c                                           |   10 +-
 mm/debug.c                                         |    2 +-
 mm/fadvise.c                                       |    2 +-
 mm/filemap.c                                       |   59 +-
 mm/frontswap.c                                     |  215 +-
 mm/huge_memory.c                                   |   22 +-
 mm/hugetlb.c                                       |  197 +-
 mm/hwpoison-inject.c                               |    4 +-
 mm/internal.h                                      |   11 +-
 mm/kasan/kasan.h                                   |    1 -
 mm/kmemleak.c                                      |  168 +-
 mm/madvise.c                                       |    1 +
 mm/memblock.c                                      |  157 +-
 mm/memcontrol.c                                    |  288 +-
 mm/memory-failure.c                                |  351 +-
 mm/memory.c                                        |   50 +-
 mm/memory_hotplug.c                                |    5 +-
 mm/mempolicy.c                                     |   38 +-
 mm/memtest.c                                       |    3 +-
 mm/migrate.c                                       |   11 +-
 mm/mm_init.c                                       |    9 +-
 mm/mmap.c                                          |    6 +-
 mm/mprotect.c                                      |   11 +
 mm/mremap.c                                        |   17 +-
 mm/nobootmem.c                                     |   21 +-
 mm/nommu.c                                         |  116 +-
 mm/oom_kill.c                                      |  158 +-
 mm/page-writeback.c                                | 1231 +--
 mm/page_alloc.c                                    |  717 +-
 mm/page_io.c                                       |    2 +-
 mm/page_owner.c                                    |    2 +-
 mm/percpu.c                                        |    2 +-
 mm/pgtable-generic.c                               |   29 +-
 mm/readahead.c                                     |    2 +-
 mm/rmap.c                                          |   11 +-
 mm/shmem.c                                         |   42 +-
 mm/slab.c                                          |    1 +
 mm/slab.h                                          |    1 +
 mm/slab_common.c                                   |   90 +-
 mm/slub.c                                          |    1 +
 mm/swap.c                                          |    1 -
 mm/swapfile.c                                      |    2 +-
 mm/truncate.c                                      |   18 +-
 mm/vmscan.c                                        |   94 +-
 mm/zbud.c                                          |   23 +-
 mm/zpool.c                                         |   35 +-
 mm/zsmalloc.c                                      |   10 +-
 mm/zswap.c                                         |   12 +-
 net/8021q/vlan.c                                   |   96 +
 net/9p/client.c                                    |   12 +-
 net/9p/trans_rdma.c                                |    4 +-
 net/Kconfig                                        |    3 +
 net/appletalk/ddp.c                                |    2 +-
 net/atm/common.c                                   |    4 +-
 net/atm/common.h                                   |    2 +-
 net/atm/pvc.c                                      |    2 +-
 net/atm/svc.c                                      |    2 +-
 net/ax25/af_ax25.c                                 |   35 +-
 net/ax25/ax25_in.c                                 |    3 +-
 net/ax25/ax25_ip.c                                 |    1 -
 net/ax25/ax25_out.c                                |    1 -
 net/ax25/ax25_uid.c                                |    1 -
 net/batman-adv/Makefile                            |    6 +-
 net/batman-adv/bat_algo.h                          |    2 +-
 net/batman-adv/bat_iv_ogm.c                        |  210 +-
 net/batman-adv/bitarray.c                          |    6 +-
 net/batman-adv/bitarray.h                          |    8 +-
 net/batman-adv/bridge_loop_avoidance.c             |   56 +-
 net/batman-adv/bridge_loop_avoidance.h             |   12 +-
 net/batman-adv/debugfs.c                           |   47 +-
 net/batman-adv/debugfs.h                           |   43 +-
 net/batman-adv/distributed-arp-table.c             |   47 +-
 net/batman-adv/distributed-arp-table.h             |   15 +-
 net/batman-adv/fragmentation.c                     |   44 +-
 net/batman-adv/fragmentation.h                     |   11 +-
 net/batman-adv/gateway_client.c                    |   41 +-
 net/batman-adv/gateway_client.h                    |   10 +-
 net/batman-adv/gateway_common.c                    |   13 +-
 net/batman-adv/gateway_common.h                    |    9 +-
 net/batman-adv/hard-interface.c                    |   40 +-
 net/batman-adv/hard-interface.h                    |   13 +-
 net/batman-adv/hash.c                              |    8 +-
 net/batman-adv/hash.h                              |   33 +-
 net/batman-adv/icmp_socket.c                       |   35 +-
 net/batman-adv/icmp_socket.h                       |    9 +-
 net/batman-adv/main.c                              |  103 +-
 net/batman-adv/main.h                              |   40 +-
 net/batman-adv/multicast.c                         |   31 +-
 net/batman-adv/multicast.h                         |    8 +-
 net/batman-adv/network-coding.c                    |   53 +-
 net/batman-adv/network-coding.h                    |   15 +-
 net/batman-adv/originator.c                        |   36 +-
 net/batman-adv/originator.h                        |   28 +-
 net/batman-adv/packet.h                            |    5 +-
 net/batman-adv/routing.c                           |   38 +-
 net/batman-adv/routing.h                           |   12 +-
 net/batman-adv/send.c                              |   40 +-
 net/batman-adv/send.h                              |   15 +-
 net/batman-adv/soft-interface.c                    |   72 +-
 net/batman-adv/soft-interface.h                    |   13 +-
 net/batman-adv/sysfs.c                             |   62 +-
 net/batman-adv/sysfs.h                             |   12 +-
 net/batman-adv/translation-table.c                 |   91 +-
 net/batman-adv/translation-table.h                 |   11 +-
 net/batman-adv/types.h                             |   33 +-
 net/bluetooth/6lowpan.c                            |   15 +-
 net/bluetooth/Makefile                             |    3 +-
 net/bluetooth/bnep/sock.c                          |    2 +-
 net/bluetooth/cmtp/sock.c                          |    2 +-
 net/bluetooth/hci_conn.c                           |    4 +-
 net/bluetooth/hci_core.c                           |  153 +-
 net/bluetooth/hci_event.c                          |  113 +-
 net/bluetooth/hci_sock.c                           |   32 +-
 net/bluetooth/hidp/core.c                          |    1 +
 net/bluetooth/hidp/sock.c                          |    2 +-
 net/bluetooth/l2cap_core.c                         |   17 +-
 net/bluetooth/l2cap_sock.c                         |   10 +-
 net/bluetooth/mgmt.c                               |  576 +-
 net/bluetooth/rfcomm/core.c                        |    2 +-
 net/bluetooth/rfcomm/sock.c                        |   28 +-
 net/bluetooth/sco.c                                |   13 +-
 net/bluetooth/smp.c                                |  158 +-
 net/bridge/Makefile                                |    2 +
 net/bridge/br.c                                    |   22 +-
 net/bridge/br_fdb.c                                |   40 +-
 net/bridge/br_if.c                                 |    4 +-
 net/bridge/br_ioctl.c                              |    2 -
 net/bridge/br_multicast.c                          |  275 +-
 net/bridge/br_netfilter.c                          | 1140 ---
 net/bridge/br_netfilter_hooks.c                    | 1052 +++
 net/bridge/br_netfilter_ipv6.c                     |  245 +
 net/bridge/br_netlink.c                            |   24 +-
 net/bridge/br_private.h                            |   13 +-
 net/bridge/br_stp.c                                |   13 +-
 net/bridge/br_stp_if.c                             |    6 +-
 net/bridge/br_sysfs_if.c                           |    2 +-
 net/bridge/br_vlan.c                               |   60 +-
 net/bridge/netfilter/ebt_stp.c                     |    6 +-
 net/bridge/netfilter/ebtables.c                    |    8 +-
 net/caif/caif_socket.c                             |    2 +-
 net/can/af_can.c                                   |    8 +-
 net/can/gw.c                                       |   68 +-
 net/ceph/ceph_common.c                             |   66 +-
 net/ceph/crush/crush.c                             |   13 +-
 net/ceph/crush/crush_ln_table.h                    |   32 +-
 net/ceph/crush/hash.c                              |    8 +-
 net/ceph/crush/mapper.c                            |  148 +-
 net/ceph/messenger.c                               |   29 +-
 net/ceph/mon_client.c                              |   13 +-
 net/ceph/osd_client.c                              |   42 +-
 net/ceph/osdmap.c                                  |    2 +-
 net/ceph/pagevec.c                                 |    5 +-
 net/core/dev.c                                     |  231 +-
 net/core/ethtool.c                                 |   23 +-
 net/core/filter.c                                  |  261 +-
 net/core/flow_dissector.c                          |  658 +-
 net/core/neighbour.c                               |   16 +
 net/core/net-sysfs.c                               |   10 +-
 net/core/net_namespace.c                           |  133 +-
 net/core/netevent.c                                |    5 +-
 net/core/pktgen.c                                  |  112 +-
 net/core/rtnetlink.c                               |   81 +-
 net/core/secure_seq.c                              |    2 +-
 net/core/skbuff.c                                  |  389 +-
 net/core/sock.c                                    |   71 +-
 net/core/sock_diag.c                               |   85 +
 net/core/stream.c                                  |    6 +-
 net/core/utils.c                                   |   12 +-
 net/dccp/diag.c                                    |    1 +
 net/decnet/af_decnet.c                             |    8 +-
 net/dsa/dsa.c                                      |    4 +-
 net/dsa/slave.c                                    |   56 +-
 net/ethernet/eth.c                                 |   15 +-
 net/ieee802154/6lowpan/core.c                      |   28 -
 net/ieee802154/6lowpan/tx.c                        |    5 +-
 net/ieee802154/core.c                              |    2 -
 net/ieee802154/nl-mac.c                            |   39 +-
 net/ieee802154/nl-phy.c                            |   10 +-
 net/ieee802154/nl802154.c                          |  316 +-
 net/ieee802154/rdev-ops.h                          |   23 +
 net/ieee802154/socket.c                            |   28 +-
 net/ieee802154/trace.h                             |   38 +-
 net/ipv4/Kconfig                                   |   24 +-
 net/ipv4/Makefile                                  |    3 +-
 net/ipv4/af_inet.c                                 |   13 +-
 net/ipv4/devinet.c                                 |    2 +
 net/ipv4/esp4.c                                    |  200 +-
 net/ipv4/fib_frontend.c                            |   29 +-
 net/ipv4/fib_rules.c                               |    5 +-
 net/ipv4/fib_semantics.c                           |   97 +-
 net/ipv4/fib_trie.c                                |   75 +-
 net/ipv4/geneve.c                                  |  453 --
 net/ipv4/geneve_core.c                             |  447 ++
 net/ipv4/igmp.c                                    |  162 +
 net/ipv4/inet_connection_sock.c                    |   19 +-
 net/ipv4/inet_diag.c                               |   60 +-
 net/ipv4/inet_hashtables.c                         |   57 +-
 net/ipv4/inet_timewait_sock.c                      |    2 +-
 net/ipv4/ip_forward.c                              |   18 +-
 net/ipv4/ip_fragment.c                             |   46 +-
 net/ipv4/ip_output.c                               |   88 +-
 net/ipv4/ip_sockglue.c                             |   18 +-
 net/ipv4/ip_tunnel_core.c                          |   20 +-
 net/ipv4/ip_vti.c                                  |   14 +-
 net/ipv4/ipip.c                                    |    3 +-
 net/ipv4/netfilter.c                               |    9 +-
 net/ipv4/netfilter/Kconfig                         |    3 +-
 net/ipv4/netfilter/arp_tables.c                    |   86 +-
 net/ipv4/netfilter/ip_tables.c                     |   99 +-
 net/ipv4/netfilter/ipt_CLUSTERIP.c                 |    5 +
 net/ipv4/netfilter/ipt_SYNPROXY.c                  |    4 +-
 net/ipv4/netfilter/ipt_rpfilter.c                  |    2 +-
 net/ipv4/proc.c                                    |    2 +
 net/ipv4/route.c                                   |   33 +-
 net/ipv4/syncookies.c                              |   10 +-
 net/ipv4/sysctl_net_ipv4.c                         |   25 +-
 net/ipv4/tcp.c                                     |  100 +-
 net/ipv4/tcp_cdg.c                                 |  433 ++
 net/ipv4/tcp_cong.c                                |    5 +-
 net/ipv4/tcp_dctcp.c                               |   26 +-
 net/ipv4/tcp_diag.c                                |    6 +-
 net/ipv4/tcp_fastopen.c                            |    2 -
 net/ipv4/tcp_input.c                               |  139 +-
 net/ipv4/tcp_ipv4.c                                |   15 +-
 net/ipv4/tcp_minisocks.c                           |    9 +-
 net/ipv4/tcp_offload.c                             |    4 +-
 net/ipv4/tcp_output.c                              |  106 +-
 net/ipv4/tcp_timer.c                               |    4 +-
 net/ipv4/udp.c                                     |   24 +-
 net/ipv4/udp_diag.c                                |    2 +
 net/ipv4/udp_tunnel.c                              |    8 +-
 net/ipv6/Makefile                                  |    1 +
 net/ipv6/addrconf.c                                |    2 +
 net/ipv6/addrconf_core.c                           |   11 +-
 net/ipv6/af_inet6.c                                |    6 +-
 net/ipv6/datagram.c                                |   12 +-
 net/ipv6/esp6.c                                    |  200 +-
 net/ipv6/icmp.c                                    |    6 +-
 net/ipv6/inet6_hashtables.c                        |    8 +-
 net/ipv6/ip6_fib.c                                 |   25 +-
 net/ipv6/ip6_flowlabel.c                           |    4 +
 net/ipv6/ip6_output.c                              |   60 +-
 net/ipv6/ip6_tunnel.c                              |    2 +-
 net/ipv6/ip6_udp_tunnel.c                          |    6 +-
 net/ipv6/ip6_vti.c                                 |   27 +-
 net/ipv6/mcast_snoop.c                             |  213 +
 net/ipv6/ndisc.c                                   |    2 +-
 net/ipv6/netfilter.c                               |    2 +
 net/ipv6/netfilter/Kconfig                         |    3 +-
 net/ipv6/netfilter/ip6_tables.c                    |  100 +-
 net/ipv6/output_core.c                             |   14 +-
 net/ipv6/raw.c                                     |   11 +-
 net/ipv6/route.c                                   |  561 +-
 net/ipv6/syncookies.c                              |   19 +-
 net/ipv6/sysctl_net_ipv6.c                         |    8 +
 net/ipv6/tcp_ipv6.c                                |   16 +-
 net/ipv6/udp.c                                     |    6 +-
 net/ipv6/xfrm6_policy.c                            |   20 +-
 net/ipx/af_ipx.c                                   |    2 +-
 net/irda/af_irda.c                                 |    2 +-
 net/irda/timer.c                                   |    4 +-
 net/iucv/af_iucv.c                                 |   10 +-
 net/key/af_key.c                                   |    3 +-
 net/l2tp/l2tp_core.c                               |   15 +-
 net/l2tp/l2tp_ppp.c                                |    4 +-
 net/llc/af_llc.c                                   |    2 +-
 net/llc/llc_conn.c                                 |    6 +-
 net/mac80211/Kconfig                               |   16 +-
 net/mac80211/aes_ccm.c                             |   33 +-
 net/mac80211/aes_gcm.c                             |   33 +-
 net/mac80211/aes_gmac.c                            |   14 +-
 net/mac80211/agg-tx.c                              |    4 +-
 net/mac80211/cfg.c                                 |  214 +-
 net/mac80211/chan.c                                |   10 +
 net/mac80211/debugfs.c                             |  177 +-
 net/mac80211/debugfs_key.c                         |   17 +-
 net/mac80211/debugfs_sta.c                         |   85 -
 net/mac80211/driver-ops.h                          |   13 +-
 net/mac80211/ethtool.c                             |    3 +-
 net/mac80211/ibss.c                                |    6 +-
 net/mac80211/ieee80211_i.h                         |   36 +-
 net/mac80211/iface.c                               |   74 +-
 net/mac80211/key.c                                 |  109 +-
 net/mac80211/key.h                                 |    7 +-
 net/mac80211/led.c                                 |  268 +-
 net/mac80211/led.h                                 |   44 +-
 net/mac80211/main.c                                |   34 +-
 net/mac80211/mesh.c                                |    1 +
 net/mac80211/mesh_hwmp.c                           |   35 +-
 net/mac80211/mesh_plink.c                          |   44 +-
 net/mac80211/mlme.c                                |  247 +-
 net/mac80211/offchannel.c                          |    2 +-
 net/mac80211/pm.c                                  |    4 +-
 net/mac80211/rate.c                                |   18 +-
 net/mac80211/rate.h                                |   14 +-
 net/mac80211/rc80211_minstrel_ht.c                 |    2 +-
 net/mac80211/rx.c                                  |  227 +-
 net/mac80211/scan.c                                |   18 +-
 net/mac80211/sta_info.c                            |   24 +-
 net/mac80211/sta_info.h                            |   44 +-
 net/mac80211/status.c                              |  163 +-
 net/mac80211/tdls.c                                |   52 +-
 net/mac80211/trace.h                               |   42 +-
 net/mac80211/tx.c                                  |  549 +-
 net/mac80211/util.c                                |    6 +-
 net/mac80211/wpa.c                                 |   10 +-
 net/mac802154/Kconfig                              |    1 +
 net/mac802154/Makefile                             |    4 +-
 net/mac802154/cfg.c                                |  101 +-
 net/mac802154/driver-ops.h                         |   96 +-
 net/mac802154/ieee802154_i.h                       |    9 -
 net/mac802154/iface.c                              |  156 +-
 net/mac802154/llsec.c                              |   44 +-
 net/mac802154/mac_cmd.c                            |   42 +-
 net/mac802154/main.c                               |   32 +
 net/mac802154/mib.c                                |   63 +-
 net/mac802154/rx.c                                 |   13 +-
 net/mac802154/trace.c                              |    9 +
 net/mac802154/trace.h                              |  272 +
 net/mac802154/util.c                               |    5 +-
 net/mpls/af_mpls.c                                 |   13 +-
 net/mpls/internal.h                                |    1 +
 net/mpls/mpls_gso.c                                |    2 +
 net/netfilter/Kconfig                              |   31 +-
 net/netfilter/Makefile                             |    1 +
 net/netfilter/core.c                               |   38 +-
 net/netfilter/ipset/ip_set_bitmap_gen.h            |   44 +-
 net/netfilter/ipset/ip_set_bitmap_ip.c             |   44 +-
 net/netfilter/ipset/ip_set_bitmap_ipmac.c          |   59 +-
 net/netfilter/ipset/ip_set_bitmap_port.c           |   27 +-
 net/netfilter/ipset/ip_set_core.c                  |  387 +-
 net/netfilter/ipset/ip_set_getport.c               |   19 +-
 net/netfilter/ipset/ip_set_hash_gen.h              |  736 +-
 net/netfilter/ipset/ip_set_hash_ip.c               |   72 +-
 net/netfilter/ipset/ip_set_hash_ipmark.c           |   87 +-
 net/netfilter/ipset/ip_set_hash_ipport.c           |   98 +-
 net/netfilter/ipset/ip_set_hash_ipportip.c         |   91 +-
 net/netfilter/ipset/ip_set_hash_ipportnet.c        |   96 +-
 net/netfilter/ipset/ip_set_hash_mac.c              |   30 +-
 net/netfilter/ipset/ip_set_hash_net.c              |   73 +-
 net/netfilter/ipset/ip_set_hash_netiface.c         |  250 +-
 net/netfilter/ipset/ip_set_hash_netnet.c           |  146 +-
 net/netfilter/ipset/ip_set_hash_netport.c          |   86 +-
 net/netfilter/ipset/ip_set_hash_netportnet.c       |  176 +-
 net/netfilter/ipset/ip_set_list_set.c              |  422 +-
 net/netfilter/ipset/pfxlen.c                       |   16 +-
 net/netfilter/ipvs/ip_vs_sync.c                    |   30 +-
 net/netfilter/ipvs/ip_vs_xmit.c                    |   19 +-
 net/netfilter/nf_conntrack_h323_main.c             |    4 +-
 net/netfilter/nf_conntrack_proto_generic.c         |    8 +-
 net/netfilter/nf_internals.h                       |    1 +
 net/netfilter/nf_queue.c                           |   17 +
 net/netfilter/nf_synproxy_core.c                   |    1 +
 net/netfilter/nf_tables_api.c                      |  117 +-
 net/netfilter/nf_tables_core.c                     |    7 +-
 net/netfilter/nf_tables_netdev.c                   |  258 +
 net/netfilter/nfnetlink_log.c                      |    2 -
 net/netfilter/nfnetlink_queue_core.c               |   63 +-
 net/netfilter/nft_compat.c                         |    2 +
 net/netfilter/x_tables.c                           |   55 +-
 net/netfilter/xt_TCPMSS.c                          |    6 +
 net/netfilter/xt_TEE.c                             |    1 +
 net/netfilter/xt_addrtype.c                        |    2 +-
 net/netfilter/xt_mark.c                            |    1 +
 net/netfilter/xt_set.c                             |   47 +-
 net/netfilter/xt_socket.c                          |   59 +-
 net/netlink/af_netlink.c                           |  170 +-
 net/netrom/af_netrom.c                             |    4 +-
 net/netrom/nr_route.c                              |    1 -
 net/nfc/af_nfc.c                                   |    2 +-
 net/nfc/llcp.h                                     |    2 +-
 net/nfc/llcp_core.c                                |    2 +-
 net/nfc/llcp_sock.c                                |    8 +-
 net/nfc/nci/Kconfig                                |    7 +
 net/nfc/nci/Makefile                               |    3 +
 net/nfc/nci/core.c                                 |  105 +-
 net/nfc/nci/hci.c                                  |   11 +-
 net/nfc/nci/ntf.c                                  |   10 +
 net/nfc/nci/rsp.c                                  |   10 +
 net/nfc/nci/uart.c                                 |  494 ++
 net/nfc/netlink.c                                  |   55 +
 net/nfc/nfc.h                                      |    2 +-
 net/nfc/rawsock.c                                  |    4 +-
 net/openvswitch/Kconfig                            |    2 +-
 net/openvswitch/actions.c                          |   23 +-
 net/openvswitch/datapath.c                         |   20 +-
 net/openvswitch/datapath.h                         |    2 +
 net/openvswitch/flow.c                             |    4 +-
 net/openvswitch/flow_netlink.c                     |    2 +-
 net/openvswitch/vport-geneve.c                     |    5 -
 net/openvswitch/vport-netdev.c                     |    1 +
 net/packet/af_packet.c                             |  198 +-
 net/packet/internal.h                              |   13 +-
 net/phonet/af_phonet.c                             |    2 +-
 net/phonet/pep.c                                   |    2 +-
 net/rds/af_rds.c                                   |   52 +-
 net/rds/bind.c                                     |    4 +
 net/rds/ib.h                                       |   23 +-
 net/rds/ib_cm.c                                    |   43 +-
 net/rds/ib_recv.c                                  |    4 +-
 net/rds/ib_send.c                                  |   55 +-
 net/rds/iw_cm.c                                    |    7 +-
 net/rds/iw_send.c                                  |   18 +-
 net/rds/rdma_transport.c                           |   34 +-
 net/rds/rds.h                                      |    9 +-
 net/rds/transport.c                                |   21 +
 net/rfkill/core.c                                  |   12 +-
 net/rfkill/rfkill-gpio.c                           |   24 +-
 net/rose/af_rose.c                                 |    7 +-
 net/rose/rose_link.c                               |    1 -
 net/rose/rose_route.c                              |    1 -
 net/rxrpc/af_rxrpc.c                               |    2 +-
 net/rxrpc/ar-local.c                               |    4 +-
 net/sched/Kconfig                                  |   11 +
 net/sched/Makefile                                 |    1 +
 net/sched/act_api.c                                |    5 -
 net/sched/act_bpf.c                                |    9 +-
 net/sched/act_mirred.c                             |    2 +-
 net/sched/act_pedit.c                              |    5 +-
 net/sched/cls_bpf.c                                |   16 +-
 net/sched/cls_flow.c                               |   28 +-
 net/sched/cls_flower.c                             |  691 ++
 net/sched/em_ipset.c                               |    4 +-
 net/sched/sch_api.c                                |   17 +-
 net/sched/sch_choke.c                              |   20 +-
 net/sched/sch_codel.c                              |   15 +-
 net/sched/sch_fq_codel.c                           |   26 +-
 net/sched/sch_gred.c                               |   28 +-
 net/sched/sch_hhf.c                                |   19 +-
 net/sched/sch_ingress.c                            |   59 +-
 net/sched/sch_netem.c                              |    4 +-
 net/sched/sch_qfq.c                                |    3 +-
 net/sched/sch_sfb.c                                |   24 +-
 net/sched/sch_sfq.c                                |   27 +-
 net/sctp/auth.c                                    |   11 +-
 net/sctp/ipv6.c                                    |    7 +-
 net/sctp/output.c                                  |    4 +-
 net/sctp/protocol.c                                |    2 +-
 net/sctp/socket.c                                  |   49 +-
 net/socket.c                                       |    7 +-
 net/sunrpc/Kconfig                                 |   28 +-
 net/sunrpc/Makefile                                |    5 +-
 net/sunrpc/auth.c                                  |    2 +-
 net/sunrpc/auth_gss/gss_krb5_crypto.c              |    8 +-
 net/sunrpc/backchannel_rqst.c                      |  134 +-
 net/sunrpc/bc_svc.c                                |   63 -
 net/sunrpc/clnt.c                                  |  109 +-
 net/sunrpc/debugfs.c                               |   78 +
 net/sunrpc/svc.c                                   |   38 +-
 net/sunrpc/xprt.c                                  |    7 +-
 net/sunrpc/xprtrdma/Makefile                       |   14 +-
 net/sunrpc/xprtrdma/fmr_ops.c                      |  120 +-
 net/sunrpc/xprtrdma/frwr_ops.c                     |  229 +-
 net/sunrpc/xprtrdma/module.c                       |   46 +
 net/sunrpc/xprtrdma/physical_ops.c                 |   14 +-
 net/sunrpc/xprtrdma/rpc_rdma.c                     |    8 +-
 net/sunrpc/xprtrdma/svc_rdma.c                     |    8 +-
 net/sunrpc/xprtrdma/svc_rdma_marshal.c             |  140 +-
 net/sunrpc/xprtrdma/svc_rdma_recvfrom.c            |    6 +-
 net/sunrpc/xprtrdma/svc_rdma_sendto.c              |   16 +-
 net/sunrpc/xprtrdma/svc_rdma_transport.c           |  119 +-
 net/sunrpc/xprtrdma/transport.c                    |   56 +-
 net/sunrpc/xprtrdma/verbs.c                        |  348 +-
 net/sunrpc/xprtrdma/xprt_rdma.h                    |   49 +-
 net/sunrpc/xprtsock.c                              |  157 +-
 net/switchdev/switchdev.c                          |  951 ++-
 net/tipc/addr.c                                    |    7 -
 net/tipc/addr.h                                    |    8 +
 net/tipc/bcast.c                                   |   46 +-
 net/tipc/bcast.h                                   |    1 +
 net/tipc/bearer.c                                  |   20 +-
 net/tipc/bearer.h                                  |    2 +-
 net/tipc/core.c                                    |    4 +-
 net/tipc/core.h                                    |   37 +-
 net/tipc/link.c                                    |  313 +-
 net/tipc/link.h                                    |   60 +-
 net/tipc/msg.c                                     |   51 +-
 net/tipc/msg.h                                     |   37 +-
 net/tipc/name_table.c                              |   34 +-
 net/tipc/net.c                                     |    1 +
 net/tipc/netlink_compat.c                          |  137 +-
 net/tipc/node.c                                    |    3 +-
 net/tipc/node.h                                    |    2 -
 net/tipc/server.c                                  |    6 +-
 net/tipc/socket.c                                  |   26 +-
 net/tipc/subscr.c                                  |  242 +-
 net/tipc/subscr.h                                  |   18 +-
 net/unix/af_unix.c                                 |  267 +-
 net/vmw_vsock/af_vsock.c                           |    7 +-
 net/vmw_vsock/vmci_transport.c                     |    2 +-
 net/wireless/chan.c                                |   65 +-
 net/wireless/core.h                                |    1 +
 net/wireless/nl80211.c                             |    7 +-
 net/wireless/reg.c                                 |    4 +-
 net/wireless/sme.c                                 |    4 +-
 net/wireless/sysfs.c                               |   14 +-
 net/wireless/util.c                                |    5 +-
 net/wireless/wext-compat.c                         |    2 +
 net/x25/af_x25.c                                   |    8 +-
 net/xfrm/xfrm_algo.c                               |   28 +
 net/xfrm/xfrm_input.c                              |   29 +-
 net/xfrm/xfrm_output.c                             |   12 +
 net/xfrm/xfrm_policy.c                             |   42 +-
 net/xfrm/xfrm_replay.c                             |    2 +
 net/xfrm/xfrm_state.c                              |    6 +-
 net/xfrm/xfrm_user.c                               |   40 +-
 samples/bpf/Makefile                               |   14 +-
 samples/bpf/bpf_helpers.h                          |   10 +
 samples/bpf/bpf_load.c                             |   57 +-
 samples/bpf/lathist_kern.c                         |   99 +
 samples/bpf/lathist_user.c                         |  103 +
 samples/bpf/sockex3_kern.c                         |  290 +
 samples/bpf/sockex3_user.c                         |   66 +
 samples/bpf/tcbpf1_kern.c                          |    8 +-
 samples/bpf/test_verifier.c                        |   84 +-
 samples/bpf/tracex2_kern.c                         |   24 +-
 samples/bpf/tracex2_user.c                         |   67 +-
 samples/bpf/tracex5_kern.c                         |   75 +
 samples/bpf/tracex5_user.c                         |   46 +
 samples/pktgen/README.rst                          |   43 +
 samples/pktgen/functions.sh                        |  121 +
 samples/pktgen/parameters.sh                       |   97 +
 samples/pktgen/pktgen.conf-1-1                     |   59 -
 samples/pktgen/pktgen.conf-2-1                     |   66 -
 samples/pktgen/pktgen.conf-2-2                     |   73 -
 .../pktgen/pktgen_bench_xmit_mode_netif_receive.sh |   86 +
 samples/pktgen/pktgen_sample01_simple.sh           |   71 +
 samples/pktgen/pktgen_sample02_multiqueue.sh       |   75 +
 .../pktgen/pktgen_sample03_burst_single_flow.sh    |   82 +
 scripts/checkkconfigsymbols.py                     |   34 +-
 scripts/checkpatch.pl                              |  323 +-
 scripts/checksyscalls.sh                           |    2 +-
 scripts/dtc/checks.c                               |   31 +-
 scripts/dtc/data.c                                 |   12 +-
 scripts/dtc/dtc-lexer.l                            |   65 +-
 scripts/dtc/dtc-lexer.lex.c_shipped                |  516 +-
 scripts/dtc/dtc-parser.tab.c_shipped               | 1773 ++---
 scripts/dtc/dtc-parser.tab.h_shipped               |  114 +-
 scripts/dtc/dtc-parser.y                           |  147 +-
 scripts/dtc/dtc.c                                  |   14 +-
 scripts/dtc/dtc.h                                  |   18 +-
 scripts/dtc/flattree.c                             |    4 +-
 scripts/dtc/fstree.c                               |   17 +-
 scripts/dtc/libfdt/Makefile.libfdt                 |    3 +-
 scripts/dtc/libfdt/fdt.c                           |   30 +-
 scripts/dtc/libfdt/fdt.h                           |   93 +-
 scripts/dtc/libfdt/fdt_empty_tree.c                |    1 +
 scripts/dtc/libfdt/fdt_ro.c                        |   29 +-
 scripts/dtc/libfdt/fdt_rw.c                        |   10 +-
 scripts/dtc/libfdt/fdt_sw.c                        |   36 +-
 scripts/dtc/libfdt/fdt_wip.c                       |    2 +-
 scripts/dtc/libfdt/libfdt.h                        |  148 +-
 scripts/dtc/libfdt/libfdt_env.h                    |  104 +-
 scripts/dtc/libfdt/libfdt_internal.h               |    6 +-
 scripts/dtc/livetree.c                             |    4 +-
 scripts/dtc/srcpos.c                               |   49 +-
 scripts/dtc/srcpos.h                               |   15 +-
 scripts/dtc/treesource.c                           |   15 +-
 scripts/dtc/update-dtc-source.sh                   |    9 +
 scripts/dtc/util.c                                 |   18 +-
 scripts/dtc/util.h                                 |    4 +-
 scripts/dtc/version_gen.h                          |    2 +-
 scripts/gdb/linux/dmesg.py                         |    1 -
 scripts/gdb/linux/lists.py                         |   92 +
 scripts/gdb/linux/symbols.py                       |    9 +-
 scripts/gdb/linux/tasks.py                         |   20 +-
 scripts/gdb/linux/utils.py                         |    4 +-
 scripts/gdb/vmlinux-gdb.py                         |    1 +
 scripts/get_maintainer.pl                          |   65 +-
 scripts/kconfig/Makefile                           |   35 +-
 scripts/kconfig/expr.c                             |  278 +-
 scripts/kconfig/expr.h                             |    4 +-
 scripts/kconfig/symbol.c                           |    4 +
 scripts/kconfig/zconf.l                            |   11 +-
 scripts/kconfig/zconf.lex.c_shipped                |  314 +-
 scripts/kconfig/zconf.tab.c_shipped                |  524 +-
 scripts/kconfig/zconf.y                            |    9 +
 scripts/link-vmlinux.sh                            |   18 +-
 scripts/mksysmap                                   |    2 +-
 scripts/mod/devicetable-offsets.c                  |    7 +
 scripts/mod/file2alias.c                           |   66 +-
 scripts/mod/modpost.c                              |    3 +-
 scripts/sortextable.c                              |    5 +
 scripts/tags.sh                                    |    2 +-
 security/Makefile                                  |    2 +-
 security/apparmor/domain.c                         |   12 +-
 security/apparmor/lsm.c                            |  137 +-
 security/capability.c                              | 1158 ---
 security/commoncap.c                               |   41 +-
 security/inode.c                                   |   29 +-
 security/integrity/digsig.c                        |    2 +-
 security/integrity/evm/evm_main.c                  |   18 +-
 security/integrity/iint.c                          |    3 +
 security/integrity/ima/ima.h                       |   29 +-
 security/integrity/ima/ima_api.c                   |   20 +-
 security/integrity/ima/ima_appraise.c              |    8 +-
 security/integrity/ima/ima_crypto.c                |    2 +-
 security/integrity/ima/ima_fs.c                    |    4 +-
 security/integrity/ima/ima_init.c                  |   13 +-
 security/integrity/ima/ima_main.c                  |    5 +-
 security/integrity/ima/ima_policy.c                |  124 +-
 security/integrity/ima/ima_template_lib.c          |   74 +-
 security/integrity/ima/ima_template_lib.h          |   22 +-
 security/integrity/integrity.h                     |    2 +-
 security/lsm_audit.c                               |    2 +-
 security/security.c                                |  960 ++-
 security/selinux/avc.c                             |   18 +-
 security/selinux/hooks.c                           |  588 +-
 security/selinux/include/avc.h                     |    9 +-
 security/selinux/include/classmap.h                |   44 +-
 security/selinux/include/security.h                |    1 +
 security/selinux/selinuxfs.c                       |   11 +-
 security/selinux/ss/ebitmap.c                      |    6 +
 security/selinux/xfrm.c                            |    3 -
 security/smack/smack.h                             |   27 +-
 security/smack/smack_access.c                      |   68 +-
 security/smack/smack_lsm.c                         |  403 +-
 security/smack/smackfs.c                           |  337 +-
 security/tomoyo/tomoyo.c                           |   72 +-
 security/yama/yama_lsm.c                           |   60 +-
 sound/aoa/soundbus/core.c                          |    4 +-
 sound/aoa/soundbus/soundbus.h                      |    2 +-
 sound/aoa/soundbus/sysfs.c                         |   13 +-
 sound/core/Kconfig                                 |   20 +-
 sound/core/Makefile                                |   13 +-
 sound/core/ctljack.c                               |   44 +-
 sound/core/hrtimer.c                               |    9 +-
 sound/core/hwdep.c                                 |    6 +-
 sound/core/info.c                                  |  833 +-
 sound/core/info_oss.c                              |   29 +-
 sound/core/init.c                                  |   62 +-
 sound/core/jack.c                                  |  146 +-
 sound/core/memalloc.c                              |    2 +-
 sound/core/oss/mixer_oss.c                         |    6 +-
 sound/core/pcm.c                                   |   12 +-
 sound/core/pcm_drm_eld.c                           |   99 +
 sound/core/pcm_iec958.c                            |   95 +
 sound/core/seq/Makefile                            |    3 +-
 sound/core/seq/oss/seq_oss.c                       |    6 +-
 sound/core/seq/oss/seq_oss_init.c                  |    5 +-
 sound/core/seq/oss/seq_oss_midi.c                  |    4 +-
 sound/core/seq/oss/seq_oss_readq.c                 |    4 +-
 sound/core/seq/oss/seq_oss_synth.c                 |    4 +-
 sound/core/seq/seq_clientmgr.c                     |    4 +-
 sound/core/seq/seq_device.c                        |    6 +-
 sound/core/seq/seq_info.c                          |   19 +-
 sound/core/seq/seq_info.h                          |    2 +-
 sound/core/seq/seq_queue.c                         |    4 +-
 sound/core/seq/seq_timer.c                         |    4 +-
 sound/core/sound.c                                 |   28 +-
 sound/core/sound_oss.c                             |   34 +-
 sound/core/timer.c                                 |    4 +-
 sound/drivers/aloop.c                              |    8 -
 sound/drivers/dummy.c                              |   18 +-
 sound/drivers/opl4/Makefile                        |    3 +-
 sound/drivers/opl4/opl4_lib.c                      |    4 -
 sound/drivers/opl4/opl4_local.h                    |    7 +-
 sound/drivers/opl4/opl4_proc.c                     |    4 -
 sound/drivers/pcsp/pcsp.c                          |   17 +-
 sound/firewire/Kconfig                             |    2 +
 sound/firewire/amdtp.c                             |  271 +-
 sound/firewire/amdtp.h                             |    4 +
 sound/firewire/bebob/bebob.c                       |   17 +-
 sound/firewire/bebob/bebob.h                       |   20 +-
 sound/firewire/bebob/bebob_focusrite.c             |   33 +-
 sound/firewire/bebob/bebob_maudio.c                |   23 +-
 sound/firewire/bebob/bebob_midi.c                  |    8 +-
 sound/firewire/bebob/bebob_pcm.c                   |   14 +-
 sound/firewire/bebob/bebob_proc.c                  |   22 +-
 sound/firewire/bebob/bebob_stream.c                |  150 +-
 sound/firewire/bebob/bebob_terratec.c              |   30 +-
 sound/firewire/bebob/bebob_yamaha.c                |   20 +-
 sound/firewire/oxfw/oxfw-stream.c                  |   10 +-
 sound/hda/Kconfig                                  |   26 +
 sound/hda/Makefile                                 |    8 +-
 sound/hda/ext/Makefile                             |    3 +
 sound/hda/ext/hdac_ext_bus.c                       |  174 +
 sound/hda/ext/hdac_ext_controller.c                |  288 +
 sound/hda/ext/hdac_ext_stream.c                    |  452 ++
 sound/hda/hda_bus_type.c                           |   41 +
 sound/hda/hdac_bus.c                               |   20 +-
 sound/hda/hdac_controller.c                        |  507 ++
 sound/hda/hdac_device.c                            |  315 +
 sound/hda/hdac_i915.c                              |  196 +
 sound/hda/hdac_regmap.c                            |    8 +
 sound/hda/hdac_stream.c                            |  697 ++
 sound/hda/trace.h                                  |   27 +
 sound/i2c/other/ak4xxx-adda.c                      |    4 -
 sound/isa/gus/gus_mixer.c                          |    9 +-
 sound/mips/Kconfig                                 |    2 +
 sound/oss/ad1848.c                                 |    2 +
 sound/oss/msnd_pinnacle.c                          |    3 +-
 sound/oss/sb_audio.c                               |    8 +-
 sound/pci/ac97/Makefile                            |    2 +-
 sound/pci/ac97/ac97_local.h                        |    2 +-
 sound/pci/ad1889.c                                 |    4 +-
 sound/pci/ak4531_codec.c                           |    6 -
 sound/pci/ali5451/ali5451.c                        |    4 +-
 sound/pci/als300.c                                 |    4 +-
 sound/pci/als4000.c                                |    4 +-
 sound/pci/asihpi/hpioctl.c                         |    1 +
 sound/pci/atiixp.c                                 |    4 -
 sound/pci/atiixp_modem.c                           |    4 -
 sound/pci/au88x0/au88x0.c                          |    4 +-
 sound/pci/aw2/aw2-alsa.c                           |    4 +-
 sound/pci/azt3328.c                                |    4 +-
 sound/pci/ca0106/Makefile                          |    3 +-
 sound/pci/ca0106/ca0106_main.c                     |    6 +-
 sound/pci/ca0106/ca0106_proc.c                     |    4 -
 sound/pci/cmipci.c                                 |    5 -
 sound/pci/cs46xx/cs46xx_lib.c                      |    4 +-
 sound/pci/cs46xx/cs46xx_lib.h                      |    4 +-
 sound/pci/cs46xx/dsp_spos.c                        |    4 +-
 sound/pci/cs46xx/dsp_spos_scb_lib.c                |    6 +-
 sound/pci/cs5535audio/cs5535audio.c                |    4 +-
 sound/pci/ctxfi/cthw20k1.c                         |    4 +-
 sound/pci/ctxfi/cthw20k2.c                         |    4 +-
 sound/pci/emu10k1/Makefile                         |    3 +-
 sound/pci/emu10k1/emu10k1_main.c                   |    6 +-
 sound/pci/emu10k1/emuproc.c                        |    2 -
 sound/pci/es1938.c                                 |    4 +-
 sound/pci/es1968.c                                 |    4 +-
 sound/pci/hda/Kconfig                              |   31 +-
 sound/pci/hda/Makefile                             |    9 +-
 sound/pci/hda/hda_beep.c                           |    2 +-
 sound/pci/hda/hda_beep.h                           |    2 +-
 sound/pci/hda/hda_bind.c                           |   10 +-
 sound/pci/hda/hda_codec.c                          |  452 +-
 sound/pci/hda/hda_codec.h                          |   87 +-
 sound/pci/hda/hda_controller.c                     | 1347 +---
 sound/pci/hda/hda_controller.h                     |  272 +-
 sound/pci/hda/hda_controller_trace.h               |   98 +
 sound/pci/hda/hda_eld.c                            |    4 +-
 sound/pci/hda/hda_i915.c                           |  196 -
 sound/pci/hda/hda_intel.c                          |  405 +-
 sound/pci/hda/hda_intel.h                          |   26 +-
 sound/pci/hda/hda_intel_trace.h                    |   55 +-
 sound/pci/hda/hda_jack.c                           |   90 +-
 sound/pci/hda/hda_jack.h                           |    5 +-
 sound/pci/hda/hda_local.h                          |    8 +-
 sound/pci/hda/hda_tegra.c                          |  102 +-
 sound/pci/hda/patch_analog.c                       |    3 +-
 sound/pci/hda/patch_ca0110.c                       |    3 +-
 sound/pci/hda/patch_ca0132.c                       |  133 +-
 sound/pci/hda/patch_cirrus.c                       |   12 +-
 sound/pci/hda/patch_cmedia.c                       |    4 +-
 sound/pci/hda/patch_conexant.c                     |    3 +-
 sound/pci/hda/patch_hdmi.c                         |  195 +-
 sound/pci/hda/patch_realtek.c                      |  169 +-
 sound/pci/hda/patch_sigmatel.c                     |   47 +-
 sound/pci/hda/patch_via.c                          |   39 +-
 sound/pci/ice1712/ice1712.c                        |    4 +-
 sound/pci/ice1712/quartet.c                        |    7 +-
 sound/pci/intel8x0.c                               |    4 -
 sound/pci/intel8x0m.c                              |    5 -
 sound/pci/lx6464es/lx6464es.c                      |   18 +-
 sound/pci/maestro3.c                               |    4 +-
 sound/pci/mixart/mixart.c                          |    2 +-
 sound/pci/oxygen/oxygen_lib.c                      |    4 -
 sound/pci/oxygen/xonar_wm87x6.c                    |    2 +-
 sound/pci/pcxhr/pcxhr.c                            |    2 +-
 sound/pci/sis7019.c                                |   10 +-
 sound/pci/sonicvibes.c                             |    4 +-
 sound/pci/trident/trident_main.c                   |    4 +-
 sound/ppc/keywest.c                                |   36 +-
 sound/soc/Kconfig                                  |    2 +
 sound/soc/Makefile                                 |    3 +
 sound/soc/atmel/Kconfig                            |   37 +-
 sound/soc/atmel/atmel-pcm-dma.c                    |    3 +-
 sound/soc/atmel/sam9g20_wm8731.c                   |   10 +-
 sound/soc/au1x/db1200.c                            |    2 +-
 sound/soc/cirrus/ep93xx-pcm.c                      |    1 -
 sound/soc/codecs/88pm860x-codec.c                  |   19 +-
 sound/soc/codecs/Kconfig                           |   17 +-
 sound/soc/codecs/Makefile                          |    4 +
 sound/soc/codecs/ab8500-codec.c                    |   20 +-
 sound/soc/codecs/ac97.c                            |    8 +-
 sound/soc/codecs/ad1836.c                          |    2 +-
 sound/soc/codecs/adau1373.c                        |    1 -
 sound/soc/codecs/adau1701.c                        |  126 +-
 sound/soc/codecs/adau1761.c                        |   27 +-
 sound/soc/codecs/adau1781.c                        |   10 +-
 sound/soc/codecs/adau17x1.c                        |   20 +-
 sound/soc/codecs/adau1977.c                        |   14 +-
 sound/soc/codecs/adav80x.c                         |   11 +-
 sound/soc/codecs/ak4535.c                          |    1 -
 sound/soc/codecs/ak4641.c                          |    3 +-
 sound/soc/codecs/ak4642.c                          |    1 -
 sound/soc/codecs/ak4671.c                          |    1 -
 sound/soc/codecs/alc5623.c                         |    3 +-
 sound/soc/codecs/alc5632.c                         |    1 -
 sound/soc/codecs/arizona.c                         |  201 +-
 sound/soc/codecs/arizona.h                         |   19 +-
 sound/soc/codecs/bt-sco.c                          |   11 +-
 sound/soc/codecs/cq93vc.c                          |    1 -
 sound/soc/codecs/cs35l32.c                         |    1 -
 sound/soc/codecs/cs4265.c                          |    1 -
 sound/soc/codecs/cs42l52.c                         |    5 +-
 sound/soc/codecs/cs42l56.c                         |    5 +-
 sound/soc/codecs/cs42l73.c                         |    3 +-
 sound/soc/codecs/cs42xx8.c                         |    2 +-
 sound/soc/codecs/cx20442.c                         |    6 +-
 sound/soc/codecs/da7213.c                          |    3 +-
 sound/soc/codecs/da732x.c                          |    4 +-
 sound/soc/codecs/da9055.c                          |    3 +-
 sound/soc/codecs/es8328.c                          |    3 +-
 sound/soc/codecs/isabelle.c                        |    2 -
 sound/soc/codecs/jz4740.c                          |    4 +-
 sound/soc/codecs/lm4857.c                          |  114 +-
 sound/soc/codecs/lm49453.c                         |    4 +-
 sound/soc/codecs/max98088.c                        |    3 +-
 sound/soc/codecs/max98090.c                        |   38 +-
 sound/soc/codecs/max98095.c                        |   24 +-
 sound/soc/codecs/max98357a.c                       |    3 +-
 sound/soc/codecs/max9850.c                         |    3 +-
 sound/soc/codecs/max98925.c                        |    2 +-
 sound/soc/codecs/ml26124.c                         |   61 +-
 sound/soc/codecs/pcm512x.c                         |    8 +-
 sound/soc/codecs/rl6347a.c                         |  128 +
 sound/soc/codecs/rl6347a.h                         |   32 +
 sound/soc/codecs/rt286.c                           |  130 +-
 sound/soc/codecs/rt5631.c                          |    5 +-
 sound/soc/codecs/rt5640.c                          |   21 +-
 sound/soc/codecs/rt5645.c                          | 1112 ++-
 sound/soc/codecs/rt5645.h                          |   31 +-
 sound/soc/codecs/rt5651.c                          |    5 +-
 sound/soc/codecs/rt5670.c                          |   31 +-
 sound/soc/codecs/rt5677.c                          |  157 +-
 sound/soc/codecs/rt5677.h                          |   15 +
 sound/soc/codecs/sgtl5000.c                        |   56 +-
 sound/soc/codecs/sirf-audio-codec.c                |    2 +-
 sound/soc/codecs/sn95031.c                         |   12 +-
 sound/soc/codecs/ssm2518.c                         |    9 +-
 sound/soc/codecs/ssm2602.c                         |    5 +-
 sound/soc/codecs/ssm4567.c                         |    9 +-
 sound/soc/codecs/sta32x.c                          |   19 +-
 sound/soc/codecs/sta350.c                          |    9 +-
 sound/soc/codecs/sta529.c                          |    8 +-
 sound/soc/codecs/stac9766.c                        |    3 +-
 sound/soc/codecs/tas2552.c                         |  430 +-
 sound/soc/codecs/tas2552.h                         |  153 +-
 sound/soc/codecs/tas571x.c                         |  514 ++
 sound/soc/codecs/tas571x.h                         |   33 +
 sound/soc/codecs/tlv320aic23.c                     |    1 -
 sound/soc/codecs/tlv320aic31xx.c                   |   11 +-
 sound/soc/codecs/tlv320aic32x4.c                   |    1 -
 sound/soc/codecs/tlv320aic3x.c                     |   10 +-
 sound/soc/codecs/tlv320dac33.c                     |    5 +-
 sound/soc/codecs/ts3a227e.c                        |   15 +-
 sound/soc/codecs/twl4030.c                         |    3 +-
 sound/soc/codecs/twl6040.c                         |    9 +-
 sound/soc/codecs/uda134x.c                         |    4 +-
 sound/soc/codecs/uda1380.c                         |    6 +-
 sound/soc/codecs/wm0010.c                          |    6 +-
 sound/soc/codecs/wm1250-ev1.c                      |    2 -
 sound/soc/codecs/wm2200.c                          |    2 +-
 sound/soc/codecs/wm5100.c                          |   12 +-
 sound/soc/codecs/wm5102.c                          |   73 +-
 sound/soc/codecs/wm5110.c                          |   29 +-
 sound/soc/codecs/wm8350.c                          |    3 +-
 sound/soc/codecs/wm8400.c                          |    3 +-
 sound/soc/codecs/wm8510.c                          |    3 +-
 sound/soc/codecs/wm8523.c                          |   29 +-
 sound/soc/codecs/wm8580.c                          |    3 +-
 sound/soc/codecs/wm8711.c                          |    3 +-
 sound/soc/codecs/wm8728.c                          |    3 +-
 sound/soc/codecs/wm8731.c                          |    8 +-
 sound/soc/codecs/wm8737.c                          |   11 +-
 sound/soc/codecs/wm8741.c                          |  190 +-
 sound/soc/codecs/wm8741.h                          |   10 +
 sound/soc/codecs/wm8750.c                          |    3 +-
 sound/soc/codecs/wm8753.c                          |    3 +-
 sound/soc/codecs/wm8770.c                          |    3 +-
 sound/soc/codecs/wm8776.c                          |    3 +-
 sound/soc/codecs/wm8804.c                          |    2 +-
 sound/soc/codecs/wm8900.c                          |   13 +-
 sound/soc/codecs/wm8903.c                          |    4 +-
 sound/soc/codecs/wm8903.h                          |    2 +-
 sound/soc/codecs/wm8904.c                          |    5 +-
 sound/soc/codecs/wm8940.c                          |    6 +-
 sound/soc/codecs/wm8955.c                          |    7 +-
 sound/soc/codecs/wm8960.c                          |  127 +-
 sound/soc/codecs/wm8961.c                          |    6 +-
 sound/soc/codecs/wm8962.c                          |   21 +-
 sound/soc/codecs/wm8971.c                          |    3 +-
 sound/soc/codecs/wm8974.c                          |    3 +-
 sound/soc/codecs/wm8978.c                          |    7 +-
 sound/soc/codecs/wm8983.c                          |    3 +-
 sound/soc/codecs/wm8985.c                          |    3 +-
 sound/soc/codecs/wm8988.c                          |    3 +-
 sound/soc/codecs/wm8990.c                          |    5 +-
 sound/soc/codecs/wm8991.c                          |    3 +-
 sound/soc/codecs/wm8993.c                          |   12 +-
 sound/soc/codecs/wm8994.c                          |   68 +-
 sound/soc/codecs/wm8995.c                          |    8 +-
 sound/soc/codecs/wm8996.c                          |   23 +-
 sound/soc/codecs/wm8997.c                          |   18 +-
 sound/soc/codecs/wm9081.c                          |    4 +-
 sound/soc/codecs/wm9090.c                          |    6 +-
 sound/soc/codecs/wm9712.c                          |    3 +-
 sound/soc/codecs/wm9713.c                          |    7 +-
 sound/soc/codecs/wm_adsp.c                         | 1452 ++--
 sound/soc/codecs/wm_adsp.h                         |   35 +-
 sound/soc/codecs/wm_hubs.c                         |    4 +-
 sound/soc/codecs/wmfw.h                            |   44 +-
 sound/soc/davinci/davinci-mcasp.c                  |  239 +-
 sound/soc/davinci/davinci-mcasp.h                  |    5 +-
 sound/soc/fsl/fsl_dma.c                            |    4 +-
 sound/soc/fsl/fsl_sai.c                            |  144 +-
 sound/soc/fsl/fsl_sai.h                            |    9 +-
 sound/soc/fsl/fsl_spdif.c                          |   10 +-
 sound/soc/fsl/fsl_ssi.c                            |    7 -
 sound/soc/fsl/imx-audmux.c                         |    2 +-
 sound/soc/fsl/imx-mc13783.c                        |    6 +-
 sound/soc/fsl/imx-wm8962.c                         |    2 +-
 sound/soc/generic/simple-card.c                    |   34 +-
 sound/soc/intel/Kconfig                            |   19 +-
 sound/soc/intel/atom/sst-atom-controls.c           |  187 +-
 sound/soc/intel/atom/sst-atom-controls.h           |    9 +-
 sound/soc/intel/atom/sst-mfld-platform-pcm.c       |   47 +-
 sound/soc/intel/atom/sst-mfld-platform.h           |    2 +
 sound/soc/intel/atom/sst/sst.c                     |    4 +-
 sound/soc/intel/atom/sst/sst_acpi.c                |    4 +
 sound/soc/intel/atom/sst/sst_drv_interface.c       |    2 +-
 sound/soc/intel/baytrail/sst-baytrail-ipc.c        |   11 +
 sound/soc/intel/boards/Makefile                    |    2 +
 sound/soc/intel/boards/cht_bsw_max98090_ti.c       |  348 +
 sound/soc/intel/boards/cht_bsw_rt5645.c            |  118 +-
 sound/soc/intel/common/sst-acpi.c                  |    2 +-
 sound/soc/intel/common/sst-ipc.c                   |   34 +-
 sound/soc/intel/common/sst-ipc.h                   |    7 +-
 sound/soc/intel/haswell/sst-haswell-ipc.c          |   12 +
 sound/soc/intel/haswell/sst-haswell-pcm.c          |   32 +-
 sound/soc/mediatek/Kconfig                         |   30 +
 sound/soc/mediatek/Makefile                        |    5 +
 sound/soc/mediatek/mt8173-max98090.c               |  213 +
 sound/soc/mediatek/mt8173-rt5650-rt5676.c          |  278 +
 sound/soc/mediatek/mtk-afe-common.h                |  109 +
 sound/soc/mediatek/mtk-afe-pcm.c                   | 1233 +++
 sound/soc/omap/Kconfig                             |    5 +-
 sound/soc/omap/omap-hdmi-audio.c                   |   12 +-
 sound/soc/omap/omap-twl4030.c                      |    3 +-
 sound/soc/omap/rx51.c                              |   40 +-
 sound/soc/pxa/brownstone.c                         |   25 +-
 sound/soc/pxa/poodle.c                             |   19 +-
 sound/soc/pxa/tosa.c                               |   13 +-
 sound/soc/pxa/z2.c                                 |    9 +-
 sound/soc/qcom/Kconfig                             |   28 +-
 sound/soc/qcom/Makefile                            |    6 +
 sound/soc/qcom/apq8016_sbc.c                       |  198 +
 sound/soc/qcom/lpass-apq8016.c                     |  242 +
 sound/soc/qcom/lpass-cpu.c                         |  240 +-
 sound/soc/qcom/lpass-ipq806x.c                     |  109 +
 sound/soc/qcom/lpass-lpaif-ipq806x.h               |  172 -
 sound/soc/qcom/lpass-lpaif-reg.h                   |  126 +
 sound/soc/qcom/lpass-platform.c                    |  202 +-
 sound/soc/qcom/lpass.h                             |   51 +-
 sound/soc/qcom/storm.c                             |   26 +-
 sound/soc/samsung/Kconfig                          |   15 +-
 sound/soc/samsung/i2s.c                            |    2 +-
 sound/soc/samsung/lowland.c                        |    2 +-
 sound/soc/samsung/smartq_wm8987.c                  |    6 +-
 sound/soc/samsung/smdk_wm8994.c                    |    3 +-
 sound/soc/samsung/speyside.c                       |    2 +-
 sound/soc/sh/migor.c                               |    3 +-
 sound/soc/sh/rcar/core.c                           |  139 +-
 sound/soc/sh/rcar/dma.c                            |  113 +-
 sound/soc/sh/rcar/dvc.c                            |   30 +-
 sound/soc/sh/rcar/rsnd.h                           |  113 +-
 sound/soc/sh/rcar/rsrc-card.c                      |  439 +-
 sound/soc/sh/rcar/src.c                            |  130 +-
 sound/soc/sh/rcar/ssi.c                            |  160 +-
 sound/soc/soc-core.c                               |   67 +-
 sound/soc/soc-dapm.c                               |  349 +-
 sound/soc/soc-generic-dmaengine-pcm.c              |   25 +-
 sound/soc/soc-jack.c                               |   12 +-
 sound/soc/soc-pcm.c                                |   47 +-
 sound/soc/soc-topology.c                           | 1826 +++++
 sound/soc/ux500/mop500_ab8500.c                    |    4 +
 sound/soc/ux500/ux500_pcm.c                        |    1 -
 sound/soc/zte/Kconfig                              |   17 +
 sound/soc/zte/Makefile                             |    2 +
 sound/soc/zte/zx296702-i2s.c                       |  436 ++
 sound/soc/zte/zx296702-spdif.c                     |  365 +
 sound/sound_firmware.c                             |    4 +-
 sound/synth/emux/Makefile                          |    5 +-
 sound/synth/emux/emux.c                            |    4 -
 sound/synth/emux/emux_proc.c                       |    4 -
 sound/synth/emux/emux_voice.h                      |    6 +-
 sound/usb/bcd2000/bcd2000.c                        |    2 +-
 sound/usb/mixer.c                                  |   16 +-
 sound/usb/mixer_maps.c                             |    5 +
 sound/usb/quirks.c                                 |    6 +-
 tools/Makefile                                     |   40 +-
 tools/arch/alpha/include/asm/barrier.h             |    8 +
 tools/arch/arm/include/asm/barrier.h               |   12 +
 tools/arch/arm64/include/asm/barrier.h             |   16 +
 tools/arch/ia64/include/asm/barrier.h              |   48 +
 tools/arch/mips/include/asm/barrier.h              |   20 +
 tools/arch/powerpc/include/asm/barrier.h           |   29 +
 tools/arch/s390/include/asm/barrier.h              |   30 +
 tools/arch/sh/include/asm/barrier.h                |   32 +
 tools/arch/sparc/include/asm/barrier.h             |    8 +
 tools/arch/sparc/include/asm/barrier_32.h          |    6 +
 tools/arch/sparc/include/asm/barrier_64.h          |   42 +
 tools/arch/tile/include/asm/barrier.h              |   15 +
 tools/arch/x86/include/asm/atomic.h                |   65 +
 tools/arch/x86/include/asm/barrier.h               |   28 +
 tools/arch/x86/include/asm/rmwcc.h                 |   41 +
 tools/arch/xtensa/include/asm/barrier.h            |   18 +
 tools/build/Makefile.build                         |   12 +-
 tools/build/Makefile.feature                       |    4 +-
 tools/build/tests/ex/Build                         |    1 +
 tools/build/tests/ex/empty2/README                 |    2 +
 tools/hv/hv_fcopy_daemon.c                         |   15 +
 tools/hv/hv_kvp_daemon.c                           |  166 +-
 tools/hv/hv_vss_daemon.c                           |  149 +-
 tools/iio/Makefile                                 |    4 +-
 tools/iio/generic_buffer.c                         |  200 +-
 tools/iio/iio_event_monitor.c                      |   50 +-
 tools/iio/iio_utils.c                              |  469 +-
 tools/iio/iio_utils.h                              |   20 +-
 tools/iio/lsiio.c                                  |   63 +-
 tools/include/asm-generic/atomic-gcc.h             |   63 +
 tools/include/asm-generic/barrier.h                |   44 +
 tools/include/asm/atomic.h                         |   10 +
 tools/include/asm/barrier.h                        |   27 +
 tools/include/linux/atomic.h                       |    6 +
 tools/include/linux/compiler.h                     |   62 +
 tools/include/linux/export.h                       |   10 -
 tools/include/linux/kernel.h                       |  107 +
 tools/include/linux/list.h                         |   29 +
 tools/include/linux/poison.h                       |    1 +
 tools/include/linux/rbtree.h                       |  104 +
 tools/include/linux/rbtree_augmented.h             |  245 +
 tools/include/linux/types.h                        |    8 +
 tools/laptop/freefall/Makefile                     |   17 +
 .../laptops => tools/laptop/freefall}/freefall.c   |    0
 tools/lib/rbtree.c                                 |  548 ++
 tools/lib/traceevent/.gitignore                    |    1 +
 tools/lib/traceevent/Makefile                      |   34 +-
 tools/lib/traceevent/event-parse.c                 |    6 +-
 tools/lib/traceevent/event-parse.h                 |    1 +
 tools/lib/traceevent/plugin_cfg80211.c             |   13 +
 tools/perf/.gitignore                              |    1 +
 .../callchain-overhead-calculation.txt             |  108 +
 tools/perf/Documentation/perf-bench.txt            |    3 +
 tools/perf/Documentation/perf-inject.txt           |   27 +
 tools/perf/Documentation/perf-kmem.txt             |   11 +-
 tools/perf/Documentation/perf-kvm.txt              |    6 +
 tools/perf/Documentation/perf-probe.txt            |   17 +-
 tools/perf/Documentation/perf-record.txt           |   27 +-
 tools/perf/Documentation/perf-report.txt           |   35 +-
 tools/perf/Documentation/perf-script.txt           |   37 +-
 tools/perf/Documentation/perf-stat.txt             |    4 +
 tools/perf/Documentation/perf-top.txt              |    9 +-
 tools/perf/Documentation/perf-trace.txt            |    7 +-
 tools/perf/MANIFEST                                |   32 +-
 tools/perf/Makefile                                |    4 +-
 tools/perf/Makefile.perf                           |   34 +-
 tools/perf/arch/arm64/Build                        |    1 +
 tools/perf/arch/arm64/include/perf_regs.h          |    3 +
 tools/perf/arch/arm64/tests/Build                  |    2 +
 tools/perf/arch/arm64/tests/dwarf-unwind.c         |   61 +
 tools/perf/arch/arm64/tests/regs_load.S            |   46 +
 tools/perf/arch/common.c                           |    2 +-
 tools/perf/arch/powerpc/util/Build                 |    1 +
 tools/perf/arch/powerpc/util/sym-handling.c        |   82 +
 tools/perf/bench/Build                             |    1 +
 tools/perf/bench/bench.h                           |    2 +
 tools/perf/bench/futex-wake-parallel.c             |  294 +
 tools/perf/bench/futex-wake.c                      |    7 +-
 tools/perf/bench/numa.c                            |   33 +-
 tools/perf/builtin-annotate.c                      |   19 +-
 tools/perf/builtin-bench.c                         |    1 +
 tools/perf/builtin-buildid-list.c                  |    9 +
 tools/perf/builtin-diff.c                          |    9 +-
 tools/perf/builtin-inject.c                        |  182 +-
 tools/perf/builtin-kmem.c                          |  990 ++-
 tools/perf/builtin-kvm.c                           |   25 +-
 tools/perf/builtin-lock.c                          |    8 +-
 tools/perf/builtin-mem.c                           |   21 +-
 tools/perf/builtin-probe.c                         |  195 +-
 tools/perf/builtin-record.c                        |  382 +-
 tools/perf/builtin-report.c                        |   64 +-
 tools/perf/builtin-sched.c                         |  159 +-
 tools/perf/builtin-script.c                        |   94 +-
 tools/perf/builtin-stat.c                          |  884 +--
 tools/perf/builtin-timechart.c                     |    9 +-
 tools/perf/builtin-top.c                           |   52 +-
 tools/perf/builtin-trace.c                         |  175 +-
 tools/perf/config/Makefile                         |   19 +-
 tools/perf/config/utilities.mak                    |   19 +
 tools/perf/perf-sys.h                              |   73 +-
 tools/perf/perf.h                                  |    6 +
 tools/perf/tests/Build                             |    9 +-
 tools/perf/tests/builtin-test.c                    |   20 +-
 tools/perf/tests/code-reading.c                    |   30 +-
 tools/perf/tests/dso-data.c                        |   15 +-
 tools/perf/tests/dwarf-unwind.c                    |    3 +-
 tools/perf/tests/evsel-roundtrip-name.c            |    4 +-
 tools/perf/tests/hists_common.c                    |   10 +-
 tools/perf/tests/hists_cumulate.c                  |   10 +-
 tools/perf/tests/hists_filter.c                    |   12 +-
 tools/perf/tests/hists_link.c                      |   12 +-
 tools/perf/tests/hists_output.c                    |   10 +-
 tools/perf/tests/keep-tracking.c                   |    8 +-
 tools/perf/tests/kmod-path.c                       |   72 +
 tools/perf/tests/make                              |   45 +-
 tools/perf/tests/mmap-basic.c                      |   10 +-
 tools/perf/tests/mmap-thread-lookup.c              |    8 +-
 tools/perf/tests/open-syscall-all-cpus.c           |  115 -
 tools/perf/tests/open-syscall-tp-fields.c          |  121 -
 tools/perf/tests/open-syscall.c                    |   61 -
 tools/perf/tests/openat-syscall-all-cpus.c         |  116 +
 tools/perf/tests/openat-syscall-tp-fields.c        |  121 +
 tools/perf/tests/openat-syscall.c                  |   61 +
 tools/perf/tests/parse-events.c                    |   14 +-
 tools/perf/tests/perf-time-to-tsc.c                |    2 +-
 tools/perf/tests/pmu.c                             |    3 +-
 tools/perf/tests/switch-tracking.c                 |   12 +-
 tools/perf/tests/tests.h                           |   18 +-
 tools/perf/tests/thread-map.c                      |   38 +
 tools/perf/tests/thread-mg-share.c                 |   41 +-
 tools/perf/tests/vmlinux-kallsyms.c                |   34 +-
 tools/perf/ui/browsers/annotate.c                  |   64 +-
 tools/perf/ui/browsers/hists.c                     |  661 +-
 tools/perf/ui/tui/setup.c                          |    2 +-
 tools/perf/util/Build                              |   15 +-
 tools/perf/util/annotate.c                         |   73 +-
 tools/perf/util/annotate.h                         |    7 +-
 tools/perf/util/auxtrace.c                         | 1359 ++++
 tools/perf/util/auxtrace.h                         |  644 ++
 tools/perf/util/build-id.c                         |   64 +-
 tools/perf/util/cache.h                            |    1 -
 tools/perf/util/callchain.h                        |    4 +
 tools/perf/util/cgroup.c                           |   10 +-
 tools/perf/util/cgroup.h                           |    4 +-
 tools/perf/util/cloexec.c                          |    4 +
 tools/perf/util/comm.c                             |   13 +-
 tools/perf/util/cpumap.c                           |   26 +-
 tools/perf/util/cpumap.h                           |    6 +-
 tools/perf/util/data-convert-bt.c                  |  410 +-
 tools/perf/util/db-export.c                        |   31 +-
 tools/perf/util/dso.c                              |  334 +-
 tools/perf/util/dso.h                              |   47 +-
 tools/perf/util/dwarf-aux.c                        |  220 +-
 tools/perf/util/dwarf-aux.h                        |   13 +-
 tools/perf/util/environment.c                      |    1 -
 tools/perf/util/event.c                            |  125 +-
 tools/perf/util/event.h                            |   99 +-
 tools/perf/util/evlist.c                           |  142 +-
 tools/perf/util/evlist.h                           |   14 +-
 tools/perf/util/evsel.c                            |   54 +-
 tools/perf/util/evsel.h                            |   47 +-
 tools/perf/util/header.c                           |   55 +-
 tools/perf/util/header.h                           |    1 +
 tools/perf/util/hist.c                             |   63 +-
 tools/perf/util/hist.h                             |    1 -
 tools/perf/util/include/linux/kernel.h             |  107 -
 tools/perf/util/include/linux/list.h               |   29 -
 tools/perf/util/include/linux/poison.h             |    1 -
 tools/perf/util/include/linux/rbtree.h             |    2 -
 tools/perf/util/include/linux/rbtree_augmented.h   |    2 -
 tools/perf/util/machine.c                          |  280 +-
 tools/perf/util/machine.h                          |   32 +-
 tools/perf/util/map.c                              |  267 +-
 tools/perf/util/map.h                              |   52 +-
 tools/perf/util/pager.c                            |    5 -
 tools/perf/util/parse-branch-options.c             |   94 +
 tools/perf/util/parse-branch-options.h             |    5 +
 tools/perf/util/parse-events.c                     |  201 +-
 tools/perf/util/parse-events.h                     |   42 +-
 tools/perf/util/parse-events.l                     |   46 +-
 tools/perf/util/parse-events.y                     |   50 +-
 tools/perf/util/parse-options.h                    |    4 +
 tools/perf/util/pmu.c                              |  117 +-
 tools/perf/util/pmu.h                              |    6 +-
 tools/perf/util/probe-event.c                      |  666 +-
 tools/perf/util/probe-event.h                      |   26 +-
 tools/perf/util/probe-finder.c                     |  165 +-
 tools/perf/util/probe-finder.h                     |   10 +-
 tools/perf/util/pstack.c                           |    7 +
 tools/perf/util/pstack.h                           |    1 +
 tools/perf/util/python-ext-sources                 |    2 +
 tools/perf/util/python.c                           |    4 +-
 tools/perf/util/record.c                           |   19 +-
 .../perf/util/scripting-engines/trace-event-perl.c |    4 +-
 .../util/scripting-engines/trace-event-python.c    |    4 +-
 tools/perf/util/session.c                          |  292 +-
 tools/perf/util/session.h                          |    6 +
 tools/perf/util/sort.c                             |   12 +-
 tools/perf/util/sort.h                             |   38 +-
 tools/perf/util/stat-shadow.c                      |  434 ++
 tools/perf/util/stat.c                             |  179 +-
 tools/perf/util/stat.h                             |   87 +
 tools/perf/util/strfilter.c                        |  107 +
 tools/perf/util/strfilter.h                        |   35 +
 tools/perf/util/svghelper.c                        |    2 +-
 tools/perf/util/symbol-elf.c                       |   23 +-
 tools/perf/util/symbol.c                           |  122 +-
 tools/perf/util/symbol.h                           |   12 +-
 tools/perf/util/thread-stack.c                     |   18 +-
 tools/perf/util/thread-stack.h                     |    1 +
 tools/perf/util/thread.c                           |   12 +-
 tools/perf/util/thread.h                           |    5 +-
 tools/perf/util/thread_map.c                       |  152 +-
 tools/perf/util/thread_map.h                       |   31 +-
 tools/perf/util/tool.h                             |   13 +-
 tools/perf/util/trace-event-parse.c                |    2 +-
 tools/perf/util/unwind-libunwind.c                 |   11 +-
 tools/perf/util/util.c                             |  121 +-
 tools/perf/util/util.h                             |    6 +
 tools/perf/util/vdso.c                             |   60 +-
 tools/perf/util/vdso.h                             |    4 +-
 tools/perf/util/xyarray.c                          |    8 +
 tools/perf/util/xyarray.h                          |    2 +
 tools/power/acpi/common/getopt.c                   |    4 +-
 tools/power/acpi/man/acpidump.8                    |   17 +-
 .../acpi/os_specific/service_layers/oslinuxtbl.c   |   95 +-
 .../acpi/os_specific/service_layers/osunixmap.c    |    2 +-
 tools/power/acpi/tools/acpidump/acpidump.h         |    2 +-
 tools/power/acpi/tools/acpidump/apdump.c           |    8 +-
 tools/power/acpi/tools/acpidump/apfiles.c          |   12 +-
 tools/power/acpi/tools/acpidump/apmain.c           |   15 +-
 .../cpupower/utils/idle_monitor/mperf_monitor.c    |    5 +-
 tools/power/x86/turbostat/Makefile                 |    2 +-
 tools/testing/nvdimm/Kbuild                        |   43 +
 tools/testing/nvdimm/Makefile                      |    7 +
 tools/testing/nvdimm/config_check.c                |   15 +
 tools/testing/nvdimm/test/Kbuild                   |    8 +
 tools/testing/nvdimm/test/iomap.c                  |  178 +
 tools/testing/nvdimm/test/nfit.c                   | 1162 +++
 tools/testing/nvdimm/test/nfit_test.h              |   29 +
 tools/testing/selftests/Makefile                   |    8 +-
 tools/testing/selftests/exec/Makefile              |    2 +-
 tools/testing/selftests/ftrace/Makefile            |    1 +
 tools/testing/selftests/futex/Makefile             |   29 +
 tools/testing/selftests/futex/README               |   62 +
 .../testing/selftests/futex/functional/.gitignore  |    7 +
 tools/testing/selftests/futex/functional/Makefile  |   25 +
 .../selftests/futex/functional/futex_requeue_pi.c  |  409 +
 .../functional/futex_requeue_pi_mismatched_ops.c   |  135 +
 .../functional/futex_requeue_pi_signal_restart.c   |  223 +
 .../functional/futex_wait_private_mapped_file.c    |  125 +
 .../futex/functional/futex_wait_timeout.c          |   86 +
 .../functional/futex_wait_uninitialized_heap.c     |  124 +
 .../futex/functional/futex_wait_wouldblock.c       |   79 +
 tools/testing/selftests/futex/functional/run.sh    |   79 +
 tools/testing/selftests/futex/include/atomic.h     |   83 +
 tools/testing/selftests/futex/include/futextest.h  |  266 +
 tools/testing/selftests/futex/include/logging.h    |  153 +
 tools/testing/selftests/futex/run.sh               |   33 +
 tools/testing/selftests/kselftest.h                |   17 +-
 tools/testing/selftests/lib.mk                     |    3 +
 tools/testing/selftests/mount/Makefile             |    7 +-
 tools/testing/selftests/net/psock_fanout.c         |    2 +-
 tools/testing/selftests/powerpc/Makefile           |    2 +-
 tools/testing/selftests/powerpc/dscr/.gitignore    |    7 +
 tools/testing/selftests/powerpc/dscr/Makefile      |   14 +
 tools/testing/selftests/powerpc/dscr/dscr.h        |  127 +
 .../selftests/powerpc/dscr/dscr_default_test.c     |  127 +
 .../selftests/powerpc/dscr/dscr_explicit_test.c    |   71 +
 .../powerpc/dscr/dscr_inherit_exec_test.c          |  117 +
 .../selftests/powerpc/dscr/dscr_inherit_test.c     |   95 +
 .../selftests/powerpc/dscr/dscr_sysfs_test.c       |   97 +
 .../powerpc/dscr/dscr_sysfs_thread_test.c          |   80 +
 .../selftests/powerpc/dscr/dscr_user_test.c        |   61 +
 .../selftests/powerpc/switch_endian/Makefile       |   14 +-
 tools/testing/selftests/powerpc/tm/Makefile        |    4 +-
 tools/testing/selftests/powerpc/tm/tm-syscall.c    |    3 +-
 tools/testing/selftests/powerpc/vphn/Makefile      |   13 +-
 .../testing/selftests/rcutorture/bin/configinit.sh |    2 +-
 .../selftests/rcutorture/bin/kvm-recheck.sh        |    4 +
 tools/testing/selftests/rcutorture/bin/kvm.sh      |   25 +-
 .../selftests/rcutorture/configs/rcu/CFcommon      |    2 +
 .../selftests/rcutorture/configs/rcu/SRCU-N        |    1 +
 .../selftests/rcutorture/configs/rcu/SRCU-P        |    1 +
 .../selftests/rcutorture/configs/rcu/SRCU-P.boot   |    2 +-
 .../selftests/rcutorture/configs/rcu/TASKS01       |    5 +-
 .../selftests/rcutorture/configs/rcu/TASKS02       |    1 -
 .../selftests/rcutorture/configs/rcu/TASKS03       |    2 +-
 .../selftests/rcutorture/configs/rcu/TINY02        |    2 +-
 .../selftests/rcutorture/configs/rcu/TINY02.boot   |    1 +
 .../selftests/rcutorture/configs/rcu/TREE01        |    1 +
 .../selftests/rcutorture/configs/rcu/TREE02        |    2 +-
 .../selftests/rcutorture/configs/rcu/TREE02-T      |    1 -
 .../selftests/rcutorture/configs/rcu/TREE03        |    8 +-
 .../selftests/rcutorture/configs/rcu/TREE03.boot   |    1 +
 .../selftests/rcutorture/configs/rcu/TREE04        |    8 +-
 .../selftests/rcutorture/configs/rcu/TREE05        |    4 +-
 .../selftests/rcutorture/configs/rcu/TREE06        |    4 +-
 .../selftests/rcutorture/configs/rcu/TREE06.boot   |    1 +
 .../selftests/rcutorture/configs/rcu/TREE07        |    4 +-
 .../selftests/rcutorture/configs/rcu/TREE08        |    6 +-
 .../selftests/rcutorture/configs/rcu/TREE08-T      |    1 -
 .../selftests/rcutorture/configs/rcu/TREE08-T.boot |    1 +
 .../selftests/rcutorture/configs/rcu/TREE08.boot   |    1 +
 .../selftests/rcutorture/configs/rcu/TREE09        |    1 +
 .../selftests/rcutorture/doc/TREE_RCU-kconfig.txt  |   36 +-
 tools/testing/selftests/seccomp/.gitignore         |    1 +
 tools/testing/selftests/seccomp/Makefile           |   10 +
 tools/testing/selftests/seccomp/seccomp_bpf.c      | 2109 ++++++
 tools/testing/selftests/seccomp/test_harness.h     |  537 ++
 tools/testing/selftests/timers/.gitignore          |   18 +
 .../testing/selftests/timers/alarmtimer-suspend.c  |   10 +-
 tools/testing/selftests/timers/leap-a-day.c        |   77 +-
 tools/testing/selftests/vm/Makefile                |    7 +-
 tools/testing/selftests/vm/compaction_test.c       |  225 +
 tools/testing/selftests/vm/run_vmtests             |   12 +
 tools/testing/selftests/x86/Makefile               |   11 +-
 tools/testing/selftests/x86/entry_from_vm86.c      |  114 +
 tools/testing/selftests/x86/sysret_ss_attrs.c      |  112 +
 tools/testing/selftests/x86/thunks.S               |   67 +
 .../testing/selftests/x86/trivial_64bit_program.c  |    2 +-
 virt/kvm/arm/vgic-v3-emul.c                        |   56 +-
 virt/kvm/arm/vgic.c                                |   10 +-
 virt/kvm/async_pf.h                                |    4 +-
 virt/kvm/coalesced_mmio.h                          |    4 +-
 virt/kvm/irqchip.c                                 |   41 +-
 virt/kvm/kvm_main.c                                |  435 +-
 9971 files changed, 614764 insertions(+), 260611 deletions(-)
 create mode 100644 Documentation/ABI/stable/sysfs-bus-w1
 create mode 100644 Documentation/ABI/stable/sysfs-driver-w1_ds28ea00
 create mode 100644 Documentation/ABI/testing/sysfs-bus-coresight-devices-etm4x
 create mode 100644 Documentation/ABI/testing/sysfs-bus-iio-vf610
 create mode 100644 Documentation/ABI/testing/sysfs-bus-pci-drivers-janz-cmodio
 create mode 100644 Documentation/ABI/testing/sysfs-class-net-janz-ican3
 create mode 100644 Documentation/ABI/testing/sysfs-class-scsi_tape
 create mode 100644 Documentation/ABI/testing/sysfs-class-zram
 create mode 100644 Documentation/ABI/testing/sysfs-driver-toshiba_haps
 delete mode 100644 Documentation/ABI/testing/sysfs-firmware-dmi
 create mode 100644 Documentation/ABI/testing/sysfs-firmware-dmi-entries
 create mode 100644 Documentation/ABI/testing/sysfs-firmware-dmi-tables
 create mode 100644 Documentation/ABI/testing/sysfs-firmware-efi-esrt
 create mode 100644 Documentation/ABI/testing/sysfs-platform-twl4030-usb
 create mode 100644 Documentation/DocBook/media/.gitignore
 create mode 100644 Documentation/DocBook/media/dvb/fe-diseqc-recv-slave-reply.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-diseqc-reset-overload.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-diseqc-send-burst.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-diseqc-send-master-cmd.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-enable-high-lnb-voltage.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-get-info.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-get-property.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-read-status.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-set-frontend-tune-mode.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-set-tone.xml
 create mode 100644 Documentation/DocBook/media/dvb/fe-set-voltage.xml
 create mode 100644 Documentation/DocBook/media/dvb/frontend_legacy_api.xml
 create mode 100644 Documentation/DocBook/media/typical_media_device.svg
 create mode 100644 Documentation/DocBook/media/v4l/pixfmt-y16-be.xml
 create mode 100644 Documentation/arm/Samsung/Bootloader-interface.txt
 create mode 100644 Documentation/arm/stm32/overview.txt
 create mode 100644 Documentation/arm/stm32/stm32f429-overview.txt
 create mode 100644 Documentation/devicetree/bindings/arc/archs-idu-intc.txt
 create mode 100644 Documentation/devicetree/bindings/arc/archs-intc.txt
 create mode 100644 Documentation/devicetree/bindings/arc/axs101.txt
 create mode 100644 Documentation/devicetree/bindings/arc/axs103.txt
 create mode 100644 Documentation/devicetree/bindings/arm/altera/socfpga-sdram-controller.txt
 create mode 100644 Documentation/devicetree/bindings/arm/armv7m_systick.txt
 create mode 100644 Documentation/devicetree/bindings/arm/mediatek/mediatek,apmixedsys.txt
 create mode 100644 Documentation/devicetree/bindings/arm/mediatek/mediatek,infracfg.txt
 create mode 100644 Documentation/devicetree/bindings/arm/mediatek/mediatek,pericfg.txt
 create mode 100644 Documentation/devicetree/bindings/arm/mediatek/mediatek,topckgen.txt
 create mode 100644 Documentation/devicetree/bindings/arm/scu.txt
 create mode 100644 Documentation/devicetree/bindings/arm/ux500/boards.txt
 create mode 100644 Documentation/devicetree/bindings/arm/zte.txt
 create mode 100644 Documentation/devicetree/bindings/ata/ahci-ceva.txt
 create mode 100644 Documentation/devicetree/bindings/ata/brcm,sata-brcmstb.txt
 create mode 100644 Documentation/devicetree/bindings/clock/amlogic,meson8b-clkc.txt
 delete mode 100644 Documentation/devicetree/bindings/clock/bcm-cygnus-clock.txt
 create mode 100644 Documentation/devicetree/bindings/clock/brcm,iproc-clocks.txt
 create mode 100644 Documentation/devicetree/bindings/clock/csr,atlas7-car.txt
 create mode 100644 Documentation/devicetree/bindings/clock/hi6220-clock.txt
 create mode 100644 Documentation/devicetree/bindings/clock/imx7d-clock.txt
 create mode 100644 Documentation/devicetree/bindings/clock/ingenic,cgu.txt
 create mode 100644 Documentation/devicetree/bindings/clock/lpc1850-ccu.txt
 create mode 100644 Documentation/devicetree/bindings/clock/lpc1850-cgu.txt
 create mode 100644 Documentation/devicetree/bindings/clock/marvell,berlin.txt
 create mode 100644 Documentation/devicetree/bindings/clock/marvell,pxa1928.txt
 create mode 100644 Documentation/devicetree/bindings/clock/qca,ath79-pll.txt
 create mode 100644 Documentation/devicetree/bindings/clock/renesas,h8300-div-clock.txt
 create mode 100644 Documentation/devicetree/bindings/clock/renesas,h8s2678-pll-clock.txt
 create mode 100644 Documentation/devicetree/bindings/clock/st,stm32-rcc.txt
 create mode 100644 Documentation/devicetree/bindings/clock/ti,cdce925.txt
 create mode 100644 Documentation/devicetree/bindings/clock/zx296702-clk.txt
 create mode 100644 Documentation/devicetree/bindings/crypto/marvell-cesa.txt
 create mode 100644 Documentation/devicetree/bindings/dma/ti-dma-crossbar.txt
 create mode 100644 Documentation/devicetree/bindings/edac/apm-xgene-edac.txt
 create mode 100644 Documentation/devicetree/bindings/gpio/brcm,brcmstb-gpio.txt
 create mode 100644 Documentation/devicetree/bindings/gpio/gpio-ath79.txt
 create mode 100644 Documentation/devicetree/bindings/gpio/gpio-atlas7.txt
 create mode 100644 Documentation/devicetree/bindings/gpio/gpio-etraxfs.txt
 create mode 100644 Documentation/devicetree/bindings/gpio/gpio-xlp.txt
 create mode 100644 Documentation/devicetree/bindings/gpio/nxp,lpc1850-gpio.txt
 create mode 100644 Documentation/devicetree/bindings/h8300/cpu.txt
 create mode 100644 Documentation/devicetree/bindings/hwlock/hwlock.txt
 create mode 100644 Documentation/devicetree/bindings/hwlock/omap-hwspinlock.txt
 create mode 100644 Documentation/devicetree/bindings/hwlock/qcom-hwspinlock.txt
 create mode 100644 Documentation/devicetree/bindings/hwlock/sirf,hwspinlock.txt
 create mode 100644 Documentation/devicetree/bindings/i2c/i2c-brcmstb.txt
 create mode 100644 Documentation/devicetree/bindings/i2c/i2c-mt6577.txt
 create mode 100644 Documentation/devicetree/bindings/i2c/i2c-xgene-slimpro.txt
 create mode 100644 Documentation/devicetree/bindings/iio/adc/berlin2_adc.txt
 create mode 100644 Documentation/devicetree/bindings/iio/imu/inv_mpu6050.txt
 create mode 100644 Documentation/devicetree/bindings/iio/magnetometer/bmc150_magn.txt
 create mode 100644 Documentation/devicetree/bindings/iio/temperature/mlx90614.txt
 create mode 100644 Documentation/devicetree/bindings/input/ti,drv2665.txt
 create mode 100644 Documentation/devicetree/bindings/interrupt-controller/ingenic,intc.txt
 create mode 100644 Documentation/devicetree/bindings/interrupt-controller/qca,ath79-cpu-intc.txt
 create mode 100644 Documentation/devicetree/bindings/interrupt-controller/qca,ath79-misc-intc.txt
 create mode 100644 Documentation/devicetree/bindings/interrupt-controller/renesas,h8300h-intc.txt
 create mode 100644 Documentation/devicetree/bindings/interrupt-controller/renesas,h8s-intc.txt
 create mode 100644 Documentation/devicetree/bindings/iommu/arm,smmu-v3.txt
 create mode 100644 Documentation/devicetree/bindings/leds/leds-aat1290.txt
 create mode 100644 Documentation/devicetree/bindings/leds/leds-bcm6328.txt
 create mode 100644 Documentation/devicetree/bindings/leds/leds-bcm6358.txt
 create mode 100644 Documentation/devicetree/bindings/leds/leds-ktd2692.txt
 create mode 100644 Documentation/devicetree/bindings/leds/leds-tlc591xx.txt
 create mode 100644 Documentation/devicetree/bindings/mailbox/brcm,bcm2835-mbox.txt
 create mode 100644 Documentation/devicetree/bindings/media/i2c/adp1653.txt
 create mode 100644 Documentation/devicetree/bindings/media/st,stih4xx.txt
 create mode 100644 Documentation/devicetree/bindings/memory-controllers/ath79-ddr-controller.txt
 create mode 100644 Documentation/devicetree/bindings/memory-controllers/renesas,h8300-bsc.txt
 create mode 100644 Documentation/devicetree/bindings/memory-controllers/tegra-emc.txt
 create mode 100644 Documentation/devicetree/bindings/mfd/mfd.txt
 mode change 100755 => 100644 Documentation/devicetree/bindings/mfd/tps6507x.txt
 create mode 100644 Documentation/devicetree/bindings/mips/ath79-soc.txt
 create mode 100644 Documentation/devicetree/bindings/mmc/mtk-sd.txt
 create mode 100644 Documentation/devicetree/bindings/mtd/brcm,brcmnand.txt
 delete mode 100644 Documentation/devicetree/bindings/net/amd-xgbe-phy.txt
 create mode 100644 Documentation/devicetree/bindings/net/ezchip_enet.txt
 create mode 100644 Documentation/devicetree/bindings/net/ipq806x-dwmac.txt
 create mode 100644 Documentation/devicetree/bindings/net/nfc/nfcmrvl.txt
 create mode 100644 Documentation/devicetree/bindings/net/nfc/st-nci.txt
 delete mode 100644 Documentation/devicetree/bindings/net/nfc/st21nfcb.txt
 create mode 100644 Documentation/devicetree/bindings/net/nxp,lpc1850-dwmac.txt
 create mode 100644 Documentation/devicetree/bindings/net/renesas,ravb.txt
 create mode 100644 Documentation/devicetree/bindings/net/ti,dp83867.txt
 create mode 100644 Documentation/devicetree/bindings/pci/xgene-pci-msi.txt
 create mode 100644 Documentation/devicetree/bindings/phy/brcm,brcmstb-sata-phy.txt
 create mode 100644 Documentation/devicetree/bindings/phy/pistachio-usb-phy.txt
 create mode 100644 Documentation/devicetree/bindings/phy/pxa1928-usb-phy.txt
 create mode 100644 Documentation/devicetree/bindings/pinctrl/berlin,pinctrl.txt
 create mode 100644 Documentation/devicetree/bindings/pinctrl/fsl,imx7d-pinctrl.txt
 create mode 100644 Documentation/devicetree/bindings/pinctrl/img,pistachio-pinctrl.txt
 rename Documentation/devicetree/bindings/pinctrl/{lantiq,falcon-pinumx.txt => lantiq,pinctrl-falcon.txt} (100%)
 rename Documentation/devicetree/bindings/pinctrl/{lantiq,xway-pinumx.txt => lantiq,pinctrl-xway.txt} (100%)
 create mode 100644 Documentation/devicetree/bindings/pinctrl/nxp,lpc1850-scu.txt
 create mode 100644 Documentation/devicetree/bindings/pinctrl/pinctrl-atlas7.txt
 create mode 100644 Documentation/devicetree/bindings/pinctrl/qcom,msm8660-pinctrl.txt
 create mode 100644 Documentation/devicetree/bindings/power/bq24257.txt
 create mode 100644 Documentation/devicetree/bindings/power/bq25890.txt
 create mode 100644 Documentation/devicetree/bindings/power/rt9455_charger.txt
 create mode 100644 Documentation/devicetree/bindings/regulator/qcom,spmi-regulator.txt
 create mode 100644 Documentation/devicetree/bindings/remoteproc/wkup_m3_rproc.txt
 create mode 100644 Documentation/devicetree/bindings/reset/berlin,reset.txt
 create mode 100644 Documentation/devicetree/bindings/reset/brcm,bcm63138-pmb.txt
 create mode 100644 Documentation/devicetree/bindings/rtc/rtc-st-lpc.txt
 create mode 100644 Documentation/devicetree/bindings/serial/arm_sbsa_uart.txt
 create mode 100644 Documentation/devicetree/bindings/serial/ingenic,uart.txt
 create mode 100644 Documentation/devicetree/bindings/serial/nxp,lpc1850-uart.txt
 create mode 100644 Documentation/devicetree/bindings/serial/uniphier-uart.txt
 create mode 100644 Documentation/devicetree/bindings/soc/sunxi/sram.txt
 create mode 100644 Documentation/devicetree/bindings/sound/bt-sco.txt
 create mode 100644 Documentation/devicetree/bindings/sound/gtm601.txt
 create mode 100644 Documentation/devicetree/bindings/sound/mt8173-max98090.txt
 create mode 100644 Documentation/devicetree/bindings/sound/mt8173-rt5650-rt5676.txt
 create mode 100644 Documentation/devicetree/bindings/sound/mtk-afe-pcm.txt
 create mode 100644 Documentation/devicetree/bindings/sound/qcom,apq8016-sbc.txt
 create mode 100644 Documentation/devicetree/bindings/sound/rt5645.txt
 create mode 100644 Documentation/devicetree/bindings/sound/tas571x.txt
 create mode 100644 Documentation/devicetree/bindings/sound/zte,zx-i2s.txt
 create mode 100644 Documentation/devicetree/bindings/sound/zte,zx-spdif.txt
 create mode 100644 Documentation/devicetree/bindings/spi/spi-ath79.txt
 create mode 100644 Documentation/devicetree/bindings/spi/spi-zynqmp-qspi.txt
 create mode 100644 Documentation/devicetree/bindings/thermal/hisilicon-thermal.txt
 create mode 100644 Documentation/devicetree/bindings/thermal/qcom-spmi-temp-alarm.txt
 create mode 100644 Documentation/devicetree/bindings/timer/nxp,lpc3220-timer.txt
 create mode 100644 Documentation/devicetree/bindings/timer/renesas,16bit-timer.txt
 create mode 100644 Documentation/devicetree/bindings/timer/renesas,8bit-timer.txt
 create mode 100644 Documentation/devicetree/bindings/timer/renesas,tpu.txt
 create mode 100644 Documentation/devicetree/bindings/timer/st,stm32-timer.txt
 delete mode 100644 Documentation/devicetree/bindings/usb/ci-hdrc-imx.txt
 delete mode 100644 Documentation/devicetree/bindings/usb/ci-hdrc-qcom.txt
 delete mode 100644 Documentation/devicetree/bindings/usb/ci-hdrc-zevio.txt
 create mode 100644 Documentation/devicetree/bindings/watchdog/digicolor-wdt.txt
 create mode 100644 Documentation/devicetree/bindings/watchdog/st_lpc_wdt.txt
 create mode 100644 Documentation/dmaengine/pxa_dma.txt
 create mode 100644 Documentation/features/arch-support.txt
 create mode 100644 Documentation/features/core/BPF-JIT/arch-support.txt
 create mode 100644 Documentation/features/core/generic-idle-thread/arch-support.txt
 create mode 100644 Documentation/features/core/jump-labels/arch-support.txt
 create mode 100644 Documentation/features/core/tracehook/arch-support.txt
 create mode 100644 Documentation/features/debug/KASAN/arch-support.txt
 create mode 100644 Documentation/features/debug/gcov-profile-all/arch-support.txt
 create mode 100644 Documentation/features/debug/kgdb/arch-support.txt
 create mode 100644 Documentation/features/debug/kprobes-on-ftrace/arch-support.txt
 create mode 100644 Documentation/features/debug/kprobes/arch-support.txt
 create mode 100644 Documentation/features/debug/kretprobes/arch-support.txt
 create mode 100644 Documentation/features/debug/optprobes/arch-support.txt
 create mode 100644 Documentation/features/debug/stackprotector/arch-support.txt
 create mode 100644 Documentation/features/debug/uprobes/arch-support.txt
 create mode 100644 Documentation/features/debug/user-ret-profiler/arch-support.txt
 create mode 100644 Documentation/features/io/dma-api-debug/arch-support.txt
 create mode 100644 Documentation/features/io/dma-contiguous/arch-support.txt
 create mode 100644 Documentation/features/io/dma_map_attrs/arch-support.txt
 create mode 100644 Documentation/features/io/sg-chain/arch-support.txt
 create mode 100644 Documentation/features/lib/strncasecmp/arch-support.txt
 create mode 100755 Documentation/features/list-arch.sh
 create mode 100644 Documentation/features/locking/cmpxchg-local/arch-support.txt
 create mode 100644 Documentation/features/locking/lockdep/arch-support.txt
 create mode 100644 Documentation/features/locking/queued-rwlocks/arch-support.txt
 create mode 100644 Documentation/features/locking/queued-spinlocks/arch-support.txt
 create mode 100644 Documentation/features/locking/rwsem-optimized/arch-support.txt
 create mode 100644 Documentation/features/perf/kprobes-event/arch-support.txt
 create mode 100644 Documentation/features/perf/perf-regs/arch-support.txt
 create mode 100644 Documentation/features/perf/perf-stackdump/arch-support.txt
 create mode 100644 Documentation/features/sched/numa-balancing/arch-support.txt
 create mode 100644 Documentation/features/seccomp/seccomp-filter/arch-support.txt
 create mode 100644 Documentation/features/time/arch-tick-broadcast/arch-support.txt
 create mode 100644 Documentation/features/time/clockevents/arch-support.txt
 create mode 100644 Documentation/features/time/context-tracking/arch-support.txt
 create mode 100644 Documentation/features/time/irq-time-acct/arch-support.txt
 create mode 100644 Documentation/features/time/modern-timekeeping/arch-support.txt
 create mode 100644 Documentation/features/time/virt-cpuacct/arch-support.txt
 create mode 100644 Documentation/features/vm/ELF-ASLR/arch-support.txt
 create mode 100644 Documentation/features/vm/PG_uncached/arch-support.txt
 create mode 100644 Documentation/features/vm/THP/arch-support.txt
 create mode 100644 Documentation/features/vm/huge-vmap/arch-support.txt
 create mode 100644 Documentation/features/vm/ioremap_prot/arch-support.txt
 create mode 100644 Documentation/features/vm/numa-memblock/arch-support.txt
 create mode 100644 Documentation/features/vm/pmdp_splitting_flush/arch-support.txt
 create mode 100644 Documentation/features/vm/pte_special/arch-support.txt
 create mode 100644 Documentation/hwmon/tc74
 create mode 100644 Documentation/mic/scif_overview.txt
 create mode 100644 Documentation/ntb.txt
 create mode 100644 Documentation/nvdimm/btt.txt
 create mode 100644 Documentation/nvdimm/nvdimm.txt
 create mode 100644 Documentation/powerpc/dscr.txt
 create mode 100644 Documentation/sound/alsa/Jack-Controls.txt
 create mode 100644 Documentation/thermal/power_allocator.txt
 create mode 100644 Documentation/x86/kernel-stacks
 delete mode 100644 Documentation/x86/x86_64/kernel-stacks
 create mode 100644 arch/alpha/include/asm/mm-arch-hooks.h
 delete mode 100644 arch/arc/boot/dts/angel4.dts
 create mode 100644 arch/arc/boot/dts/axc001.dtsi
 create mode 100644 arch/arc/boot/dts/axc003.dtsi
 create mode 100644 arch/arc/boot/dts/axc003_idu.dtsi
 create mode 100644 arch/arc/boot/dts/axs101.dts
 create mode 100644 arch/arc/boot/dts/axs103.dts
 create mode 100644 arch/arc/boot/dts/axs103_idu.dts
 create mode 100644 arch/arc/boot/dts/axs10x_mb.dtsi
 create mode 100644 arch/arc/boot/dts/nsim_700.dts
 create mode 100644 arch/arc/boot/dts/nsim_hs.dts
 create mode 100644 arch/arc/boot/dts/nsim_hs_idu.dts
 create mode 100644 arch/arc/boot/dts/nsimosci_hs.dts
 create mode 100644 arch/arc/boot/dts/nsimosci_hs_idu.dts
 create mode 100644 arch/arc/boot/dts/vdk_axc003.dtsi
 create mode 100644 arch/arc/boot/dts/vdk_axc003_idu.dtsi
 create mode 100644 arch/arc/boot/dts/vdk_axs10x_mb.dtsi
 create mode 100644 arch/arc/boot/dts/vdk_hs38.dts
 create mode 100644 arch/arc/boot/dts/vdk_hs38_smp.dts
 create mode 100644 arch/arc/configs/axs101_defconfig
 create mode 100644 arch/arc/configs/axs103_defconfig
 create mode 100644 arch/arc/configs/axs103_smp_defconfig
 create mode 100644 arch/arc/configs/nsim_hs_defconfig
 create mode 100644 arch/arc/configs/nsim_hs_smp_defconfig
 create mode 100644 arch/arc/configs/nsimosci_hs_defconfig
 create mode 100644 arch/arc/configs/nsimosci_hs_smp_defconfig
 create mode 100644 arch/arc/configs/vdk_hs38_defconfig
 create mode 100644 arch/arc/configs/vdk_hs38_smp_defconfig
 create mode 100644 arch/arc/include/asm/barrier.h
 create mode 100644 arch/arc/include/asm/entry-arcv2.h
 create mode 100644 arch/arc/include/asm/entry-compact.h
 create mode 100644 arch/arc/include/asm/irqflags-arcv2.h
 create mode 100644 arch/arc/include/asm/irqflags-compact.h
 create mode 100644 arch/arc/include/asm/mcip.h
 create mode 100644 arch/arc/include/asm/mm-arch-hooks.h
 create mode 100644 arch/arc/kernel/entry-arcv2.S
 create mode 100644 arch/arc/kernel/entry-compact.S
 create mode 100644 arch/arc/kernel/intc-arcv2.c
 create mode 100644 arch/arc/kernel/intc-compact.c
 create mode 100644 arch/arc/kernel/mcip.c
 create mode 100644 arch/arc/lib/memcpy-archs.S
 create mode 100644 arch/arc/lib/memset-archs.S
 create mode 100644 arch/arc/lib/strcmp-archs.S
 create mode 100644 arch/arc/mm/cache.c
 delete mode 100644 arch/arc/mm/cache_arc700.c
 delete mode 100644 arch/arc/plat-arcfpga/Kconfig
 delete mode 100644 arch/arc/plat-arcfpga/Makefile
 delete mode 100644 arch/arc/plat-arcfpga/include/plat/smp.h
 delete mode 100644 arch/arc/plat-arcfpga/platform.c
 delete mode 100644 arch/arc/plat-arcfpga/smp.c
 create mode 100644 arch/arc/plat-axs10x/Kconfig
 create mode 100644 arch/arc/plat-axs10x/Makefile
 create mode 100644 arch/arc/plat-axs10x/axs10x.c
 create mode 100644 arch/arc/plat-sim/Kconfig
 create mode 100644 arch/arc/plat-sim/Makefile
 create mode 100644 arch/arc/plat-sim/platform.c
 create mode 100644 arch/arm/boot/dts/am335x-baltos-ir5221.dts
 create mode 100644 arch/arm/boot/dts/am335x-sl50.dts
 create mode 100644 arch/arm/boot/dts/armada-370-dlink-dns327l.dts
 create mode 100644 arch/arm/boot/dts/armada-385-linksys-caiman.dts
 create mode 100644 arch/arm/boot/dts/armada-385-linksys-cobra.dts
 create mode 100644 arch/arm/boot/dts/armada-385-linksys.dtsi
 create mode 100644 arch/arm/boot/dts/at91-ariettag25.dts
 create mode 100644 arch/arm/boot/dts/at91-kizbox.dts
 create mode 100644 arch/arm/boot/dts/at91-kizbox2.dts
 create mode 100644 arch/arm/boot/dts/at91-kizboxmini.dts
 create mode 100644 arch/arm/boot/dts/bcm4708-asus-rt-ac56u.dts
 create mode 100644 arch/arm/boot/dts/bcm4708-asus-rt-ac68u.dts
 create mode 100644 arch/arm/boot/dts/bcm4708-smartrg-sr400ac.dts
 create mode 100644 arch/arm/boot/dts/bcm4709-asus-rt-ac87u.dts
 create mode 100644 arch/arm/boot/dts/bcm4709-buffalo-wxr-1900dhp.dts
 create mode 100644 arch/arm/boot/dts/bcm5301x-nand-cs0-bch8.dtsi
 delete mode 100644 arch/arm/boot/dts/dove-cm-a510.dts
 create mode 100644 arch/arm/boot/dts/dove-cm-a510.dtsi
 create mode 100644 arch/arm/boot/dts/dove-sbc-a510.dts
 create mode 100644 arch/arm/boot/dts/exynos5422-odroidxu3-common.dtsi
 create mode 100644 arch/arm/boot/dts/exynos5422-odroidxu3-lite.dts
 create mode 100644 arch/arm/boot/dts/imx6dl-apf6dev.dts
 create mode 100644 arch/arm/boot/dts/imx6dl-aristainetos2_4.dts
 create mode 100644 arch/arm/boot/dts/imx6dl-aristainetos2_7.dts
 create mode 100644 arch/arm/boot/dts/imx6dl-gw551x.dts
 create mode 100644 arch/arm/boot/dts/imx6q-apf6dev.dts
 create mode 100644 arch/arm/boot/dts/imx6q-gw551x.dts
 create mode 100644 arch/arm/boot/dts/imx6qdl-apf6.dtsi
 create mode 100644 arch/arm/boot/dts/imx6qdl-apf6dev.dtsi
 create mode 100644 arch/arm/boot/dts/imx6qdl-aristainetos2.dtsi
 create mode 100644 arch/arm/boot/dts/imx6qdl-gw551x.dtsi
 create mode 100644 arch/arm/boot/dts/imx7d-pinfunc.h
 create mode 100644 arch/arm/boot/dts/imx7d-sdb.dts
 create mode 100644 arch/arm/boot/dts/imx7d.dtsi
 create mode 100644 arch/arm/boot/dts/k2e-netcp.dtsi
 create mode 100644 arch/arm/boot/dts/k2hk-netcp.dtsi
 create mode 100644 arch/arm/boot/dts/k2l-netcp.dtsi
 delete mode 100644 arch/arm/boot/dts/kizbox.dts
 create mode 100644 arch/arm/boot/dts/logicpd-torpedo-37xx-devkit.dts
 create mode 100644 arch/arm/boot/dts/logicpd-torpedo-som.dtsi
 create mode 100644 arch/arm/boot/dts/lpc18xx.dtsi
 create mode 100644 arch/arm/boot/dts/lpc4350-hitex-eval.dts
 create mode 100644 arch/arm/boot/dts/lpc4350.dtsi
 create mode 100644 arch/arm/boot/dts/lpc4357-ea4357-devkit.dts
 create mode 100644 arch/arm/boot/dts/lpc4357.dtsi
 create mode 100644 arch/arm/boot/dts/mt8135-pinfunc.h
 delete mode 100755 arch/arm/boot/dts/socfpga_arria10_socdk.dts
 create mode 100644 arch/arm/boot/dts/socfpga_arria10_socdk.dtsi
 create mode 100644 arch/arm/boot/dts/socfpga_arria10_socdk_sdmmc.dts
 create mode 100644 arch/arm/boot/dts/stm32f429-disco.dts
 create mode 100644 arch/arm/boot/dts/stm32f429.dtsi
 create mode 100644 arch/arm/boot/dts/sun4i-a10-gemei-g9.dts
 create mode 100644 arch/arm/boot/dts/sun4i-a10-jesurun-q5.dts
 create mode 100644 arch/arm/boot/dts/sun5i-a10s-auxtek-t004.dts
 create mode 100644 arch/arm/boot/dts/sun5i-a13-utoo-p66.dts
 create mode 100644 arch/arm/boot/dts/sun5i.dtsi
 create mode 100644 arch/arm/boot/dts/sun6i-a31-i7.dts
 create mode 100644 arch/arm/boot/dts/sun6i-a31-mele-a1000g-quad.dts
 create mode 100644 arch/arm/boot/dts/sun7i-a20-mk808c.dts
 create mode 100644 arch/arm/boot/dts/sun7i-a20-orangepi-mini.dts
 create mode 100644 arch/arm/boot/dts/sun7i-a20-orangepi.dts
 create mode 100644 arch/arm/boot/dts/sun7i-a20-pcduino3-nano.dts
 create mode 100644 arch/arm/boot/dts/sun7i-a20-wexler-tab7200.dts
 create mode 100644 arch/arm/boot/dts/sun8i-a23-a33.dtsi
 create mode 100644 arch/arm/boot/dts/sun8i-a23-evb.dts
 create mode 100644 arch/arm/boot/dts/sun8i-a33-et-q8-v1.6.dts
 create mode 100644 arch/arm/boot/dts/sun8i-a33-ga10h-v1.1.dts
 create mode 100644 arch/arm/boot/dts/sun8i-a33-sinlinx-sina33.dts
 create mode 100644 arch/arm/boot/dts/sun8i-a33.dtsi
 create mode 100644 arch/arm/boot/dts/sun9i-a80-cubieboard4.dts
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-ld4-ref.dts
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-ld4.dtsi
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-pro4-ref.dts
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-pro4.dtsi
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-sld3-ref.dts
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-sld3.dtsi
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-sld8-ref.dts
 create mode 100644 arch/arm/boot/dts/uniphier-ph1-sld8.dtsi
 create mode 100644 arch/arm/boot/dts/uniphier-support-card.dtsi
 create mode 100644 arch/arm/boot/dts/vf610m4-colibri.dts
 create mode 100644 arch/arm/boot/dts/vf610m4.dtsi
 create mode 100644 arch/arm/boot/dts/zx296702-ad1.dts
 create mode 100644 arch/arm/boot/dts/zx296702.dtsi
 delete mode 100644 arch/arm/common/timer-sp.c
 create mode 100644 arch/arm/configs/lpc18xx_defconfig
 create mode 100644 arch/arm/configs/stm32_defconfig
 create mode 100644 arch/arm/configs/vf610m4_defconfig
 create mode 100644 arch/arm/configs/zx_defconfig
 create mode 100644 arch/arm/crypto/sha512-armv4.pl
 delete mode 100644 arch/arm/crypto/sha512-armv7-neon.S
 create mode 100644 arch/arm/crypto/sha512-core.S_shipped
 create mode 100644 arch/arm/crypto/sha512-glue.c
 create mode 100644 arch/arm/crypto/sha512-neon-glue.c
 create mode 100644 arch/arm/crypto/sha512.h
 delete mode 100644 arch/arm/crypto/sha512_neon_glue.c
 delete mode 100644 arch/arm/include/asm/hardware/arm_timer.h
 delete mode 100644 arch/arm/include/asm/hardware/timer-sp.h
 create mode 100644 arch/arm/include/asm/mm-arch-hooks.h
 create mode 100644 arch/arm/kernel/module-plts.c
 create mode 100644 arch/arm/kernel/module.lds
 delete mode 100644 arch/arm/kernel/perf_event_cpu.c
 delete mode 100644 arch/arm/mach-at91/Makefile.boot
 delete mode 100644 arch/arm/mach-at91/include/mach/at91_ramc.h
 delete mode 100644 arch/arm/mach-at91/include/mach/at91rm9200_mc.h
 delete mode 100644 arch/arm/mach-at91/include/mach/at91sam9_smc.h
 delete mode 100644 arch/arm/mach-at91/sam9_smc.c
 delete mode 100644 arch/arm/mach-at91/sam9_smc.h
 create mode 100644 arch/arm/mach-bcm/bcm63xx_headsmp.S
 create mode 100644 arch/arm/mach-bcm/bcm63xx_pmb.c
 create mode 100644 arch/arm/mach-bcm/bcm63xx_smp.c
 create mode 100644 arch/arm/mach-bcm/bcm63xx_smp.h
 delete mode 100644 arch/arm/mach-bcm/brcmstb.h
 delete mode 100644 arch/arm/mach-bcm/headsmp-brcmstb.S
 delete mode 100644 arch/arm/mach-hisi/headsmp.S
 create mode 100644 arch/arm/mach-imx/Makefile.boot
 delete mode 100644 arch/arm/mach-imx/clk-cpu.c
 delete mode 100644 arch/arm/mach-imx/clk-imx1.c
 delete mode 100644 arch/arm/mach-imx/clk-imx21.c
 delete mode 100644 arch/arm/mach-imx/clk-imx25.c
 delete mode 100644 arch/arm/mach-imx/clk-imx27.c
 delete mode 100644 arch/arm/mach-imx/clk-imx31.c
 delete mode 100644 arch/arm/mach-imx/clk-imx35.c
 delete mode 100644 arch/arm/mach-imx/clk-imx51-imx53.c
 delete mode 100644 arch/arm/mach-imx/clk-imx6q.c
 delete mode 100644 arch/arm/mach-imx/clk-imx6sl.c
 delete mode 100644 arch/arm/mach-imx/clk-imx6sx.c
 delete mode 100644 arch/arm/mach-imx/clk-pllv1.c
 delete mode 100644 arch/arm/mach-imx/clk-pllv3.c
 delete mode 100644 arch/arm/mach-imx/clk-vf610.c
 delete mode 100644 arch/arm/mach-imx/clk.h
 delete mode 100644 arch/arm/mach-imx/eukrea_mbimxsd35-baseboard.c
 delete mode 100644 arch/arm/mach-imx/mach-cpuimx35.c
 create mode 100644 arch/arm/mach-imx/mach-imx7d.c
 create mode 100644 arch/arm/mach-imx/suspend-imx53.S
 delete mode 100644 arch/arm/mach-imx/time.c
 create mode 100644 arch/arm/mach-lpc18xx/Makefile
 create mode 100644 arch/arm/mach-lpc18xx/Makefile.boot
 create mode 100644 arch/arm/mach-lpc18xx/board-dt.c
 delete mode 100644 arch/arm/mach-omap1/include/mach/entry-macro.S
 delete mode 100644 arch/arm/mach-omap2/board-cm-t35.c
 delete mode 100644 arch/arm/mach-omap2/board-omap3beagle.c
 delete mode 100644 arch/arm/mach-omap2/board-overo.c
 delete mode 100644 arch/arm/mach-omap2/hwspinlock.c
 delete mode 100644 arch/arm/mach-pxa/clock-pxa2xx.c
 delete mode 100644 arch/arm/mach-pxa/clock-pxa3xx.c
 delete mode 100644 arch/arm/mach-pxa/clock.c
 delete mode 100644 arch/arm/mach-pxa/clock.h
 delete mode 100644 arch/arm/mach-sa1100/irq.c
 create mode 100644 arch/arm/mach-socfpga/pm.c
 create mode 100644 arch/arm/mach-socfpga/self-refresh.S
 create mode 100644 arch/arm/mach-stm32/Makefile
 create mode 100644 arch/arm/mach-stm32/Makefile.boot
 create mode 100644 arch/arm/mach-stm32/board-dt.c
 delete mode 100644 arch/arm/mach-tegra/headsmp.S
 create mode 100644 arch/arm/mach-uniphier/Kconfig
 create mode 100644 arch/arm/mach-uniphier/Makefile
 create mode 100644 arch/arm/mach-uniphier/platsmp.c
 create mode 100644 arch/arm/mach-uniphier/uniphier.c
 create mode 100644 arch/arm/mach-zx/Kconfig
 create mode 100644 arch/arm/mach-zx/Makefile
 create mode 100644 arch/arm/mach-zx/core.h
 create mode 100644 arch/arm/mach-zx/headsmp.S
 create mode 100644 arch/arm/mach-zx/platsmp.c
 create mode 100644 arch/arm/mach-zx/zx296702.c
 create mode 100644 arch/arm/mm/pv-fixup-asm.S
 create mode 100644 arch/arm64/boot/dts/arm/juno-base.dtsi
 create mode 100644 arch/arm64/boot/dts/arm/juno-r1.dts
 create mode 100644 arch/arm64/boot/dts/arm/vexpress-v2f-1xv7-ca53x2.dts
 create mode 100644 arch/arm64/boot/dts/hisilicon/Makefile
 create mode 100644 arch/arm64/boot/dts/hisilicon/hi6220-hikey.dts
 create mode 100644 arch/arm64/boot/dts/hisilicon/hi6220.dtsi
 create mode 100644 arch/arm64/boot/dts/qcom/apq8016-sbc-pmic-pins.dtsi
 create mode 100644 arch/arm64/boot/dts/qcom/apq8016-sbc-soc-pins.dtsi
 create mode 100644 arch/arm64/boot/dts/qcom/pm8916.dtsi
 delete mode 100644 arch/arm64/boot/dts/skeleton.dtsi
 delete mode 100644 arch/arm64/include/asm/alternative-asm.h
 create mode 100644 arch/arm64/include/asm/boot.h
 create mode 100644 arch/arm64/include/asm/mm-arch-hooks.h
 create mode 100644 arch/avr32/include/asm/mm-arch-hooks.h
 create mode 100644 arch/blackfin/include/asm/mm-arch-hooks.h
 create mode 100644 arch/c6x/include/asm/mm-arch-hooks.h
 create mode 100644 arch/cris/include/asm/mm-arch-hooks.h
 create mode 100644 arch/frv/include/asm/mm-arch-hooks.h
 create mode 100644 arch/h8300/Kconfig
 create mode 100644 arch/h8300/Kconfig.cpu
 create mode 100644 arch/h8300/Makefile
 create mode 100644 arch/h8300/boot/Makefile
 create mode 100644 arch/h8300/boot/compressed/Makefile
 create mode 100644 arch/h8300/boot/compressed/head.S
 create mode 100644 arch/h8300/boot/compressed/misc.c
 create mode 100644 arch/h8300/boot/compressed/vmlinux.lds
 create mode 100644 arch/h8300/boot/compressed/vmlinux.scr
 create mode 100644 arch/h8300/boot/dts/Makefile
 create mode 100644 arch/h8300/boot/dts/edosk2674.dts
 create mode 100644 arch/h8300/boot/dts/h8300h_sim.dts
 create mode 100644 arch/h8300/boot/dts/h8s_sim.dts
 create mode 100644 arch/h8300/configs/edosk2674_defconfig
 create mode 100644 arch/h8300/configs/h8300h-sim_defconfig
 create mode 100644 arch/h8300/configs/h8s-sim_defconfig
 create mode 100644 arch/h8300/include/asm/Kbuild
 create mode 100644 arch/h8300/include/asm/atomic.h
 create mode 100644 arch/h8300/include/asm/bitops.h
 create mode 100644 arch/h8300/include/asm/bitsperlong.h
 create mode 100644 arch/h8300/include/asm/bug.h
 create mode 100644 arch/h8300/include/asm/byteorder.h
 create mode 100644 arch/h8300/include/asm/cache.h
 create mode 100644 arch/h8300/include/asm/cmpxchg.h
 create mode 100644 arch/h8300/include/asm/dma-mapping.h
 create mode 100644 arch/h8300/include/asm/elf.h
 create mode 100644 arch/h8300/include/asm/flat.h
 create mode 100644 arch/h8300/include/asm/io.h
 create mode 100644 arch/h8300/include/asm/irq.h
 create mode 100644 arch/h8300/include/asm/irqflags.h
 create mode 100644 arch/h8300/include/asm/mc146818rtc.h
 create mode 100644 arch/h8300/include/asm/mutex.h
 create mode 100644 arch/h8300/include/asm/page.h
 create mode 100644 arch/h8300/include/asm/page_offset.h
 create mode 100644 arch/h8300/include/asm/pci.h
 create mode 100644 arch/h8300/include/asm/pgtable.h
 create mode 100644 arch/h8300/include/asm/processor.h
 create mode 100644 arch/h8300/include/asm/ptrace.h
 create mode 100644 arch/h8300/include/asm/segment.h
 create mode 100644 arch/h8300/include/asm/signal.h
 create mode 100644 arch/h8300/include/asm/smp.h
 create mode 100644 arch/h8300/include/asm/string.h
 create mode 100644 arch/h8300/include/asm/switch_to.h
 create mode 100644 arch/h8300/include/asm/syscall.h
 create mode 100644 arch/h8300/include/asm/thread_info.h
 create mode 100644 arch/h8300/include/asm/tlb.h
 create mode 100644 arch/h8300/include/asm/traps.h
 create mode 100644 arch/h8300/include/asm/user.h
 create mode 100644 arch/h8300/include/uapi/asm/Kbuild
 create mode 100644 arch/h8300/include/uapi/asm/byteorder.h
 create mode 100644 arch/h8300/include/uapi/asm/ptrace.h
 create mode 100644 arch/h8300/include/uapi/asm/sigcontext.h
 create mode 100644 arch/h8300/include/uapi/asm/signal.h
 create mode 100644 arch/h8300/include/uapi/asm/unistd.h
 create mode 100644 arch/h8300/kernel/Makefile
 create mode 100644 arch/h8300/kernel/asm-offsets.c
 create mode 100644 arch/h8300/kernel/dma.c
 create mode 100644 arch/h8300/kernel/entry.S
 create mode 100644 arch/h8300/kernel/h8300_ksyms.c
 create mode 100644 arch/h8300/kernel/head_ram.S
 create mode 100644 arch/h8300/kernel/head_rom.S
 create mode 100644 arch/h8300/kernel/irq.c
 create mode 100644 arch/h8300/kernel/module.c
 create mode 100644 arch/h8300/kernel/process.c
 create mode 100644 arch/h8300/kernel/ptrace.c
 create mode 100644 arch/h8300/kernel/ptrace_h.c
 create mode 100644 arch/h8300/kernel/ptrace_s.c
 create mode 100644 arch/h8300/kernel/setup.c
 create mode 100644 arch/h8300/kernel/signal.c
 create mode 100644 arch/h8300/kernel/sim-console.c
 create mode 100644 arch/h8300/kernel/syscalls.c
 create mode 100644 arch/h8300/kernel/traps.c
 create mode 100644 arch/h8300/kernel/vmlinux.lds.S
 create mode 100644 arch/h8300/lib/Makefile
 create mode 100644 arch/h8300/lib/abs.S
 create mode 100644 arch/h8300/lib/ashldi3.c
 create mode 100644 arch/h8300/lib/ashrdi3.c
 create mode 100644 arch/h8300/lib/delay.c
 create mode 100644 arch/h8300/lib/libgcc.h
 create mode 100644 arch/h8300/lib/lshrdi3.c
 create mode 100644 arch/h8300/lib/memcpy.S
 create mode 100644 arch/h8300/lib/memset.S
 create mode 100644 arch/h8300/lib/moddivsi3.S
 create mode 100644 arch/h8300/lib/modsi3.S
 create mode 100644 arch/h8300/lib/muldi3.c
 create mode 100644 arch/h8300/lib/mulsi3.S
 create mode 100644 arch/h8300/lib/strncpy.S
 create mode 100644 arch/h8300/lib/ucmpdi2.c
 create mode 100644 arch/h8300/lib/udivsi3.S
 create mode 100644 arch/h8300/mm/Makefile
 create mode 100644 arch/h8300/mm/fault.c
 create mode 100644 arch/h8300/mm/init.c
 create mode 100644 arch/h8300/mm/memory.c
 create mode 100644 arch/hexagon/include/asm/mm-arch-hooks.h
 create mode 100644 arch/ia64/include/asm/mm-arch-hooks.h
 delete mode 100644 arch/ia64/include/asm/native/pvchk_inst.h
 delete mode 100644 arch/ia64/include/asm/paravirt.h
 delete mode 100644 arch/ia64/include/asm/paravirt_patch.h
 delete mode 100644 arch/ia64/include/asm/paravirt_privop.h
 delete mode 100644 arch/ia64/kernel/paravirt.c
 delete mode 100644 arch/ia64/kernel/paravirt_inst.h
 delete mode 100644 arch/ia64/kernel/paravirt_patch.c
 delete mode 100644 arch/ia64/kernel/paravirt_patchlist.c
 delete mode 100644 arch/ia64/kernel/paravirt_patchlist.h
 delete mode 100644 arch/ia64/kernel/paravirtentry.S
 delete mode 100644 arch/ia64/scripts/pvcheck.sed
 create mode 100644 arch/m32r/include/asm/mm-arch-hooks.h
 create mode 100644 arch/m68k/include/asm/mm-arch-hooks.h
 create mode 100644 arch/metag/include/asm/mm-arch-hooks.h
 create mode 100644 arch/microblaze/include/asm/mm-arch-hooks.h
 delete mode 100644 arch/mips/bcm47xx/nvram.c
 create mode 100644 arch/mips/boot/dts/brcm/bcm7435.dtsi
 create mode 100644 arch/mips/boot/dts/brcm/bcm97435svmb.dts
 create mode 100644 arch/mips/boot/dts/ingenic/Makefile
 create mode 100644 arch/mips/boot/dts/ingenic/ci20.dts
 create mode 100644 arch/mips/boot/dts/ingenic/jz4740.dtsi
 create mode 100644 arch/mips/boot/dts/ingenic/jz4780.dtsi
 create mode 100644 arch/mips/boot/dts/ingenic/qi_lb60.dts
 create mode 100644 arch/mips/boot/dts/mti/malta.dts
 create mode 100644 arch/mips/boot/dts/qca/Makefile
 create mode 100644 arch/mips/boot/dts/qca/ar9132.dtsi
 create mode 100644 arch/mips/boot/dts/qca/ar9132_tl_wr1043nd_v1.dts
 create mode 100644 arch/mips/configs/ci20_defconfig
 create mode 100644 arch/mips/include/asm/bmips-spaces.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/boot_param.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/cpu-feature-overrides.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/dma-coherence.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/irq.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/kernel-entry-init.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/loongson.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/machine.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/mc146818rtc.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/mem.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/mmzone.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/pci.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/spaces.h
 delete mode 100644 arch/mips/include/asm/mach-loongson/workarounds.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/cpufreq.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/irq.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/loongson1.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/platform.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/prom.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/regs-clk.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/regs-mux.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/regs-pwm.h
 delete mode 100644 arch/mips/include/asm/mach-loongson1/regs-wdt.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/cpufreq.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/irq.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/loongson1.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/platform.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/prom.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/regs-clk.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/regs-mux.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/regs-pwm.h
 create mode 100644 arch/mips/include/asm/mach-loongson32/regs-wdt.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/boot_param.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/cpu-feature-overrides.h
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/cs5536/cs5536.h (100%)
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/cs5536/cs5536_mfgpt.h (100%)
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/cs5536/cs5536_pci.h (100%)
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/cs5536/cs5536_vsm.h (100%)
 create mode 100644 arch/mips/include/asm/mach-loongson64/dma-coherence.h
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/gpio.h (100%)
 create mode 100644 arch/mips/include/asm/mach-loongson64/irq.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/kernel-entry-init.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/loongson.h
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/loongson_hwmon.h (100%)
 create mode 100644 arch/mips/include/asm/mach-loongson64/machine.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/mc146818rtc.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/mem.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/mmzone.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/pci.h
 create mode 100644 arch/mips/include/asm/mach-loongson64/spaces.h
 rename arch/mips/include/asm/{mach-loongson => mach-loongson64}/topology.h (100%)
 create mode 100644 arch/mips/include/asm/mach-loongson64/workarounds.h
 create mode 100644 arch/mips/include/asm/mm-arch-hooks.h
 delete mode 100644 arch/mips/jz4740/clock-debugfs.c
 delete mode 100644 arch/mips/jz4740/clock.c
 delete mode 100644 arch/mips/jz4740/clock.h
 delete mode 100644 arch/mips/jz4740/irq.c
 delete mode 100644 arch/mips/jz4740/irq.h
 delete mode 100644 arch/mips/jz4740/serial.c
 delete mode 100644 arch/mips/jz4740/serial.h
 delete mode 100644 arch/mips/kernel/irq_cpu.c
 create mode 100644 arch/mips/kernel/sysrq.c
 delete mode 100644 arch/mips/loongson/Kconfig
 delete mode 100644 arch/mips/loongson/Makefile
 delete mode 100644 arch/mips/loongson/Platform
 delete mode 100644 arch/mips/loongson/common/Makefile
 delete mode 100644 arch/mips/loongson/common/bonito-irq.c
 delete mode 100644 arch/mips/loongson/common/cmdline.c
 delete mode 100644 arch/mips/loongson/common/cs5536/cs5536_mfgpt.c
 delete mode 100644 arch/mips/loongson/common/env.c
 delete mode 100644 arch/mips/loongson/common/irq.c
 delete mode 100644 arch/mips/loongson/common/serial.c
 delete mode 100644 arch/mips/loongson/common/setup.c
 delete mode 100644 arch/mips/loongson/fuloong-2e/irq.c
 delete mode 100644 arch/mips/loongson/lemote-2f/clock.c
 delete mode 100644 arch/mips/loongson/loongson-3/numa.c
 delete mode 100644 arch/mips/loongson/loongson-3/smp.c
 delete mode 100644 arch/mips/loongson1/Kconfig
 delete mode 100644 arch/mips/loongson1/Makefile
 delete mode 100644 arch/mips/loongson1/Platform
 create mode 100644 arch/mips/loongson32/Kconfig
 create mode 100644 arch/mips/loongson32/Makefile
 create mode 100644 arch/mips/loongson32/Platform
 rename arch/mips/{loongson1 => loongson32}/common/Makefile (100%)
 rename arch/mips/{loongson1 => loongson32}/common/irq.c (100%)
 rename arch/mips/{loongson1 => loongson32}/common/platform.c (100%)
 rename arch/mips/{loongson1 => loongson32}/common/prom.c (100%)
 rename arch/mips/{loongson1 => loongson32}/common/reset.c (100%)
 rename arch/mips/{loongson1 => loongson32}/common/setup.c (100%)
 rename arch/mips/{loongson1 => loongson32}/common/time.c (100%)
 rename arch/mips/{loongson1 => loongson32}/ls1b/Makefile (100%)
 rename arch/mips/{loongson1 => loongson32}/ls1b/board.c (100%)
 create mode 100644 arch/mips/loongson64/Kconfig
 create mode 100644 arch/mips/loongson64/Makefile
 create mode 100644 arch/mips/loongson64/Platform
 create mode 100644 arch/mips/loongson64/common/Makefile
 create mode 100644 arch/mips/loongson64/common/bonito-irq.c
 create mode 100644 arch/mips/loongson64/common/cmdline.c
 rename arch/mips/{loongson => loongson64}/common/cs5536/Makefile (100%)
 rename arch/mips/{loongson => loongson64}/common/cs5536/cs5536_acc.c (100%)
 rename arch/mips/{loongson => loongson64}/common/cs5536/cs5536_ehci.c (100%)
 rename arch/mips/{loongson => loongson64}/common/cs5536/cs5536_ide.c (100%)
 rename arch/mips/{loongson => loongson64}/common/cs5536/cs5536_isa.c (100%)
 create mode 100644 arch/mips/loongson64/common/cs5536/cs5536_mfgpt.c
 rename arch/mips/{loongson => loongson64}/common/cs5536/cs5536_ohci.c (100%)
 rename arch/mips/{loongson => loongson64}/common/cs5536/cs5536_pci.c (100%)
 rename arch/mips/{loongson => loongson64}/common/dma-swiotlb.c (100%)
 rename arch/mips/{loongson => loongson64}/common/early_printk.c (100%)
 create mode 100644 arch/mips/loongson64/common/env.c
 rename arch/mips/{loongson => loongson64}/common/init.c (100%)
 create mode 100644 arch/mips/loongson64/common/irq.c
 rename arch/mips/{loongson => loongson64}/common/machtype.c (100%)
 rename arch/mips/{loongson => loongson64}/common/mem.c (100%)
 rename arch/mips/{loongson => loongson64}/common/pci.c (100%)
 rename arch/mips/{loongson => loongson64}/common/platform.c (100%)
 rename arch/mips/{loongson => loongson64}/common/pm.c (100%)
 rename arch/mips/{loongson => loongson64}/common/reset.c (100%)
 rename arch/mips/{loongson => loongson64}/common/rtc.c (100%)
 create mode 100644 arch/mips/loongson64/common/serial.c
 create mode 100644 arch/mips/loongson64/common/setup.c
 rename arch/mips/{loongson => loongson64}/common/time.c (100%)
 rename arch/mips/{loongson => loongson64}/common/uart_base.c (100%)
 rename arch/mips/{loongson => loongson64}/fuloong-2e/Makefile (100%)
 create mode 100644 arch/mips/loongson64/fuloong-2e/irq.c
 rename arch/mips/{loongson => loongson64}/fuloong-2e/reset.c (100%)
 rename arch/mips/{loongson => loongson64}/lemote-2f/Makefile (100%)
 create mode 100644 arch/mips/loongson64/lemote-2f/clock.c
 rename arch/mips/{loongson => loongson64}/lemote-2f/ec_kb3310b.c (100%)
 rename arch/mips/{loongson => loongson64}/lemote-2f/ec_kb3310b.h (100%)
 rename arch/mips/{loongson => loongson64}/lemote-2f/irq.c (100%)
 rename arch/mips/{loongson => loongson64}/lemote-2f/machtype.c (100%)
 rename arch/mips/{loongson => loongson64}/lemote-2f/pm.c (100%)
 rename arch/mips/{loongson => loongson64}/lemote-2f/reset.c (100%)
 rename arch/mips/{loongson => loongson64}/loongson-3/Makefile (100%)
 rename arch/mips/{loongson => loongson64}/loongson-3/cop2-ex.c (100%)
 rename arch/mips/{loongson => loongson64}/loongson-3/hpet.c (100%)
 rename arch/mips/{loongson => loongson64}/loongson-3/irq.c (100%)
 create mode 100644 arch/mips/loongson64/loongson-3/numa.c
 rename arch/mips/{loongson => loongson64}/loongson-3/platform.c (100%)
 create mode 100644 arch/mips/loongson64/loongson-3/smp.c
 rename arch/mips/{loongson => loongson64}/loongson-3/smp.h (100%)
 create mode 100644 arch/mips/mti-malta/malta-dt.c
 create mode 100644 arch/mips/net/bpf_jit_asm.S
 create mode 100644 arch/mips/sgi-ip27/ip27-irqno.c
 create mode 100644 arch/mn10300/include/asm/mm-arch-hooks.h
 create mode 100644 arch/nios2/include/asm/mm-arch-hooks.h
 create mode 100644 arch/openrisc/include/asm/mm-arch-hooks.h
 create mode 100644 arch/parisc/include/asm/mm-arch-hooks.h
 create mode 100644 arch/powerpc/boot/dts/fsl/t1023si-post.dtsi
 create mode 100644 arch/powerpc/boot/dts/fsl/t1024si-post.dtsi
 create mode 100644 arch/powerpc/boot/dts/fsl/t102xsi-pre.dtsi
 create mode 100644 arch/powerpc/boot/dts/t1023rdb.dts
 create mode 100644 arch/powerpc/boot/dts/t1024qds.dts
 create mode 100644 arch/powerpc/boot/dts/t1024rdb.dts
 create mode 100644 arch/powerpc/configs/le.config
 delete mode 100644 arch/powerpc/configs/pseries_le_defconfig
 create mode 100644 arch/powerpc/include/asm/icswx.h
 create mode 100644 arch/powerpc/include/asm/mm-arch-hooks.h
 create mode 100644 arch/powerpc/include/uapi/asm/eeh.h
 create mode 100644 arch/powerpc/include/uapi/asm/opal-prd.h
 create mode 100644 arch/powerpc/mm/mmu_context_iommu.c
 create mode 100644 arch/powerpc/platforms/pasemi/msi.c
 create mode 100644 arch/powerpc/platforms/powernv/idle.c
 create mode 100644 arch/powerpc/platforms/powernv/opal-irqchip.c
 create mode 100644 arch/powerpc/platforms/powernv/opal-prd.c
 delete mode 100644 arch/powerpc/sysdev/mpic_pasemi_msi.c
 create mode 100644 arch/s390/include/asm/mm-arch-hooks.h
 create mode 100644 arch/score/include/asm/mm-arch-hooks.h
 create mode 100644 arch/sh/include/asm/mm-arch-hooks.h
 create mode 100644 arch/sparc/include/asm/mm-arch-hooks.h
 delete mode 100644 arch/tile/include/asm/edac.h
 create mode 100644 arch/tile/include/asm/mm-arch-hooks.h
 create mode 100644 arch/tile/include/asm/word-at-a-time.h
 create mode 100644 arch/um/include/asm/mm-arch-hooks.h
 create mode 100644 arch/um/include/asm/sections.h
 create mode 100644 arch/unicore32/include/asm/mm-arch-hooks.h
 create mode 100644 arch/x86/configs/xen.config
 create mode 100644 arch/x86/entry/Makefile
 create mode 100644 arch/x86/entry/calling.h
 create mode 100644 arch/x86/entry/entry_32.S
 create mode 100644 arch/x86/entry/entry_64.S
 create mode 100644 arch/x86/entry/entry_64_compat.S
 create mode 100644 arch/x86/entry/syscall_32.c
 rename arch/x86/{kernel => entry}/syscall_64.c (100%)
 create mode 100644 arch/x86/entry/syscalls/Makefile
 rename arch/x86/{ => entry}/syscalls/syscall_32.tbl (100%)
 rename arch/x86/{ => entry}/syscalls/syscall_64.tbl (100%)
 rename arch/x86/{ => entry}/syscalls/syscallhdr.sh (100%)
 rename arch/x86/{ => entry}/syscalls/syscalltbl.sh (100%)
 create mode 100644 arch/x86/entry/thunk_32.S
 create mode 100644 arch/x86/entry/thunk_64.S
 rename arch/x86/{ => entry}/vdso/.gitignore (100%)
 rename arch/x86/{ => entry}/vdso/Makefile (100%)
 rename arch/x86/{ => entry}/vdso/checkundef.sh (100%)
 rename arch/x86/{ => entry}/vdso/vclock_gettime.c (100%)
 rename arch/x86/{ => entry}/vdso/vdso-layout.lds.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso-note.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso.lds.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso2c.c (100%)
 rename arch/x86/{ => entry}/vdso/vdso2c.h (100%)
 rename arch/x86/{ => entry}/vdso/vdso32-setup.c (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/.gitignore (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/int80.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/note.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/sigreturn.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/syscall.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/sysenter.S (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/vclock_gettime.c (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/vdso-fakesections.c (100%)
 rename arch/x86/{ => entry}/vdso/vdso32/vdso32.lds.S (100%)
 rename arch/x86/{ => entry}/vdso/vdsox32.lds.S (100%)
 rename arch/x86/{ => entry}/vdso/vgetcpu.c (100%)
 rename arch/x86/{ => entry}/vdso/vma.c (100%)
 create mode 100644 arch/x86/entry/vsyscall/Makefile
 rename arch/x86/{kernel => entry/vsyscall}/vsyscall_64.c (100%)
 rename arch/x86/{kernel => entry/vsyscall}/vsyscall_emu_64.S (100%)
 rename arch/x86/{kernel => entry/vsyscall}/vsyscall_gtod.c (100%)
 create mode 100644 arch/x86/entry/vsyscall/vsyscall_trace.h
 delete mode 100644 arch/x86/ia32/ia32entry.S
 delete mode 100644 arch/x86/include/asm/calling.h
 delete mode 100644 arch/x86/include/asm/dwarf2.h
 delete mode 100644 arch/x86/include/asm/fpu-internal.h
 create mode 100644 arch/x86/include/asm/fpu/api.h
 create mode 100644 arch/x86/include/asm/fpu/internal.h
 create mode 100644 arch/x86/include/asm/fpu/regset.h
 create mode 100644 arch/x86/include/asm/fpu/signal.h
 create mode 100644 arch/x86/include/asm/fpu/types.h
 create mode 100644 arch/x86/include/asm/fpu/xstate.h
 delete mode 100644 arch/x86/include/asm/i387.h
 create mode 100644 arch/x86/include/asm/intel_pmc_ipc.h
 create mode 100644 arch/x86/include/asm/irqdomain.h
 create mode 100644 arch/x86/include/asm/mm-arch-hooks.h
 create mode 100644 arch/x86/include/asm/msi.h
 create mode 100644 arch/x86/include/asm/msr-index.h
 create mode 100644 arch/x86/include/asm/qspinlock.h
 create mode 100644 arch/x86/include/asm/qspinlock_paravirt.h
 create mode 100644 arch/x86/include/asm/trace/mpx.h
 delete mode 100644 arch/x86/include/asm/xcr.h
 delete mode 100644 arch/x86/include/asm/xsave.h
 delete mode 100644 arch/x86/include/uapi/asm/msr-index.h
 delete mode 100644 arch/x86/kernel/entry_32.S
 delete mode 100644 arch/x86/kernel/entry_64.S
 create mode 100644 arch/x86/kernel/fpu/Makefile
 create mode 100644 arch/x86/kernel/fpu/bugs.c
 create mode 100644 arch/x86/kernel/fpu/core.c
 create mode 100644 arch/x86/kernel/fpu/init.c
 create mode 100644 arch/x86/kernel/fpu/regset.c
 create mode 100644 arch/x86/kernel/fpu/signal.c
 create mode 100644 arch/x86/kernel/fpu/xstate.c
 delete mode 100644 arch/x86/kernel/i387.c
 delete mode 100644 arch/x86/kernel/syscall_32.c
 delete mode 100644 arch/x86/kernel/vsyscall_trace.h
 delete mode 100644 arch/x86/kernel/xsave.c
 create mode 100644 arch/x86/kvm/mtrr.c
 create mode 100644 arch/x86/kvm/pmu.h
 create mode 100644 arch/x86/kvm/pmu_amd.c
 create mode 100644 arch/x86/kvm/pmu_intel.c
 delete mode 100644 arch/x86/lib/copy_user_nocache_64.S
 delete mode 100644 arch/x86/lib/thunk_32.S
 delete mode 100644 arch/x86/lib/thunk_64.S
 create mode 100644 arch/x86/platform/atom/Makefile
 create mode 100644 arch/x86/platform/atom/punit_atom_debug.c
 delete mode 100644 arch/x86/syscalls/Makefile
 create mode 100644 arch/xtensa/include/asm/mm-arch-hooks.h
 delete mode 100644 block/blk-cgroup.h
 create mode 100644 crypto/.gitignore
 create mode 100644 crypto/akcipher.c
 create mode 100644 crypto/chacha20_generic.c
 create mode 100644 crypto/chacha20poly1305.c
 create mode 100644 crypto/echainiv.c
 create mode 100644 crypto/jitterentropy-kcapi.c
 create mode 100644 crypto/jitterentropy.c
 delete mode 100644 crypto/krng.c
 create mode 100644 crypto/poly1305_generic.c
 create mode 100644 crypto/rsa.c
 create mode 100644 crypto/rsa_helper.c
 create mode 100644 crypto/rsakey.asn1
 create mode 100644 drivers/acpi/acpi_video.c
 create mode 100644 drivers/acpi/nfit.c
 create mode 100644 drivers/acpi/nfit.h
 delete mode 100644 drivers/acpi/video.c
 create mode 100644 drivers/ata/ahci_brcmstb.c
 create mode 100644 drivers/ata/ahci_ceva.c
 create mode 100644 drivers/base/power/wakeirq.c
 delete mode 100644 drivers/block/pmem.c
 create mode 100644 drivers/bluetooth/btrtl.c
 create mode 100644 drivers/bluetooth/btrtl.h
 delete mode 100644 drivers/char/i8k.c
 delete mode 100644 drivers/char/msm_smd_pkt.c
 create mode 100644 drivers/clk/bcm/clk-cygnus.c
 create mode 100644 drivers/clk/bcm/clk-iproc-armpll.c
 create mode 100644 drivers/clk/bcm/clk-iproc-asiu.c
 create mode 100644 drivers/clk/bcm/clk-iproc-pll.c
 create mode 100644 drivers/clk/bcm/clk-iproc.h
 create mode 100644 drivers/clk/clk-cdce925.c
 create mode 100644 drivers/clk/clk-stm32f4.c
 create mode 100644 drivers/clk/h8300/Makefile
 create mode 100644 drivers/clk/h8300/clk-div.c
 create mode 100644 drivers/clk/h8300/clk-h8s2678.c
 create mode 100644 drivers/clk/hisilicon/Kconfig
 create mode 100644 drivers/clk/hisilicon/clk-hi6220.c
 create mode 100644 drivers/clk/hisilicon/clkdivider-hi6220.c
 create mode 100644 drivers/clk/imx/Makefile
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-busy.c (100%)
 create mode 100644 drivers/clk/imx/clk-cpu.c
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-fixup-div.c (100%)
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-fixup-mux.c (100%)
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-gate-exclusive.c (100%)
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-gate2.c (100%)
 create mode 100644 drivers/clk/imx/clk-imx1.c
 create mode 100644 drivers/clk/imx/clk-imx21.c
 create mode 100644 drivers/clk/imx/clk-imx25.c
 create mode 100644 drivers/clk/imx/clk-imx27.c
 create mode 100644 drivers/clk/imx/clk-imx31.c
 create mode 100644 drivers/clk/imx/clk-imx35.c
 create mode 100644 drivers/clk/imx/clk-imx51-imx53.c
 create mode 100644 drivers/clk/imx/clk-imx6q.c
 create mode 100644 drivers/clk/imx/clk-imx6sl.c
 create mode 100644 drivers/clk/imx/clk-imx6sx.c
 create mode 100644 drivers/clk/imx/clk-imx7d.c
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-pfd.c (100%)
 create mode 100644 drivers/clk/imx/clk-pllv1.c
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk-pllv2.c (100%)
 create mode 100644 drivers/clk/imx/clk-pllv3.c
 create mode 100644 drivers/clk/imx/clk-vf610.c
 rename {arch/arm/mach-imx => drivers/clk/imx}/clk.c (100%)
 create mode 100644 drivers/clk/imx/clk.h
 create mode 100644 drivers/clk/ingenic/Makefile
 create mode 100644 drivers/clk/ingenic/cgu.c
 create mode 100644 drivers/clk/ingenic/cgu.h
 create mode 100644 drivers/clk/ingenic/jz4740-cgu.c
 create mode 100644 drivers/clk/ingenic/jz4780-cgu.c
 create mode 100644 drivers/clk/mediatek/Makefile
 create mode 100644 drivers/clk/mediatek/clk-gate.c
 create mode 100644 drivers/clk/mediatek/clk-gate.h
 create mode 100644 drivers/clk/mediatek/clk-mt8135.c
 create mode 100644 drivers/clk/mediatek/clk-mt8173.c
 create mode 100644 drivers/clk/mediatek/clk-mtk.c
 create mode 100644 drivers/clk/mediatek/clk-mtk.h
 create mode 100644 drivers/clk/mediatek/clk-pll.c
 create mode 100644 drivers/clk/mediatek/reset.c
 create mode 100644 drivers/clk/meson/Makefile
 create mode 100644 drivers/clk/meson/clk-cpu.c
 create mode 100644 drivers/clk/meson/clk-pll.c
 create mode 100644 drivers/clk/meson/clkc.c
 create mode 100644 drivers/clk/meson/clkc.h
 create mode 100644 drivers/clk/meson/meson8b-clkc.c
 create mode 100644 drivers/clk/mmp/clk-of-pxa1928.c
 create mode 100644 drivers/clk/nxp/Makefile
 create mode 100644 drivers/clk/nxp/clk-lpc18xx-ccu.c
 create mode 100644 drivers/clk/nxp/clk-lpc18xx-cgu.c
 create mode 100644 drivers/clk/samsung/clk-cpu.c
 create mode 100644 drivers/clk/samsung/clk-cpu.h
 create mode 100644 drivers/clk/sirf/clk-atlas7.c
 create mode 100644 drivers/clk/socfpga/clk-gate-a10.c
 create mode 100644 drivers/clk/socfpga/clk-periph-a10.c
 create mode 100644 drivers/clk/socfpga/clk-pll-a10.c
 create mode 100644 drivers/clk/tegra/Kconfig
 create mode 100644 drivers/clk/tegra/clk-emc.c
 create mode 100644 drivers/clk/zte/Makefile
 create mode 100644 drivers/clk/zte/clk-pll.c
 create mode 100644 drivers/clk/zte/clk-zx296702.c
 create mode 100644 drivers/clk/zte/clk.h
 create mode 100644 drivers/clocksource/armv7m_systick.c
 create mode 100644 drivers/clocksource/h8300_timer16.c
 create mode 100644 drivers/clocksource/h8300_timer8.c
 create mode 100644 drivers/clocksource/h8300_tpu.c
 create mode 100644 drivers/clocksource/time-lpc32xx.c
 create mode 100644 drivers/clocksource/timer-imx-gpt.c
 create mode 100644 drivers/clocksource/timer-sp.h
 create mode 100644 drivers/clocksource/timer-sp804.c
 create mode 100644 drivers/clocksource/timer-stm32.c
 delete mode 100644 drivers/cpufreq/exynos4210-cpufreq.c
 create mode 100644 drivers/crypto/marvell/Makefile
 create mode 100644 drivers/crypto/marvell/cesa.c
 create mode 100644 drivers/crypto/marvell/cesa.h
 create mode 100644 drivers/crypto/marvell/cipher.c
 create mode 100644 drivers/crypto/marvell/hash.c
 create mode 100644 drivers/crypto/marvell/tdma.c
 create mode 100644 drivers/crypto/nx/nx-842-crypto.c
 create mode 100644 drivers/crypto/nx/nx-842-platform.c
 create mode 100644 drivers/crypto/nx/nx-842-powernv.c
 create mode 100644 drivers/crypto/nx/nx-842-pseries.c
 create mode 100644 drivers/crypto/nx/nx-842.h
 create mode 100644 drivers/dma/pxa_dma.c
 create mode 100644 drivers/dma/ti-dma-crossbar.c
 mode change 100755 => 100644 drivers/dma/xgene-dma.c
 create mode 100644 drivers/edac/altera_edac.h
 create mode 100644 drivers/edac/xgene_edac.c
 create mode 100644 drivers/extcon/extcon-axp288.c
 create mode 100644 drivers/firmware/broadcom/Kconfig
 create mode 100644 drivers/firmware/broadcom/Makefile
 create mode 100644 drivers/firmware/broadcom/bcm47xx_nvram.c
 create mode 100644 drivers/firmware/efi/esrt.c
 create mode 100644 drivers/firmware/qcom_scm-32.c
 create mode 100644 drivers/firmware/qcom_scm.h
 create mode 100644 drivers/gpio/gpio-brcmstb.c
 create mode 100644 drivers/gpio/gpio-etraxfs.c
 create mode 100644 drivers/gpio/gpio-lpc18xx.c
 create mode 100644 drivers/gpio/gpio-xlp.c
 create mode 100644 drivers/gpu/drm/i915/intel_hotplug.c
 create mode 100644 drivers/gpu/drm/i915/intel_mocs.c
 create mode 100644 drivers/gpu/drm/i915/intel_mocs.h
 create mode 100644 drivers/hv/hv_utils_transport.c
 create mode 100644 drivers/hv/hv_utils_transport.h
 create mode 100644 drivers/hwmon/dell-smm-hwmon.c
 create mode 100644 drivers/hwmon/tc74.c
 create mode 100644 drivers/hwspinlock/qcom_hwspinlock.c
 create mode 100644 drivers/hwspinlock/sirf_hwspinlock.c
 create mode 100644 drivers/hwtracing/coresight/coresight-etm4x.c
 create mode 100644 drivers/hwtracing/coresight/coresight-etm4x.h
 create mode 100644 drivers/hwtracing/coresight/coresight-replicator-qcom.c
 create mode 100644 drivers/i2c/busses/i2c-brcmstb.c
 create mode 100644 drivers/i2c/busses/i2c-mt65xx.c
 create mode 100644 drivers/i2c/busses/i2c-xgene-slimpro.c
 create mode 100644 drivers/iio/accel/stk8312.c
 create mode 100644 drivers/iio/accel/stk8ba50.c
 create mode 100644 drivers/iio/adc/berlin2-adc.c
 create mode 100644 drivers/iio/dac/m62332.c
 create mode 100644 drivers/iio/light/acpi-als.c
 create mode 100644 drivers/iio/light/bh1750.c
 create mode 100644 drivers/iio/light/stk3310.c
 create mode 100644 drivers/iio/magnetometer/bmc150_magn.c
 create mode 100644 drivers/iio/magnetometer/mmc35240.c
 create mode 100644 drivers/infiniband/core/opa_smi.h
 create mode 100644 drivers/input/input-leds.c
 create mode 100644 drivers/input/misc/da9063_onkey.c
 create mode 100644 drivers/input/misc/drv2665.c
 create mode 100644 drivers/input/touchscreen/wdt87xx_i2c.c
 create mode 100644 drivers/iommu/arm-smmu-v3.c
 create mode 100644 drivers/irqchip/irq-ingenic.c
 create mode 100644 drivers/irqchip/irq-mips-cpu.c
 create mode 100644 drivers/irqchip/irq-renesas-h8300h.c
 create mode 100644 drivers/irqchip/irq-renesas-h8s.c
 create mode 100644 drivers/irqchip/irq-sa11x0.c
 create mode 100644 drivers/leds/leds-aat1290.c
 create mode 100644 drivers/leds/leds-bcm6328.c
 create mode 100644 drivers/leds/leds-bcm6358.c
 create mode 100644 drivers/leds/leds-ktd2692.c
 create mode 100644 drivers/leds/leds-max77693.c
 create mode 100644 drivers/leds/leds-tlc591xx.c
 delete mode 100644 drivers/macintosh/nvram.c
 create mode 100644 drivers/mailbox/bcm2835-mailbox.c
 create mode 100644 drivers/md/dm-cache-policy-smq.c
 create mode 100644 drivers/media/dvb-frontends/cx24120.c
 create mode 100644 drivers/media/dvb-frontends/cx24120.h
 create mode 100644 drivers/media/pci/cobalt/Kconfig
 create mode 100644 drivers/media/pci/cobalt/Makefile
 create mode 100644 drivers/media/pci/cobalt/cobalt-alsa-main.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-alsa-pcm.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-alsa-pcm.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-alsa.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-cpld.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-cpld.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-driver.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-driver.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-flash.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-flash.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-i2c.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-i2c.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-irq.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-irq.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-omnitek.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-omnitek.h
 create mode 100644 drivers/media/pci/cobalt/cobalt-v4l2.c
 create mode 100644 drivers/media/pci/cobalt/cobalt-v4l2.h
 create mode 100644 drivers/media/pci/cobalt/m00233_video_measure_memmap_package.h
 create mode 100644 drivers/media/pci/cobalt/m00235_fdma_packer_memmap_package.h
 create mode 100644 drivers/media/pci/cobalt/m00389_cvi_memmap_package.h
 create mode 100644 drivers/media/pci/cobalt/m00460_evcnt_memmap_package.h
 create mode 100644 drivers/media/pci/cobalt/m00473_freewheel_memmap_package.h
 create mode 100644 drivers/media/pci/cobalt/m00479_clk_loss_detector_memmap_package.h
 create mode 100644 drivers/media/pci/cobalt/m00514_syncgen_flow_evcnt_memmap_package.h
 create mode 100644 drivers/media/pci/dt3155/Kconfig
 create mode 100644 drivers/media/pci/dt3155/Makefile
 create mode 100644 drivers/media/pci/dt3155/dt3155.c
 create mode 100644 drivers/media/pci/dt3155/dt3155.h
 create mode 100644 drivers/media/pci/mantis/mantis_input.h
 create mode 100644 drivers/media/platform/sti/bdisp/Makefile
 create mode 100644 drivers/media/platform/sti/bdisp/bdisp-debug.c
 create mode 100644 drivers/media/platform/sti/bdisp/bdisp-filter.h
 create mode 100644 drivers/media/platform/sti/bdisp/bdisp-hw.c
 create mode 100644 drivers/media/platform/sti/bdisp/bdisp-reg.h
 create mode 100644 drivers/media/platform/sti/bdisp/bdisp-v4l2.c
 create mode 100644 drivers/media/platform/sti/bdisp/bdisp.h
 create mode 100644 drivers/media/rc/keymaps/rc-technisat-ts35.c
 create mode 100644 drivers/media/rc/keymaps/rc-terratec-cinergy-c-pci.c
 create mode 100644 drivers/media/rc/keymaps/rc-terratec-cinergy-s2-hd.c
 create mode 100644 drivers/media/rc/keymaps/rc-twinhan-dtv-cab-ci.c
 create mode 100644 drivers/media/v4l2-core/v4l2-flash-led-class.c
 create mode 100644 drivers/memory/tegra/tegra124-emc.c
 delete mode 100644 drivers/misc/carma/Kconfig
 delete mode 100644 drivers/misc/carma/Makefile
 delete mode 100644 drivers/misc/carma/carma-fpga-program.c
 delete mode 100644 drivers/misc/carma/carma-fpga.c
 create mode 100644 drivers/misc/cxl/api.c
 create mode 100644 drivers/misc/cxl/vphb.c
 create mode 100644 drivers/misc/mic/bus/scif_bus.c
 create mode 100644 drivers/misc/mic/bus/scif_bus.h
 create mode 100644 drivers/misc/mic/scif/Makefile
 create mode 100644 drivers/misc/mic/scif/scif_api.c
 create mode 100644 drivers/misc/mic/scif/scif_debugfs.c
 create mode 100644 drivers/misc/mic/scif/scif_epd.c
 create mode 100644 drivers/misc/mic/scif/scif_epd.h
 create mode 100644 drivers/misc/mic/scif/scif_fd.c
 create mode 100644 drivers/misc/mic/scif/scif_main.c
 create mode 100644 drivers/misc/mic/scif/scif_main.h
 create mode 100644 drivers/misc/mic/scif/scif_map.h
 create mode 100644 drivers/misc/mic/scif/scif_nm.c
 create mode 100644 drivers/misc/mic/scif/scif_nodeqp.c
 create mode 100644 drivers/misc/mic/scif/scif_nodeqp.h
 create mode 100644 drivers/misc/mic/scif/scif_peer_bus.c
 create mode 100644 drivers/misc/mic/scif/scif_peer_bus.h
 create mode 100644 drivers/misc/mic/scif/scif_ports.c
 create mode 100644 drivers/misc/mic/scif/scif_rb.c
 create mode 100644 drivers/misc/mic/scif/scif_rb.h
 create mode 100644 drivers/mmc/host/mtk-sd.c
 create mode 100644 drivers/mtd/devices/powernv_flash.c
 create mode 100644 drivers/mtd/nand/brcmnand/Makefile
 create mode 100644 drivers/mtd/nand/brcmnand/bcm63138_nand.c
 create mode 100644 drivers/mtd/nand/brcmnand/brcmnand.c
 create mode 100644 drivers/mtd/nand/brcmnand/brcmnand.h
 create mode 100644 drivers/mtd/nand/brcmnand/brcmstb_nand.c
 create mode 100644 drivers/mtd/nand/brcmnand/iproc_nand.c
 create mode 100644 drivers/net/ethernet/apm/xgene/xgene_enet_ring2.c
 create mode 100644 drivers/net/ethernet/apm/xgene/xgene_enet_ring2.h
 create mode 100644 drivers/net/ethernet/cavium/Kconfig
 create mode 100644 drivers/net/ethernet/cavium/Makefile
 create mode 100644 drivers/net/ethernet/cavium/liquidio/Makefile
 create mode 100644 drivers/net/ethernet/cavium/liquidio/cn66xx_device.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/cn66xx_device.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/cn66xx_regs.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/cn68xx_device.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/cn68xx_device.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/cn68xx_regs.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/lio_ethtool.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/lio_main.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/liquidio_common.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/liquidio_image.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_config.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_console.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_device.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_device.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_droq.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_droq.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_iq.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_main.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_mem_ops.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_mem_ops.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_network.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_nic.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/octeon_nic.h
 create mode 100644 drivers/net/ethernet/cavium/liquidio/request_manager.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/response_manager.c
 create mode 100644 drivers/net/ethernet/cavium/liquidio/response_manager.h
 create mode 100644 drivers/net/ethernet/cavium/thunder/Makefile
 create mode 100644 drivers/net/ethernet/cavium/thunder/nic.h
 create mode 100644 drivers/net/ethernet/cavium/thunder/nic_main.c
 create mode 100644 drivers/net/ethernet/cavium/thunder/nic_reg.h
 create mode 100644 drivers/net/ethernet/cavium/thunder/nicvf_ethtool.c
 create mode 100644 drivers/net/ethernet/cavium/thunder/nicvf_main.c
 create mode 100644 drivers/net/ethernet/cavium/thunder/nicvf_queues.c
 create mode 100644 drivers/net/ethernet/cavium/thunder/nicvf_queues.h
 create mode 100644 drivers/net/ethernet/cavium/thunder/q_struct.h
 create mode 100644 drivers/net/ethernet/cavium/thunder/thunder_bgx.c
 create mode 100644 drivers/net/ethernet/cavium/thunder/thunder_bgx.h
 create mode 100644 drivers/net/ethernet/ezchip/Kconfig
 create mode 100644 drivers/net/ethernet/ezchip/Makefile
 create mode 100644 drivers/net/ethernet/ezchip/nps_enet.c
 create mode 100644 drivers/net/ethernet/ezchip/nps_enet.h
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en.h
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en_ethtool.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en_flow_table.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en_main.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en_rx.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en_tx.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/en_txrx.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/flow_table.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/transobj.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/transobj.h
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/vport.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/wq.c
 create mode 100644 drivers/net/ethernet/mellanox/mlx5/core/wq.h
 create mode 100644 drivers/net/ethernet/renesas/ravb.h
 create mode 100644 drivers/net/ethernet/renesas/ravb_main.c
 create mode 100644 drivers/net/ethernet/renesas/ravb_ptp.c
 create mode 100644 drivers/net/ethernet/sfc/ef10_sriov.c
 create mode 100644 drivers/net/ethernet/sfc/ef10_sriov.h
 create mode 100644 drivers/net/ethernet/sfc/siena_sriov.h
 create mode 100644 drivers/net/ethernet/sfc/sriov.c
 create mode 100644 drivers/net/ethernet/sfc/sriov.h
 create mode 100644 drivers/net/ethernet/stmicro/stmmac/dwmac-generic.c
 create mode 100644 drivers/net/ethernet/stmicro/stmmac/dwmac-ipq806x.c
 create mode 100644 drivers/net/ethernet/stmicro/stmmac/dwmac-lpc18xx.c
 create mode 100644 drivers/net/geneve.c
 create mode 100644 drivers/net/ieee802154/at86rf230.h
 create mode 100644 drivers/net/ieee802154/atusb.c
 create mode 100644 drivers/net/ieee802154/atusb.h
 delete mode 100644 drivers/net/phy/amd-xgbe-phy.c
 create mode 100644 drivers/net/phy/dp83867.c
 create mode 100644 drivers/net/wireless/ath/ath10k/p2p.c
 create mode 100644 drivers/net/wireless/ath/ath10k/p2p.h
 create mode 100644 drivers/net/wireless/ath/ath10k/wow.c
 create mode 100644 drivers/net/wireless/ath/ath10k/wow.h
 create mode 100644 drivers/net/wireless/ath/wil6210/pmc.c
 create mode 100644 drivers/net/wireless/ath/wil6210/pmc.h
 create mode 100644 drivers/net/wireless/iwlwifi/iwl-trans.c
 create mode 100644 drivers/net/wireless/mediatek/Kconfig
 create mode 100644 drivers/net/wireless/mediatek/Makefile
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/Kconfig
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/Makefile
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/core.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/debugfs.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/dma.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/dma.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/eeprom.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/eeprom.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/init.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/initvals.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/initvals_phy.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/mac.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/mac.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/main.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/mcu.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/mcu.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/mt7601u.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/phy.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/regs.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/trace.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/trace.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/tx.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/usb.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/usb.h
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/util.c
 create mode 100644 drivers/net/wireless/mediatek/mt7601u/util.h
 create mode 100644 drivers/nfc/nfcmrvl/uart.c
 create mode 100644 drivers/nfc/st-nci/Kconfig
 create mode 100644 drivers/nfc/st-nci/Makefile
 create mode 100644 drivers/nfc/st-nci/core.c
 create mode 100644 drivers/nfc/st-nci/i2c.c
 create mode 100644 drivers/nfc/st-nci/ndlc.c
 create mode 100644 drivers/nfc/st-nci/ndlc.h
 create mode 100644 drivers/nfc/st-nci/st-nci.h
 create mode 100644 drivers/nfc/st-nci/st-nci_se.c
 create mode 100644 drivers/nfc/st-nci/st-nci_se.h
 delete mode 100644 drivers/nfc/st21nfcb/Kconfig
 delete mode 100644 drivers/nfc/st21nfcb/Makefile
 delete mode 100644 drivers/nfc/st21nfcb/i2c.c
 delete mode 100644 drivers/nfc/st21nfcb/ndlc.c
 delete mode 100644 drivers/nfc/st21nfcb/ndlc.h
 delete mode 100644 drivers/nfc/st21nfcb/st21nfcb.c
 delete mode 100644 drivers/nfc/st21nfcb/st21nfcb.h
 delete mode 100644 drivers/nfc/st21nfcb/st21nfcb_se.c
 delete mode 100644 drivers/nfc/st21nfcb/st21nfcb_se.h
 create mode 100644 drivers/ntb/hw/Kconfig
 create mode 100644 drivers/ntb/hw/Makefile
 create mode 100644 drivers/ntb/hw/intel/Kconfig
 create mode 100644 drivers/ntb/hw/intel/Makefile
 create mode 100644 drivers/ntb/hw/intel/ntb_hw_intel.c
 create mode 100644 drivers/ntb/hw/intel/ntb_hw_intel.h
 create mode 100644 drivers/ntb/ntb.c
 delete mode 100644 drivers/ntb/ntb_hw.c
 delete mode 100644 drivers/ntb/ntb_hw.h
 delete mode 100644 drivers/ntb/ntb_regs.h
 create mode 100644 drivers/ntb/test/Kconfig
 create mode 100644 drivers/ntb/test/Makefile
 create mode 100644 drivers/ntb/test/ntb_pingpong.c
 create mode 100644 drivers/ntb/test/ntb_tool.c
 create mode 100644 drivers/nvdimm/Kconfig
 create mode 100644 drivers/nvdimm/Makefile
 create mode 100644 drivers/nvdimm/blk.c
 create mode 100644 drivers/nvdimm/btt.c
 create mode 100644 drivers/nvdimm/btt.h
 create mode 100644 drivers/nvdimm/btt_devs.c
 create mode 100644 drivers/nvdimm/bus.c
 create mode 100644 drivers/nvdimm/core.c
 create mode 100644 drivers/nvdimm/dimm.c
 create mode 100644 drivers/nvdimm/dimm_devs.c
 create mode 100644 drivers/nvdimm/label.c
 create mode 100644 drivers/nvdimm/label.h
 create mode 100644 drivers/nvdimm/namespace_devs.c
 create mode 100644 drivers/nvdimm/nd-core.h
 create mode 100644 drivers/nvdimm/nd.h
 create mode 100644 drivers/nvdimm/pmem.c
 create mode 100644 drivers/nvdimm/region.c
 create mode 100644 drivers/nvdimm/region_devs.c
 create mode 100644 drivers/pci/host/pci-xgene-msi.c
 create mode 100644 drivers/pci/host/pcie-iproc-bcma.c
 delete mode 100644 drivers/pci/hotplug/pciehp_acpi.c
 create mode 100644 drivers/phy/phy-brcmstb-sata.c
 create mode 100644 drivers/phy/phy-pistachio-usb.c
 create mode 100644 drivers/phy/phy-pxa-28nm-hsic.c
 create mode 100644 drivers/phy/phy-pxa-28nm-usb2.c
 create mode 100644 drivers/phy/phy-tusb1210.c
 create mode 100644 drivers/phy/ulpi_phy.h
 create mode 100644 drivers/pinctrl/freescale/pinctrl-imx7d.c
 create mode 100644 drivers/pinctrl/mediatek/pinctrl-mt6397.c
 create mode 100644 drivers/pinctrl/mediatek/pinctrl-mt8127.c
 create mode 100644 drivers/pinctrl/mediatek/pinctrl-mtk-mt6397.h
 create mode 100644 drivers/pinctrl/mediatek/pinctrl-mtk-mt8127.h
 create mode 100644 drivers/pinctrl/pinctrl-lpc18xx.c
 create mode 100644 drivers/pinctrl/pinctrl-pistachio.c
 create mode 100644 drivers/pinctrl/qcom/pinctrl-msm8660.c
 create mode 100644 drivers/pinctrl/sh-pfc/pfc-r8a7794.c
 create mode 100644 drivers/pinctrl/sirf/pinctrl-atlas7.c
 create mode 100644 drivers/pinctrl/sunxi/pinctrl-sun8i-a33.c
 create mode 100644 drivers/platform/chrome/cros_ec_proto.c
 create mode 100644 drivers/platform/x86/dell-rbtn.c
 create mode 100644 drivers/platform/x86/dell-rbtn.h
 create mode 100644 drivers/platform/x86/intel_pmc_ipc.c
 create mode 100644 drivers/power/axp288_charger.c
 create mode 100644 drivers/power/bq24257_charger.c
 create mode 100644 drivers/power/bq25890_charger.c
 create mode 100644 drivers/power/rt9455_charger.c
 create mode 100644 drivers/regulator/da9062-regulator.c
 create mode 100644 drivers/regulator/qcom_spmi-regulator.c
 create mode 100644 drivers/remoteproc/wkup_m3_rproc.c
 create mode 100644 drivers/rtc/rtc-gemini.c
 create mode 100644 drivers/rtc/rtc-mt6397.c
 create mode 100644 drivers/rtc/rtc-st-lpc.c
 create mode 100644 drivers/scsi/scsi_common.c
 create mode 100644 drivers/scsi/snic/Makefile
 create mode 100644 drivers/scsi/snic/cq_desc.h
 create mode 100644 drivers/scsi/snic/cq_enet_desc.h
 create mode 100644 drivers/scsi/snic/snic.h
 create mode 100644 drivers/scsi/snic/snic_attrs.c
 create mode 100644 drivers/scsi/snic/snic_ctl.c
 create mode 100644 drivers/scsi/snic/snic_debugfs.c
 create mode 100644 drivers/scsi/snic/snic_disc.c
 create mode 100644 drivers/scsi/snic/snic_disc.h
 create mode 100644 drivers/scsi/snic/snic_fwint.h
 create mode 100644 drivers/scsi/snic/snic_io.c
 create mode 100644 drivers/scsi/snic/snic_io.h
 create mode 100644 drivers/scsi/snic/snic_isr.c
 create mode 100644 drivers/scsi/snic/snic_main.c
 create mode 100644 drivers/scsi/snic/snic_res.c
 create mode 100644 drivers/scsi/snic/snic_res.h
 create mode 100644 drivers/scsi/snic/snic_scsi.c
 create mode 100644 drivers/scsi/snic/snic_stats.h
 create mode 100644 drivers/scsi/snic/snic_trc.c
 create mode 100644 drivers/scsi/snic/snic_trc.h
 create mode 100644 drivers/scsi/snic/vnic_cq.c
 create mode 100644 drivers/scsi/snic/vnic_cq.h
 create mode 100644 drivers/scsi/snic/vnic_cq_fw.h
 create mode 100644 drivers/scsi/snic/vnic_dev.c
 create mode 100644 drivers/scsi/snic/vnic_dev.h
 create mode 100644 drivers/scsi/snic/vnic_devcmd.h
 create mode 100644 drivers/scsi/snic/vnic_intr.c
 create mode 100644 drivers/scsi/snic/vnic_intr.h
 create mode 100644 drivers/scsi/snic/vnic_resource.h
 create mode 100644 drivers/scsi/snic/vnic_snic.h
 create mode 100644 drivers/scsi/snic/vnic_stats.h
 create mode 100644 drivers/scsi/snic/vnic_wq.c
 create mode 100644 drivers/scsi/snic/vnic_wq.h
 create mode 100644 drivers/scsi/snic/wq_enet_desc.h
 create mode 100644 drivers/soc/qcom/spm.c
 create mode 100644 drivers/soc/sunxi/Kconfig
 create mode 100644 drivers/soc/sunxi/Makefile
 create mode 100644 drivers/soc/sunxi/sunxi_sram.c
 delete mode 100644 drivers/spi/spi-pxa2xx-pxadma.c
 create mode 100644 drivers/spi/spi-rb4xx.c
 create mode 100644 drivers/spi/spi-zynqmp-gqspi.c
 create mode 100644 drivers/staging/board/armadillo800eva.c
 create mode 100644 drivers/staging/comedi/drivers/comedi_8255.c
 create mode 100644 drivers/staging/fbtft/fb_hx8357d.c
 create mode 100644 drivers/staging/fbtft/fb_hx8357d.h
 delete mode 100644 drivers/staging/i2o/Kconfig
 delete mode 100644 drivers/staging/i2o/Makefile
 delete mode 100644 drivers/staging/i2o/README
 delete mode 100644 drivers/staging/i2o/README.ioctl
 delete mode 100644 drivers/staging/i2o/bus-osm.c
 delete mode 100644 drivers/staging/i2o/config-osm.c
 delete mode 100644 drivers/staging/i2o/core.h
 delete mode 100644 drivers/staging/i2o/debug.c
 delete mode 100644 drivers/staging/i2o/device.c
 delete mode 100644 drivers/staging/i2o/driver.c
 delete mode 100644 drivers/staging/i2o/exec-osm.c
 delete mode 100644 drivers/staging/i2o/i2o.h
 delete mode 100644 drivers/staging/i2o/i2o_block.c
 delete mode 100644 drivers/staging/i2o/i2o_block.h
 delete mode 100644 drivers/staging/i2o/i2o_config.c
 delete mode 100644 drivers/staging/i2o/i2o_proc.c
 delete mode 100644 drivers/staging/i2o/i2o_scsi.c
 delete mode 100644 drivers/staging/i2o/iop.c
 delete mode 100644 drivers/staging/i2o/memory.c
 delete mode 100644 drivers/staging/i2o/pci.c
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/api-support.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/linux/api-support.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/linux/lib-lnet.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/linux/lib-types.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/linux/lnet.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/lnet-sysctl.h
 create mode 100644 drivers/staging/lustre/include/linux/lnet/nidstr.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/ptllnd.h
 delete mode 100644 drivers/staging/lustre/include/linux/lnet/ptllnd_wire.h
 delete mode 100644 drivers/staging/lustre/lnet/klnds/socklnd/socklnd_lib-linux.c
 delete mode 100644 drivers/staging/lustre/lnet/klnds/socklnd/socklnd_lib-linux.h
 create mode 100644 drivers/staging/lustre/lnet/klnds/socklnd/socklnd_lib.c
 create mode 100644 drivers/staging/lustre/lnet/lnet/lib-socket.c
 delete mode 100644 drivers/staging/lustre/lustre/include/lustre_quota.h
 create mode 100644 drivers/staging/lustre/lustre/libcfs/linux/linux-mem.c
 delete mode 100644 drivers/staging/lustre/lustre/libcfs/linux/linux-tcpip.c
 delete mode 100644 drivers/staging/lustre/lustre/obdecho/lproc_echo.c
 create mode 100644 drivers/staging/lustre/sysfs-fs-lustre
 delete mode 100644 drivers/staging/media/dt3155v4l/Kconfig
 delete mode 100644 drivers/staging/media/dt3155v4l/Makefile
 delete mode 100644 drivers/staging/media/dt3155v4l/dt3155v4l.c
 delete mode 100644 drivers/staging/media/dt3155v4l/dt3155v4l.h
 delete mode 100644 drivers/staging/rtl8192e/rtl8192e/r819xE_phyreg.h
 delete mode 100644 drivers/staging/rtl8192e/rtl8192e/rtl_crypto.h
 delete mode 100644 drivers/staging/rtl8192e/rtllib_crypt.c
 delete mode 100644 drivers/staging/rtl8192e/rtllib_crypt.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/channels/channel.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/channels/controlframework.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/channels/controlvmchannel.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/channels/diagchannel.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/channels/iochannel.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/channels/vbuschannel.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/diagnostics/appos_subsystems.h
 delete mode 100644 drivers/staging/unisys/common-spar/include/vmcallinterface.h
 create mode 100644 drivers/staging/unisys/include/channel.h
 rename drivers/staging/unisys/{common-spar/include/channels => include}/channel_guid.h (100%)
 create mode 100644 drivers/staging/unisys/include/diagchannel.h
 create mode 100644 drivers/staging/unisys/include/iochannel.h
 delete mode 100644 drivers/staging/unisys/include/procobjecttree.h
 delete mode 100644 drivers/staging/unisys/include/sparstop.h
 delete mode 100644 drivers/staging/unisys/include/timskmod.h
 delete mode 100644 drivers/staging/unisys/include/uisqueue.h
 delete mode 100644 drivers/staging/unisys/include/uisthread.h
 delete mode 100644 drivers/staging/unisys/include/uisutils.h
 rename drivers/staging/unisys/{common-spar => }/include/version.h (100%)
 create mode 100644 drivers/staging/unisys/include/visorbus.h
 delete mode 100644 drivers/staging/unisys/uislib/Kconfig
 delete mode 100644 drivers/staging/unisys/uislib/Makefile
 delete mode 100644 drivers/staging/unisys/uislib/uislib.c
 delete mode 100644 drivers/staging/unisys/uislib/uisqueue.c
 delete mode 100644 drivers/staging/unisys/uislib/uisthread.c
 delete mode 100644 drivers/staging/unisys/uislib/uisutils.c
 delete mode 100644 drivers/staging/unisys/virthba/Kconfig
 delete mode 100644 drivers/staging/unisys/virthba/Makefile
 delete mode 100644 drivers/staging/unisys/virthba/virthba.c
 delete mode 100644 drivers/staging/unisys/virthba/virthba.h
 delete mode 100644 drivers/staging/unisys/virtpci/Kconfig
 delete mode 100644 drivers/staging/unisys/virtpci/Makefile
 delete mode 100644 drivers/staging/unisys/virtpci/virtpci.c
 delete mode 100644 drivers/staging/unisys/virtpci/virtpci.h
 create mode 100644 drivers/staging/unisys/visorbus/Kconfig
 create mode 100644 drivers/staging/unisys/visorbus/Makefile
 create mode 100644 drivers/staging/unisys/visorbus/controlvmchannel.h
 rename drivers/staging/unisys/{common-spar/include => visorbus}/controlvmcompletionstatus.h (100%)
 rename drivers/staging/unisys/{common-spar/include => visorbus}/iovmcall_gnuc.h (100%)
 create mode 100644 drivers/staging/unisys/visorbus/periodic_work.c
 create mode 100644 drivers/staging/unisys/visorbus/vbuschannel.h
 rename drivers/staging/unisys/{common-spar/include => visorbus}/vbusdeviceinfo.h (100%)
 create mode 100644 drivers/staging/unisys/visorbus/visorbus_main.c
 create mode 100644 drivers/staging/unisys/visorbus/visorbus_private.h
 create mode 100644 drivers/staging/unisys/visorbus/visorchannel.c
 create mode 100644 drivers/staging/unisys/visorbus/visorchipset.c
 create mode 100644 drivers/staging/unisys/visorbus/vmcallinterface.h
 delete mode 100644 drivers/staging/unisys/visorchannel/Kconfig
 delete mode 100644 drivers/staging/unisys/visorchannel/Makefile
 delete mode 100644 drivers/staging/unisys/visorchannel/globals.h
 delete mode 100644 drivers/staging/unisys/visorchannel/visorchannel.h
 delete mode 100644 drivers/staging/unisys/visorchannel/visorchannel_funcs.c
 delete mode 100644 drivers/staging/unisys/visorchannel/visorchannel_main.c
 delete mode 100644 drivers/staging/unisys/visorchipset/Kconfig
 delete mode 100644 drivers/staging/unisys/visorchipset/Makefile
 delete mode 100644 drivers/staging/unisys/visorchipset/file.c
 delete mode 100644 drivers/staging/unisys/visorchipset/file.h
 delete mode 100644 drivers/staging/unisys/visorchipset/globals.h
 delete mode 100644 drivers/staging/unisys/visorchipset/parser.c
 delete mode 100644 drivers/staging/unisys/visorchipset/parser.h
 delete mode 100644 drivers/staging/unisys/visorchipset/visorchipset.h
 delete mode 100644 drivers/staging/unisys/visorchipset/visorchipset_main.c
 delete mode 100644 drivers/staging/unisys/visorchipset/visorchipset_umode.h
 create mode 100644 drivers/staging/unisys/visornic/Kconfig
 create mode 100644 drivers/staging/unisys/visornic/Makefile
 create mode 100644 drivers/staging/unisys/visornic/visornic_main.c
 delete mode 100644 drivers/staging/unisys/visorutil/Kconfig
 delete mode 100644 drivers/staging/unisys/visorutil/Makefile
 delete mode 100644 drivers/staging/unisys/visorutil/charqueue.c
 delete mode 100644 drivers/staging/unisys/visorutil/charqueue.h
 delete mode 100644 drivers/staging/unisys/visorutil/memregion.h
 delete mode 100644 drivers/staging/unisys/visorutil/memregion_direct.c
 delete mode 100644 drivers/staging/unisys/visorutil/periodic_work.c
 delete mode 100644 drivers/staging/unisys/visorutil/visorkmodutils.c
 delete mode 100644 drivers/staging/vt6655/mib.c
 delete mode 100644 drivers/staging/vt6655/mib.h
 create mode 100644 drivers/staging/wilc1000/Kconfig
 create mode 100644 drivers/staging/wilc1000/Makefile
 create mode 100644 drivers/staging/wilc1000/TODO
 create mode 100644 drivers/staging/wilc1000/coreconfigsimulator.h
 create mode 100644 drivers/staging/wilc1000/coreconfigurator.c
 create mode 100644 drivers/staging/wilc1000/coreconfigurator.h
 create mode 100644 drivers/staging/wilc1000/fifo_buffer.c
 create mode 100644 drivers/staging/wilc1000/fifo_buffer.h
 create mode 100644 drivers/staging/wilc1000/host_interface.c
 create mode 100644 drivers/staging/wilc1000/host_interface.h
 create mode 100644 drivers/staging/wilc1000/linux_mon.c
 create mode 100644 drivers/staging/wilc1000/linux_wlan.c
 create mode 100644 drivers/staging/wilc1000/linux_wlan_common.h
 create mode 100644 drivers/staging/wilc1000/linux_wlan_sdio.c
 create mode 100644 drivers/staging/wilc1000/linux_wlan_sdio.h
 create mode 100644 drivers/staging/wilc1000/linux_wlan_spi.c
 create mode 100644 drivers/staging/wilc1000/linux_wlan_spi.h
 create mode 100644 drivers/staging/wilc1000/wilc_debugfs.c
 create mode 100644 drivers/staging/wilc1000/wilc_errorsupport.h
 create mode 100644 drivers/staging/wilc1000/wilc_exported_buf.c
 create mode 100644 drivers/staging/wilc1000/wilc_log.h
 create mode 100644 drivers/staging/wilc1000/wilc_memory.c
 create mode 100644 drivers/staging/wilc1000/wilc_memory.h
 create mode 100644 drivers/staging/wilc1000/wilc_msgqueue.c
 create mode 100644 drivers/staging/wilc1000/wilc_msgqueue.h
 create mode 100644 drivers/staging/wilc1000/wilc_osconfig.h
 create mode 100644 drivers/staging/wilc1000/wilc_oswrapper.h
 create mode 100644 drivers/staging/wilc1000/wilc_platform.h
 create mode 100644 drivers/staging/wilc1000/wilc_sdio.c
 create mode 100644 drivers/staging/wilc1000/wilc_sleep.c
 create mode 100644 drivers/staging/wilc1000/wilc_sleep.h
 create mode 100644 drivers/staging/wilc1000/wilc_spi.c
 create mode 100644 drivers/staging/wilc1000/wilc_strutils.c
 create mode 100644 drivers/staging/wilc1000/wilc_strutils.h
 create mode 100644 drivers/staging/wilc1000/wilc_timer.c
 create mode 100644 drivers/staging/wilc1000/wilc_timer.h
 create mode 100644 drivers/staging/wilc1000/wilc_type.h
 create mode 100644 drivers/staging/wilc1000/wilc_wfi_cfgoperations.c
 create mode 100644 drivers/staging/wilc1000/wilc_wfi_cfgoperations.h
 create mode 100644 drivers/staging/wilc1000/wilc_wfi_netdevice.c
 create mode 100644 drivers/staging/wilc1000/wilc_wfi_netdevice.h
 create mode 100644 drivers/staging/wilc1000/wilc_wlan.c
 create mode 100644 drivers/staging/wilc1000/wilc_wlan.h
 create mode 100644 drivers/staging/wilc1000/wilc_wlan_cfg.c
 create mode 100644 drivers/staging/wilc1000/wilc_wlan_cfg.h
 create mode 100644 drivers/staging/wilc1000/wilc_wlan_if.h
 create mode 100644 drivers/thermal/hisi_thermal.c
 create mode 100644 drivers/thermal/intel_quark_dts_thermal.c
 create mode 100644 drivers/thermal/intel_soc_dts_iosf.c
 create mode 100644 drivers/thermal/intel_soc_dts_iosf.h
 create mode 100644 drivers/thermal/power_allocator.c
 create mode 100644 drivers/thermal/qcom-spmi-temp-alarm.c
 delete mode 100644 drivers/tty/hvc/hvc_beat.c
 create mode 100644 drivers/tty/serial/8250/8250_ingenic.c
 create mode 100644 drivers/tty/serial/8250/8250_lpc18xx.c
 create mode 100644 drivers/tty/serial/8250/8250_uniphier.c
 delete mode 100644 drivers/tty/serial/msm_smd_tty.c
 create mode 100644 drivers/tty/serial/stm32-usart.c
 create mode 100644 drivers/usb/common/ulpi.c
 create mode 100644 drivers/usb/dwc2/debug.h
 create mode 100644 drivers/usb/dwc2/debugfs.c
 create mode 100644 drivers/usb/dwc3/ulpi.c
 delete mode 100644 drivers/usb/phy/phy-rcar-gen2-usb.c
 create mode 100644 drivers/vfio/platform/reset/Kconfig
 create mode 100644 drivers/vfio/platform/reset/Makefile
 create mode 100644 drivers/vfio/platform/reset/vfio_platform_calxedaxgmac.c
 delete mode 100644 drivers/video/fbdev/msm/Makefile
 delete mode 100644 drivers/video/fbdev/msm/mddi.c
 delete mode 100644 drivers/video/fbdev/msm/mddi_client_dummy.c
 delete mode 100644 drivers/video/fbdev/msm/mddi_client_nt35399.c
 delete mode 100644 drivers/video/fbdev/msm/mddi_client_toshiba.c
 delete mode 100644 drivers/video/fbdev/msm/mddi_hw.h
 delete mode 100644 drivers/video/fbdev/msm/mdp.c
 delete mode 100644 drivers/video/fbdev/msm/mdp_csc_table.h
 delete mode 100644 drivers/video/fbdev/msm/mdp_hw.h
 delete mode 100644 drivers/video/fbdev/msm/mdp_ppp.c
 delete mode 100644 drivers/video/fbdev/msm/mdp_scale_tables.c
 delete mode 100644 drivers/video/fbdev/msm/mdp_scale_tables.h
 delete mode 100644 drivers/video/fbdev/msm/msm_fb.c
 create mode 100644 drivers/watchdog/da9062_wdt.c
 create mode 100644 drivers/watchdog/digicolor_wdt.c
 create mode 100644 drivers/watchdog/st_lpc_wdt.c
 create mode 100644 fs/btrfs/extent-tree.h
 delete mode 100644 fs/exofs/symlink.c
 create mode 100644 fs/f2fs/crypto.c
 create mode 100644 fs/f2fs/crypto_fname.c
 create mode 100644 fs/f2fs/crypto_key.c
 create mode 100644 fs/f2fs/crypto_policy.c
 create mode 100644 fs/f2fs/f2fs_crypto.h
 delete mode 100644 fs/hppfs/Makefile
 delete mode 100644 fs/hppfs/hppfs.c
 delete mode 100644 fs/sysv/symlink.c
 create mode 100644 include/acpi/acuuid.h
 create mode 100644 include/asm-generic/asm-offsets.h
 create mode 100644 include/asm-generic/qspinlock.h
 create mode 100644 include/asm-generic/qspinlock_types.h
 delete mode 100644 include/asm-generic/scatterlist.h
 create mode 100644 include/clocksource/timer-sp804.h
 create mode 100644 include/crypto/akcipher.h
 create mode 100644 include/crypto/internal/akcipher.h
 create mode 100644 include/crypto/internal/geniv.h
 create mode 100644 include/crypto/internal/rsa.h
 create mode 100644 include/dt-bindings/clock/bcm-cygnus.h
 create mode 100644 include/dt-bindings/clock/hi6220-clock.h
 create mode 100644 include/dt-bindings/clock/imx7d-clock.h
 create mode 100644 include/dt-bindings/clock/jz4740-cgu.h
 create mode 100644 include/dt-bindings/clock/jz4780-cgu.h
 create mode 100644 include/dt-bindings/clock/lpc18xx-ccu.h
 create mode 100644 include/dt-bindings/clock/lpc18xx-cgu.h
 create mode 100644 include/dt-bindings/clock/marvell,pxa1928.h
 create mode 100644 include/dt-bindings/clock/meson8b-clkc.h
 create mode 100644 include/dt-bindings/clock/mt8135-clk.h
 create mode 100644 include/dt-bindings/clock/mt8173-clk.h
 create mode 100644 include/dt-bindings/clock/samsung,s2mps11.h
 create mode 100644 include/dt-bindings/clock/zx296702-clock.h
 create mode 100644 include/dt-bindings/mfd/st-lpc.h
 create mode 100644 include/dt-bindings/net/ti-dp83867.h
 create mode 100644 include/dt-bindings/phy/phy-pistachio-usb.h
 create mode 100644 include/dt-bindings/pinctrl/bcm2835.h
 create mode 100644 include/dt-bindings/pinctrl/mt6397-pinfunc.h
 create mode 100644 include/dt-bindings/reset-controller/mt8135-resets.h
 create mode 100644 include/dt-bindings/reset-controller/mt8173-resets.h
 create mode 100644 include/dt-bindings/sound/apq8016-lpass.h
 create mode 100644 include/dt-bindings/sound/audio-jack-events.h
 create mode 100644 include/dt-bindings/sound/tas2552.h
 create mode 100644 include/linux/backing-dev-defs.h
 create mode 100644 include/linux/blk-cgroup.h
 create mode 100644 include/linux/cgroup-defs.h
 delete mode 100644 include/linux/compiler-gcc3.h
 delete mode 100644 include/linux/compiler-gcc4.h
 delete mode 100644 include/linux/compiler-gcc5.h
 delete mode 100644 include/linux/cryptouser.h
 create mode 100644 include/linux/dma/pxa-dma.h
 delete mode 100644 include/linux/ftrace_event.h
 delete mode 100644 include/linux/gsmmux.h
 create mode 100644 include/linux/irqchip/ingenic.h
 create mode 100644 include/linux/irqchip/irq-sa11x0.h
 create mode 100644 include/linux/libnvdimm.h
 create mode 100644 include/linux/lsm_hooks.h
 create mode 100644 include/linux/mfd/syscon/atmel-mc.h
 create mode 100644 include/linux/mlx5/flow_table.h
 create mode 100644 include/linux/mlx5/vport.h
 create mode 100644 include/linux/mm-arch-hooks.h
 create mode 100644 include/linux/nd.h
 create mode 100644 include/linux/netfilter_defs.h
 create mode 100644 include/linux/netfilter_ingress.h
 create mode 100644 include/linux/ntb_transport.h
 delete mode 100644 include/linux/nx842.h
 create mode 100644 include/linux/phy/phy-sun4i-usb.h
 delete mode 100644 include/linux/platform_data/dma-rcar-audmapp.h
 create mode 100644 include/linux/platform_data/gpio-ath79.h
 delete mode 100644 include/linux/platform_data/irq-renesas-irqc.h
 create mode 100644 include/linux/platform_data/nfcmrvl.h
 create mode 100644 include/linux/platform_data/st-nci.h
 delete mode 100644 include/linux/platform_data/st21nfcb.h
 create mode 100644 include/linux/platform_data/st_nci.h
 delete mode 100644 include/linux/platform_data/usb-rcar-gen2-phy.h
 delete mode 100644 include/linux/platform_data/video-msm_fb.h
 create mode 100644 include/linux/platform_data/wkup_m3.h
 create mode 100644 include/linux/pm_wakeirq.h
 create mode 100644 include/linux/pmem.h
 delete mode 100644 include/linux/preempt_mask.h
 create mode 100644 include/linux/rbtree_latch.h
 create mode 100644 include/linux/reset/bcm63xx_pmb.h
 create mode 100644 include/linux/scif.h
 create mode 100644 include/linux/soc/sunxi/sunxi_sram.h
 create mode 100644 include/linux/sw842.h
 create mode 100644 include/linux/trace_events.h
 create mode 100644 include/linux/ulpi/driver.h
 create mode 100644 include/linux/ulpi/interface.h
 create mode 100644 include/linux/ulpi/regs.h
 create mode 100644 include/media/v4l2-flash-led-class.h
 create mode 100644 include/misc/cxl-base.h
 create mode 100644 include/net/flow_dissector.h
 delete mode 100644 include/net/flow_keys.h
 create mode 100644 include/rdma/opa_smi.h
 create mode 100644 include/scsi/scsi_common.h
 create mode 100644 include/scsi/scsi_proto.h
 delete mode 100644 include/soc/at91/at91rm9200_sdramc.h
 create mode 100644 include/soc/imx/revision.h
 create mode 100644 include/soc/imx/timer.h
 create mode 100644 include/soc/sa1100/pwer.h
 create mode 100644 include/soc/tegra/emc.h
 create mode 100644 include/sound/hda_i915.h
 create mode 100644 include/sound/hda_register.h
 create mode 100644 include/sound/hdaudio_ext.h
 create mode 100644 include/sound/pcm_drm_eld.h
 create mode 100644 include/sound/pcm_iec958.h
 create mode 100644 include/sound/soc-topology.h
 delete mode 100644 include/target/target_core_backend_configfs.h
 delete mode 100644 include/target/target_core_configfs.h
 create mode 100644 include/trace/events/thermal_power_allocator.h
 delete mode 100644 include/trace/ftrace.h
 create mode 100644 include/trace/perf.h
 create mode 100644 include/trace/trace_events.h
 create mode 100644 include/uapi/linux/cryptouser.h
 create mode 100644 include/uapi/linux/gsmmux.h
 create mode 100644 include/uapi/linux/ndctl.h
 create mode 100644 include/uapi/linux/scif_ioctl.h
 create mode 100644 include/uapi/sound/asoc.h
 create mode 100644 include/uapi/sound/tlv.h
 create mode 100644 kernel/configs/xen.config
 create mode 100644 kernel/locking/qspinlock.c
 create mode 100644 kernel/locking/qspinlock_paravirt.h
 delete mode 100644 kernel/power/block_io.c
 create mode 100644 kernel/sched/loadavg.c
 delete mode 100644 kernel/sched/proc.c
 create mode 100644 lib/842/842.h
 create mode 100644 lib/842/842_compress.c
 create mode 100644 lib/842/842_debugfs.h
 create mode 100644 lib/842/842_decompress.c
 create mode 100644 lib/842/Makefile
 create mode 100644 lib/debug_info.c
 delete mode 100644 net/bridge/br_netfilter.c
 create mode 100644 net/bridge/br_netfilter_hooks.c
 create mode 100644 net/bridge/br_netfilter_ipv6.c
 delete mode 100644 net/ipv4/geneve.c
 create mode 100644 net/ipv4/geneve_core.c
 create mode 100644 net/ipv4/tcp_cdg.c
 create mode 100644 net/ipv6/mcast_snoop.c
 create mode 100644 net/mac802154/trace.c
 create mode 100644 net/mac802154/trace.h
 create mode 100644 net/netfilter/nf_tables_netdev.c
 create mode 100644 net/nfc/nci/uart.c
 create mode 100644 net/sched/cls_flower.c
 delete mode 100644 net/sunrpc/bc_svc.c
 create mode 100644 net/sunrpc/xprtrdma/module.c
 create mode 100644 samples/bpf/lathist_kern.c
 create mode 100644 samples/bpf/lathist_user.c
 create mode 100644 samples/bpf/sockex3_kern.c
 create mode 100644 samples/bpf/sockex3_user.c
 create mode 100644 samples/bpf/tracex5_kern.c
 create mode 100644 samples/bpf/tracex5_user.c
 create mode 100644 samples/pktgen/README.rst
 create mode 100644 samples/pktgen/functions.sh
 create mode 100644 samples/pktgen/parameters.sh
 delete mode 100755 samples/pktgen/pktgen.conf-1-1
 delete mode 100755 samples/pktgen/pktgen.conf-2-1
 delete mode 100755 samples/pktgen/pktgen.conf-2-2
 create mode 100755 samples/pktgen/pktgen_bench_xmit_mode_netif_receive.sh
 create mode 100755 samples/pktgen/pktgen_sample01_simple.sh
 create mode 100755 samples/pktgen/pktgen_sample02_multiqueue.sh
 create mode 100755 samples/pktgen/pktgen_sample03_burst_single_flow.sh
 create mode 100644 scripts/gdb/linux/lists.py
 delete mode 100644 security/capability.c
 create mode 100644 sound/core/pcm_drm_eld.c
 create mode 100644 sound/core/pcm_iec958.c
 create mode 100644 sound/hda/ext/Makefile
 create mode 100644 sound/hda/ext/hdac_ext_bus.c
 create mode 100644 sound/hda/ext/hdac_ext_controller.c
 create mode 100644 sound/hda/ext/hdac_ext_stream.c
 create mode 100644 sound/hda/hdac_controller.c
 create mode 100644 sound/hda/hdac_i915.c
 create mode 100644 sound/hda/hdac_stream.c
 create mode 100644 sound/pci/hda/hda_controller_trace.h
 delete mode 100644 sound/pci/hda/hda_i915.c
 create mode 100644 sound/soc/codecs/rl6347a.c
 create mode 100644 sound/soc/codecs/rl6347a.h
 create mode 100644 sound/soc/codecs/tas571x.c
 create mode 100644 sound/soc/codecs/tas571x.h
 create mode 100644 sound/soc/intel/boards/cht_bsw_max98090_ti.c
 create mode 100644 sound/soc/mediatek/Kconfig
 create mode 100644 sound/soc/mediatek/Makefile
 create mode 100644 sound/soc/mediatek/mt8173-max98090.c
 create mode 100644 sound/soc/mediatek/mt8173-rt5650-rt5676.c
 create mode 100644 sound/soc/mediatek/mtk-afe-common.h
 create mode 100644 sound/soc/mediatek/mtk-afe-pcm.c
 create mode 100644 sound/soc/qcom/apq8016_sbc.c
 create mode 100644 sound/soc/qcom/lpass-apq8016.c
 create mode 100644 sound/soc/qcom/lpass-ipq806x.c
 delete mode 100644 sound/soc/qcom/lpass-lpaif-ipq806x.h
 create mode 100644 sound/soc/qcom/lpass-lpaif-reg.h
 create mode 100644 sound/soc/soc-topology.c
 create mode 100644 sound/soc/zte/Kconfig
 create mode 100644 sound/soc/zte/Makefile
 create mode 100644 sound/soc/zte/zx296702-i2s.c
 create mode 100644 sound/soc/zte/zx296702-spdif.c
 create mode 100644 tools/arch/alpha/include/asm/barrier.h
 create mode 100644 tools/arch/arm/include/asm/barrier.h
 create mode 100644 tools/arch/arm64/include/asm/barrier.h
 create mode 100644 tools/arch/ia64/include/asm/barrier.h
 create mode 100644 tools/arch/mips/include/asm/barrier.h
 create mode 100644 tools/arch/powerpc/include/asm/barrier.h
 create mode 100644 tools/arch/s390/include/asm/barrier.h
 create mode 100644 tools/arch/sh/include/asm/barrier.h
 create mode 100644 tools/arch/sparc/include/asm/barrier.h
 create mode 100644 tools/arch/sparc/include/asm/barrier_32.h
 create mode 100644 tools/arch/sparc/include/asm/barrier_64.h
 create mode 100644 tools/arch/tile/include/asm/barrier.h
 create mode 100644 tools/arch/x86/include/asm/atomic.h
 create mode 100644 tools/arch/x86/include/asm/barrier.h
 create mode 100644 tools/arch/x86/include/asm/rmwcc.h
 create mode 100644 tools/arch/xtensa/include/asm/barrier.h
 create mode 100644 tools/build/tests/ex/empty2/README
 create mode 100644 tools/include/asm-generic/atomic-gcc.h
 create mode 100644 tools/include/asm-generic/barrier.h
 create mode 100644 tools/include/asm/atomic.h
 create mode 100644 tools/include/asm/barrier.h
 create mode 100644 tools/include/linux/atomic.h
 delete mode 100644 tools/include/linux/export.h
 create mode 100644 tools/include/linux/kernel.h
 create mode 100644 tools/include/linux/list.h
 create mode 100644 tools/include/linux/poison.h
 create mode 100644 tools/include/linux/rbtree.h
 create mode 100644 tools/include/linux/rbtree_augmented.h
 create mode 100644 tools/laptop/freefall/Makefile
 rename {Documentation/laptops => tools/laptop/freefall}/freefall.c (100%)
 create mode 100644 tools/lib/rbtree.c
 create mode 100644 tools/perf/Documentation/callchain-overhead-calculation.txt
 create mode 100644 tools/perf/arch/arm64/tests/Build
 create mode 100644 tools/perf/arch/arm64/tests/dwarf-unwind.c
 create mode 100644 tools/perf/arch/arm64/tests/regs_load.S
 create mode 100644 tools/perf/arch/powerpc/util/sym-handling.c
 create mode 100644 tools/perf/bench/futex-wake-parallel.c
 delete mode 100644 tools/perf/tests/open-syscall-all-cpus.c
 delete mode 100644 tools/perf/tests/open-syscall-tp-fields.c
 delete mode 100644 tools/perf/tests/open-syscall.c
 create mode 100644 tools/perf/tests/openat-syscall-all-cpus.c
 create mode 100644 tools/perf/tests/openat-syscall-tp-fields.c
 create mode 100644 tools/perf/tests/openat-syscall.c
 create mode 100644 tools/perf/tests/thread-map.c
 create mode 100644 tools/perf/util/auxtrace.c
 create mode 100644 tools/perf/util/auxtrace.h
 delete mode 100644 tools/perf/util/include/linux/kernel.h
 delete mode 100644 tools/perf/util/include/linux/list.h
 delete mode 100644 tools/perf/util/include/linux/poison.h
 delete mode 100644 tools/perf/util/include/linux/rbtree.h
 delete mode 100644 tools/perf/util/include/linux/rbtree_augmented.h
 create mode 100644 tools/perf/util/parse-branch-options.c
 create mode 100644 tools/perf/util/parse-branch-options.h
 create mode 100644 tools/perf/util/stat-shadow.c
 create mode 100644 tools/testing/nvdimm/Kbuild
 create mode 100644 tools/testing/nvdimm/Makefile
 create mode 100644 tools/testing/nvdimm/config_check.c
 create mode 100644 tools/testing/nvdimm/test/Kbuild
 create mode 100644 tools/testing/nvdimm/test/iomap.c
 create mode 100644 tools/testing/nvdimm/test/nfit.c
 create mode 100644 tools/testing/nvdimm/test/nfit_test.h
 create mode 100644 tools/testing/selftests/futex/Makefile
 create mode 100644 tools/testing/selftests/futex/README
 create mode 100644 tools/testing/selftests/futex/functional/.gitignore
 create mode 100644 tools/testing/selftests/futex/functional/Makefile
 create mode 100644 tools/testing/selftests/futex/functional/futex_requeue_pi.c
 create mode 100644 tools/testing/selftests/futex/functional/futex_requeue_pi_mismatched_ops.c
 create mode 100644 tools/testing/selftests/futex/functional/futex_requeue_pi_signal_restart.c
 create mode 100644 tools/testing/selftests/futex/functional/futex_wait_private_mapped_file.c
 create mode 100644 tools/testing/selftests/futex/functional/futex_wait_timeout.c
 create mode 100644 tools/testing/selftests/futex/functional/futex_wait_uninitialized_heap.c
 create mode 100644 tools/testing/selftests/futex/functional/futex_wait_wouldblock.c
 create mode 100755 tools/testing/selftests/futex/functional/run.sh
 create mode 100644 tools/testing/selftests/futex/include/atomic.h
 create mode 100644 tools/testing/selftests/futex/include/futextest.h
 create mode 100644 tools/testing/selftests/futex/include/logging.h
 create mode 100755 tools/testing/selftests/futex/run.sh
 create mode 100644 tools/testing/selftests/powerpc/dscr/.gitignore
 create mode 100644 tools/testing/selftests/powerpc/dscr/Makefile
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr.h
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_default_test.c
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_explicit_test.c
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_inherit_exec_test.c
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_inherit_test.c
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_sysfs_test.c
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_sysfs_thread_test.c
 create mode 100644 tools/testing/selftests/powerpc/dscr/dscr_user_test.c
 create mode 100644 tools/testing/selftests/rcutorture/configs/rcu/TREE03.boot
 create mode 100644 tools/testing/selftests/rcutorture/configs/rcu/TREE08-T.boot
 create mode 100644 tools/testing/selftests/seccomp/.gitignore
 create mode 100644 tools/testing/selftests/seccomp/Makefile
 create mode 100644 tools/testing/selftests/seccomp/seccomp_bpf.c
 create mode 100644 tools/testing/selftests/seccomp/test_harness.h
 create mode 100644 tools/testing/selftests/timers/.gitignore
 create mode 100644 tools/testing/selftests/vm/compaction_test.c
 create mode 100644 tools/testing/selftests/x86/entry_from_vm86.c
 create mode 100644 tools/testing/selftests/x86/sysret_ss_attrs.c
 create mode 100644 tools/testing/selftests/x86/thunks.S

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-05-28 16:10 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-05-28 16:10 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-05-22:
- cpt modeset sequence fixes from Ville
- more rps boosting tuning from Chris
- S3 support for skl (Damien)
- a pile of w/a for bxt from various people
- cleanup of primary plane pixel formats (Damien)
- a big pile of small patches with fixes and cleanups all over

Final feature pull for 4.2 since -rc5 is out the door. I'll send you one
more 4.2-fixes pull (probably tomorrow), then hand 4.2 over to Jani and go
on vacations for 2 weeks.

Cheers, Daniel


The following changes since commit bdcddf95e82b1c4e370fc1196b1f4f50f775dab4:

  Backmerge v4.1-rc4 into into drm-next (2015-05-20 16:23:53 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-05-22

for you to fetch changes up to 82d5b58f13fcc5459cd9c61a9d6d4a07328a31dc:

  drm/i915: Update DRIVER_DATE to 20150522 (2015-05-22 19:45:27 +0200)

----------------------------------------------------------------
- cpt modeset sequence fixes from Ville
- more rps boosting tuning from Chris
- S3 support for skl (Damien)
- a pile of w/a for bxt from various people
- cleanup of primary plane pixel formats (Damien)
- a big pile of small patches with fixes and cleanups all over

----------------------------------------------------------------
Ander Conselvan de Oliveira (3):
      drm/i915: Don't overwrite (e)DP PLL selection on SKL
      drm/i915: Preserve ddi_pll_sel when allocating new pipe_config
      drm/i915: Update comment in clear_intel_crtc_state()

Animesh Manna (1):
      drm/i915/skl: Documentation for CSR firmware

Chandra Konduru (4):
      drm/i915: Adding dbuf support for skl nv12 format.
      drm/i915: call intel_tile_height with correct parameter
      drm/i915: Make scaler_id check in check_crtc_state work for all gens
      drm/i915/skl: don't fail colorkey + scaler request

Chris Wilson (14):
      drm/i915: Remove domain flubbing from i915_gem_object_finish_gpu()
      drm/i915: Ensure cache flushes prior to doing CS flips
      drm/i915: Fix race on unreferencing the wrong mmio-flip-request
      drm/i915: Use uninterruptible mutex_lock for userptr bo creation
      drm/i915: Force clean compilation with -Werror
      drm/i915: Implement inter-engine read-read optimisations
      drm/i915: Inline check required for object syncing prior to execbuf
      drm/i915: Limit ring synchronisation (sw sempahores) RPS boosts
      drm/i915: Limit mmio flip RPS boosts
      drm/i915: Convert RPS tracking to a intel_rps_client struct
      drm/i915: Don't downclock whilst we have clients waiting for GPU results
      drm/i915: Free RPS boosts for all laggards
      drm/i915: Use the correct destructor for freeing requests on error
      drm/i915: Introduce DRM_I915_THROTTLE_JIFFIES

Damien Lespiau (19):
      drm/i915: Be optimistic about future display engines having 7 WM levels
      drm/i915/skl: Leave a new line between variable declarations and code
      drm/i915/skl: Add a new line before return
      drm/i915/skl: Rename a local variable to fit in 80 chars
      drm/i915/skl: Replace BUG() by MISSING_CASE() in skl_plane_ctl_format()
      drm/i915/skl: Support the advertized index format
      drm/i915: Don't use the intel_ prefix for gen-specific data
      drm/i915: Make the sprite formats const
      drm/i915/skl: Remove unnecessary local variables in skl_plane_ctl*()
      drm/i915: Remove the COMMON_PRIMARY_FORMATS defines
      drm/i915: Remove ARBG/ABGR 2101010 on platform not supporting those formats
      drm/i915: Don't expose ARGB1555 on gen2/3
      drm/i915/bxt: Update the Broxton PCI ids
      drm/i915: Fix 'suspedn' typo
      drm/i915: Tighten the exposure ARGB/ABGR 8888 formats
      drm/i915/bxt: Also add bxt_resume_prepare() to the S3/S4 path
      drm/i915: Add a space after ', ' and don't capitalize mid-sentence
      drm/i915: Kill the dev variable in intel_suspend_complete()
      drm/i915/skl: Deinit/init the display at suspend/resume

Dan Carpenter (1):
      drm/edid: fix a debug message

Daniel Vetter (3):
      drm/i915: Remove unused variable from i915_gem_mmap_gtt
      drm/i915: s/\<rq\>/req/g
      drm/i915: Update DRIVER_DATE to 20150522

Deepak S (3):
      drm/i915/vlv: Remove wait for for punit to updates freq.
      drm/i915/chv: Extend set idle rps wa to chv
      drm/i915/chv: Set min freq to efficient frequency on chv

Imre Deak (4):
      drm/i915/bxt: limit WaDisableMaskBasedCammingInRCC to stepping A
      drm/i915/skl: add F0 stepping ID
      drm/i915/bxt: fix WaForceContextSaveRestoreNonCoherent on steppings B0+
      drm/i915/skl: enable WaForceContextSaveRestoreNonCoherent

Jani Nikula (11):
      drm/i915: constify find_section in VBT parsing
      drm/i915: constify validate_vbt in VBT parsing
      drm/i915: don't register invalid gmbus pins for bdw
      drm/i915: don't register invalid gmbus pins for skl
      drm/i915: clean up dsi pll calculation
      drm/i915/dsi: add support for DSI PLL N1 divisor values
      drm/i915/bios: remove a redundant NULL pointer check
      drm/i915/bios: abstract finding VBT in BIOS to a separate function
      drm/i915/bios: be more explicit about discarding iomem address space
      drm/i915/dp: make link rate printing prettier
      drm/i915: add HAS_DP_MST feature test macro

Maarten Lankhorst (1):
      drm/i915: Always keep crtc_state->active in sync with enable

Masanari Iida (1):
      drm/i915: Fix typo in intel_runtime_pm.c

Mika Kuoppala (2):
      drm/i915/gtt: Fix the boundary check for vm area
      drm/i915: Don't read dpcd for disconnected ports

Peter Antoine (1):
      drm/i915: Warn when execlists changes context without IRQs

Sonika Jindal (1):
      drm/i915/skl: Swapping 90 and 270 to be compliant with Xrandr

Tvrtko Ursulin (3):
      drm/i915: Remove duplicated intel_tile_height declaration
      drm/i915: Output scaler related pipe config debug in a single line
      drm/i915: Dump some DPLL fields in pipe config debug

Vandana Kannan (2):
      drm/i915/bxt: Port PLL programming BUN
      drm/i915/bxt: Move around lane stagger calculation

Ville Syrjälä (16):
      drm/i915: Remove excess inline keywords
      drm/i915: Use HOTPLUG_INT_STATUS_G4X on VLV/CHV
      drm/i915: Remove a bogus 12bpc "toggle" from intel_disable_hdmi()
      drm/i915: Remove the double register write from intel_disable_hdmi()
      drm/i915: Clarfify the DP code platform checks
      drm/i915: Clean up the CPT DP .get_hw_state() port readout
      drm/i915: Fix DP enhanced framing for CPT
      drm/i915: Write the SDVO reg twice on IBX
      drm/i915: Fix the IBX transcoder B workarounds
      drm/i915: Disable HDMI port after the pipe on PCH platforms
      drm/i915: Disable SDVO port after the pipe on PCH platforms
      drm/i915: Disable CRT port after pipe on PCH platforms
      drm/i915: Disable FDI RX/TX before the ports
      drm/i915: Use ilk_init_lp_watermarks() on BDW
      drm/i915: Move WaProgramL3SqcReg1Default:bdw to init_clock_gating()
      drm/i915: Enable GTT caching on gen8

 Documentation/DocBook/drm.tmpl             |   7 +-
 drivers/gpu/drm/i915/Kconfig               |   8 +
 drivers/gpu/drm/i915/Kconfig.debug         |   5 +
 drivers/gpu/drm/i915/Makefile              |   2 +
 drivers/gpu/drm/i915/i915_debugfs.c        |  64 ++-
 drivers/gpu/drm/i915/i915_drv.c            |  24 +-
 drivers/gpu/drm/i915/i915_drv.h            |  85 ++--
 drivers/gpu/drm/i915/i915_gem.c            | 638 +++++++++++++++++------------
 drivers/gpu/drm/i915/i915_gem_context.c    |   2 -
 drivers/gpu/drm/i915/i915_gem_debug.c      |  92 +----
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  10 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   2 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c    |  11 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |  19 +-
 drivers/gpu/drm/i915/i915_irq.c            |  28 +-
 drivers/gpu/drm/i915/i915_reg.h            |  24 ++
 drivers/gpu/drm/i915/intel_bios.c          | 150 +++----
 drivers/gpu/drm/i915/intel_crt.c           |  15 +-
 drivers/gpu/drm/i915/intel_csr.c           |  53 +++
 drivers/gpu/drm/i915/intel_ddi.c           | 120 ++++--
 drivers/gpu/drm/i915/intel_display.c       | 529 ++++++++++++++++++------
 drivers/gpu/drm/i915/intel_dp.c            | 135 +++---
 drivers/gpu/drm/i915/intel_drv.h           |  23 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c       |  66 ++-
 drivers/gpu/drm/i915/intel_hdmi.c          |  89 ++--
 drivers/gpu/drm/i915/intel_i2c.c           |  21 +
 drivers/gpu/drm/i915/intel_lrc.c           |  34 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   2 -
 drivers/gpu/drm/i915/intel_pm.c            | 213 ++++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  50 +--
 drivers/gpu/drm/i915/intel_runtime_pm.c    |   4 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |  74 ++--
 drivers/gpu/drm/i915/intel_sprite.c        |  40 +-
 include/drm/i915_pciids.h                  |   6 +-
 34 files changed, 1667 insertions(+), 978 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/Kconfig.debug

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-05-18  8:31 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-05-18  8:31 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-05-08:
- skl plane scaler support (Chandra Kondru)
- enable hsw cmd parser (Daniel and fix from Rebecca Palmer)
- skl dc5/6 support (low power display modes) from Suketu&Sunil
- dp compliance testing patches (Todd Previte)
- dp link training optimization (Mika Kahola)
- fixes to make skl resume work (Damien)
- rework modeset code to fully use atomic state objects (Ander&Maarten)
- pile of bxt w/a patchs from Nick Hoath
- (linear) partial gtt mmap support (Joonas Lahtinen)

Cheers, Daniel


The following changes since commit e1dee1973c74a0408b108d88c57a15be8a2d6d84:

  Merge tag 'drm-intel-next-2015-04-23-fixed' of git://anongit.freedesktop.org/drm-intel into drm-next (2015-05-08 20:51:06 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-05-08

for you to fetch changes up to 214a2b7fab215b1e979fbae51225b01b8fc58288:

  drm/i915: Update DRIVER_DATE to 20150508 (2015-05-08 17:38:19 +0200)

----------------------------------------------------------------
- skl plane scaler support (Chandra Kondru)
- enable hsw cmd parser (Daniel and fix from Rebecca Palmer)
- skl dc5/6 support (low power display modes) from Suketu&Sunil
- dp compliance testing patches (Todd Previte)
- dp link training optimization (Mika Kahola)
- fixes to make skl resume work (Damien)
- rework modeset code to fully use atomic state objects (Ander&Maarten)
- pile of bxt w/a patchs from Nick Hoath
- (linear) partial gtt mmap support (Joonas Lahtinen)

----------------------------------------------------------------
A.Sunil Kamath (2):
      drm/i915/skl: Implement enable/disable for Display C5 state.
      Implement enable/disable for Display C6 state

Ander Conselvan de Oliveira (28):
      drm/i915: Don't check for NULL before freeing state
      drm/i915: Call drm helpers when duplicating crtc and plane states
      drm/i915: Use for_each_connector_in_state helper macro
      drm/i915: Extract mode_changed computation out of stage_output_config()
      drm/i915: Add crtc states before calling compute_config()
      drm/i915: Don't pretend we can calculate multiple pipe_configs
      drm/i915: Calculate a new pipe_config based on new enabled state
      drm/i915: Remove all *_pipes flags from modeset
      drm/i915: Remove saved_mode from __intel_set_mode()
      drm/i915: Move compute part of __intel_set_mode() to separate function
      drm/i915: Simplify error handling in __intel_set_mode()
      drm/i915: Don't modeset with old mode when set_crtc fails
      drm/i915: Add primary plane to atomic state in legacy modeset
      drm/i915: Delete fb, x and y parameters from mode set functions
      drm/i915: Don't use struct intel_set_config *_changed flags
      drm/i915: Don't use staged config to calculate mode_changed flags
      drm/i915: Unify modeset and flip paths of intel_crtc_set_config()
      drm/i915: Simplify intel_set_config_compute_mode_changes() a bit
      drm/i915: Stage new modeset state straight into atomic state
      drm/i915: Remove save/restore logic from intel_crtc_set_config()
      drm/i915: Update crtc state active flag based on DPMS
      drm/atomic: Make mode_fixup() optional for check_modeset()
      drm/i915: Use atomic helpers for computing changed flags
      drm/i915: Take ownership of atomic state on success in intel_set_mode()
      drm/i915: Preserve shared DPLL information in new pipe_config
      drm/i915: Don't use plane update helper in legacy mode set
      drm/i915: Swap atomic state in legacy modeset
      drm/i915: Get rid of intel_crtc_set_state()

Chandra Konduru (2):
      drm/i915: skylake primary plane scaling using shared scalers
      drm/i915: skylake sprite plane scaling using shared scalers

Chris Wilson (3):
      drm/i915: Add RPS thresholds to debugfs/i915_frequency_info
      drm/i915: Remove incorrect restriction on 32bit offsets in ppGTT backend
      drm/i915: Remove locking for get-caching query

Damien Lespiau (7):
      drm/i915/skl: Add the INIT power domain to the MISC I/O power well
      drm/i915/skl: Fix the CTRL typo in the DPLL_CRTL1 defines
      drm/i915: Re-order the PCU opcodes
      drm/i915: Merge the GEN9 memory latency PCU opcode with its friends
      drm/i915/skl: Make the Misc I/O power well part of the PLLS domain
      drm/i915/skl: Fix WaDisableChickenBitTSGBarrierAckForFFSliceCS
      drm/i915/skl: Re-indent part of skl_ddi_calculate_wrpll()

Dan Carpenter (1):
      drm/i915: checking IS_ERR() instead of NULL

Daniel Vetter (4):
      drm/i915: Simplify cmd-parser DISPATCH_SECURE check
      drm/i915/skl: Add support to load SKL CSR firmware.
      drm/edid: Kerneldoc for newly added edid_corrupt
      drm/i915: Update DRIVER_DATE to 20150508

Deepak S (1):
      drm/i915: Setup static bias for GPU

Fabian Frederick (1):
      drm/i915: use ERR_CAST instead of ERR_PTR/PTR_ERR

Jani Nikula (2):
      drm/i915: make drm_crtc_helper_funcs const data
      drm/i915/audio: do not mess with audio registers if port is invalid

Jesse Barnes (1):
      drm/i915/vlv: remove wait for previous GFX clk disable request

Joonas Lahtinen (6):
      drm/i915: Do not clear mappings beyond VMA size
      drm/i915: Do not make assumptions on GGTT VMA sizes
      drm/i915: Consider object pinned if any VMA is pinned
      drm/i915: Add a partial GGTT view type
      drm/i915: Use partial view in mmap fault handler
      drm/i915: Reject huge tiled objects

Maarten Lankhorst (7):
      drm/i915: Remove implicitly disabling primary plane for now
      drm/i915: Add a way to disable planes without updating state
      drm/i915: Use the disable callback for disabling planes.
      drm/i915: get rid of primary_enabled and use atomic state
      drm/i915: Move intel_(pre_disable/post_enable)_primary to intel_display.c, and use it there.
      drm/i915: Rename intel_crtc_dpms_overlay.
      drm/i915: Move toggling planes out of crtc enable/disable.

Matt Roper (1):
      drm/i915: Set crtc_state->active to false when CRTC is disabled (v2)

Michel Thierry (1):
      drm/i915: Fix 32b overflow check in gen8_ppgtt_alloc_page_directories

Mika Kahola (2):
      drm/i915: eDP link training optimization
      drm/i915: DP link training optimization

Mika Kuoppala (2):
      drm/i915: Clear vma->bound on unbinding
      drm/i915: Free wa_batchbuffer when freeing error state

Nick Hoath (12):
      drm/i915/bxt: Add WaDisableThreadStallDopClockGating
      drm/i915/bxt: Add WaDisableSbeCacheDispatchPortSharing
      drm/i915/bxt: Add WaForceContextSaveRestoreNonCoherent
      drm/i915/bxt: Mark WaDisablePartialInstShootdown as for Broxton also.
      drm/i915/bxt: Mark workaround as for Skylake & Broxton
      drm/i915/bxt: Enable WaDisableDgMirrorFixInHalfSliceChicken5 for Broxton
      drm/i915/bxt: Enable WaSetDisablePixMaskCammingAndRhwoInCommonSliceChicken for Broxton
      drm/i915/bxt: Enable WaEnableYV12BugFixInHalfSliceChicken7 for Broxton
      drm/i915/bxt: Move WaForceEnableNonCoherent to Skylake only
      drm/i915/bxt: Mark Wa4x4STCOptimizationDisable as for Broxton also.
      drm/i915/bxt: Mark WaDisablePartialResolveInVc as for Broxton also.
      drm/i915/bxt: Mark WaCcsTlbPrefetchDisable as for Broxton also.

Rebecca N. Palmer (1):
      drm/i915: Fix possible security hole in command parsing

Sonika Jindal (2):
      drm/i915/skl: Add module parameter to select edp vswing table
      drm/i915: Rename dp rates array as per platform

Suketu Shah (5):
      drm/i915/skl: Add DC5 Trigger Sequence
      drm/i915/skl: Assert the requirements to enter or exit DC5.
      drm/i915/skl: Add DC6 Trigger sequence.
      drm/i915/skl: Assert the requirements to enter or exit DC6.
      drm/i915/skl: Enable runtime PM

Todd Previte (4):
      drm/i915: Move Displayport test request and sink IRQ logic to intel_dp_detect()
      drm: Add edid_corrupt flag for Displayport Link CTS 4.2.2.6
      drm/i915: Implement the intel_dp_autotest_edid function for DP EDID complaince tests
      drm/i915: Add debugfs test control files for Displayport compliance testing

Vandana Kannan (1):
      drm/i915/bxt: BLC implementation

Ville Syrjälä (7):
      drm/i915: Use POSTING_READ() in intel_sdvo_write_sdvox()
      drm/i915: s/9/intel_freq_opcode(450)/
      drm/i915: Add missing POSTING_READ()s to BXT dbuf enable sequence
      drm/i915: Implement chv display PHY lane stagger setup
      drm/i915: Work around DISPLAY_PHY_CONTROL register corruption on CHV
      Revert "drm/i915: Hack to tie both common lanes together on chv"
      drm/i915: Only wait for required lanes in vlv_wait_port_ready()

 drivers/gpu/drm/drm_atomic_helper.c        |    5 +
 drivers/gpu/drm/drm_edid.c                 |   32 +-
 drivers/gpu/drm/drm_edid_load.c            |    7 +-
 drivers/gpu/drm/i915/Makefile              |    3 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  240 +++-
 drivers/gpu/drm/i915/i915_dma.c            |   11 +-
 drivers/gpu/drm/i915/i915_drv.c            |   64 +-
 drivers/gpu/drm/i915/i915_drv.h            |   42 +-
 drivers/gpu/drm/i915/i915_gem.c            |  174 ++-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   32 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   87 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h        |   20 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |    1 +
 drivers/gpu/drm/i915/i915_params.c         |    8 +
 drivers/gpu/drm/i915/i915_reg.h            |   89 +-
 drivers/gpu/drm/i915/intel_atomic.c        |   13 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c  |   20 +-
 drivers/gpu/drm/i915/intel_audio.c         |   20 +-
 drivers/gpu/drm/i915/intel_bios.c          |    9 +-
 drivers/gpu/drm/i915/intel_csr.c           |  414 +++++++
 drivers/gpu/drm/i915/intel_ddi.c           |  101 +-
 drivers/gpu/drm/i915/intel_display.c       | 1774 +++++++++++++---------------
 drivers/gpu/drm/i915/intel_dp.c            |  151 ++-
 drivers/gpu/drm/i915/intel_dp_mst.c        |   13 +-
 drivers/gpu/drm/i915/intel_drv.h           |   46 +-
 drivers/gpu/drm/i915/intel_fbc.c           |    2 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |   46 +-
 drivers/gpu/drm/i915/intel_lrc.c           |    7 +-
 drivers/gpu/drm/i915/intel_panel.c         |   87 +-
 drivers/gpu/drm/i915/intel_pm.c            |   19 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   67 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    |  251 +++-
 drivers/gpu/drm/i915/intel_sdvo.c          |    6 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  262 ++--
 include/drm/drm_crtc.h                     |    9 +-
 35 files changed, 2664 insertions(+), 1468 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_csr.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-05-07  7:48 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-05-07  7:48 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-04-23:
- dither support for ns2501 dvo (Thomas Richter)
- some polish for the gtt code and fixes to finally enable the cmd parser on hsw
- first pile of bxt stage 1 enabling (too many different people to list ...)
- more psr fixes from Rodrigo
- skl rotation support from Chandra
- more atomic work from Ander and Matt
- pile of cleanups and micro-ops for execlist from Chris
drm-intel-next-2015-04-10:
- cdclk handling cleanup and fixes from Ville
- more prep patches for olr removal from John Harrison
- gmbus pin naming rework from Jani (prep for bxt)
- remove ->new_config from Ander (more atomic conversion work)
- rps (boost) tuning and unification with byt/bsw from Chris
- cmd parser batch bool tuning from Chris
- gen8 dynamic pte allocation (Michel Thierry, based on work from Ben Widawsky)
- execlist tuning (not yet all of it) from Chris
- add drm_plane_from_index (Chandra)
- various small things all over

Plus a few patches Jani collected while I was on vacation.

Cheers, Daniel


The following changes since commit 1d8ac08d498d579aae36221a80b4b724b2f52f39:

  Merge tag 'v4.0-rc7' into drm-next (2015-04-09 07:48:27 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-04-23-fixed

for you to fetch changes up to 93a96c6f049d047bc196890fc4284eff15b3770f:

  Merge commit '75d04a3773ecee617847de963ae4195d6aa74c28' into drm-intel-next-queued (2015-05-04 09:25:12 +0200)

----------------------------------------------------------------
A.Sunil Kamath (1):
      drm/i915/bxt: Implement enable/disable for Display C9 state

Ander Conselvan de Oliveira (10):
      drm/i915: Check lane sharing between pipes B & C using atomic state
      drm/i915: Set best_encoder field of connector_state also when disabling
      drm/i915: Don't use staged config for VLV cdclk calculations
      drm/i915: Don't use intel_crtc->new_config in pll calculation code
      drm/i915: Remove intel_crtc->new_config
      drm/i915: Don't use staged config in check_digital_port_conflicts()
      drm/i915: Don't use staged config in check_encoder_cloning()
      drm/i915: Don't use staged config in intel_mst_pre_enable_dp()
      drm/i915: Remove stale comment from __intel_set_mode()
      drm/i915: Allocate connector state together with the connectors

Arun Siluvery (1):
      drm/i915: Do not set L3-LLC Coherency bit in ctx descriptor

Ben Widawsky (3):
      drm/i915/bxt: add GEN8_HDCUNIT_CLOCK_GATE_DISABLE_HDCREQ workaround
      drm/i915/bxt: add WaDisableMaskBasedCammingInRCC workaround
      drm/i915/skl: add WaDisableMaskBasedCammingInRCC workaround

Chandra Konduru (12):
      drm: Adding drm helper function drm_plane_from_index().
      drm/i915: Register definitions for skylake scalers
      drm/i915: skylake scaler structure definitions
      drm/i915: Initialize plane colorkey to NONE
      drm/i915: Initialize skylake scalers
      drm/i915: Keep sprite plane src rect in 16.16 format
      drm/i915: Dump scaler_state too as part of dumping crtc_state
      drm/i915: Preserve scaler state when clearing crtc_state
      drm/i915: setup scalers for crtc_compute_config
      drm/i915: Ensure setting up scalers into staged crtc_state
      drm/i915: copy staged scaler state from drm state to crtc->config.
      drm/i915: skylake panel fitting using shared scalers

Chris Wilson (35):
      drm/i915: Add i915_gem_request_unreference__unlocked
      drm/i915: Make debugfs/i915_gem_request more friendly
      drm/i915: Allow disabling the destination colorkey for overlay
      drm/i915: Cache last obj->pages location for i915_gem_object_get_page()
      drm/i915: Fix the flip synchronisation to consider mmioflips
      drm/i915: Agressive downclocking on Baytrail
      drm/i915: Fix computation of last_adjustment for RPS autotuning
      drm/i915: Boost GPU frequency if we detect outstanding pageflips
      drm/i915: Deminish contribution of wait-boosting from clients
      drm/i915: Re-enable RPS wait-boosting for all engines
      drm/i915: Split i915_gem_batch_pool into its own header
      drm/i915: Tidy batch pool logic
      drm/i915: Split the batch pool by engine
      drm/i915: Free batch pool when idle
      drm/i915: Split batch pool into size buckets
      drm/i915: Include active flag when describing objects in debugfs
      drm/i915: Suppress empty lines from debugfs/i915_gem_objects
      drm/i915: Record ring->start address in error state
      drm/i915: Use simpler form of spin_lock_irq(execlist_lock)
      drm/i915: Use the global runtime-pm wakelock for a busy GPU for execlists
      drm/i915: Remove vestigal DRI1 ring quiescing code
      drm/i915: Use a separate slab for requests
      drm/i915: Use a separate slab for vmas
      drm/i915: Reduce locking in execlist command submission
      drm/i915: Reduce locking in gen8 IRQ handler
      drm/i915: Tidy gen8 IRQ handler
      drm/i915: Prefer to check for idleness in worker rather than sync-flush
      drm/i915: Remove request->uniq
      drm/i915: Allocate context objects from stolen
      drm/i915: Simplify object is-pinned checking for shrinker
      drm/i915: Optimistically spin for the request completion
      drm/i915: Remove obj->pin_mappable
      drm/i915: Simplify i915_gem_obj_is_pinned() test for set-tiling
      drm/i915: Update meaning of debugfs object's pin_flag
      drm/i915: Silence compiler warning in dvo

Daisy Sun (1):
      drm/i915/bxt: BXT FBC enablement

Damien Lespiau (10):
      drm/i915/bxt: Add BXT PCI ids
      drm/i915/bxt: Broxton uses the same GMS values as Skylake
      drm/i915/bxt: Broxton has 3 sprite planes on pipe A/B, 2 on pipe C
      drm/i915/bxt: Add the plane4 related interrupt definitions
      drm/i915/bxt: Broxton DDB is 512 blocks
      drm/i915/bxt: Broxton raises the maximum number of planes to 4
      drm/i915/skl: Fix stepping check for a couple of W/As
      drm/i915/skl: Implement WaDisableVFUnitClockGating
      drm/i915: Iterate through the initialized DDIs to prepare their buffers
      drm/i915: Don't write the HDMI buffer translation entry when not needed

Daniel Vetter (25):
      drm/i915: Update DRIVER_DATE to 20150410
      drm/i915: Remove unused variable in intel_lrc.c
      Merge branch 'topic/bxt-stage1' into drm-intel-next-queued
      drm/i915: Select starting pipe bpp irrespective or the primary plane
      drm/i915: Drop unecessary fb arguments from function signatures
      drm/i915/dp: Remove intel_ prefix from hw signal_levels functions
      drm/i915: Move gen8 clear_range vfunc setup into common code
      drm/i915: Move vma vfuns to adddress_space
      drm/i915: Clean up aliasing ppgtt correctly on error paths
      drm/i915: Unify aliasing ppgtt handling
      drm/i915: Move PTE_READ_ONLY to ->pte_encode vfunc
      drm/i915: Dont clear PIN_GLOBAL in the execbuf pinning fallback
      drm/i915: Drop redundant GGTT rebinding
      drm/i915: Don't look at pg_dirty_rings for aliasing ppgtt
      drm/i915: Don't use atomics for pg_dirty_rings
      drm/i915: Remove misleading comment around bind_to_vm
      drm/i915: Fix up the vma aliasing ppgtt binding
      drm/i915: move i915_gem_restore_gtt_mappings around
      drm/i915: Move ppgtt_bind/unbind around
      drm/i915: Unduplicate i915_ggtt_unbind/bind_vma
      drm/i915: Don't try to outsmart gcc in i915_gem_gtt.c
      rm/i915: Move i915_get_ggtt_vma_pages into ggtt_bind_vma
      drm/i915: Update DRIVER_DATE to 20150423
      drm/i915: Enable cmd parser to do secure batch promotion for aliasing ppgtt
      Merge commit '75d04a3773ecee617847de963ae4195d6aa74c28' into drm-intel-next-queued

Deepak S (2):
      drm/i915/chv: Remove Wait for a previous gfx force-off
      drm/i915: Re-adjusting rc6 promotional timer for chv

Durgadoss R (1):
      drm/i915: PSR: Keep sink state consistent with source

Imre Deak (11):
      drm/i915: use proper FBC base register on all new platforms
      drm/i915/bxt: map GTT as uncached
      drm/i915/gen9: fix PIPE_CONTROL flush for VS_INVALIDATE
      drm/i915/bxt: add bxt_init_clock_gating
      drm/i915/bxt: add GEN8_SDEUNIT_CLOCK_GATE_DISABLE workaround
      drm/i915/bxt: support for HPD long/short status decoding
      drm/i915/bxt: add description about the BXT PHYs
      drm/i915/bxt: add bxt_find_best_dpll
      drm/i915: suppress false PLL state warnings on non-GMCH platforms
      drm/i915: factor out ddi_get_encoder_port
      drm/i915: fix intel_prepare_ddi

Jani Nikula (9):
      drm/i915: rename GMBUS_PORT_* macros as GMBUS_PIN_*
      drm/i915: refer to pin instead of port in the intel_i2c.c interfaces
      drm/i915: index gmbus tables using the pin pair number
      drm/i915: base gmbus pin validity check on the gmbus pin map array
      drm/i915: add i915 specific connector debugfs file for DPCD
      drm/i915: fix build for DEBUG_FS=n
      drm/i915: add bxt gmbus support
      drm/i915/hdmi: fix vlv infoframe port check
      drm/i915/hdmi: check port in ibx_infoframe_enabled

Jeff McGee (4):
      drm/i915: Split SSEU init into functions by platform
      drm/i915/bxt: Determine BXT slice/subslice/EU info
      drm/i915: Split-up SSEU device status by platform
      drm/i915/bxt: Support BXT in SSEU device status dump

Jesse Barnes (2):
      drm/i915/vlv: check port in infoframe_enabled v2
      drm/i915/bxt: fix panel fitter setup in crtc disable/enable

John Harrison (4):
      drm/i915: Rename 'do_execbuf' to 'execbuf_submit'
      drm/i915: Make intel_logical_ring_begin() static
      drm/i915: Move common request allocation code into a common function
      drm/i915: Fix for ringbuf space wait in LRC mode

Maarten Lankhorst (1):
      drm/i915: use kref_put_mutex in i915_gem_request_unreference__unlocked

Matt Roper (2):
      drm/i915: Switch to full atomic helpers for plane updates/disable, take two
      drm/i915: Clear crtc atomic flags at beginning of transaction

Michel Thierry (14):
      drm/i915: Remove _entry from PPGTT page structures
      drm/i915: Remove unnecessary gen8_ppgtt_unmap_pages
      drm/i915/gen8: Initialize page tables
      drm/i915/gen8: Add dynamic allocation macros and helper functions
      drm/i915/gen8: page directories rework allocation
      drm/i915/gen8: pagetable allocation rework
      drm/i915/gen8: Update pdp switch and point unused PDPs to scratch page
      drm/i915: num_pd_pages/num_pd_entries isn't useful
      drm/i915: Extract PPGTT param from page_directory alloc
      drm/i915/gen8: Split out mappings
      drm/i915/gen8: begin bitmap tracking
      drm/i915/gen8: Dynamic page table allocations
      drm/i915: Use complete address space in true PPGTT
      drm/i915: Remove unused variable from execlists_context_queue

Mika Kuoppala (2):
      drm/i915: Move vm page allocation in proper place
      drm/i915/gtt: Allocate va range only if vma is not bound

Nick Hoath (2):
      drm/i915/bxt: HardWare WorkAround ring initialisation for Broxton
      drm/i915/bxt: Add Broxton steppings

Robert Beckett (1):
      drm/i915/bxt: add workaround to avoid PTE corruption

Rodrigo Vivi (5):
      drm/i915/bdw: WaProgramL3SqcReg1Default
      drm/i915: PSR: Remove wrong LINK_DISABLE.
      drm/i915: PSR: Fix DP_PSR_NO_TRAIN_ON_EXIT logic
      drm/i915: PSR: deprecate link_standby support for core platforms.
      drm/i915: PSR VLV: Add single frame update.

Sagar Kamble (2):
      drm/i915: Naming constants to be written to GEN9_PG_ENABLE
      drm/i915: Disable Render power gating

Satheeshakrishna M (8):
      drm/i915/bxt: Add IS_BROXTON macro
      drm/i915/bxt: Define BXT power domains
      drm/i915/bxt: Restrict PORT_CLK_SEL programming below gen9
      drm/i915/bxt: Define bxt DDI PLLs and implement enable/disable sequence
      drm/i915/bxt: BXT clock divider calculation
      drm/i915/bxt: Assign PLL for pipe
      drm/i915/bxt: Determine PLL attached to pipe
      drm/i915/bxt: Determine programmed frequency

Shashank Sharma (4):
      drm/i915/bxt: DDI Hotplug interrupt setup
      drm/i915/bxt: Add DDI hpd handler
      drm/i915/bxt: Add BXT support in gen8_irq functions
      drm/i915/bxt: Enable GMBUS IRQ

Sonika Jindal (4):
      drm/i915/skl: Enabling PSR2 SU with frame sync
      drm/i915/skl: Allow universal planes to position
      drm/i915/skl: Support for 90/270 rotation
      drm/i915/skl: Add back HDMI translation table

Suketu Shah (1):
      drm/i915/bxt: Add DC9 Trigger sequence

Sumit Singh (1):
      drm/i915/bxt: Enable PTE encoding

Thomas Richter (2):
      Enabled dithering in the intel VCH DVO for 18bpp pipelines.
      drm/i915: Enable dithering on NatSemi DVO2501 for Fujitsu S6010

Todd Previte (2):
      drm/i915: Add automated testing support for Displayport compliance testing
      drm/i915: Add a delay in Displayport AUX transactions for compliance testing

Tvrtko Ursulin (4):
      drm/i915: Fix view type in warning message
      drm/i915: Simplify and fix object to display tracking
      drm/i915: Fixup kerneldoc for struct intel_context
      drm/i915/skl: Support Y tiling in MMIO flips

Vandana Kannan (7):
      drm/i915/bxt: Increase DDI buf idle timeout
      drm/i915/bxt: don't use unsupported port detection
      drm/i915: Rename vlv_cdclk_freq to cdclk_freq
      drm/i915/bxt: add display initialize/uninitialize sequence (CDCLK)
      drm/i915/bxt: add display initialize/uninitialize sequence (PHY)
      drm/i915/bxt: VSwing programming sequence
      drm/i915/bxt: Update max level of vswing

Ville Syrjälä (11):
      drm/i915: Convert BUGs to WARNs in the video overlay code
      drm/i915: Convert overlay->{active, pfit_active} to bools
      drm/i915: Mark the overlay active only if we got ring space
      drm/i915: Enable DVO 2x clock around DVO encoder init
      drm/i915: Return more precise cdclk for gen2/3
      drm/i915: ILK cdclk seems to be 450MHz
      drm/i915: Assume 400MHz cdclk for the rest of gen4-7
      drm/i915: Simplify ilk_get_aux_clock_divider
      drm/i915: Convert the ddi cdclk code to get_display_clock_speed
      drm/i915: Silence a sparse warning
      drm/i915: Fix the VBT child device parsing for BSW

Wei Yongjun (1):
      drm/i915/audio: remove duplicated include from intel_audio.c

kbuild test robot (1):
      drm/i915: fix semicolon.cocci warnings

 Documentation/DocBook/drm.tmpl             |    4 +-
 arch/x86/kernel/early-quirks.c             |    1 +
 drivers/gpu/drm/drm_crtc.c                 |   23 +
 drivers/gpu/drm/i915/dvo_ivch.c            |   21 +-
 drivers/gpu/drm/i915/dvo_ns2501.c          |  670 ++++++++------
 drivers/gpu/drm/i915/i915_cmd_parser.c     |   12 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  460 +++++++---
 drivers/gpu/drm/i915/i915_dma.c            |  270 +++---
 drivers/gpu/drm/i915/i915_drv.c            |   60 +-
 drivers/gpu/drm/i915/i915_drv.h            |  171 ++--
 drivers/gpu/drm/i915/i915_gem.c            |  236 ++---
 drivers/gpu/drm/i915/i915_gem_batch_pool.c |   84 +-
 drivers/gpu/drm/i915/i915_gem_batch_pool.h |   42 +
 drivers/gpu/drm/i915/i915_gem_context.c    |   37 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   50 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 1046 +++++++++++----------
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  101 ++-
 drivers/gpu/drm/i915/i915_gem_shrinker.c   |    8 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |    2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |   10 +-
 drivers/gpu/drm/i915/i915_irq.c            |  282 +++---
 drivers/gpu/drm/i915/i915_reg.h            |  489 +++++++++-
 drivers/gpu/drm/i915/i915_trace.h          |   44 +-
 drivers/gpu/drm/i915/intel_atomic.c        |  173 ++++
 drivers/gpu/drm/i915/intel_atomic_plane.c  |   24 +
 drivers/gpu/drm/i915/intel_audio.c         |    4 +-
 drivers/gpu/drm/i915/intel_bios.c          |   30 +-
 drivers/gpu/drm/i915/intel_bios.h          |    4 +-
 drivers/gpu/drm/i915/intel_crt.c           |    4 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  767 +++++++++++++---
 drivers/gpu/drm/i915/intel_display.c       | 1357 +++++++++++++++++++++-------
 drivers/gpu/drm/i915/intel_dp.c            |  217 ++++-
 drivers/gpu/drm/i915/intel_dp_mst.c        |   16 +-
 drivers/gpu/drm/i915/intel_drv.h           |  107 ++-
 drivers/gpu/drm/i915/intel_dsi.c           |    2 +-
 drivers/gpu/drm/i915/intel_dvo.c           |   29 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c   |    2 +
 drivers/gpu/drm/i915/intel_hdmi.c          |   32 +-
 drivers/gpu/drm/i915/intel_i2c.c           |   97 +-
 drivers/gpu/drm/i915/intel_lrc.c           |  502 +++++-----
 drivers/gpu/drm/i915/intel_lrc.h           |    5 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   10 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   66 +-
 drivers/gpu/drm/i915/intel_pm.c            |  115 ++-
 drivers/gpu/drm/i915/intel_psr.c           |  118 ++-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  121 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   10 +
 drivers/gpu/drm/i915/intel_runtime_pm.c    |  121 +++
 drivers/gpu/drm/i915/intel_sdvo.c          |   27 +-
 drivers/gpu/drm/i915/intel_sprite.c        |   80 +-
 drivers/gpu/drm/i915/intel_tv.c            |    2 +-
 drivers/gpu/drm/i915/intel_uncore.c        |   98 +-
 include/drm/drm_crtc.h                     |    1 +
 include/drm/i915_pciids.h                  |    6 +
 include/uapi/drm/i915_drm.h                |    1 +
 56 files changed, 5776 insertions(+), 2497 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2015-03-31 14:31 Daniel Vetter
@ 2015-03-31 22:22 ` Dave Airlie
  0 siblings, 0 replies; 265+ messages in thread
From: Dave Airlie @ 2015-03-31 22:22 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: intel-gfx, dri-devel

On 1 April 2015 at 00:31, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> Hi Dave,
>
> Final i915 pull for 4.1, except maybe I'll throw in a bxt stage1 enabling
> patch if it's ready in time - all the core changes have landed already so
> impact would be minimal, as usual.

You should mentioned when you backmerge so I don't get surprised, but
it helpful you did it :-)

Dave.
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-03-31 14:31 Daniel Vetter
  2015-03-31 22:22 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2015-03-31 14:31 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Final i915 pull for 4.1, except maybe I'll throw in a bxt stage1 enabling
patch if it's ready in time - all the core changes have landed already so
impact would be minimal, as usual.

drm-intel-next-2015-03-27:
- DP link rate refactoring from Ville
- byt/bsw rps tuning from Chris
- kerneldoc for the shrinker code
- more dynamic ppgtt pte work (Michel, Ben, ...)
- vlv dpll code refactoring to prep fro bxt (Imre)
- refactoring the sprite colorkey code (Ville)
- rotated ggtt view support from Tvrtko
- roll out struct drm_atomic_state to prep for atomic update (Ander)

Cheers, Daniel


The following changes since commit 0f9e9cd61f46c07246e30871fd638ffeaca3c576:

  Merge tag 'drm-intel-fixes-2015-03-19' into drm-intel-next (2015-03-20 11:44:34 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-03-27-merge

for you to fetch changes up to 6e0aa8018f9c676b115b7ca6c20a056fc57c68a9:

  Merge tag 'v4.0-rc6' into drm-intel-next (2015-03-30 16:37:08 +0200)

----------------------------------------------------------------
Ahmed S. Darwish (1):
      can: kvaser_usb: Fix tx queue start/stop race conditions

Al Viro (3):
      caif: fix MSG_OOB test in caif_seqpkt_recvmsg()
      rxrpc: bogus MSG_PEEK test in rxrpc_recvmsg()
      net: validate the range we feed to iov_iter_init() in sys_sendto/sys_recvfrom

Alex Deucher (1):
      drm/radeon: drop ttm two ended allocation

Alexandru M Stan (1):
      ARM: dts: rockchip: disable gmac by default in rk3288.dtsi

Alexey Kodanev (2):
      net: sysctl_net_core: check SNDBUF and RCVBUF for min length
      vxlan: fix wrong usage of VXLAN_VID_MASK

Ameen Ali (1):
      tulip_core.c : out-of-bounds check.

Ameya Palande (1):
      mfd: kempld-core: Fix callback return value check

Ander Conselvan de Oliveira (19):
      drm/i915: Add intel_atomic_get_crtc_state() helper function
      drm/i915: Pass acquire ctx also to intel_release_load_detect_pipe()
      drm/i915: Allocate a drm_atomic_state for the legacy modeset code
      drm/i915: Allocate a crtc_state also when the crtc is being disabled
      drm/i915: Implement connector state duplication
      drm/i915: Update dummy connector atomic state with current config
      drm/i915: Copy the staged connector config to the legacy atomic state
      drm/i915: Don't use encoder->new_crtc in intel_modeset_pipe_config()
      drm/i915: Don't use encoder->new_crtc in compute_baseline_pipe_bpp()
      drm/i915: Don't depend on encoder->new_crtc in intel_dp_compute_config()
      drm/i915: Don't depend on encoder->new_crtc in intel_hdmi_compute_config
      drm/i915: Use atomic state in intel_ddi_crtc_get_new_encoder()
      drm/i915: Don't use staged config in intel_dp_mst_compute_config()
      drm/i915: Don't use encoder->new_crtc in intel_lvds_compute_config()
      drm/i915: Pass an atomic state to modeset_global_resources() functions
      drm/i915: Convert intel_pipe_will_have_type() to using atomic state
      drm/i915: Don't look at staged config crtc when changing DRRS state
      drm/i915: Remove usage of encoder->new_crtc from clock computations
      drm/i915: Handle error to get connector state when staging config

Andrei Otcheretianski (2):
      iwlwifi: mvm: Fix ROC removal
      mac80211: count interfaces correctly for combination checks

Andrzej Hajda (1):
      drm/exynos: remove unused files

Andy Lutomirski (2):
      x86/asm/entry/32: Fix user_mode() misuses
      x86/asm/entry: Check for syscall exit work with IRQs disabled

Andy Shevchenko (2):
      spi: dw-mid: clear BUSY flag fist and test other one
      dmaengine: dw: append MODULE_ALIAS for platform driver

Ard Biesheuvel (1):
      crypto: arm/aes update NEON AES module to latest OpenSSL version

Arnaldo Carvalho de Melo (1):
      perf annotate: Fix fallback to unparsed disassembler line

Arnd Bergmann (3):
      usb: musb: fix Kconfig regression
      rds: avoid potential stack overflow
      Merge tag 'v4.0-rockchip-armfixes1' of git://git.kernel.org/.../mmind/linux-rockchip into fixes

Axel Lin (20):
      phy: miphy28lp: Avoid calling of_get_child_count() multiple times
      phy: miphy365x: Avoid calling of_get_child_count() multiple times
      phy: armada375-usb2: Set drvdata for phy and use it
      phy: xgene: Remove duplicate code to set ctx->dev
      phy: miphy28lp: Add missing .owner field in miphy28lp_ops
      phy: exynos-mipi-video: Fixup the test for state->regmap
      phy: exynos-mipi-video: Use spin_lock to protct state->regmap rmw operations
      phy: exynos-dp-video: Kill exynos_dp_video_phy_pwr_isol function
      phy: hix5hd2-sata: Check return value of platform_get_resource
      phy: samsung-usb2: Remove NULL terminating entry from phys array
      phy: ti-pipe3: Simplify ti_pipe3_dpll_wait_lock implementation
      phy: rockchip-usb: Fixup rockchip_usb_phy_power_on failure path
      phy: exynos5-usbdrd: Fix off-by-one valid value checking for args->args[0]
      phy: twl4030-usb: Remove redundant assignment for twl->linkstat
      phy: miphy365x: Convert to devm_kcalloc and fix wrong sizeof
      phy: miphy28lp: Convert to devm_kcalloc and fix wrong sizof
      phy: core: Fixup return value of phy_exit when !pm_runtime_enabled
      phy: ti/omap: Fix modalias
      phy: omap-usb2: Fix missing clk_prepare call when using old dt name
      regulator: Fix documentation for regmap in the config

Bard Liao (1):
      ASoC: rt286: Change the DMI mapping for Dino

Bart Van Assche (3):
      tcm_qla2xxx: Fix incorrect use of __transport_register_session
      loop/usb/vhost-scsi/xen-scsiback: Fix use of __transport_register_session
      target: Fix reference leak in target_get_sess_cmd() error path

Ben Goz (3):
      drm/amdkfd: destroy mqd when destroying kernel queue
      drm/amdkfd: Fix SDMA queue init. in non-HWS mode
      drm/radeon: Changing number of compute pipe lines

Ben Skeggs (3):
      drm/nouveau/fifo/nv04: remove the loop from the interrupt handler
      drm/nouveau/gr/gf100: fix some accidental or'ing of buffer addresses
      drm/nouveau/device: post write to NV_PMC_BOOT_1 when flipping endian switch

Ben Widawsky (4):
      drm/i915: Extract context switch skip and add pd load logic
      drm/i915: Track GEN6 page table usage
      drm/i915: Track page table reload need
      drm/i915: Initialize all contexts

Benjamin Herrenschmidt (1):
      powerpc: Add PVR for POWER8NVL processor

Benjamin Tissoires (9):
      Input: synaptics - skip quirks when post-2013 dimensions
      Input: synaptics - handle spurious release of trackstick buttons
      Input: synaptics - do not retrieve the board id on old firmwares
      Input: synaptics - retrieve the extended capabilities in query $10
      Input: synaptics - remove TOPBUTTONPAD property for Lenovos 2015
      Input: synaptics - re-route tracksticks buttons on the Lenovo 2015 series
      Input: synaptics - remove X1 Carbon 3rd gen from the topbuttonpad list
      Input: synaptics - remove X250 from the topbuttonpad list
      HID: wacom: check for wacom->shared before following the pointer

Bob Copeland (1):
      mac80211: drop unencrypted frames in mesh fwding

Boris Brezillon (2):
      pinctrl: at91: move lock/unlock_as_irq calls into request/release
      USB: ehci-atmel: rework clk handling

Borislav Petkov (1):
      Revert "x86/mm/ASLR: Propagate base load address calculation"

Brian Norris (3):
      UBI: fix missing brace control flow
      of: unittest: Add option string test case with longer path
      of: handle both '/' and ':' in path strings

Brian Silverman (1):
      sched: Fix RLIMIT_RTTIME when PI-boosting to RT

Catalin Marinas (2):
      net: compat: Update get_compat_msghdr() to match copy_msghdr_from_user() behaviour
      arm64: Use the reserved TTBR0 if context switching to the init_mm

Charles Keepax (1):
      drm/exynos: Check for NULL dereference of crtc

Chen-Yu Tsai (3):
      ARM: sunxi: Have ARCH_SUNXI select RESET_CONTROLLER for clock driver usage
      ARM: dts: sun4i: a10-lime: Override and remove 1008MHz OPP setting
      ARM: dts: sunxi: Remove overclocked/overvoltaged OPP

Chris Wilson (6):
      drm/i915: Fallback to using CPU relocations for large batch buffers
      drm/i915: Relax RPS contraints to allows setting minfreq on idle
      drm/i915: Improved w/a for rps on Baytrail
      drm/i915: Use down ei for manual Baytrail RPS calculations
      drm/i915: Keep ring->active_list and ring->requests_list consistent
      drm/i915: Skip allocating shadow batch for 0-length batches

Christoffer Dall (1):
      arm/arm64: KVM: Keep elrsr/aisr in sync with software model

Christoph Hellwig (1):
      Subject: nfsd: don't recursively call nfsd4_cb_layout_fail

Christophe Vu-Brugier (1):
      target: do not reject FUA CDBs when write cache is enabled but emulate_write_cache is 0

Damien Lespiau (2):
      drm/i915: Don't try to reference the fb in get_initial_plane_config()
      drm/i915: Fix atomic state when reusing the firmware fb

Dan Carpenter (6):
      isdn: icn: use strlcpy() when parsing setup options
      rtc: at91rm9200: double locking bug in at91_rtc_interrupt()
      drm/exynos: IS_ERR() vs NULL bug
      tcm_fc: missing curly braces in ft_invl_hw_context()
      drm/i915: memory leak in __i915_gem_vma_create()
      watchdog: mtk_wdt: signedness bug in mtk_wdt_start()

Daniel Borkmann (1):
      act_bpf: allow non-default TC_ACT opcodes as BPF exec outcome

Daniel J Blueman (1):
      x86/apic/numachip: Fix sibling map with NumaChip

Daniel Martin (5):
      Input: synaptics - split synaptics_resolution(), query first
      Input: synaptics - log queried and quirked dimension values
      Input: synaptics - query min dimensions for fw v8.1
      Input: synaptics - remove obsolete min/max quirk for X240
      Input: synaptics - support min/max board id in min_max_pnpid_table

Daniel Vetter (11):
      drm/i915: Extract i915_gem_shrinker.c
      drm/i915: kerneldoc for i915_gem_shrinker.c
      drm: Fixup racy refcounting in plane_force_disable
      drm/i915: Fixup legacy plane->crtc link for initial fb config
      drm/i915: Fixup legacy plane->crtc link for initial fb config
      drm/i915: Add initial_ prefix to bios fb takeover code
      drm/i915: always preserve bios swizzling
      drm/i915: Rip out GET_SPRITE_COLORKEY ioctl
      drm/i915: Add module param to test the load detect code
      drm/i915: Update DRIVER_DATE to 20150327
      Merge tag 'v4.0-rc6' into drm-intel-next

Darrick J. Wong (1):
      dm io: deal with wandering queue limits when handling REQ_DISCARD and REQ_WRITE_SAME

Dave Airlie (6):
      Merge branch 'linux-4.0' of git://anongit.freedesktop.org/git/nouveau/linux-2.6 into drm-fixes
      Merge branch 'exynos-drm-fixes' of git://git.kernel.org/.../daeinki/drm-exynos into drm-fixes
      Merge branch 'drm-fixes-4.0' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-amdkfd-fixes-2015-03-19' of git://people.freedesktop.org/~gabbayo/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2015-03-19' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge tag 'drm-intel-fixes-2015-03-26' of git://anongit.freedesktop.org/drm-intel into drm-fixes

Dave Kleikamp (1):
      sparc64: fatal trap should stop all cpus

Dave Martin (1):
      arm64: juno: Fix misleading name of UART reference clock

David Ahern (4):
      sparc: perf: Remove redundant perf_pmu_{en|dis}able calls
      sparc: perf: Make counting mode actually work
      sparc: perf: Add support M7 processor
      sparc: Touch NMI watchdog when walking cpus and calling printk

David Dueck (1):
      usb: phy: am335x-control: check return value of bus_find_device

David S. Miller (10):
      Merge tag 'wireless-drivers-for-davem-2015-03-10' of git://git.kernel.org/.../kvalo/wireless-drivers
      net: Handle unregister properly when netdev namespace change fails.
      Merge tag 'linux-can-fixes-for-4.0-20150314' of git://git.kernel.org/.../mkl/linux-can
      Merge branch 'master' of git://git.kernel.org/.../klassert/ipsec
      Merge tag 'mac80211-for-davem-2015-03-16' of git://git.kernel.org/.../jberg/mac80211
      Merge branch 'mlx4-net'
      sparc: Fix /proc/kcore
      Merge branch 'be2net'
      Merge git://git.kernel.org/.../pablo/nf
      sparc64: Fix several bugs in memmove().

David Vrabel (1):
      xen-netback: notify immediately after pushing Tx response.

Dmitry Torokhov (3):
      Input: synaptics - fix middle button on Lenovo 2015 products
      Merge branch 'synaptics' into for-linus
      Revert "Input: synaptics - use dmax in input_mt_assign_slots"

Doug Anderson (1):
      regulator: core: Fix enable GPIO reference counting

Ed Cashin (1):
      aoe: update aoe maintainer information

Emmanuel Grumbach (2):
      iwlwifi: fix max_ht_ampdu_exponent for older devices
      iwlwifi: mvm: BT Coex - fix a NULL pointer exception

Eran Ben Elisha (2):
      net/mlx4_en: Fix off-by-one in ethtool statistics display
      net/mlx4_en: Set statistics bitmap at port init

Eric Dumazet (3):
      xps: must clear sender_cpu before forwarding
      inet_diag: fix possible overflow in inet_diag_dump_one_icsk()
      inet: Clean up inet_csk_wait_for_connect() vs. might_sleep()

Eric Nelson (1):
      ASoC: sgtl5000: remove useless register write clearing CHRGPUMP_POWERUP

Eyal Shapira (2):
      iwlwifi: mvm: rs: fix BT Coex check to look at the correct ant
      iwlwifi: mvm: disable beamformer unless FW supports it

Fabian Frederick (1):
      btrfs: fix sizeof format specifier in btrfs_check_super_valid()

Fabio Estevam (1):
      Revert "net: fec: fix the warning found by dma debug"

Fabrice Gasnier (1):
      ARM: 8310/1: l2c: Fix prefetch settings dt parsing

Felipe Balbi (1):
      Revert "usb: gadget: zero: Add support for interrupt EP"

Forest Wilkinson (1):
      HID: tivo: enable all buttons on the TiVo Slide Pro remote

Geert Uytterhoeven (1):
      regulator: tps65910: Add missing #include <linux/of.h>

Greg Kroah-Hartman (5):
      Revert "pcmcia: add missing include for new pci resource handler"
      Revert "pcmcia: fix incorrect bracketing on a test"
      Revert "pcmcia: add a new resource manager for non ISA systems"
      Merge tag 'fixes-for-v4.0-rc3' of git://git.kernel.org/.../balbi/usb into usb-linus
      Merge tag 'for-4.0-rc' of git://git.kernel.org/.../kishon/linux-phy into usb-linus

Gregory CLEMENT (1):
      cpuidle: mvebu: Fix the CPU PM notifier usage

Gu Zheng (1):
      mm/memory hotplug: postpone the reset of obsolete pgdat

Hajime Tazaki (1):
      xfrm6: Fix a offset value for network header in _decode_session6

Hans de Goede (2):
      pinctrl: sun4i: GPIOs configured as irq must be set to input before reading
      uas: Add US_FL_NO_ATA_1X for Initio Corporation controllers / devices

Hariprasad Shenai (1):
      cxgb4: fix coccinelle warnings

Heiko Carstens (2):
      s390/ftrace: fix compile error if CONFIG_KPROBES is disabled
      s390/smp: reenable smt after resume

Heiko Stuebner (1):
      MAINTAINERS: add rockchip regexp to the ARM/Rockchip entry

Helge Deller (2):
      parisc: Add compile-time check when adding new syscalls
      parisc: Fix pmd code to depend on PT_NLEVELS value, not on CONFIG_64BIT

Hendrik Brueckner (1):
      s390/cpum_sf: add diagnostic sampling event only if it is authorized

Herbert Xu (1):
      netfilter: Fix potential crash in nft_hash walker

Hui Wang (1):
      ALSA: hda - Add one more node in the EAPD supporting candidate list

Hyungwon Hwang (1):
      drm/exynos: fix the initialization order in FIMD

Ian Wilson (1):
      netfilter: Zero the tuple in nfnl_cthelper_parse_tuple()

Igor Mammedov (1):
      kvm: avoid page allocation failure in kvm_set_memory_region()

Imre Deak (5):
      drm/i915: factor out vlv_PLL_is_optimal
      drm/i915: check for div-by-zero in vlv_PLL_is_optimal
      drm/i915/chv: use vlv_PLL_is_optimal in chv_find_best_dpll
      drm/i915: fix race when clearing RPS IIR bits
      drm/i915: move clearing of RPS interrupt bits from disable to reset time

Ingo Molnar (2):
      Merge branch 'clockevents/4.0-rc2' of http://git.linaro.org/people/daniel.lezcano/linux into timers/urgent
      Merge tag 'perf-urgent-for-mingo' of git://git.kernel.org/.../acme/linux into perf/urgent

Inki Dae (1):
      drm/exynos: fix typo config name correctly.

Ivan T. Ivanov (1):
      spi: qup: Fix cs-num DT property parsing

Jacob Pan (1):
      powercap / RAPL: handle domains with different energy units

James Hogan (3):
      metag: Fix ioremap_wc/ioremap_cached build errors
      watchdog: imgpdc: Fix probe NULL pointer dereference
      watchdog: imgpdc: Fix default heartbeat

James Morris (1):
      Merge branch 'upstream' of git://git.infradead.org/users/pcmoore/selinux into for-linus

Jani Nikula (1):
      drm/i915/dp: return number of bytes written for short aux/i2c writes

Jason Wang (1):
      virtio-net: correctly delete napi hash

Javier Martinez Canillas (1):
      regulator: Only enable disabled regulators on resume

JeHyeon Yeon (1):
      LZ4 : fix the data abort issue

Jean Delvare (1):
      MAINTAINERS: add Jan as DMI/SMBIOS support maintainer

Jens Axboe (1):
      Merge tag 'nbd_fixes_20150305' of git://git.pengutronix.de/git/mpa/linux-nbd into for-linus

Jesse Barnes (1):
      drm/i915: make unsupported fb modifier message DRM_DEBUG

Jiri Slaby (1):
      x86/vdso: Fix the build on GCC5

Joe Perches (2):
      selinux: fix sel_write_enforce broken return value
      MAINTAINERS: correct rtc armada38x pattern entry

Joe Thornber (1):
      dm thin: fix to consistently zero-fill reads to unprovisioned blocks

Johannes Berg (3):
      mac80211: ask for ECSA IE to be considered for beacon parse CRC
      nl80211: ignore HT/VHT capabilities without QoS/WMM
      mac80211: ignore CSA to same channel

Joonas Lahtinen (2):
      drm/i915: Do not use ggtt_view with (aliasing) PPGTT
      drm/i915: Compare GGTT view structs instead of types

Josef Bacik (8):
      Btrfs: fix merge delalloc logic
      Btrfs: account for the correct number of extents for delalloc reservations
      Btrfs: fix ASSERT(list_empty(&cur_trans->dirty_bgs_list)
      Btrfs: prepare block group cache before writing
      Btrfs: account merges/splits properly
      Btrfs: just free dummy extent buffers
      Btrfs: add sanity test for outstanding_extents accounting
      Btrfs: fix outstanding_extents accounting in DIO

Josh Hunt (1):
      tcp: fix tcp fin memory accounting

Kalle Valo (1):
      Merge tag 'iwlwifi-for-kalle-2015-03-05' of https://git.kernel.org/.../iwlwifi/iwlwifi-fixes

Keerthy (1):
      regulator: palmas: Correct TPS659038 register definition for REGEN2

Keith Busch (1):
      NVMe: Initialize device list head before starting

Kirill A. Shutemov (1):
      pagemap: do not leak physical addresses to non-privileged userspace

Kishon Vijay Abraham I (1):
      ARM: dts: dra7: remove ti,hwmod property from pcie phy

Larry Finger (1):
      rtlwifi: Improve handling of IPv6 packets

Lars-Peter Clausen (6):
      ASoC: ak4671: Fix control-less DAPM routes
      ASoC: da732x: Fix control-less DAPM routes
      ASoC: sn95031: Fix control-less DAPM routes
      regmap: regcache-rbtree: Fix present bitmap resize
      ASoC: Fix component lists locking
      drivers/rtc/rtc-mrst: fix suspend/resume

Laura Abbott (2):
      ARM: 8311/1: Don't use is_module_addr in setting page attributes
      mm/page_alloc.c: call kernel_map_pages in unset_migrateype_isolate

Laurent Pinchart (1):
      of/irq: Fix of_irq_parse_one() returned error codes

Leon Yu (2):
      perf: Fix context leak in put_event()
      mm: fix anon_vma->degree underflow in anon_vma endless growing prevention

Ley Foon Tan (1):
      nios2: mm: do not invoke OOM killer on kernel fault OOM

Li Jun (1):
      usb: chipidea: otg: add a_alt_hnp_support response for B device

Li, Aubrey (1):
      x86/platform, acpi: Bypass legacy PIC and PIT in ACPI hardware reduced mode

Libin Yang (1):
      ALSA: hda_intel: apply the Seperate stream_tag for Sunrise Point

Linus Torvalds (67):
      Merge git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'virtio-next-for-linus' of git://git.kernel.org/.../rusty/linux
      Merge tag 'regmap-v4.0-rc4' of git://git.kernel.org/.../broonie/regmap
      Merge tag 'regulator-fix-v4.0-rc4' of git://git.kernel.org/.../broonie/regulator
      Merge branches 'perf-urgent-for-linus' and 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/hid
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/livepatching
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge tag 'sound-4.0-rc5' of git://git.kernel.org/.../tiwai/sound
      Merge git://git.kernel.org/.../davem/net
      Merge git://git.kernel.org/.../davem/sparc
      Merge git://git.kernel.org/.../davem/ide
      Merge tag 'nios2-fixes-v4.0-rc5' of git://git.rocketboards.org/linux-socfpga-next
      Merge tag 'pinctrl-v4.0-2' of git://git.kernel.org/.../linusw/linux-pinctrl
      Merge tag 'mmc-v4.0-rc4' of git://git.linaro.org/people/ulf.hansson/mmc
      Merge branch 'overlayfs-next' of git://git.kernel.org/.../mszeredi/vfs
      Merge branch 'for-linus' of git://git.kernel.org/.../mszeredi/fuse
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge branch 'fixes' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge tag 'upstream-4.0-rc5' of git://git.infradead.org/linux-ubifs
      Merge branch 'for-4.0' of git://linux-nfs.org/~bfields/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../mason/linux-btrfs
      Merge tag 'dm-4.0-fixes' of git://git.kernel.org/.../device-mapper/linux-dm
      Merge git://git.kernel.org/.../nab/target-pending
      Merge tag 'devicetree-fixes-for-4.0-part2' of git://git.kernel.org/.../robh/linux
      Merge git://people.freedesktop.org/~airlied/linux
      Merge tag 'pm+acpi-4.0-rc5' of git://git.kernel.org/.../rafael/linux-pm
      Merge branch 'fixes' of git://git.infradead.org/users/vkoul/slave-dma
      Merge tag 'usb-4.0-rc5' of git://git.kernel.org/.../gregkh/usb
      Merge tag 'tty-4.0-rc5' of git://git.kernel.org/.../gregkh/tty
      Merge tag 'staging-4.0-rc5' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'char-misc-4.0-rc5' of git://git.kernel.org/.../gregkh/char-misc
      Merge tag 'driver-core-4.0-rc5' of git://git.kernel.org/.../gregkh/driver-core
      Merge tag 'md/4.0-rc4-fix' of git://neil.brown.name/md
      Linux 4.0-rc5
      Merge git://git.kernel.org/.../davem/sparc
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'regmap-fix-v4.0-rc5' of git://git.kernel.org/.../broonie/regmap
      Merge tag 'regulator-fix-v4.0-rc5' of git://git.kernel.org/.../broonie/regulator
      Merge tag 'spi-v4.0-rc5' of git://git.kernel.org/.../broonie/spi
      Merge tag 'mfd-fixes-4.0' of git://git.kernel.org/.../lee/mfd
      Merge branch 'for-4.0-fixes' of git://git.kernel.org/.../tj/libata
      Merge git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'powerpc-4.0-3' of git://git.kernel.org/.../mpe/linux
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge branch 'akpm' (patches from Andrew)
      Merge tag 'metag-fixes-v4.0-2' of git://git.kernel.org/.../jhogan/metag
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge tag 'linux-kselftest-4.0-rc6' of git://git.kernel.org/.../shuah/linux-kselftest
      Merge tag 'dm-4.0-fix-2' of git://git.kernel.org/.../device-mapper/linux-dm
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'sound-4.0-rc6' of git://git.kernel.org/.../tiwai/sound
      Merge git://www.linux-watchdog.org/linux-watchdog
      Merge branch 'for-linus' of git://git.kernel.org/.../jmorris/linux-security
      Merge tag 'arc-4.0-fixes-part-2' of git://git.kernel.org/.../vgupta/arc
      Merge git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge branch 'parisc-4.0-1' of git://git.kernel.org/.../deller/parisc-linux
      Merge branch 'locking-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 'armsoc-for-linus' of git://git.kernel.org/.../arm/arm-soc
      Linux 4.0-rc6

Linus Walleij (1):
      Revert "pinctrl: consumer: use correct retval for placeholder functions"

Liu Bo (2):
      Btrfs: catch transaction abortion after waiting for it
      Btrfs: fix comp_oper to get right order

Lu, Han (1):
      ASoC: Intel: remove conflicts when load/unload multiple firmware images

Luciano Coelho (2):
      iwlwifi: mvm: call ieee80211_scan_completed() even if scan abort fails
      iwlwifi: mvm: don't try to stop scans that are not running anymore

Maciej S. Szmigiero (1):
      fsl_ssi: fix of_property_read_u32_array return value check

Mahesh Salgaonkar (1):
      powerpc/book3s: Fix the MCE code to use CONFIG_KVM_BOOK3S_64_HANDLER

Majd Dibbiny (1):
      IB/mlx4: Saturate RoCE port PMA counters in case of overflow

Malcolm Priestley (4):
      staging: vt6655: vnt_tx_packet fix dma_idx selection.
      staging: vt6656: vnt_rf_setpower: fix missing rate RATE_12M
      vt6655: RFbSetPower fix missing rate RATE_12M
      vt6655: Fix late setting of byRFType.

Marc Zyngier (3):
      arm64: KVM: Fix stage-2 PGD allocation to have per-page refcounting
      arm64: KVM: Do not use pgd_index to index stage-2 pgd
      arm64: KVM: Fix outdated comment about VTCR_EL2.PS

Marcelo Tosatti (2):
      Merge tag 'kvm-arm-fixes-4.0-rc5' of git://git.kernel.org/.../kvmarm/kvmarm
      Merge tag 'signed-for-4.0' of git://github.com/agraf/linux-2.6

Mark Brown (8):
      Merge remote-tracking branches 'regmap/fix/irq', 'regmap/fix/rbtree' and 'regmap/fix/sync' into regmap-linus
      Merge remote-tracking branches 'regulator/fix/gpio-enable' and 'regulator/fix/tps65910' into regulator-linus
      Merge remote-tracking branch 'asoc/fix/intel' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/ak4671', 'asoc/fix/control', 'asoc/fix/da732x', 'asoc/fix/fsl-ssi', 'asoc/fix/lock' and 'asoc/fix/rt286' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/sgtl5000' and 'asoc/fix/sn95031' into asoc-linus
      dmaengine: pl08x: Define capabilities for generic capabilities reporting
      Merge remote-tracking branches 'regulator/fix/doc' and 'regulator/fix/palmas' into regulator-linus
      Merge remote-tracking branches 'spi/fix/dw', 'spi/fix/queue' and 'spi/fix/qup' into spi-linus

Mark James (1):
      ARM: socfpga: dts: fix spi1 interrupt

Mark Rutland (1):
      mm/slub: fix lockups on PREEMPT && !SMP kernels

Markos Chandras (1):
      net: ethernet: pcnet32: Setup the SRAM and NOUFLO on Am79C97{3, 5}

Martin Schwidefsky (1):
      s390/mm: limit STACK_RND_MASK for compat tasks

Masanari Iida (1):
      ARM: pxa: Fix typo in zeus.c

Mason (1):
      ARM: 8313/1: Use read_cpuid_ext() macro instead of inline asm

Mathias Nyman (1):
      Revert "xhci: Clear the host side toggle manually when endpoint is 'soft reset'"

Matt Roper (1):
      drm/i915: Move vblank wait determination to 'check' phase

Maxime Ripard (1):
      clocksource/drivers/sun5i: Fix cpufreq interaction with sched_clock()

Mel Gorman (4):
      mm: numa: group related processes based on VMA flags instead of page table flags
      mm: numa: preserve PTE write permissions across a NUMA hinting fault
      mm: numa: slow PTE scan rate if migration failures occur
      mm: numa: mark huge PTEs young when clearing NUMA hinting faults

Michael S. Tsirkin (11):
      virtio_console: init work unconditionally
      virtio_console: avoid config access from irq
      virtio_balloon: set DRIVER_OK before using device
      virtio_blk: typo fix
      virtio_blk: fix comment for virtio 1.0
      virtio-balloon: do not call blocking ops when !TASK_RUNNING
      9p/trans_virtio: fix hot-unplug
      virtio_rpmsg: set DRIVER_OK before using device
      virtio_mmio: generation support
      uapi/virtio_scsi: allow overriding CDB/SENSE size
      virtio_mmio: fix access width for mmio

Michal Kazior (1):
      mac80211: disable u-APSD queues by default

Michal Schmidt (1):
      bnx2x: fix encapsulation features on 57710/57711

Michal Simek (1):
      net: can: Enable xilinx driver for ARM64

Michel Thierry (7):
      drm/i915: page table generalizations
      drm/i915: Do not leak objects after capturing error state
      drm/i915: Prevent out of range pt in gen6_for_each_pde
      drm/i915: Fix i915_dma_map_single positive error code
      drm/i915: Remove unnecessary gen6_ppgtt_unmap_pages
      drm/i915: Finish gen6/7 dynamic page table allocation
      drm/i915: Add dynamic page trace events

Mika Kuoppala (1):
      drm/i915: Add fault address to error state for gen8 and gen9

Mika Westerberg (4):
      pinctrl: baytrail: Relax GPIO request rules
      pinctrl: baytrail: Clear interrupt triggering from pins that are in GPIO mode
      pinctrl: baytrail: Rework interrupt handling
      pinctrl: baytrail: Save pin context over system sleep

Mike Snitzer (2):
      blk-mq: fix use of incorrect goto label in blk_mq_init_queue error path
      dm: fix add_disk() NULL pointer due to race with free_dev()

Miklos Szeredi (2):
      fuse: notify: don't move pages
      fuse: set stolen page uptodate

Mikulas Patocka (4):
      dm: hold suspend_lock while suspending device during device deletion
      dm snapshot: suspend origin when doing exception handover
      dm snapshot: suspend merging snapshot when doing exception handover
      parisc: mm: don't count preallocated pmds

Moni Shoua (1):
      IB/mlx4: Verify net device validity on port change event

Naoya Horiguchi (1):
      mm/pagewalk.c: prevent positive return value of walk_page_test() from being passed to callers

Neal Cardwell (2):
      tcp: fix tcp_cong_avoid_ai() credit accumulation bug with decreases in w
      tcp: restore 1.5x per RTT limit to CUBIC cwnd growth in congestion avoidance

NeilBrown (3):
      kernfs: handle poll correctly on 'direct_read' files.
      mmc: pwrseq_simple: fix error path in mmc_pwrseq_simple_alloc
      md: fix problems with freeing private data after ->run failure.

Nicholas Bellinger (5):
      target: Disallow changing of WRITE cache/FUA attrs after export
      Revert "iscsi-target: Avoid IN_LOGOUT failure case for iser-target"
      iscsi-target: Avoid early conn_logout_comp for iser connections
      target/pscsi: Fix NULL pointer dereference in get_device_type
      target: Fix virtual LUN=0 target_configure_device failure OOPs

Nicholas Mc Guire (1):
      ide_tape: convert jiffies with jiffies_to_msecs

Nicolas Dichtel (2):
      netdevice.h: fix ndo_bridge_* comments
      ip6_tunnel: fix error code when tunnel exists

Nicolin Chen (1):
      ASoC: fsl_ssi: Don't try to round-up for PM divisor calculation

Nimrod Andy (1):
      net: fec: fix receive VLAN CTAG HW acceleration issue

Oleg Nesterov (2):
      x86/fpu: Avoid math_state_restore() without used_math() in __restore_xstate_sig()
      x86/fpu: Drop_fpu() should not assume that tsk equals current

Oliver Hartkopp (1):
      net: add comment for sock_efree() usage

Olof Johansson (4):
      Merge tag 'fixes-for-v4.0-rc5' of https://github.com/rjarzmik/linux into fixes
      Merge tag 'socfpga_fix_for_v4.0_2' of git://git.rocketboards.org/linux-socfpga-next into fixes
      Merge tag 'fixes-v4.0-rc4' of git://git.kernel.org/.../tmlind/linux-omap into fixes
      Merge tag 'sunxi-fixes-for-4.0' of https://git.kernel.org/.../mripard/linux into fixes

Ondrej Zary (2):
      Revert "net: cx82310_eth: use common match macro"
      cx82310_eth: wait for firmware to become ready

Pablo Neira Ayuso (4):
      netfilter: nf_tables: allow to change chain policy without hook if it exists
      netfilter: restore rule tracing via nfnetlink_log
      netfilter: xt_TPROXY: fix invflags check in tproxy_tg6_check()
      netfilter: nft_compat: set IP6T_F_PROTO flag if protocol is set

Pali Rohár (1):
      ARM: dts: omap3: Add missing dmas for crypto

Paolo Bonzini (1):
      kvm: move advertising of KVM_CAP_IRQFD to common code

Paul Mackerras (4):
      powerpc/powernv: Fixes for hypervisor doorbell handling
      KVM: PPC: Book3S HV: Fix spinlock/mutex ordering issue in kvmppc_set_lpcr()
      KVM: PPC: Book3S HV: Endian fix for accessing VPA yield count
      KVM: PPC: Book3S HV: Fix instruction emulation

Peter Chen (2):
      MAINTAINERS: add entry for USB OTG FSM
      usb: common: otg-fsm: only signal connect after switching to peripheral

Peter Hurley (2):
      serial: 8250_dw: Fix deadlock in LCR workaround
      Revert "of: Fix premature bootconsole disable with 'stdout-path'"

Peter Zijlstra (2):
      perf: Fix irq_work 'tail' recursion
      lockdep: Fix the module unload key range freeing logic

Petr Matousek (1):
      kvm: x86: i8259: return initialized data on invalid-size read

Petr Mladek (1):
      livepatch: Fix subtle race with coming and going modules

Philipp Zabel (1):
      regmap: introduce regmap_name to fix syscon regmap trace events

Ping Cheng (2):
      HID: wacom: do not send pen events before touch is up/forced out
      HID: wacom: rely on actual touch down count to decide touch_down

Pontus Fuchs (1):
      brcmfmac: Perform bound checking on vendor command buffer

Preeti U Murthy (1):
      timers/tick/broadcast-hrtimer: Fix suspicious RCU usage in idle loop

Radim Krčmář (2):
      KVM: nVMX: mask unrestricted_guest if disabled on L0
      KVM: x86: call irq notifiers with directed EOI

Rafael J. Wysocki (3):
      Merge branch 'cpuidle/4.0-fixes' of http://git.linaro.org/people/daniel.lezcano/linux into pm-cpuidle
      Revert "x86/PCI: Refine the way to release PCI IRQ resources"
      Merge branches 'pm-cpuidle', 'powercap', 'irq-pm' and 'acpi-resources'

Rafał Miłecki (1):
      b43: fix support for 5 GHz only BCM43228 model

Ramalingam C (1):
      drm/i915: Removing the drrs capability enum initialization

Ricardo Ribalda (1):
      sparc: io_64.h: Replace io function-link macros

Richard Weinberger (1):
      clocksource/drivers: Fix various !CONFIG_HAS_IOMEM build errors

Rickard Strandqvist (2):
      arch: sparc: kernel: traps_64.c: Remove some unused functions
      arch: sparc: kernel: starfire.c: Remove unused function

Rob Gardner (1):
      sparc: semtimedop() unreachable due to comparison error

Robert Jarzmik (2):
      ARM: pxa: fix pxa interrupts handling in DT
      Revert "smc91x: retrieve IRQ and trigger flags in a modern way"

Robin Gong (1):
      dmaengine: imx-sdma: switch to dynamic context mode after script loaded

Rodrigo Vivi (3):
      drm/i915: Fix sink crc connector iteration
      drm/i915: kill i915.powersave
      drm/i915: Remove duplicated psr.active unset

Roger Tseng (1):
      mfd: rtsx_usb: Prevent DMA from stack

Russell King (2):
      ARM: dma-api: fix off-by-one error in __dma_supported()
      ARM: dump pgd, pmd and pte states on unhandled data abort faults

Sabrina Dubroca (1):
      ipv6: call ipv6_proxy_select_ident instead of ipv6_select_ident in udp6_ufo_fragment

Sam Bradshaw (1):
      blkmq: Fix NULL pointer deref when all reserved tags in

Sebastian Wicki (1):
      ALSA: hda - Add dock support for Thinkpad T450s (17aa:5036)

Sebastien Rannou (1):
      cpuidle: mvebu: Update cpuidle thresholds for Armada XP SOCs

Sergei Antonov (1):
      hfsplus: fix B-tree corruption after insertion at position 0

Shaohua Li (1):
      ata: Add a new flag to destinguish sas controller

Shuah Khan (1):
      selftests: Fix build failures when invoked from kselftest target

Stefan Huehner (2):
      drm/nouveau/device/gm100: Basic GM206 bring up (as copy of GM204)
      drm/nouveau/bios: fix i2c table parsing for dcb 4.1

Steffen Klassert (1):
      xfrm: Fix local error reporting crash with interfamily tunnels

Stephan Mueller (1):
      crypto: aesni - fix memory usage in GCM decryption

Steve Capper (1):
      arm64: percpu: Make this_cpu accessors pre-empt safe

Steven Barth (1):
      ipv6: fix backtracking for throw routes

Sudeep Holla (2):
      usb: isp1760: fix possible deadlock in isp1760_udc_irq
      usb: isp1760: add peripheral/device controller chip id

Sudip Mukherjee (1):
      nbd: fix possible memory leak

Suman Anna (3):
      ARM: OMAP2+: Fix socbus family info for AM33xx devices
      ARM: OMAP: dmtimer: check for pm_runtime_get_sync() failure
      ARM: OMAP: dmtimer: disable pm runtime on remove

Suresh Reddy (2):
      be2net: restrict MODIFY_EQ_DELAY cmd to a max of 8 EQs
      be2net: use PCI MMIO read instead of config read for errors

Suzuki K. Poulose (1):
      arm64: Honor __GFP_ZERO in dma allocations

Taesoo Kim (1):
      fs/affs/file.c: unlock/release page on error

Takashi Iwai (17):
      regmap: Skip read-only registers in regcache_sync()
      ASoC: adav80x: Fix wrong value references for boolean kctl
      ASoC: ak4641: Fix wrong value references for boolean kctl
      ASoC: cs4271: Fix wrong value references for boolean kctl
      ASoC: es8238: Fix wrong value references for boolean kctl
      ASoC: pcm1681: Fix wrong value references for boolean kctl
      ASoC: tas5086: Fix wrong value references for boolean kctl
      ASoC: wm2000: Fix wrong value references for boolean kctl
      ASoC: wm8731: Fix wrong value references for boolean kctl
      ASoC: wm8903: Fix wrong value references for boolean kctl
      ASoC: wm8904: Fix wrong value references for boolean kctl
      ASoC: wm8955: Fix wrong value references for boolean kctl
      ASoC: wm8960: Fix wrong value references for boolean kctl
      ASoC: wm9712: Fix wrong value references for boolean kctl
      ASoC: wm9713: Fix wrong value references for boolean kctl
      ALSA: hda - Treat stereo-to-mono mix properly
      Merge tag 'asoc-fix-v4.0-rc4' of git://git.kernel.org/.../broonie/sound into for-linus

Tejun Heo (2):
      writeback: add missing INITIAL_JIFFIES init in global_update_bandwidth()
      writeback: fix possible underflow in write bandwidth calculation

Thierry Reding (1):
      phy: Find the right match in devm_phy_destroy()

Tobias Klauser (1):
      nios2: Remove ucontext.h from exported arch headers

Tom Van Braeckel (1):
      fuse: explicitly set /dev/fuse file's private_data

Tommi Rantala (1):
      drm/i915: fix definition of the DRM_IOCTL_I915_GET_SPRITE_COLORKEY ioctl

Tony Lindgren (1):
      ARM: dts: Fix gpio interrupts for dm816x

Torsten Fleischer (1):
      dmaengine: at_hdmac: Fix calculation of the residual bytes

Tvrtko Ursulin (9):
      drm/i915: Turn on PIN_GLOBAL in i915_gem_object_ggtt_pin
      drm/i915/skl: Extract tile height code into a helper function
      drm/i915: Use GGTT view when (un)pinning objects to planes
      drm/i915: Pass in plane state when (un)pinning frame buffers
      drm/i915: Helper function to determine GGTT view from plane state
      drm/i915/skl: Support secondary (rotated) frame buffer mapping
      drm/i915/skl: Query display address through a wrapper
      drm/i915/skl: Take 90/270 rotation into account in watermark calculations
      drm/i915/skl: Fix up positive error code

Tyrel Datwyler (1):
      powerpc/pseries: Little endian fixes for post mobility device tree update

Uwe Kleine-König (1):
      spi: trigger trace event for message-done before mesg->complete

Valentin Rothberg (2):
      regmap-irq: set IRQF_ONESHOT flag to ensure IRQ request
      usb: isp1760: set IRQ flags properly

Vasundhara Volam (1):
      be2net: Prevent VFs from enabling VLAN promiscuous mode

Venkat Venkatsubra (1):
      bridge: reset bridge mtu after deleting an interface

Ville Syrjälä (20):
      drm/i915: Make the DP rates int instead of uint32_t
      drm/i915: Store the converted link rates in intel_dp->supported_rates[]
      drm/i915: Don't copy the DP source rates arrays
      drm/i915: Don't copy sink rates either
      drm/i915: Remove special case from intel_supported_rates()
      drm/i915: Fully separate source vs. sink rates
      drm/i915: Hide the source vs. sink rate handling from intel_dp_compute_config()
      drm/i915: Fix max link rate in intel_dp_mode_valid()
      drm/i915: Use DP_LINK_RATE_SET whenever possible
      drm/i915: Fix MST link rate handling
      drm/i915: Avoid overflowing the DP link rate arrays
      drm/i915: Add eDP intermediate frequencies for CHV
      drm/i915: Include the sink/source/supported rates in debug output
      drm/i915: Unconfuse DP link rate array names
      drm/i915: Send out the full AUX address
      drm/i915: Kill intel_plane->obj
      drm/i915: Eliminate the RMW sprite colorkey management
      drm/i915: Eliminate plane control register RMW from sprite code
      drm/i915: Fix SKL sprite disable double buffer register update
      drm/i915: Use usleep_range() in wait_for()

Vineet Gupta (2):
      ARC: SA_SIGINFO ucontext regs off-by-one
      ARC: signal handling robustify

WANG Cong (1):
      net_sched: fix struct tc_u_hnode layout in u32

Wei Yongjun (1):
      arm/arm64: KVM: fix missing unlock on error in kvm_vgic_create()

Wenbo Wang (1):
      Fix bug in blk_rq_merge_ok

Will Deacon (1):
      arm64: efi: don't restore TTBR0 if active_mm points at init_mm

Willem de Bruijn (1):
      sock: fix possible NULL sk dereference in __skb_tstamp_tx

Wincy Van (1):
      KVM: VMX: Set msr bitmap correctly if vcpu is in guest mode

Yongbae Park (4):
      clocksource: efm32: Fix a NULL pointer dereference
      clockevents: sun5i: Fix setup_irq init sequence
      ibmveth: enable interrupts after napi_complete()
      net: WIZnet drivers: enable interrupts after napi_complete()

Yunzhi Li (1):
      usb: dwc2: host: fix dwc2 disconnect bug

chas williams - CONTRACTOR (1):
      MAINTAINERS: Update my email address

huaibin Wang (1):
      xfrm: release dst_orig in case of error in xfrm_lookup()

hujianyang (3):
      ovl: print error message for invalid mount options
      ovl: check lowerdir amount for non-upper mount
      ovl: upper fs should not be R/O

kbuild test robot (2):
      drm/i915/skl: fix semicolon.cocci warnings
      drm/i915: fix simple_return.cocci warnings

oliver@neukum.org (1):
      HID: add ALWAYS_POLL quirk for a Logitech 0xc007

qipeng.zha (1):
      pinctrl: update direction_output function of cherryview driver

 Documentation/DocBook/drm.tmpl                     |  13 +-
 MAINTAINERS                                        |  23 +-
 Makefile                                           |   2 +-
 arch/arc/kernel/signal.c                           |  24 +-
 arch/arm/Kconfig                                   |   1 +
 arch/arm/boot/dts/dm8168-evm.dts                   |  19 +
 arch/arm/boot/dts/dm816x.dtsi                      |  18 +-
 arch/arm/boot/dts/dra7.dtsi                        |   2 -
 arch/arm/boot/dts/omap3.dtsi                       |   4 +
 arch/arm/boot/dts/rk3288.dtsi                      |   1 +
 arch/arm/boot/dts/socfpga.dtsi                     |   2 +-
 arch/arm/boot/dts/sun4i-a10-olinuxino-lime.dts     |  16 +
 arch/arm/boot/dts/sun4i-a10.dtsi                   |   3 +-
 arch/arm/boot/dts/sun5i-a13.dtsi                   |   3 +-
 arch/arm/boot/dts/sun7i-a20.dtsi                   |   3 +-
 arch/arm/crypto/aesbs-core.S_shipped               |  12 +-
 arch/arm/crypto/bsaes-armv7.pl                     |  12 +-
 arch/arm/include/asm/kvm_mmu.h                     |  13 +-
 arch/arm/kernel/setup.c                            |   5 +-
 arch/arm/kvm/mmu.c                                 |  75 +-
 arch/arm/mach-omap2/id.c                           |   2 +
 arch/arm/mach-pxa/irq.c                            | 111 ++-
 arch/arm/mach-pxa/zeus.c                           |   2 +-
 arch/arm/mach-sunxi/Kconfig                        |   8 +-
 arch/arm/mm/cache-l2x0.c                           |  33 +-
 arch/arm/mm/dma-mapping.c                          |   2 +-
 arch/arm/mm/fault.c                                |   1 +
 arch/arm/mm/pageattr.c                             |   5 +-
 arch/arm/plat-omap/dmtimer.c                       |  15 +-
 arch/arm64/boot/dts/arm/juno-clocks.dtsi           |   2 +-
 arch/arm64/include/asm/cmpxchg.h                   |  32 +-
 arch/arm64/include/asm/kvm_arm.h                   |   5 +-
 arch/arm64/include/asm/kvm_mmu.h                   |  48 +-
 arch/arm64/include/asm/mmu_context.h               |   9 +
 arch/arm64/include/asm/percpu.h                    |  44 +-
 arch/arm64/include/asm/proc-fns.h                  |   6 +-
 arch/arm64/kernel/efi.c                            |   6 +-
 arch/arm64/mm/dma-mapping.c                        |  12 +-
 arch/metag/include/asm/io.h                        |   1 +
 arch/metag/include/asm/pgtable-bits.h              | 104 +++
 arch/metag/include/asm/pgtable.h                   |  95 +--
 arch/nios2/include/uapi/asm/Kbuild                 |   1 -
 arch/nios2/mm/fault.c                              |   6 -
 arch/parisc/include/asm/pgalloc.h                  |  17 +-
 arch/parisc/kernel/syscall_table.S                 |   9 +-
 arch/powerpc/include/asm/ppc-opcode.h              |   3 +
 arch/powerpc/include/asm/reg.h                     |   3 +
 arch/powerpc/kernel/cputable.c                     |  20 +
 arch/powerpc/kernel/dbell.c                        |   2 +
 arch/powerpc/kernel/exceptions-64s.S               |   2 +-
 arch/powerpc/kvm/book3s_hv.c                       |   8 +-
 arch/powerpc/kvm/book3s_hv_rmhandlers.S            |   1 +
 arch/powerpc/platforms/powernv/smp.c               |  14 +-
 arch/powerpc/platforms/pseries/mobility.c          |  44 +-
 arch/s390/include/asm/elf.h                        |   2 +-
 arch/s390/kernel/ftrace.c                          |  61 +-
 arch/s390/kernel/perf_cpum_sf.c                    |   7 +-
 arch/s390/kernel/swsusp_asm64.S                    |  11 +
 arch/s390/kvm/kvm-s390.c                           |   1 -
 arch/sparc/Kconfig                                 |   3 +
 arch/sparc/include/asm/hypervisor.h                |  12 +
 arch/sparc/include/asm/io_64.h                     |  20 +-
 arch/sparc/include/asm/starfire.h                  |   1 -
 arch/sparc/kernel/entry.h                          |   4 -
 arch/sparc/kernel/hvapi.c                          |   1 +
 arch/sparc/kernel/hvcalls.S                        |  16 +
 arch/sparc/kernel/pcr.c                            |  33 +
 arch/sparc/kernel/perf_event.c                     |  55 +-
 arch/sparc/kernel/process_64.c                     |   4 +
 arch/sparc/kernel/smp_64.c                         |  27 +-
 arch/sparc/kernel/starfire.c                       |   5 -
 arch/sparc/kernel/sys_sparc_64.c                   |   2 +-
 arch/sparc/kernel/traps_64.c                       |  30 +-
 arch/sparc/lib/memmove.S                           |  35 +-
 arch/sparc/mm/init_64.c                            |   2 +-
 arch/x86/boot/compressed/aslr.c                    |  34 +-
 arch/x86/boot/compressed/misc.c                    |   3 +-
 arch/x86/boot/compressed/misc.h                    |   6 +-
 arch/x86/crypto/aesni-intel_glue.c                 |   4 +-
 arch/x86/include/asm/fpu-internal.h                |   2 +-
 arch/x86/include/asm/page_types.h                  |   2 -
 arch/x86/include/asm/pci_x86.h                     |   2 +
 arch/x86/include/uapi/asm/bootparam.h              |   1 -
 arch/x86/kernel/acpi/boot.c                        |  25 +
 arch/x86/kernel/apic/apic_numachip.c               |  22 +-
 arch/x86/kernel/entry_64.S                         |  18 +-
 arch/x86/kernel/module.c                           |  10 +-
 arch/x86/kernel/setup.c                            |  22 +-
 arch/x86/kernel/traps.c                            |   4 +-
 arch/x86/kernel/xsave.c                            |   7 +-
 arch/x86/kvm/i8259.c                               |   1 +
 arch/x86/kvm/ioapic.c                              |   4 +-
 arch/x86/kvm/lapic.c                               |   3 +-
 arch/x86/kvm/vmx.c                                 |  18 +-
 arch/x86/kvm/x86.c                                 |   1 -
 arch/x86/pci/common.c                              |  34 +-
 arch/x86/pci/intel_mid_pci.c                       |   4 +-
 arch/x86/pci/irq.c                                 |  15 +-
 arch/x86/vdso/vdso32/sigreturn.S                   |   1 +
 block/blk-merge.c                                  |   2 +-
 block/blk-mq-tag.c                                 |   6 +-
 block/blk-mq.c                                     |   6 +-
 drivers/acpi/pci_irq.c                             |   9 +-
 drivers/ata/libata-core.c                          |   4 +-
 drivers/base/regmap/internal.h                     |   8 +
 drivers/base/regmap/regcache-rbtree.c              |   2 +-
 drivers/base/regmap/regcache.c                     |  22 +-
 drivers/base/regmap/regmap-irq.c                   |   3 +-
 drivers/base/regmap/regmap.c                       |  32 +-
 drivers/block/nbd.c                                |   8 +-
 drivers/block/nvme-core.c                          |   1 +
 drivers/char/virtio_console.c                      |  19 +-
 drivers/clocksource/Kconfig                        |   3 +
 drivers/clocksource/time-efm32.c                   |   4 +-
 drivers/clocksource/timer-sun5i.c                  |  15 +-
 drivers/cpuidle/cpuidle-mvebu-v7.c                 |  12 +-
 drivers/dma/amba-pl08x.c                           |  14 +
 drivers/dma/at_hdmac.c                             | 184 +++--
 drivers/dma/at_hdmac_regs.h                        |   7 +-
 drivers/dma/dw/platform.c                          |   5 +-
 drivers/dma/imx-sdma.c                             |   7 +-
 .../gpu/drm/amd/amdkfd/kfd_device_queue_manager.c  |  10 +-
 drivers/gpu/drm/amd/amdkfd/kfd_kernel_queue.c      |  22 +-
 drivers/gpu/drm/exynos/Kconfig                     |   2 +-
 drivers/gpu/drm/exynos/exynos7_drm_decon.c         |   4 +-
 drivers/gpu/drm/exynos/exynos_drm_connector.c      | 245 ------
 drivers/gpu/drm/exynos/exynos_drm_connector.h      |  20 -
 drivers/gpu/drm/exynos/exynos_drm_fimd.c           |  29 +-
 drivers/gpu/drm/exynos/exynos_drm_plane.c          |   2 +-
 drivers/gpu/drm/i915/Makefile                      |   1 +
 drivers/gpu/drm/i915/i915_debugfs.c                |   8 +-
 drivers/gpu/drm/i915/i915_dma.c                    |   2 +-
 drivers/gpu/drm/i915/i915_drv.h                    | 144 ++--
 drivers/gpu/drm/i915/i915_gem.c                    | 517 +++++--------
 drivers/gpu/drm/i915/i915_gem_context.c            | 103 ++-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   4 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |  95 ++-
 drivers/gpu/drm/i915/i915_gem_gtt.c                | 709 ++++++++++++-----
 drivers/gpu/drm/i915/i915_gem_gtt.h                | 127 +++-
 drivers/gpu/drm/i915/i915_gem_shrinker.c           | 335 ++++++++
 drivers/gpu/drm/i915/i915_gpu_error.c              |  17 +
 drivers/gpu/drm/i915/i915_irq.c                    | 167 ++--
 drivers/gpu/drm/i915/i915_params.c                 |  13 +-
 drivers/gpu/drm/i915/i915_reg.h                    |   8 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  99 +++
 drivers/gpu/drm/i915/intel_crt.c                   |   9 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  24 +-
 drivers/gpu/drm/i915/intel_display.c               | 842 +++++++++++++++------
 drivers/gpu/drm/i915/intel_dp.c                    | 264 ++++---
 drivers/gpu/drm/i915/intel_dp_mst.c                |  34 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  65 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |   1 +
 drivers/gpu/drm/i915/intel_dvo.c                   |   1 +
 drivers/gpu/drm/i915/intel_fbc.c                   |   2 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   2 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |   3 -
 drivers/gpu/drm/i915/intel_hdmi.c                  |  22 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   3 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   3 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  89 ++-
 drivers/gpu/drm/i915/intel_psr.c                   |   2 -
 drivers/gpu/drm/i915/intel_sdvo.c                  |   1 +
 drivers/gpu/drm/i915/intel_sprite.c                | 427 +++--------
 drivers/gpu/drm/i915/intel_tv.c                    |   3 +-
 drivers/gpu/drm/nouveau/nvkm/engine/device/base.c  |   6 +-
 drivers/gpu/drm/nouveau/nvkm/engine/device/gm100.c |  43 ++
 drivers/gpu/drm/nouveau/nvkm/engine/fifo/nv04.c    |  85 +--
 drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgf100.c  |   4 +-
 drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgk104.c  |   4 +-
 drivers/gpu/drm/nouveau/nvkm/engine/gr/ctxgm107.c  |   4 +-
 drivers/gpu/drm/nouveau/nvkm/subdev/bios/i2c.c     |   6 +-
 drivers/gpu/drm/radeon/radeon_kfd.c                |   2 +-
 drivers/gpu/drm/radeon/radeon_object.c             |  11 -
 drivers/hid/hid-core.c                             |   1 +
 drivers/hid/hid-ids.h                              |   2 +
 drivers/hid/hid-tivo.c                             |   1 +
 drivers/hid/usbhid/hid-quirks.c                    |   1 +
 drivers/hid/wacom_wac.c                            |  84 +-
 drivers/ide/ide-tape.c                             |   4 +-
 drivers/infiniband/hw/mlx4/mad.c                   |  20 +-
 drivers/infiniband/hw/mlx4/main.c                  |   6 +-
 drivers/input/mouse/synaptics.c                    | 212 ++++--
 drivers/input/mouse/synaptics.h                    |  28 +
 drivers/isdn/icn/icn.c                             |   2 +-
 drivers/md/dm-io.c                                 |  15 +-
 drivers/md/dm-snap.c                               | 120 ++-
 drivers/md/dm-thin.c                               |  11 -
 drivers/md/dm.c                                    |  47 +-
 drivers/md/md.c                                    |   3 +-
 drivers/md/raid0.c                                 |   2 -
 drivers/mfd/kempld-core.c                          |   2 +-
 drivers/mfd/rtsx_usb.c                             |  30 +-
 drivers/mmc/core/pwrseq_simple.c                   |   2 +-
 drivers/mtd/ubi/eba.c                              |   3 +-
 drivers/net/can/Kconfig                            |   2 +-
 drivers/net/can/usb/kvaser_usb.c                   |  83 +-
 drivers/net/ethernet/amd/pcnet32.c                 |  31 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_main.c   |   2 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_hw.c         |   2 +-
 drivers/net/ethernet/dec/tulip/tulip_core.c        |   2 +-
 drivers/net/ethernet/emulex/benet/be.h             |   2 +
 drivers/net/ethernet/emulex/benet/be_cmds.c        |  17 +-
 drivers/net/ethernet/emulex/benet/be_cmds.h        |   2 +-
 drivers/net/ethernet/emulex/benet/be_main.c        | 131 +++-
 drivers/net/ethernet/freescale/fec_main.c          |  37 +-
 drivers/net/ethernet/ibm/ibmveth.c                 |   4 +-
 drivers/net/ethernet/mellanox/mlx4/en_netdev.c     |   4 +-
 drivers/net/ethernet/mellanox/mlx4/mlx4_en.h       |   2 +-
 drivers/net/ethernet/smsc/smc91x.c                 |  20 +-
 drivers/net/ethernet/wiznet/w5100.c                |   2 +-
 drivers/net/ethernet/wiznet/w5300.c                |   2 +-
 drivers/net/usb/cx82310_eth.c                      |  41 +-
 drivers/net/virtio_net.c                           |   9 +-
 drivers/net/vxlan.c                                |   4 +-
 drivers/net/wireless/b43/main.c                    |   1 +
 drivers/net/wireless/brcm80211/brcmfmac/vendor.c   |  15 +-
 drivers/net/wireless/iwlwifi/iwl-1000.c            |   6 +-
 drivers/net/wireless/iwlwifi/iwl-2000.c            |  13 +-
 drivers/net/wireless/iwlwifi/iwl-5000.c            |   6 +-
 drivers/net/wireless/iwlwifi/iwl-6000.c            |  18 +-
 drivers/net/wireless/iwlwifi/mvm/coex.c            |   3 +-
 drivers/net/wireless/iwlwifi/mvm/coex_legacy.c     |   3 +-
 drivers/net/wireless/iwlwifi/mvm/mac80211.c        |  38 +-
 drivers/net/wireless/iwlwifi/mvm/rs.c              |  21 +-
 drivers/net/wireless/iwlwifi/mvm/scan.c            |  13 +-
 drivers/net/wireless/iwlwifi/mvm/time-event.c      |   9 +-
 drivers/net/wireless/rtlwifi/base.c                |   7 +-
 drivers/net/xen-netback/netback.c                  |  23 +-
 drivers/of/base.c                                  |  11 +-
 drivers/of/irq.c                                   |  10 +-
 drivers/of/unittest.c                              |   5 +
 drivers/pcmcia/Kconfig                             |  12 +-
 drivers/pcmcia/Makefile                            |   1 -
 drivers/pcmcia/rsrc_pci.c                          | 173 -----
 drivers/phy/phy-armada375-usb2.c                   |   3 +-
 drivers/phy/phy-core.c                             |  11 +-
 drivers/phy/phy-exynos-dp-video.c                  |  24 +-
 drivers/phy/phy-exynos-mipi-video.c                |  11 +-
 drivers/phy/phy-exynos4210-usb2.c                  |   1 -
 drivers/phy/phy-exynos4x12-usb2.c                  |   1 -
 drivers/phy/phy-exynos5-usbdrd.c                   |   2 +-
 drivers/phy/phy-exynos5250-usb2.c                  |   1 -
 drivers/phy/phy-hix5hd2-sata.c                     |   3 +
 drivers/phy/phy-miphy28lp.c                        |  13 +-
 drivers/phy/phy-miphy365x.c                        |  12 +-
 drivers/phy/phy-omap-control.c                     |   2 +-
 drivers/phy/phy-omap-usb2.c                        |   7 +-
 drivers/phy/phy-rockchip-usb.c                     |   6 +-
 drivers/phy/phy-ti-pipe3.c                         |  12 +-
 drivers/phy/phy-twl4030-usb.c                      |   1 -
 drivers/phy/phy-xgene.c                            |   1 -
 drivers/pinctrl/intel/pinctrl-baytrail.c           | 254 +++++--
 drivers/pinctrl/intel/pinctrl-cherryview.c         |   1 +
 drivers/pinctrl/pinctrl-at91.c                     |  17 +-
 drivers/pinctrl/sunxi/pinctrl-sun4i-a10.c          |   1 +
 drivers/pinctrl/sunxi/pinctrl-sunxi.c              |  14 +-
 drivers/pinctrl/sunxi/pinctrl-sunxi.h              |   4 +
 drivers/powercap/intel_rapl.c                      |  54 +-
 drivers/regulator/core.c                           |  34 +-
 drivers/regulator/palmas-regulator.c               |   4 +
 drivers/regulator/tps65910-regulator.c             |   1 +
 drivers/rpmsg/virtio_rpmsg_bus.c                   |  17 +-
 drivers/rtc/rtc-at91rm9200.c                       |   2 +-
 drivers/rtc/rtc-mrst.c                             |  17 +-
 drivers/scsi/ipr.c                                 |   3 +-
 drivers/scsi/libsas/sas_ata.c                      |   3 +-
 drivers/scsi/qla2xxx/tcm_qla2xxx.c                 |   2 +-
 drivers/spi/spi-dw-mid.c                           |   6 +-
 drivers/spi/spi-qup.c                              |   9 +-
 drivers/spi/spi.c                                  |   5 +-
 drivers/staging/vt6655/device_main.c               |  32 +-
 drivers/staging/vt6655/rf.c                        |   1 +
 drivers/staging/vt6656/rf.c                        |   1 +
 drivers/target/iscsi/iscsi_target.c                |  14 +-
 drivers/target/iscsi/iscsi_target_erl0.c           |   4 +-
 drivers/target/loopback/tcm_loop.c                 |   7 +-
 drivers/target/target_core_device.c                |  32 +-
 drivers/target/target_core_pscsi.c                 |   2 +-
 drivers/target/target_core_sbc.c                   |   3 +-
 drivers/target/target_core_spc.c                   |  19 +-
 drivers/target/target_core_transport.c             |   4 +
 drivers/target/tcm_fc/tfc_io.c                     |   3 +-
 drivers/tty/serial/8250/8250_dw.c                  |  15 +-
 drivers/usb/chipidea/udc.c                         |  11 +
 drivers/usb/common/usb-otg-fsm.c                   |   4 +-
 drivers/usb/dwc2/core_intr.c                       |   3 +
 drivers/usb/gadget/function/f_loopback.c           |   3 +-
 drivers/usb/gadget/function/f_sourcesink.c         | 511 +------------
 drivers/usb/gadget/function/g_zero.h               |  13 +-
 drivers/usb/gadget/legacy/tcm_usb_gadget.c         |   5 +-
 drivers/usb/gadget/legacy/zero.c                   |  21 -
 drivers/usb/host/ehci-atmel.c                      |  30 +-
 drivers/usb/host/xhci-ring.c                       |   2 +-
 drivers/usb/host/xhci.c                            | 100 +--
 drivers/usb/host/xhci.h                            |   2 -
 drivers/usb/isp1760/isp1760-core.c                 |   3 +-
 drivers/usb/isp1760/isp1760-udc.c                  |  16 +-
 drivers/usb/musb/Kconfig                           |   3 +-
 drivers/usb/phy/phy-am335x-control.c               |   3 +
 drivers/usb/storage/unusual_uas.h                  |   7 +
 drivers/vhost/scsi.c                               |   5 +-
 drivers/virtio/virtio_balloon.c                    |  21 +-
 drivers/virtio/virtio_mmio.c                       |  90 ++-
 drivers/watchdog/imgpdc_wdt.c                      |   8 +-
 drivers/watchdog/mtk_wdt.c                         |   2 +-
 drivers/xen/xen-scsiback.c                         |   7 +-
 fs/affs/file.c                                     |  19 +-
 fs/btrfs/ctree.h                                   |   5 +
 fs/btrfs/disk-io.c                                 |   2 +-
 fs/btrfs/extent-tree.c                             |  35 +-
 fs/btrfs/extent_io.c                               |   6 +
 fs/btrfs/inode.c                                   | 112 ++-
 fs/btrfs/qgroup.c                                  |   2 +-
 fs/btrfs/tests/inode-tests.c                       | 197 ++++-
 fs/btrfs/transaction.c                             |  39 +-
 fs/fuse/dev.c                                      |  19 +-
 fs/hfsplus/brec.c                                  |  20 +-
 fs/kernfs/file.c                                   |   1 +
 fs/nfsd/nfs4layouts.c                              |   2 -
 fs/overlayfs/super.c                               |  33 +-
 fs/proc/task_mmu.c                                 |   3 +
 include/kvm/arm_vgic.h                             |   1 +
 include/linux/device-mapper.h                      |   1 +
 include/linux/libata.h                             |   1 +
 include/linux/mfd/palmas.h                         |   3 +
 include/linux/module.h                             |   4 +
 include/linux/netdevice.h                          |   5 +-
 include/linux/pinctrl/consumer.h                   |   6 +-
 include/linux/regulator/driver.h                   |   2 +-
 include/linux/sched.h                              |   9 +-
 include/linux/skbuff.h                             |   7 +
 include/net/dst.h                                  |   1 +
 include/net/netfilter/nf_log.h                     |  10 +
 include/net/vxlan.h                                |   1 +
 include/target/target_core_backend.h               |   1 +
 include/trace/events/regmap.h                      | 123 ++-
 include/uapi/drm/i915_drm.h                        |   2 +-
 include/uapi/linux/virtio_blk.h                    |   8 +-
 include/uapi/linux/virtio_scsi.h                   |  12 +-
 kernel/events/core.c                               |  12 +-
 kernel/livepatch/core.c                            |  30 +-
 kernel/locking/lockdep.c                           |  81 +-
 kernel/module.c                                    |   8 +-
 kernel/sched/core.c                                |   2 +
 kernel/sched/fair.c                                |   8 +-
 kernel/time/tick-broadcast-hrtimer.c               |  11 +-
 lib/lz4/lz4_decompress.c                           |   3 +
 mm/huge_memory.c                                   |  26 +-
 mm/memory.c                                        |  22 +-
 mm/memory_hotplug.c                                |  13 +-
 mm/mmap.c                                          |   4 +-
 mm/mprotect.c                                      |   3 +
 mm/page-writeback.c                                |   7 +-
 mm/page_isolation.c                                |   1 +
 mm/pagewalk.c                                      |   9 +-
 mm/rmap.c                                          |   7 +
 mm/slub.c                                          |   6 +-
 net/9p/trans_virtio.c                              |  24 +-
 net/bridge/br_if.c                                 |   2 +
 net/caif/caif_socket.c                             |   2 +-
 net/compat.c                                       |   7 +
 net/core/rtnetlink.c                               |  26 +-
 net/core/skbuff.c                                  |  10 +-
 net/core/sock.c                                    |   4 +
 net/core/sysctl_net_core.c                         |  10 +-
 net/ipv4/inet_connection_sock.c                    |   1 +
 net/ipv4/inet_diag.c                               |  18 +-
 net/ipv4/ip_forward.c                              |   1 +
 net/ipv4/netfilter/ip_tables.c                     |   6 +-
 net/ipv4/tcp_cong.c                                |   6 +
 net/ipv4/tcp_cubic.c                               |   6 +-
 net/ipv4/tcp_output.c                              |   6 +-
 net/ipv4/xfrm4_output.c                            |   2 +-
 net/ipv6/fib6_rules.c                              |   1 +
 net/ipv6/ip6_output.c                              |   1 +
 net/ipv6/ip6_tunnel.c                              |  33 +-
 net/ipv6/netfilter/ip6_tables.c                    |   6 +-
 net/ipv6/udp_offload.c                             |   8 +-
 net/ipv6/xfrm6_output.c                            |   2 +-
 net/ipv6/xfrm6_policy.c                            |   1 +
 net/mac80211/ieee80211_i.h                         |  24 +-
 net/mac80211/mlme.c                                |  16 +-
 net/mac80211/rx.c                                  |   3 +
 net/mac80211/util.c                                |   2 +-
 net/netfilter/nf_log.c                             |  24 +
 net/netfilter/nf_tables_api.c                      |   5 +-
 net/netfilter/nf_tables_core.c                     |   8 +-
 net/netfilter/nfnetlink_cthelper.c                 |   3 +
 net/netfilter/nft_compat.c                         |   6 +
 net/netfilter/nft_hash.c                           |   2 +
 net/netfilter/xt_TPROXY.c                          |   4 +-
 net/rds/iw_rdma.c                                  |  40 +-
 net/rxrpc/ar-recvmsg.c                             |   2 +-
 net/sched/act_bpf.c                                |  36 +-
 net/sched/cls_u32.c                                |   5 +-
 net/socket.c                                       |   4 +
 net/wireless/nl80211.c                             |  10 +
 net/xfrm/xfrm_policy.c                             |  12 +-
 security/selinux/selinuxfs.c                       |   2 +-
 sound/pci/hda/hda_generic.c                        |  21 +-
 sound/pci/hda/hda_intel.c                          |   2 +-
 sound/pci/hda/hda_proc.c                           |  38 +-
 sound/pci/hda/patch_realtek.c                      |   3 +-
 sound/soc/codecs/adav80x.c                         |   4 +-
 sound/soc/codecs/ak4641.c                          |   4 +-
 sound/soc/codecs/ak4671.c                          |  44 +-
 sound/soc/codecs/cs4271.c                          |   4 +-
 sound/soc/codecs/da732x.c                          |   8 +-
 sound/soc/codecs/es8328.c                          |   4 +-
 sound/soc/codecs/pcm1681.c                         |   4 +-
 sound/soc/codecs/rt286.c                           |   2 +-
 sound/soc/codecs/sgtl5000.c                        |   8 +-
 sound/soc/codecs/sn95031.c                         |   4 +-
 sound/soc/codecs/tas5086.c                         |   4 +-
 sound/soc/codecs/wm2000.c                          |   8 +-
 sound/soc/codecs/wm8731.c                          |   4 +-
 sound/soc/codecs/wm8903.c                          |   4 +-
 sound/soc/codecs/wm8904.c                          |   4 +-
 sound/soc/codecs/wm8955.c                          |   4 +-
 sound/soc/codecs/wm8960.c                          |   4 +-
 sound/soc/codecs/wm9712.c                          |   6 +-
 sound/soc/codecs/wm9713.c                          |   6 +-
 sound/soc/fsl/fsl_ssi.c                            |   4 +-
 sound/soc/intel/sst-haswell-dsp.c                  |   3 -
 sound/soc/intel/sst-haswell-ipc.c                  |  32 +-
 sound/soc/soc-core.c                               |  41 +-
 tools/perf/util/annotate.c                         |   2 +
 tools/testing/selftests/Makefile                   |   8 +
 virt/kvm/arm/vgic-v2.c                             |   8 +
 virt/kvm/arm/vgic-v3.c                             |   8 +
 virt/kvm/arm/vgic.c                                |  22 +-
 virt/kvm/kvm_main.c                                |  15 +-
 432 files changed, 6662 insertions(+), 4477 deletions(-)
 create mode 100644 arch/metag/include/asm/pgtable-bits.h
 delete mode 100644 drivers/gpu/drm/exynos/exynos_drm_connector.c
 delete mode 100644 drivers/gpu/drm/exynos/exynos_drm_connector.h
 create mode 100644 drivers/gpu/drm/i915/i915_gem_shrinker.c
 delete mode 100644 drivers/pcmcia/rsrc_pci.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-03-23  7:37 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-03-23  7:37 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-03-13-rebased:
- EU count report param for gen9+ (Jeff McGee)
- piles of pll/wm/... fixes for chv, finally out of preliminary hw support
  (Ville, Vijay)
- gen9 rps support from Akash
- more work to move towards atomic from Matt, Ander and others
- runtime pm support for skl (Damien)
- edp1.4 intermediate link clock support (Sonika)
- use frontbuffer tracking for fbc (Paulo)
- remove ilk rc6 (John Harrison)
- a bunch of smaller things and fixes all over

Includes backmerge because git rerere couldn't keep up any more. And full
rebase because at first I accidentally based this on top of the broken
merge and didn't notice (since we pull in drm-next too for -nightly).

Cheers, Daniel


The following changes since commit 03be70050c85768e9ce7c0d0887110d1b629e127:

  Merge tag 'topic/drm-misc-2015-03-10' of git://anongit.freedesktop.org/drm-intel into drm-next (2015-03-11 12:15:06 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-03-13-merge

for you to fetch changes up to 0f9e9cd61f46c07246e30871fd638ffeaca3c576:

  Merge tag 'drm-intel-fixes-2015-03-19' into drm-intel-next (2015-03-20 11:44:34 +0100)

----------------------------------------------------------------
Aaro Koskinen (1):
      ARM: OMAP: enable TWL4030_USB in omap2plus_defconfig

Ahmed S. Darwish (2):
      can: kvaser_usb: Avoid double free on URB submission failures
      can: kvaser_usb: Read all messages in a bulk-in URB buffer

Akash Goel (11):
      drm/i915/skl: Added new macros
      drm/i915/skl: Updated intel_gpu_freq() and intel_freq_opcode()
      drm/i915/skl: Updated the gen6_init_rps_frequencies function
      drm/i915/skl: Updated the gen6_set_rps function
      drm/i915/skl: Restructured the gen6_set_rps_thresholds function
      drm/i915/skl: Updated the gen6_rps_limits function
      drm/i915/skl: Updated the gen9_enable_rps function
      drm/i915/skl: Updated the act_freq_mhz_show sysfs function
      drm/i915/skl: Updated the i915_frequency_info debugfs function
      drm/i915/skl: Enabling processing of Turbo interrupts
      drm/i915/skl: Enable the RPS interrupts programming

Al Viro (8):
      new helper: dup_iter()
      move iov_iter.c from mm/ to lib/
      gadget/function/f_fs.c: close leaks
      gadget/function/f_fs.c: use put iov_iter into io_data
      gadget/function/f_fs.c: switch to ->{read,write}_iter()
      gadgetfs: use-after-free in ->aio_read()
      gadget: switch ep_io_operations to ->read_iter/->write_iter
      gadgetfs: get rid of flipping ->f_op in ep_config()

Alan Stern (1):
      gadgetfs: really get rid of switching ->f_op

Alexander Drozdov (1):
      ipv4: ip_check_defrag should not assume that skb_network_offset is zero

Alexander Stein (1):
      ARM: at91/dt: at91sam9263: Fixup sram1 device tree node

Alexander Sverdlin (1):
      spi: pl022: Fix race in giveback() leading to driver lock-up

Alexandre Belloni (4):
      ARM: at91: pm: fix at91rm9200 standby
      ARM: at91: pm: fix SRAM allocation
      ARM: at91/defconfig: add at91rm9200 ethernet support
      ARM: at91: debug: fix non MMU debug

Alexey Brodkin (1):
      stmmac: check IRQ availability early on probe

Alexey Kardashevskiy (1):
      vfio-pci: Add missing break to enable VFIO_PCI_ERR_IRQ_INDEX

Ameen Ali (1):
      s390/dcss: array index 'i' is used before limits check.

Ander Conselvan de Oliveira (4):
      drm/i915: Set crtc backpointer when duplicating crtc state
      drm/i915: Add a for_each_intel_connector macro
      drm/i915: Improve staged config logging
      drm/i915: Simplify the way BC bifurcation state consistency is kept

Andrey Ryabinin (2):
      kasan, module, vmalloc: rework shadow allocation for modules
      kasan, module: move MODULE_ALIGN macro into <linux/moduleloader.h>

Andrzej Hajda (1):
      ARM: dts: add display power domain for exynos5250

Andy Shevchenko (3):
      spi: dw-pci: correct number of chip selects
      spi: dw: revisit FIFO size detection again
      spi: dw-mid: avoid potential NULL dereference

Anthony Harivel (1):
      ARM: at91/defconfig: remove CONFIG_SYSFS_DEPRECATED

Ard Biesheuvel (2):
      efi/arm64: use UEFI for system reset and poweroff
      arm64: put __boot_cpu_mode label after alignment instead of before

Arnd Bergmann (11):
      Input: sun4i-ts - add thermal driver dependency
      Merge tag 'samsung-fixes-dt' of git://git.kernel.org/.../kgene/linux-samsung into fixes
      Merge tag 'samsung-fixes-1' of git://git.kernel.org/.../kgene/linux-samsung into fixes
      Merge tag 'at91-fixes' of git://git.kernel.org/.../nferre/linux-at91 into fixes
      ARM: fix typos in smc91x platform data
      of: unittest: fix I2C dependency
      Merge tag 'socfpga_fixes_for_v4.0' of git://git.rocketboards.org/linux-socfpga-next into fixes
      Merge tag 'at91-fixes2' of git://git.kernel.org/.../nferre/linux-at91 into fixes
      Merge tag 'fixes-v4.0-rc2' of git://git.kernel.org/.../tmlind/linux-omap into fixes
      Merge tag 'imx-fixes-4.0' of git://git.kernel.org/.../shawnguo/linux into fixes
      Merge tag 'at91-fixes3' of git://git.kernel.org/.../nferre/linux-at91 into fixes

Arturo Borrero (1):
      netfilter: nft_compat: don't truncate ethernet protocol type to u8

Baruch Siach (1):
      ARM: digicolor: add the machine directory to Makefile

Boris BREZILLON (2):
      ARM: at91/dt: sama5d4: rename lcd_clk into lcdc_clk
      ARM: at91/dt: sama5d4: fix lcdck clock definition

Boris Brezillon (3):
      ARM: at91/dt: at91sam9261: fix clocks and clock-names in udc definition
      ARM: at91/dt: declare matrix node as a syscon device
      ARM: at91/dt: fix at91 udc compatible strings

Brian King (1):
      bnx2x: Force fundamental reset for EEH recovery

Catalin Marinas (1):
      arm64: Invalidate the TLB corresponding to intermediate page table levels

Chanwoo Choi (1):
      ARM: EXYNOS: Fix wrong hwirq of RTC interrupt for Exynos3250 SoC

Chen Gang (1):
      arch/c6x/include/asm/pgtable.h: define dummy pgprot_writecombine for !MMU

Chris Wilson (5):
      drm: Don't assign fbs for universal cursor support to files
      drm/i915: Make WAIT_IOCTL negative timeouts be indefinite again
      drm/i915: Prevent TLB error on first execution on SNB
      drm/i915: Disable the mmio.debug WARN after it fires
      drm/i915: Move drm_framebuffer_unreference out of struct_mutex for flips

Christian Borntraeger (2):
      s390: let the compiler do page clearing
      KVM: s390/cpacf: Fix kernel bug under z/VM

Christian König (1):
      drm/radeon: drop setting UPLL to sleep mode

Chung-Ling Tang (1):
      nios2: update pt_regs

Chunyan Zhang (1):
      Documentation: DT: Renamed of-serial.txt to 8250.txt

Colin Ian King (1):
      drm/vmwgfx: Correctly NULLify dma buffer pointer on failure

Damien Lespiau (12):
      drm/i915: Make for_each_plane() take dev_priv as argument
      drm/i915: Make for_each_sprite() take dev_priv as argument
      drm/i915/skl: Only use the 800mV+2bB HDMI translation entry
      drm/i915/skl: Make gen8_irq_power_well_post_enable() take a pipe mask
      drm/i915/skl: Introduce enable_requested and is_enabled in the power well code
      drm/i915/skl: Mirror what we do on HSW for the power well enable log message
      drm/i915/skl: Restore pipe interrupt registers after power well enabling
      drm/i915: Remove unused condition in hsw_power_well_post_enable()
      drm/i915/skl: Restore the DDI translation tables when enabling PW1
      drm/i915: Exit early from psr_status if PSR is not supported by the device
      drm/i915/skl: Implement WaDisableHBR2
      drm/i915: Make sure the primary plane is enabled before reading out the fb state

Danesh Petigara (1):
      mm: cma: fix CMA aligned offset calculation

Daniel Mack (1):
      ALSA: snd-usb: add quirks for Roland UA-22

Daniel Vetter (7):
      drm/mst: fix recursive sleep warning on qlock
      drm/i915: Remove irq-related FIXME in reset code
      Revert "drm/i915: Switch planes from transitional helpers to full atomic helpers"
      drm/fourcc: 64 #defines need ULL postfix
      drm/i915: Add ULL postfix to VGT_MAGIC constant
      drm/i915: Update DRIVER_DATE to 20150313
      Merge tag 'drm-intel-fixes-2015-03-19' into drm-intel-next

Dave Airlie (3):
      Merge tag 'drm-intel-fixes-2015-03-12' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge branch 'vmwgfx-fixes-4.0' of git://people.freedesktop.org/~thomash/linux into drm-fixes
      Merge branch 'drm-fixes-4.0' of git://people.freedesktop.org/~agd5f/linux into drm-fixes

Dave Gerlach (2):
      ARM: dts: am33xx: fix SLEWCTRL_FAST pinctrl binding
      ARM: dts: am43xx: fix SLEWCTRL_FAST pinctrl binding

Dave Gordon (1):
      drm/i915: use in_interrupt() not in_irq() to check context

David Rientjes (1):
      mm, hugetlb: close race when setting PageTail for gigantic pages

David S. Miller (4):
      Merge branch 'xgene'
      Merge branch 'xen-netback'
      Merge git://git.kernel.org/.../pablo/nf
      Merge tag 'linux-can-fixes-for-4.0-20150309' of git://git.kernel.org/.../mkl/linux-can

David Vrabel (3):
      xen-netback: return correct ethtool stats
      xen-netback: unref frags when handling a from-guest skb with a frag list
      xen-netback: refactor xenvif_handle_frag_list()

Dmitry Torokhov (1):
      Input: ALPS - fix memory leak when detection fails

Doug Anderson (1):
      regulator: rk808: Set the enable time for LDOs

Dudley Du (1):
      Input: cyapa - fix unaligned functions redefinition error

Eric Dumazet (1):
      tcp: align tcp_xmit_size_goal() on tcp_tso_autosize()

Fabio Estevam (1):
      of/overlay: Remove unused variable

Fabrice GASNIER (1):
      ARM: STi: Add STiH410 SoC support

Feng Kan (1):
      PCI: xgene: Add register offset to config space base address

Florian Fainelli (1):
      net: bcmgenet: properly disable password matching

Francesco Ruggeri (1):
      net: delete stale packet_mclist entries

Fugang Duan (1):
      net: fec: fix rcv is not last issue when do suspend/resume test

Geert Uytterhoeven (4):
      regulator: da9210: Mask all interrupt sources to deassert interrupt line
      Input: cyapa - remove superfluous type check in cyapa_gen5_read_idac_data()
      mtd: nand: MTD_NAND_HISI504 should depend on HAS_DMA
      drm/i915: Spelling s/auxilliary/auxiliary/

George McCollister (1):
      ARM: dts: am335x-lxm: Use rmii-clock-ext

Gregory CLEMENT (1):
      MAINTAINERS: Add myself as co-maintainer to the legacy support of the mvebu SoCs

Guenter Roeck (1):
      of/platform: Fix sparc:allmodconfig build

Heikki Krogerus (1):
      ACPI / LPSS: provide con_id for the clkdev

Heiko Carstens (3):
      s390/jump label: add missing jump_label_apply_nops() call
      s390/jump label: improve and fix sanity check
      s390/ftrace: fix crashes when switching tracers / add notrace to cpu_relax()

Heiko Stübner (1):
      clk: divider: return real rate instead of divider value

Iyappan Subramanian (3):
      Documentation: dts: Update compatible field description for APM X-Gene
      dtb: change binding name to match with newer firmware DT
      drivers: net: xgene: fix new firmware backward compatibility with older driver

Jakub Kicinski (1):
      Revert "i2c: core: Dispose OF IRQ mapping at client removal time"

James Bottomley (1):
      libsas: Fix Kernel Crash in smp_execute_task

James Morris (1):
      Merge branch 'for-current' of https://github.com/PeterHuewe/linux-tpmdd into for-linus

Jamie Garside (1):
      microblaze: Fix syscall error recovery for invalid syscall IDs

Jan Beulich (1):
      xen-pciback: limit guest control of command register

Jani Nikula (2):
      drm/i915: remove indirection in the PCI ID macros
      drm/i915: redefine WARN_ON_ONCE to include the condition

Jarkko Sakkinen (1):
      tpm: fix call order in tpm-chip.c

Jason Cooper (1):
      Merge branch 'irqchip/urgent-gic' into irqchip/urgent

Jason Low (1):
      cpuset: Fix cpuset sched_relax_domain_level

Javier Martinez Canillas (1):
      drivers/rtc/rtc-s3c.c: add .needs_src_clk to s3c6410 RTC data

Jean Delvare (1):
      iommu/io-pgtable-arm: Add built time dependency

Jeff Layton (1):
      locks: fix generic_delete_lease tracepoint to use victim pointer

Jeff McGee (3):
      drm/i915/chv: Determine CHV slice/subslice/EU info
      drm/i915/chv: Add CHV HW status to SSEU status
      drm/i915: Export total subslice and EU counts

Jeff Vander Stoep (1):
      mm: reorder can_do_mlock to fix audit denial

Jesse Barnes (1):
      drm/i915/skl: port A fuse straps don't work on early SKL steppings

Jiri Pirko (1):
      team: don't traverse port list using rcu in team_set_mac_address

John Harrison (1):
      drm/i915: Remove ironlake rc6 support

Jon Paul Maloy (1):
      tipc: fix bug in link failover handling

Jonas Andersson (1):
      ARM: at91/dt: at91sam9260: fix usart pinctrl

Josh Boyer (1):
      Revert "cpupower Makefile change to help run the tool without 'make install'"

Josh Cartwright (1):
      net: macb: constify macb configuration data

Juergen Gross (2):
      x86/xen: correct bug in p2m list initialization
      xen/events: avoid NULL pointer dereference in dom0 on large machines

Julia Lawall (1):
      clk: don't export static symbol

Julian Anastasov (1):
      ipvs: add missing ip_vs_pe_put in sync code

Kiran Padwal (1):
      video: ARM CLCD: Add missing error check for devm_kzalloc

Kishon Vijay Abraham I (1):
      ARM: DRA7: hwmod_data: Fix hwmod data for pcie

Krzysztof Kolasa (1):
      drm/mm: Fix support 4 GiB and larger ranges

Krzysztof Kozlowski (1):
      ARM: EXYNOS: Don't use LDREX and STREX after disabling cache coherency

Leif Lindholm (1):
      of: fix handling of '/' in options for of_find_node_by_path()

Linus Torvalds (36):
      Merge branch 'for-4.0-fixes' of git://git.kernel.org/.../tj/wq
      Merge branch 'for-4.0-fixes' of git://git.kernel.org/.../tj/libata
      Merge branch 'for-4.0-fixes' of git://git.kernel.org/.../tj/cgroup
      Merge tag 'fbdev-fixes-4.0' of git://git.kernel.org/.../tomba/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../jmorris/linux-security
      Merge tag 'spi-v4.0-rc2' of git://git.kernel.org/.../broonie/spi
      Merge tag 'regulator-v4.0-rc2' of git://git.kernel.org/.../broonie/regulator
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'trace-fixes-v4.0-rc2-2' of git://git.kernel.org/.../rostedt/linux-trace
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'iommu-fixes-v4.0-rc3' of git://git.kernel.org/.../joro/iommu
      Merge tag 'for-linus-20150310' of git://git.infradead.org/linux-mtd
      mm: fix up numa read-only thread grouping logic
      Merge tag 'nios2-fix-4.0-rc4' of git://git.rocketboards.org/linux-socfpga-next
      Merge tag 'microblaze-4.0-rc4' of git://git.monstr.eu/linux-2.6-microblaze
      Merge tag 'pci-v4.0-fixes-2' of git://git.kernel.org/.../helgaas/pci
      Merge branch 'i2c/for-current' of git://git.kernel.org/.../wsa/linux
      Merge branch 'akpm' (patches from Andrew)
      Merge branch 'gadget' of git://git.kernel.org/.../viro/vfs
      Merge tag 'devicetree-fixes-for-4.0' of git://git.kernel.org/.../robh/linux
      Merge tag 'sound-4.0-rc4' of git://git.kernel.org/.../tiwai/sound
      Merge tag 'stable/for-linus-4.0-rc3-tag' of git://git.kernel.org/.../xen/tip
      Merge tag 'pm+acpi-4.0-rc4' of git://git.kernel.org/.../rafael/linux-pm
      Merge tag 'linux-kselftest-4.0-rc4' of git://git.kernel.org/.../shuah/linux-kselftest
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge tag 'vfio-v4.0-rc4' of git://github.com/awilliam/linux-vfio
      Merge tag 'locks-v4.0-4' of git://git.samba.org/jlayton/linux
      Merge tag 'scsi-fixes' of git://git.kernel.org/.../jejb/scsi
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'irqchip-fixes-4.0' of git://git.infradead.org/users/jcooper/linux
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'clk-fixes-for-linus' of git://git.kernel.org/.../clk/linux
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Linux 4.0-rc4

Lorenzo Colitti (1):
      net: ping: Return EAFNOSUPPORT when appropriate.

Lukasz Majewski (9):
      ARM: dts: Enable TMU for exynos4210-trats
      ARM: dts: Add LDO10 for TMU for exynos4412-odroid-common
      ARM: dts: Enable TMU for exynos4412-odriod-common
      ARM: dts: Adding CPU cooling binding for Exynos SoCs
      ARM: dts: add TMU default definitions for exynos4412
      ARM: dts: default trip points definition for exynos5420
      ARM: dts: define default thermal-zones for exynos4
      ARM: dts: Trip points and sensor configuration data for exynos5440
      ARM: dts: Provide dt bindings identical for Exynos TMU

Maarten Lankhorst (1):
      drm/radeon: fix wait to actually occur after the signaling callback

Marc Kleine-Budde (2):
      MAINTAINERS: linux-can moved to github
      MAINTAINERS: add Marc Kleine-Budde as co maintainer for CAN networking layer

Marc Zyngier (4):
      irqchip: gicv3-its: Allocate enough memory for the full range of DeviceID
      irqchip: gicv3-its: Iterate over PCI aliases to generate ITS configuration
      irqchip: gicv3-its: Fix unsafe locking reported by lockdep
      irqchip: gic: Fix unsafe locking reported by lockdep

Marcelo Tosatti (1):
      Merge tag 'kvm-s390-master-20150303' of git://git.kernel.org/.../kvms390/linux

Marcin Bis (1):
      spi: fix a typo in comment.

Marek Szyprowski (6):
      dt-bindings: document a note about power domain subdomains
      ARM: EXYNOS: add support for sub-power domains
      ARM: dts: add hdmi related nodes for exynos4 SoCs
      ARM: dts: add dependency between TV and LCD0 power domains for exynos4
      ARM: dts: enable hdmi support for exynos4412-odroid-common
      ARM: dts: add 'hdmi' clock to mixer nodes for exynos5250 and exynos5420

Mark Brown (4):
      of/overlay: Directly include idr.h
      Merge remote-tracking branch 'regulator/fix/core' into regulator-linus
      Merge remote-tracking branches 'regulator/fix/da9210' and 'regulator/fix/rk808' into regulator-linus
      Merge remote-tracking branches 'spi/fix/atmel', 'spi/fix/doc', 'spi/fix/dw', 'spi/fix/img-spfi', 'spi/fix/pl022' and 'spi/fix/ti-qspi' into spi-linus

Mark Fasheh (1):
      ocfs2: make append_dio an incompat feature

Mark Salter (1):
      net: eth: xgene: fix booting with devicetree

Martin Hicks (1):
      sata-fsl: Apply link speed limits

Martin Schwidefsky (1):
      s390/mm: fix incorrect ASCE after crst_table_downgrade

Mathias Gottschlag (4):
      Input: psmouse - remove hardcoded touchpad size from the focaltech driver
      Input: psmouse - ensure that focaltech reports consistent coordinates
      Input: psmouse - disable changing resolution/rate/scale for FocalTech
      Input: psmouse - disable "palm detection" in the focaltech driver

Matt Porter (3):
      ARM: dts: am335x-bone-common: enable aes and sham
      dt: submitting-patches: clarify that DT maintainers are to be cced on bindings
      MAINTAINERS: Remove self as ARM mach-bcm co-maintainer

Matt Roper (6):
      drm/i915: Kill intel_crtc->cursor_{width, height} (v2)
      drm/i915: Use plane->state->fb in watermark code (v2)
      drm/i915: Don't clobber plane state on internal disables
      drm/i915: Update intel_crtc_active() to use state values (v2)
      drm/i915: Use crtc->state->active in ilk/skl watermark calculations (v3)
      drm/i915: Don't assume primary & cursor are always on for wm calculation (v4)

Matwey V. Kornilov (2):
      of: Add prompt for OF_OVERLAY config
      of: Drop superfluous dependance for OF_OVERLAY

Maxime Ripard (2):
      mtd: nand: pxa3xx: Fix PIO FIFO draining
      irqchip: armada-370-xp: Fix chained per-cpu interrupts

Mel Gorman (1):
      mm: thp: Return the correct value for change_huge_pmd

Michael Ellerman (1):
      selftests/exec: Check if the syscall exists and bail if not

Michael Mueller (3):
      KVM: s390: fix in memory copy of facility lists
      KVM: s390: include guest facilities in kvm facility test
      KVM: s390: non-LPAR case obsolete during facilities mask init

Michael Turquette (1):
      clk: introduce clk_is_match

Michal Hocko (1):
      mm, oom: do not fail __GFP_NOFAIL allocation if oom killer is disabled

Michal Simek (2):
      of: Add vendor prefix for Arasan
      microblaze: Coding style cleanup

Michel Marti (1):
      ARM: at91/dt: keep watchdog running in idle mode

Mika Kuoppala (4):
      drm/i915: Do both mt and gen6 style forcewake reset on ivb probe
      drm/i915: Setup all page directories for gen8
      drm/i915: Do both mt and gen6 style forcewake reset on ivb probe
      drm/i915: Fix vmap_batch page iterator overrun

Mugunthan V N (1):
      drivers: spi: ti-qspi: wait for busy bit clear before data write/read

Neil Roberts (1):
      drm/i915: Add I915_PARAM_REVISION

Nicolas Ferre (2):
      ARM: at91/dt: sama5d4: add missing alias for i2c0
      ARM: at91/dt: fix USB high-speed clock to select UTMI

Nicolas Schichan (1):
      bcm63xx_enet: fix poll callback.

Niklas Cassel (1):
      serial: add device tree binding documentation for ETRAX FS UART

Oliver Hartkopp (1):
      can: add missing initialisations in CAN related skbuffs

Pablo Neira Ayuso (3):
      netfilter: nf_tables: fix addition/deletion of elements from commit/abort
      Merge https://git.kernel.org/.../horms/ipvs
      netfilter: nf_tables: fix error handling of rule replacement

Patrice Vilchez (1):
      ARM: at91/pm: MOR register KEY was missing

Patrick McHardy (3):
      netfilter: nf_tables: fix transaction race condition
      netfilter: nf_tables: check for overflow of rule dlen field
      netfilter: nf_tables: fix userdata length overflow

Paulo Zanoni (3):
      drm/i915: pass which operation triggered the frontbuffer tracking
      drm/i915: also do frontbuffer tracking on pwrites
      drm/i915: add frontbuffer tracking to FBC

Peter Chen (2):
      ARM: imx6qdl-sabresd: set swbst_reg as vbus's parent reg
      ARM: imx6sl-evk: set swbst_reg as vbus's parent reg

Peter Hurley (2):
      of: Fix premature bootconsole disable with 'stdout-path'
      of: unittest: Add options string testcase variants

Peter Rosin (1):
      pm: at91: Workaround DDRSDRC self-refresh bug with LPDDR1 memories.

Peter Senna Tschudin (1):
      bgmac: Clean warning messages

Peter Ujfalusi (1):
      ARM: omap2+: omap_hwmod: Set unique lock_class_key per hwmod

Pratyush Anand (1):
      ftrace: Fix en(dis)able graph caller when en(dis)abling record via sysctl

Punnaiah Choudary Kalluri (1):
      net: macb: Correct the MID field length value

Rafael J. Wysocki (1):
      Merge branch 'pm-tools'

Ramalingam C (1):
      drm/i915: Fixing mutex deadlock window at eDP DRRS

Ravikumar Kattekola (2):
      ARM: dts: DRA7x: Fix the bypass clock source for dpll_iva and others
      ARM: dts: OMAP5: Fix the bypass clock source for dpll_iva and others

Rob Herring (1):
      Merge tag 'v4.0-rc3' into HEAD

Robert Jarzmik (1):
      mtd: pxa3xx_nand: fix driver when num_cs is 0

Rodrigo Vivi (1):
      drm/i915: Make sure we invalidate frontbuffer on fbcon.

Roger Quadros (2):
      ARM: dts: dra7x-evm: Don't use dcan1_rx.gpio1_15 in DCAN pinctrl
      ARM: dts: dra7x-evm: avoid possible contention while muxing on CAN lines

Russell King (1):
      ARM: socfpga: make sure socfpga_cpu1start_addr is properly flushed

Ryusuke Konishi (1):
      nilfs2: fix deadlock of segment constructor during recovery

Sasha Levin (1):
      PCI: Don't read past the end of sysfs "driver_override" buffer

Sebastian Ott (4):
      s390/scm_block: fix off by one during cluster reservation
      s390/pci: fix possible information leak in mmio syscall
      s390/pci: fix [un]map_resources sequence
      s390/pci: unify pci_iomap symbol exports

Shawn Guo (3):
      ARM: imx: fix struct clk pointer comparing
      ASoC: fsl_spdif: fix struct clk pointer comparing
      ASoC: kirkwood: fix struct clk pointer comparing

Sifan Naeem (1):
      spi: img-spfi: Verify max spfi transfer length

Sonika Jindal (3):
      drm/i915/skl: Read sink supported rates from edp panel
      drm/i915/skl: Add support for edp 1.4 intermediate frequencies
      drm/i915/skl: Program PLL for edp1.4 intermediate frequencies

Stefan Agner (2):
      net: fec: fix unbalanced clk disable on driver unbind
      MAINTAINERS: add Freescale Vybrid SoC

Stefan Sauer (1):
      Input: mma8450 - add parent device

Steffen Trumtrar (1):
      ARM: socfpga: fix uart DMA binding error

Stephane Grosjean (1):
      can: peak_usb: fix missing ctrlmode_ init for every dev

Stephen Boyd (3):
      clk: qcom: Fix slimbus n and m val offsets
      clk: qcom: lcc-msm8960: Fix PLL rate detection
      clk: qcom: Add PLL4 vote clock

Steven Rostedt (Red Hat) (4):
      seq_buf: Fix seq_buf_vprintf() truncation
      seq_buf: Fix seq_buf_bprintf() truncation
      ftrace: Clear REGS_EN and TRAMP_EN flags on disabling record via sysctl
      ftrace: Fix ftrace enable ordering of sysctl ftrace_enabled

Sudeep Holla (1):
      ARM: vexpress: update CONFIG_USB_ISP1760 option

Sudip Mukherjee (1):
      video: fbdev: fix possible null dereference

Suzuki K. Poulose (1):
      fanotify: fix event filtering with FAN_ONDIR set

Sylvain Rochet (2):
      pm: at91: pm_slowclock: fix suspend/resume hang up in timeouts
      dts: Documentation: AT91 Watchdog, explain what atmel,idle-halt property really do

Takashi Iwai (7):
      regulator: Fix regression due to NULL constraints check
      ALSA: hda - Fix regression of HD-audio controller fallback modes
      ALSA: hda - Fix built-in mic on Compaq Presario CQ60
      ALSA: control: Add sanity checks for user ctl id name string
      ALSA: hda - Set single_adc_amp flag for CS420x codecs
      ALSA: hda - Add workaround for MacBook Air 5,2 built-in mic
      ALSA: hda - Don't access stereo amps for mono channel widgets

Takashi Sakamoto (2):
      Revert "ALSA: dice: fix wrong offsets for Dice interface"
      ALSA: firewire-lib: leave unit reference counting completely

Tejun Heo (1):
      workqueue: fix hang involving racing cancel[_delayed]_work_sync()'s for PREEMPT_NONE

Tero Kristo (3):
      ARM: OMAP2+: hwmod: fix deassert hardreset clkdm usecounting
      ARM: OMAP4+: PRM: fix omap4 version of prm_save_and_clear_irqen
      ARM: dts: OMAP5: fix polling intervals for thermal zones

Thierry Reding (4):
      iommu/exynos: Play nice in multi-platform builds
      iommu/omap: Play nice in multi-platform builds
      iommu/rockchip: Play nice in multi-platform builds
      iommu/msm: Mark driver BROKEN

Thomas Hellstrom (3):
      drm/vmwgfx: Fix a couple of lock dependency violations
      drm/vmwgfx: Reorder device takedown somewhat
      drm/vmwgfx: Fix an issue with the device losing its irq line on module unload

Tobias Waldekranz (1):
      net: gianfar: correctly determine the number of queue groups

Tomasz Stanislawski (1):
      ARM: dts: enable hdmi support for exynos4210-universal_c210

Tomi Valkeinen (1):
      OMAPDSS: fix regression with display sysfs files

Tony Krowiak (1):
      KVM: s390/cpacf: Enable key wrapping by default

Tony Lindgren (3):
      clk: ti: Fix FAPLL parent enable bit handling
      Merge tag 'for-v4.0-rc/omap-fixes-a' of git://git.kernel.org/.../pjw/omap-pending into omap-for-v4.0/fixes
      ARM: OMAP2+: Fix wl12xx on dm3730-evm with mainline u-boot

Torsten Fleischer (1):
      spi: atmel: Fix interrupt setup for PDC transfers

Tyler Baker (2):
      ARM: sunxi_defconfig: increase the number of maximum number of CPUs to 8
      ARM: multi_v7_defconfig: increase the number of maximum number of CPUs to 16

Uwe Kleine-König (3):
      clk: divider: fix calculation of maximal parent rate for a given divider
      clk: divider: fix selection of divider when rounding to closest
      clk: divider: fix calculation of initial best divider when rounding to closest

Valentin Rothberg (1):
      Input: tc3589x-keypad - set IRQF_ONESHOT flag to ensure IRQ request

Vandana Kannan (1):
      drm/i915: Add debugfs entry for DRRS

Vidya Srinivas (1):
      drm/i915: Program PFI credits for VLV

Vignesh R (2):
      ARM: dts: am33xx-clocks: Fix ehrpwm tbclk data on am33xx
      ARM: dts: am43xx-clocks: Fix ehrpwm tbclk data on am43xx

Vijay Purushothaman (3):
      drm/i915: Disable M2 frac division for integer case
      drm/i915: Initialize CHV digital lock detect threshold
      drm/i915: Update prop, int co-eff and gain threshold for CHV

Ville Syrjälä (23):
      drm/i915: Reduce CHV DPLL min vco frequency to 4.8 GHz
      drm/i915: Reduce CHV DDL multiplier to 16/8
      drm/i915: Kill DRAIN_LATENCY_PRECISION_* defines
      drm/i915: Simplify VLV drain latency computation
      drm/i915: Hide VLV DDL precision handling
      drm/i915: Reorganize VLV DDL setup
      drm/i915: Pass plane to vlv_compute_drain_latency()
      drm/i915: Read out display FIFO size on VLV/CHV
      drm/i915: Make sure PND deadline mode is enabled on VLV/CHV
      drm/i915: Allow pixel clock up to 95% of cdclk on CHV
      drm/i915: Fix chv cdclk support
      drm/i915: Rewrite VLV/CHV watermark code
      drm/i915: Enable the maxfifo PM5 mode when appropriate on CHV
      drm/i915: Disable DDR DVFS on CHV
      drm/i915: Remove debug prints from primary plane update funcs
      drm/i915: Reduce clutter by using the local plane pointer
      drm/i915: Use plane->state->fb instead of plane->fb in intel_plane_restore()
      drm/i915: Add polish to VLV WM shift+mask operations
      drm/i915: Use FW_WM() macro for older gmch platforms too
      drm/i915: Rewrite some some of the FDI lane checks
      drm/i915: Rewrite IVB FDI bifurcation conflict checks
      drm/i915: Read CHV_PLL_DW8 from the correct offset
      drm/i915: Remove the preliminary_hw_support shackles from CHV

Vince Bridgers (1):
      ARM: socfpga: Correct SCU virtual mapping in socfpga

Vladimir Davydov (1):
      memcg: disable hierarchy support if bound to the legacy cgroup hierarchy

Vladimir Murzin (2):
      irqchip: gicv3-its: Fix ITS CPU init
      irqchip: gic-v3: Fix out of bounds access to cpu_logical_map

Wang Long (2):
      of/unittest: remove the duplicate of_changeset_init
      of/unittest: Fix the wrong expected value in of_selftest_property_string

Wenyou Yang (1):
      ARM: at91: pm_slowclock: fix the compilation error

Will Deacon (1):
      iommu/io-pgtable-arm: Fix self-test WARNs on i386

Willem de Bruijn (1):
      ip: fix error queue empty skb handling

Xi Ruoyao (1):
      drm/i915: Ensure plane->state->fb stays in sync with plane->fb

Yannick Guerrini (1):
      drm/i915: Fix trivial typos in comments and warning message

Yun Wu (5):
      irqchip: gicv3-its: Zero itt before handling to hardware
      irqchip: gicv3-its: Use 64KB page as default granule
      irqchip: gicv3-its: Add limitation to page order
      irqchip: gicv3-its: Define macros for GITS_CTLR fields
      irqchip: gicv3-its: Support safe initialization

Yuval Shaia (1):
      xen: Remove trailing semicolon from xenbus_register_frontend() definition

Zefan Li (2):
      cpuset: initialize effective masks when clone_children is enabled
      cpuset: fix a warning when clearing configured masks in old hierarchy

gchen gchen (1):
      mm/nommu.c: export symbol max_mapnr

jmlatten@linux.vnet.ibm.com (1):
      tpm/ibmvtpm: Additional LE support for tpm_ibmvtpm_send

kbuild test robot (3):
      clk: qcom: fix platform_no_drv_owner.cocci warnings
      clk: qcom: fix platform_no_drv_owner.cocci warnings
      drm/i915: fix simple_return.cocci warnings

 .../bindings/arm/exynos/power_domain.txt           |   2 +
 Documentation/devicetree/bindings/arm/sti.txt      |   4 +
 .../devicetree/bindings/net/apm-xgene-enet.txt     |   5 +-
 .../devicetree/bindings/power/power_domain.txt     |  29 +
 .../bindings/serial/{of-serial.txt => 8250.txt}    |   0
 .../bindings/serial/axis,etraxfs-uart.txt          |  19 +
 .../devicetree/bindings/submitting-patches.txt     |   3 +
 .../devicetree/bindings/vendor-prefixes.txt        |   2 +
 .../devicetree/bindings/watchdog/atmel-wdt.txt     |   5 +
 MAINTAINERS                                        |  17 +-
 Makefile                                           |   2 +-
 arch/arm/Makefile                                  |   1 +
 arch/arm/boot/dts/am335x-bone-common.dtsi          |   8 +
 arch/arm/boot/dts/am335x-bone.dts                  |   8 -
 arch/arm/boot/dts/am335x-lxm.dts                   |   4 +
 arch/arm/boot/dts/am33xx-clocks.dtsi               |   6 +-
 arch/arm/boot/dts/am43xx-clocks.dtsi               |  12 +-
 arch/arm/boot/dts/at91sam9260.dtsi                 |   7 +-
 arch/arm/boot/dts/at91sam9261.dtsi                 |   9 +-
 arch/arm/boot/dts/at91sam9263.dtsi                 |   5 +-
 arch/arm/boot/dts/at91sam9g45.dtsi                 |   3 +-
 arch/arm/boot/dts/at91sam9n12.dtsi                 |   1 -
 arch/arm/boot/dts/at91sam9x5.dtsi                  |   5 +-
 arch/arm/boot/dts/dra7-evm.dts                     |  10 +-
 arch/arm/boot/dts/dra72-evm.dts                    |  10 +-
 arch/arm/boot/dts/dra7xx-clocks.dtsi               |  90 +-
 arch/arm/boot/dts/exynos3250.dtsi                  |   2 +
 arch/arm/boot/dts/exynos4-cpu-thermal.dtsi         |  52 ++
 arch/arm/boot/dts/exynos4.dtsi                     |  45 +
 arch/arm/boot/dts/exynos4210-trats.dts             |  19 +
 arch/arm/boot/dts/exynos4210-universal_c210.dts    |  57 ++
 arch/arm/boot/dts/exynos4210.dtsi                  |  38 +-
 arch/arm/boot/dts/exynos4212.dtsi                  |   5 +-
 arch/arm/boot/dts/exynos4412-odroid-common.dtsi    |  64 ++
 arch/arm/boot/dts/exynos4412-tmu-sensor-conf.dtsi  |  24 +
 arch/arm/boot/dts/exynos4412-trats2.dts            |  15 +
 arch/arm/boot/dts/exynos4412.dtsi                  |   5 +-
 arch/arm/boot/dts/exynos4x12.dtsi                  |  12 +
 arch/arm/boot/dts/exynos5250.dtsi                  |  44 +-
 arch/arm/boot/dts/exynos5420-trip-points.dtsi      |  35 +
 arch/arm/boot/dts/exynos5420.dtsi                  |  33 +-
 arch/arm/boot/dts/exynos5440-tmu-sensor-conf.dtsi  |  24 +
 arch/arm/boot/dts/exynos5440-trip-points.dtsi      |  25 +
 arch/arm/boot/dts/exynos5440.dtsi                  |  18 +
 arch/arm/boot/dts/imx6qdl-sabresd.dtsi             |   2 +
 arch/arm/boot/dts/imx6sl-evk.dts                   |   2 +
 arch/arm/boot/dts/omap5-core-thermal.dtsi          |   2 +-
 arch/arm/boot/dts/omap5-gpu-thermal.dtsi           |   2 +-
 arch/arm/boot/dts/omap5.dtsi                       |   4 +
 arch/arm/boot/dts/omap54xx-clocks.dtsi             |  41 +-
 arch/arm/boot/dts/sama5d3.dtsi                     |   3 +-
 arch/arm/boot/dts/sama5d4.dtsi                     |   9 +-
 arch/arm/boot/dts/socfpga.dtsi                     |   6 +
 arch/arm/configs/at91_dt_defconfig                 |   1 +
 arch/arm/configs/multi_v7_defconfig                |   2 +-
 arch/arm/configs/omap2plus_defconfig               |   1 +
 arch/arm/configs/sama5_defconfig                   |   2 -
 arch/arm/configs/sunxi_defconfig                   |   1 +
 arch/arm/configs/vexpress_defconfig                |   2 +-
 arch/arm/include/debug/at91.S                      |   5 +-
 arch/arm/mach-at91/pm.c                            |  22 +-
 arch/arm/mach-at91/pm.h                            |   2 +-
 arch/arm/mach-at91/pm_slowclock.S                  |  80 +-
 arch/arm/mach-exynos/platsmp.c                     |   3 +-
 arch/arm/mach-exynos/pm_domains.c                  |  28 +
 arch/arm/mach-exynos/suspend.c                     |   4 +-
 arch/arm/mach-imx/mach-imx6q.c                     |   5 +-
 arch/arm/mach-omap2/omap_hwmod.c                   |  10 +-
 arch/arm/mach-omap2/omap_hwmod.h                   |   1 +
 arch/arm/mach-omap2/omap_hwmod_7xx_data.c          | 103 +--
 arch/arm/mach-omap2/pdata-quirks.c                 |   1 +
 arch/arm/mach-omap2/prm44xx.c                      |   4 +-
 arch/arm/mach-pxa/idp.c                            |   1 +
 arch/arm/mach-pxa/lpd270.c                         |   2 +-
 arch/arm/mach-sa1100/neponset.c                    |   4 +-
 arch/arm/mach-sa1100/pleb.c                        |   2 +-
 arch/arm/mach-socfpga/core.h                       |   2 +-
 arch/arm/mach-socfpga/socfpga.c                    |   5 +
 arch/arm/mach-sti/board-dt.c                       |   1 +
 arch/arm64/boot/dts/apm/apm-storm.dtsi             |   4 +-
 arch/arm64/include/asm/tlb.h                       |   3 +
 arch/arm64/include/asm/tlbflush.h                  |  13 +
 arch/arm64/kernel/efi.c                            |   9 +
 arch/arm64/kernel/head.S                           |   2 +-
 arch/arm64/kernel/process.c                        |   8 +
 arch/c6x/include/asm/pgtable.h                     |   5 +
 arch/microblaze/kernel/entry.S                     |   7 +-
 arch/nios2/include/asm/ptrace.h                    |  47 +
 arch/nios2/include/asm/ucontext.h                  |  32 -
 arch/nios2/include/uapi/asm/Kbuild                 |   2 +
 arch/nios2/include/uapi/asm/elf.h                  |   4 +-
 arch/nios2/include/uapi/asm/ptrace.h               |  50 +-
 arch/nios2/include/uapi/asm/sigcontext.h           |  12 +-
 arch/nios2/kernel/signal.c                         |   4 +-
 arch/s390/include/asm/kvm_host.h                   |  12 +-
 arch/s390/include/asm/mmu_context.h                |   2 +-
 arch/s390/include/asm/page.h                       |  11 +-
 arch/s390/kernel/jump_label.c                      |  12 +-
 arch/s390/kernel/module.c                          |   1 +
 arch/s390/kernel/processor.c                       |   2 +-
 arch/s390/kvm/kvm-s390.c                           |  68 +-
 arch/s390/kvm/kvm-s390.h                           |   3 +-
 arch/s390/kvm/priv.c                               |   2 +-
 arch/s390/pci/pci.c                                |  28 +-
 arch/s390/pci/pci_mmio.c                           |  17 +-
 arch/x86/xen/p2m.c                                 |   2 +-
 drivers/acpi/acpi_lpss.c                           |   5 +-
 drivers/ata/sata_fsl.c                             |   2 +
 drivers/char/tpm/tpm-chip.c                        |  34 +-
 drivers/char/tpm/tpm_ibmvtpm.c                     |  10 +-
 drivers/char/tpm/tpm_ibmvtpm.h                     |   6 +-
 drivers/clk/clk-divider.c                          |  29 +-
 drivers/clk/clk.c                                  |  27 +-
 drivers/clk/qcom/gcc-msm8960.c                     |  13 +
 drivers/clk/qcom/lcc-ipq806x.c                     |   1 -
 drivers/clk/qcom/lcc-msm8960.c                     |   7 +-
 drivers/clk/ti/fapll.c                             |   6 +-
 drivers/gpu/drm/drm_crtc.c                         |  35 +-
 drivers/gpu/drm/drm_dp_mst_topology.c              |  11 +-
 drivers/gpu/drm/drm_mm.c                           |   2 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   5 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 213 ++++-
 drivers/gpu/drm/i915/i915_dma.c                    |  53 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   7 -
 drivers/gpu/drm/i915/i915_drv.h                    |  65 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  52 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  21 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  24 +-
 drivers/gpu/drm/i915/i915_params.c                 |   6 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  81 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   4 +-
 drivers/gpu/drm/i915/i915_vgpu.h                   |   2 +-
 drivers/gpu/drm/i915/intel_atomic.c                |  12 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  39 +-
 drivers/gpu/drm/i915/intel_display.c               | 398 +++++----
 drivers/gpu/drm/i915/intel_dp.c                    | 187 +++-
 drivers/gpu/drm/i915/intel_dp_mst.c                |   4 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  16 +-
 drivers/gpu/drm/i915/intel_fbc.c                   |  91 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |  27 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |  18 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 990 ++++++++++-----------
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  47 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   1 -
 drivers/gpu/drm/i915/intel_runtime_pm.c            |  56 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   2 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   4 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  18 +-
 drivers/gpu/drm/radeon/radeon_fence.c              |  68 +-
 drivers/gpu/drm/radeon/si.c                        |   6 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_drv.c                |  78 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_execbuf.c            |  18 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_kms.c                |  14 +-
 drivers/i2c/i2c-core.c                             |   3 -
 drivers/input/keyboard/tc3589x-keypad.c            |   6 +-
 drivers/input/misc/mma8450.c                       |   1 +
 drivers/input/mouse/alps.c                         |   4 +-
 drivers/input/mouse/cyapa_gen3.c                   |   2 +-
 drivers/input/mouse/cyapa_gen5.c                   |   4 +-
 drivers/input/mouse/focaltech.c                    |  50 +-
 drivers/input/mouse/psmouse-base.c                 |  14 +-
 drivers/input/mouse/psmouse.h                      |   6 +
 drivers/input/touchscreen/Kconfig                  |   1 +
 drivers/iommu/Kconfig                              |   2 +
 drivers/iommu/exynos-iommu.c                       |   7 +
 drivers/iommu/io-pgtable-arm.c                     |   5 +-
 drivers/iommu/omap-iommu.c                         |   7 +
 drivers/iommu/rockchip-iommu.c                     |   7 +
 drivers/irqchip/irq-armada-370-xp.c                |  21 +-
 drivers/irqchip/irq-gic-v3-its.c                   | 157 +++-
 drivers/irqchip/irq-gic-v3.c                       |   2 +-
 drivers/irqchip/irq-gic.c                          |  20 +-
 drivers/mtd/nand/Kconfig                           |   1 +
 drivers/mtd/nand/pxa3xx_nand.c                     |  50 +-
 drivers/net/can/dev.c                              |   8 +
 drivers/net/can/usb/kvaser_usb.c                   |  48 +-
 drivers/net/can/usb/peak_usb/pcan_usb_fd.c         |   4 +
 drivers/net/ethernet/apm/xgene/xgene_enet_hw.c     |   2 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_main.c   |   4 +
 drivers/net/ethernet/broadcom/bcm63xx_enet.c       |   8 +-
 drivers/net/ethernet/broadcom/bgmac.c              |   7 -
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_main.c   |   3 +
 drivers/net/ethernet/broadcom/genet/bcmgenet_wol.c |   6 +-
 drivers/net/ethernet/cadence/macb.c                |   8 +-
 drivers/net/ethernet/cadence/macb.h                |   2 +-
 drivers/net/ethernet/freescale/fec_main.c          |   3 +-
 drivers/net/ethernet/freescale/gianfar.c           |  19 +-
 drivers/net/ethernet/smsc/smc91x.c                 |   1 +
 .../net/ethernet/stmicro/stmmac/stmmac_platform.c  |  65 +-
 drivers/net/team/team.c                            |   6 +-
 drivers/net/xen-netback/interface.c                |   3 +-
 drivers/net/xen-netback/netback.c                  |  22 +-
 drivers/of/Kconfig                                 |   3 +-
 drivers/of/base.c                                  |  27 +-
 drivers/of/overlay.c                               |   3 +-
 drivers/of/unittest.c                              |  28 +-
 drivers/pci/host/pci-xgene.c                       |   4 +-
 drivers/pci/pci-sysfs.c                            |   5 +-
 drivers/regulator/core.c                           |   7 -
 drivers/regulator/da9210-regulator.c               |   9 +
 drivers/regulator/rk808-regulator.c                |   8 +
 drivers/rtc/rtc-s3c.c                              |   1 +
 drivers/s390/block/dcssblk.c                       |   2 +-
 drivers/s390/block/scm_blk_cluster.c               |   2 +-
 drivers/scsi/libsas/sas_discover.c                 |   6 +-
 drivers/spi/spi-atmel.c                            |  12 +-
 drivers/spi/spi-dw-mid.c                           |   6 +
 drivers/spi/spi-dw-pci.c                           |   4 +-
 drivers/spi/spi-dw.c                               |   4 +-
 drivers/spi/spi-img-spfi.c                         |   7 +
 drivers/spi/spi-pl022.c                            |   2 +-
 drivers/spi/spi-ti-qspi.c                          |  22 +
 drivers/usb/gadget/function/f_fs.c                 | 204 ++---
 drivers/usb/gadget/legacy/inode.c                  | 466 ++++------
 drivers/vfio/pci/vfio_pci_intrs.c                  |   2 +
 drivers/video/fbdev/amba-clcd.c                    |   3 +
 drivers/video/fbdev/core/fbmon.c                   |   6 +-
 drivers/video/fbdev/omap2/dss/display-sysfs.c      | 179 ++--
 drivers/xen/events/events_base.c                   |  18 +-
 drivers/xen/xen-pciback/conf_space.c               |   2 +-
 drivers/xen/xen-pciback/conf_space.h               |   2 +
 drivers/xen/xen-pciback/conf_space_header.c        |  61 +-
 fs/locks.c                                         |   2 +-
 fs/nilfs2/segment.c                                |   7 +-
 fs/notify/fanotify/fanotify.c                      |   3 +-
 fs/ocfs2/ocfs2.h                                   |   2 +-
 fs/ocfs2/ocfs2_fs.h                                |  15 +-
 include/drm/i915_pciids.h                          |  49 +-
 include/dt-bindings/pinctrl/am33xx.h               |   3 +-
 include/dt-bindings/pinctrl/am43xx.h               |   3 +-
 include/linux/clk.h                                |  18 +
 include/linux/irqchip/arm-gic-v3.h                 |   5 +
 include/linux/kasan.h                              |   9 +-
 include/linux/moduleloader.h                       |   8 +
 include/linux/of_platform.h                        |   2 +-
 include/linux/spi/spi.h                            |   2 +-
 include/linux/uio.h                                |   2 +
 include/linux/vmalloc.h                            |   1 +
 include/linux/workqueue.h                          |   3 +-
 include/net/netfilter/nf_tables.h                  |  22 +-
 include/soc/at91/at91sam9_ddrsdr.h                 |   2 +-
 include/uapi/drm/drm_fourcc.h                      |   2 +-
 include/uapi/drm/i915_drm.h                        |   3 +
 include/video/omapdss.h                            |   1 +
 include/xen/xenbus.h                               |   4 +-
 kernel/cpuset.c                                    |   9 +-
 kernel/module.c                                    |   2 -
 kernel/trace/ftrace.c                              |  40 +-
 kernel/workqueue.c                                 |  56 +-
 lib/Makefile                                       |   2 +-
 {mm => lib}/iov_iter.c                             |  15 +
 lib/seq_buf.c                                      |   4 +-
 mm/Makefile                                        |   2 +-
 mm/cma.c                                           |  12 +-
 mm/huge_memory.c                                   |  11 +-
 mm/hugetlb.c                                       |   4 +-
 mm/kasan/kasan.c                                   |  14 +-
 mm/memcontrol.c                                    |   4 +-
 mm/memory.c                                        |   7 +-
 mm/mlock.c                                         |   4 +-
 mm/nommu.c                                         |   1 +
 mm/page_alloc.c                                    |   3 +-
 mm/vmalloc.c                                       |   1 +
 net/can/af_can.c                                   |   3 +
 net/ipv4/ip_fragment.c                             |  11 +-
 net/ipv4/ip_sockglue.c                             |  33 +-
 net/ipv4/ping.c                                    |  12 +-
 net/ipv4/tcp.c                                     |  10 +-
 net/ipv6/datagram.c                                |  39 +-
 net/ipv6/ping.c                                    |   5 +-
 net/netfilter/ipvs/ip_vs_sync.c                    |   3 +
 net/netfilter/nf_tables_api.c                      |  61 +-
 net/netfilter/nft_compat.c                         |  14 +-
 net/packet/af_packet.c                             |  22 +-
 net/rxrpc/ar-error.c                               |   4 +-
 net/tipc/link.c                                    |   7 +-
 sound/core/control.c                               |   4 +
 sound/firewire/dice/dice-interface.h               |  18 +-
 sound/firewire/dice/dice-proc.c                    |   4 +-
 sound/firewire/iso-resources.c                     |   3 +-
 sound/pci/hda/hda_controller.c                     |   2 +-
 sound/pci/hda/hda_generic.c                        |  30 +-
 sound/pci/hda/patch_cirrus.c                       |   2 +
 sound/pci/hda/patch_conexant.c                     |  11 +
 sound/soc/fsl/fsl_spdif.c                          |   4 +-
 sound/soc/kirkwood/kirkwood-i2s.c                  |   2 +-
 sound/usb/quirks-table.h                           |  30 +
 tools/power/cpupower/Makefile                      |   2 +-
 tools/testing/selftests/exec/execveat.c            |  10 +-
 290 files changed, 4289 insertions(+), 2440 deletions(-)
 rename Documentation/devicetree/bindings/serial/{of-serial.txt => 8250.txt} (100%)
 create mode 100644 Documentation/devicetree/bindings/serial/axis,etraxfs-uart.txt
 create mode 100644 arch/arm/boot/dts/exynos4-cpu-thermal.dtsi
 create mode 100644 arch/arm/boot/dts/exynos4412-tmu-sensor-conf.dtsi
 create mode 100644 arch/arm/boot/dts/exynos5420-trip-points.dtsi
 create mode 100644 arch/arm/boot/dts/exynos5440-tmu-sensor-conf.dtsi
 create mode 100644 arch/arm/boot/dts/exynos5440-trip-points.dtsi
 delete mode 100644 arch/nios2/include/asm/ucontext.h
 rename {mm => lib}/iov_iter.c (97%)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-03-06 17:36 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-03-06 17:36 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-02-27:
- Y tiling support for scanout from Tvrtko&Damien
- Remove more UMS support
- some small prep patches for OLR removal from John Harrison
- first few patches for dynamic pagetable allocation from Ben Widawsky, rebased
  by tons of other people
- DRRS support patches (Sonika&Vandana)
- fbc patches from Paulo
- make sure our vblank callbacks aren't called when the pipes are off
- various patches all over

Also can you perhaps pull -rc3 in while at it? I'd like to rebase and get
all the current fixes into my next queue.

Cheers, Daniel


The following changes since commit d4495cbaa5869d2ce8f4b1c9331d3a19b24eb98b:

  drm/i915: Update DRIVER_DATE to 20150214 (2015-02-14 00:41:35 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-02-27

for you to fetch changes up to f89fe1ffe698a6bb7671ebf99f5bb918fda4cf35:

  drm/i915: Update DRIVER_DATE to 20150227 (2015-02-27 19:12:46 +0100)

----------------------------------------------------------------
- Y tiling support for scanout from Tvrtko&Damien
- Remove more UMS support
- some small prep patches for OLR removal from John Harrison
- first few patches for dynamic pagetable allocation from Ben Widawsky, rebased
  by tons of other people
- DRRS support patches (Sonika&Vandana)
- fbc patches from Paulo
- make sure our vblank callbacks aren't called when the pipes are off
- various patches all over

----------------------------------------------------------------
Akash Goel (1):
      drm/i915: Removed the read of RP_STATE_CAP from sysfs/debugfs functions

Ander Conselvan de Oliveira (1):
      drm/i915: Look at staged config when fixing pipe_src_w for LVDS

Ben Widawsky (3):
      drm/i915: page table abstractions
      drm/i915: Complete page table structures
      drm/i915: Create page table allocators

Chris Wilson (2):
      drm/i915: Trim the command parser allocations
      drm/i915: Clarify obj->map_and_fenceable

Damien Lespiau (7):
      drm/i915/skl: Implement WaDisablePowerCompilerClockGating
      drm/i915/skl: Tune IZ hashing when subslices are unbalanced
      drm/i915/skl: Make sure to allocate mininum sizes in the DDB
      drm/i915/skl: Allow scanning out Y and Yf fbs
      drm/i915/skl: Adjust intel_fb_align_height() for Yb/Yf tiling
      drm/i915/skl: Teach pin_and_fence_fb_obj() about Y tiling constraints
      drm/i915/skl: Adjust get_plane_config() to support Yb/Yf tiling

Dan Carpenter (2):
      drm/i915: cleanup some indenting
      drm/i915: fix a printk format

Daniel Vetter (13):
      drm/irq: Add drm_crtc_vblank_reset
      drm/i915: Drop pipe_enable checks in vblank funcs
      drm/i915: Flatten DRIVER_MODESET checks in i915_irq.c
      drm/i915: Switch to drm_crtc variants of vblank functions
      drm/irq: Don't call ->get_vblank_counter directly from irq_uninstall/cleanup
      drm: WARN if drm_handle_vblank is called errornously
      drm/i915: Remove DRIVER_MODESET checks in load/unload/close code
      drm/i915: Remove DRIVER_MODESET checks from suspend/resume code
      drm/i915: Remove DRIVER_MODESET checks in the gpu reset code
      drm/i915: Remove DRIVER_MODESET checks from gem code
      drm/i915: Remove regfile code&data for UMS suspend/resume
      drm/i915: Remove DRIVER_MODESET checks from modeset code
      drm/i915: Update DRIVER_DATE to 20150227

Dave Gordon (1):
      drm/i915: FIFO space query code refactor

Durgadoss R (1):
      drm/i915: Enable eDP DRRS for CHV

Jeff McGee (3):
      drm/i915/skl: Determine SKL slice/subslice/EU info
      drm/i915/skl: Add SKL HW status to SSEU status
      drm/i915: Request full SSEU enablement on Gen9

John Harrison (3):
      drm/i915: Rename 'flags' to 'dispatch_flags' for better code reading
      drm/i915: Add missing trace point to LRC execbuff code path
      drm/i915: Cache ringbuf pointer in request structure

Matt Roper (2):
      drm/i915: Use enabled value from crtc_state rather than crtc (v2)
      drm/i915: Ensure crtc_state backpointer is always initialized

Michel Thierry (2):
      drm/i915: Plumb drm_device through page tables operations
      drm/i915: Add missing description to parameter in alloc_pt_range

Paulo Zanoni (4):
      drm/i915: extract intel_fbc_find_crtc()
      drm/i915: HSW+ FBC is tied to pipe A
      drm/i915: gen5+ can have FBC with multiple pipes
      drm/i915: don't reallocate the compressed FB at every frame

Ramalingam C (2):
      drm/i915: Add support for DRRS in intel_dp_set_m_n
      drm/i915: Enhancing eDP DRRS debug message

Sonika Jindal (2):
      drm/i915/skl: Support for edp low_vswing param in vbt
      drm/i915/skl: Add support for edp1.4 low vswing

Thomas Daniel (2):
      drm/i915: Reset logical ring contexts' head and tail during GPU reset
      drm/i915: Shift driver's HWSP usage out of reserved range

Tvrtko Ursulin (4):
      drm/i915/skl: Add new displayable tiling formats
      drm/i915/skl: Updated watermark programming
      drm/i915/skl: Update watermarks for Y tiling
      drm/i915/skl: Allow Y (and Yf) frame buffer creation

Vandana Kannan (3):
      drm/i915/bdw: Add support for DRRS to switch RR
      drm/i915: Support for RR switching on VLV
      Documentation/drm: DocBook integration for DRRS

Vijay Purushothaman (2):
      drm/i915: Add new PHY reg definitions for lock threshold
      drm/i915: Limit max VCO supported in CHV to 6.48GHz

Ville Syrjälä (1):
      drm/i915: Add media rc6 residency file to sysfs

 Documentation/DocBook/drm.tmpl             |  11 +
 drivers/gpu/drm/drm_irq.c                  |  56 ++-
 drivers/gpu/drm/i915/Makefile              |   3 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c     |  74 ++--
 drivers/gpu/drm/i915/i915_debugfs.c        | 121 +++++--
 drivers/gpu/drm/i915/i915_dma.c            | 179 +++++++---
 drivers/gpu/drm/i915/i915_drv.c            | 162 ++++-----
 drivers/gpu/drm/i915/i915_drv.h            | 152 +-------
 drivers/gpu/drm/i915/i915_gem.c            |  30 +-
 drivers/gpu/drm/i915/i915_gem_context.c    |  12 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  97 ++---
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 362 +++++++++++--------
 drivers/gpu/drm/i915/i915_gem_gtt.h        |  33 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |   2 +-
 drivers/gpu/drm/i915/i915_irq.c            |  90 +----
 drivers/gpu/drm/i915/i915_reg.h            |  62 +++-
 drivers/gpu/drm/i915/i915_suspend.c        | 215 +----------
 drivers/gpu/drm/i915/i915_sysfs.c          |  64 ++--
 drivers/gpu/drm/i915/i915_ums.c            | 552 -----------------------------
 drivers/gpu/drm/i915/intel_bios.c          |   7 +
 drivers/gpu/drm/i915/intel_bios.h          |   1 +
 drivers/gpu/drm/i915/intel_ddi.c           |  46 ++-
 drivers/gpu/drm/i915/intel_display.c       | 345 ++++++++++++------
 drivers/gpu/drm/i915/intel_dp.c            | 135 ++++++-
 drivers/gpu/drm/i915/intel_drv.h           |  25 +-
 drivers/gpu/drm/i915/intel_fbc.c           |  57 ++-
 drivers/gpu/drm/i915/intel_lrc.c           | 115 +++++-
 drivers/gpu/drm/i915/intel_lrc.h           |   4 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   2 +-
 drivers/gpu/drm/i915/intel_opregion.c      |   6 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   2 -
 drivers/gpu/drm/i915/intel_pm.c            | 119 +++++--
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  89 ++++-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  11 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  34 +-
 drivers/gpu/drm/i915/intel_uncore.c        |  19 +-
 include/drm/drmP.h                         |   1 +
 include/uapi/drm/drm_fourcc.h              |  15 +
 38 files changed, 1604 insertions(+), 1706 deletions(-)
 delete mode 100644 drivers/gpu/drm/i915/i915_ums.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-02-27 18:03 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-02-27 18:03 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

-rc1 is out, time for the first i915 pull request ;-)

drm-intel-next-2015-02-14:
- use the atomic helpers for plane_upate/disable hooks (Matt Roper)
- refactor the initial plane config code (Damien)
- ppgtt prep patches for dynamic pagetable alloc (Ben Widawsky, reworked and
  rebased by a lot of other people)
- framebuffer modifier support from Tvrtko Ursulin, drm core code from Rob Clark
- piles of workaround patches for skl from Damien and Nick Hoath
- vGPU support for xengt on the client side (Yu Zhang)
- and the usual smaller things all over

Cheers, Daniel


The following changes since commit b838cbee0d6f0234406e435032b2304f3d05515d:

  drm/i915: Remove bogus locking check in the hangcheck code (2015-02-03 17:13:04 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-02-14

for you to fetch changes up to d4495cbaa5869d2ce8f4b1c9331d3a19b24eb98b:

  drm/i915: Update DRIVER_DATE to 20150214 (2015-02-14 00:41:35 +0100)

----------------------------------------------------------------
- use the atomic helpers for plane_upate/disable hooks (Matt Roper)
- refactor the initial plane config code (Damien)
- ppgtt prep patches for dynamic pagetable alloc (Ben Widawsky, reworked and
  rebased by a lot of other people)
- framebuffer modifier support from Tvrtko Ursulin, drm core code from Rob Clark
- piles of workaround patches for skl from Damien and Nick Hoath
- vGPU support for xengt on the client side (Yu Zhang)
- and the usual smaller things all over

----------------------------------------------------------------
Andreas Ruprecht (1):
      drm/i915: Remove references to previously removed UMS config option

Ben Widawsky (4):
      drm/i915/trace: Fix offsets for 64b
      drm/i915: Rename to GEN8_LEGACY_PDPES
      drm/i915: Setup less PPGTT on failed page_directory
      drm/i915/gen8: Un-hardcode number of page directories

Damien Lespiau (38):
      drm/i915/skl: Remove the check enforcing VCS2 to be gen8 only
      drm/i915/skl: Split the SKL PCI ids by GT
      drm/i915/skl: Declare that GT3 has a second VCS
      drm/i915: Put update_state_fb() next to the fb update
      drm/i915: Use an intermediate variable to avoid repeating ourselves
      drm/i915: Don't try to reference the fb in get_initial_plane_config()
      drm/i915: Store the initial framebuffer in initial_plane_config
      drm/i915: Fix atomic state when reusing the firmware fb
      drm/i915: Make sure the primary plane is enabled before reading out the fb state
      drm/i915: Support not having an init clock gating function defined
      drm/i915/bdw: Implement WaForceContextSaveRestoreNonCoherent
      drm/i915: Garbage collect orphaned prototypes
      drm/i915: Make intel_ring_setup_status_page() static
      drm/i915: Remove intel_dsi_cmd.h
      drm/i915: Make intel_lr_context_render_state_init() static
      drm/i915: Make intel_logical_ring_advance_and_submit() static
      drm/i915: Make intel_dp_check_link_status() static
      drm/i915: Make intel_dp_unpack_aux() static
      drm/i915: Make intel_unpin_fb_obj() static
      drm/i915: Remove the IS_SNB_GT1 define
      drm/i915: Detect eDRAM with the enabled bit only
      drm/i915/skl: Also detect eDRAM on SKL
      drm/i915/skl: Document the WM read latency W/A with its name
      drm/i915/skl: Provide a gen9 specific init_render_ring()
      drm/i915/skl: Make the init clock gating function skylake specific
      drm/i915/skl: Implement WaSetGAPSunitClckGateDisable
      drm/i915/skl: Document that we implement WaRsClearFWBitsAtReset
      drm/i915/skl: Introduce a SKL specific init_workarounds()
      drm/i915/skl: Implement WaDisablePartialResolveInVc
      drm/i915/skl: Implement WaDisableLSQCROPERFforOCL
      drm/i915/skl: Implement WaDisableHDCInvalidation
      drm/i915/skl: Implement WaDisableChickenBitTSGBarrierAckForFFSliceCS
      drm/i915/skl: Implement WaCcsTlbPrefetchDisable:skl
      drm/i915/skl: Implement WaBarrierPerformanceFixDisable
      drm/i915/skl: Implement WaSetDisablePixMaskCammingAndRhwoInCommonSliceChicken
      drm/i915/skl: Implement WaEnableLbsSlaRetryTimerDecrement
      drm/i915/skl: Fix always true comparison in a revision id check
      drm/i915/skl: Use a LRI for WaDisableDgMirrorFixInHalfSliceChicken5

Daniel Vetter (5):
      drm/i915: Add fb format modifier support
      drm/i915: Set up fb format modifier for initial plane config
      drm/i915: Switch intel_fb_align_height to fb format modifiers
      drm/i915: Use fb format modifiers in skylake_update_primary_plane
      drm/i915: Update DRIVER_DATE to 20150214

Hoath, Nicholas (6):
      drm/i915: ring w/a initialisation for gen 9
      drm/i915: ring w/a gen 9 revision definitions
      drm/i915/gen9: Implement WaDisablePartialInstShootdown
      drm/i915/gen9: Implement WaDisableSDEUnitClockGating
      drm/i915/gen9: Implement Wa4x4STCOptimizationDisable
      drm/i915/gen9: Implement WaForceEnableNonCoherent

Jani Nikula (1):
      drm/i915/fbc: fix the check for already reserved fbc size

Matt Roper (3):
      drm/i915: Keep plane->state updated on pageflip
      drm/i915: Switch planes from transitional helpers to full atomic helpers
      drm/i915: Ensure plane->state->fb stays in sync with plane->fb

Mika Kuoppala (1):
      drm/i915: Add process identifier to requests

Nick Hoath (5):
      drm/i915/gen9: Implement WaDisableDgMirrorFixInHalfSliceChicken5
      drm/i915/gen9: h/w w/a: syncing dependencies between camera and graphics
      drm/i915/gen9: Implement WaEnableYV12BugFixInHalfSliceChicken7
      drm/i915: gen 9 h/w w/a Fix stepping check
      drm/i915: gen 9 h/w w/a (WaEnableForceRestoreInCtxtDescForVCS)

Paulo Zanoni (3):
      drm/i915: don't try to find crtcs for FBC if it's disabled
      drm/i915: don't keep reassigning FBC_UNSUPPORTED
      drm/i915: change dev_priv->fbc.plane to dev_priv->fbc.crtc

Rob Clark (1):
      drm: add support for tiled/compressed/etc modifier in addfb2

Satheeshakrishna M (1):
      drm/i915/skl: Implementation of SKL display power well support

Shobhit Kumar (1):
      drm/i915: Correct the variable holding the value for EOT to write

Tvrtko Ursulin (8):
      drm/i915: Track old framebuffer instead of object
      drm/i915: Add tiled framebuffer modifiers
      drm/i915: Show frame buffer modifier in debug info
      drm/i915/skl: CS flips are not supported with execlists
      drm/i915/skl: Use fb modifiers for sprites
      drm/i915: Use fb modifiers in intel_check_cursor_plane
      drm/i915: Use fb modifiers in intel_pin_and_fence_fb_obj
      drm/i915: Announce support for framebuffer modifiers

Ville Syrjälä (1):
      drm/i915: Introduce intel_set_rps()

Yu Zhang (8):
      drm/i915: Introduce a PV INFO page structure for Intel GVT-g.
      drm/i915: Adds graphic address space ballooning logic
      drm/i915: Partition the fence registers for vGPU in i915 driver
      drm/i915: Disable framebuffer compression for i915 driver in VM
      drm/i915: Add the display switch logic for vGPU in i915 driver
      drm/i915: Disable power management for i915 driver in VM
      drm/i915: Create vGPU specific MMIO operations to reduce traps
      drm/i915: Support alias ppgtt in VM if ppgtt is enabled

Zhi Wang (1):
      drm/i915: Introduce bit definitions of CTXT_SR_CTRL register.

 Documentation/DocBook/drm.tmpl          |   5 +
 drivers/gpu/drm/drm_crtc.c              |  14 +-
 drivers/gpu/drm/drm_crtc_helper.c       |   1 +
 drivers/gpu/drm/drm_ioctl.c             |   3 +
 drivers/gpu/drm/i915/Makefile           |   3 +
 drivers/gpu/drm/i915/i915_debugfs.c     |  16 +-
 drivers/gpu/drm/i915/i915_dma.c         |   8 +
 drivers/gpu/drm/i915/i915_drv.c         |  21 ++-
 drivers/gpu/drm/i915/i915_drv.h         |  37 +++--
 drivers/gpu/drm/i915/i915_gem.c         |   9 ++
 drivers/gpu/drm/i915/i915_gem_gtt.c     |  44 +++++-
 drivers/gpu/drm/i915/i915_gem_gtt.h     |   8 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c  |   8 +-
 drivers/gpu/drm/i915/i915_gpu_error.c   |   5 +-
 drivers/gpu/drm/i915/i915_irq.c         |   5 +-
 drivers/gpu/drm/i915/i915_reg.h         |  48 +++++-
 drivers/gpu/drm/i915/i915_sysfs.c       |  10 +-
 drivers/gpu/drm/i915/i915_trace.h       |   8 +-
 drivers/gpu/drm/i915/i915_vgpu.c        | 264 ++++++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_vgpu.h        |  91 +++++++++++
 drivers/gpu/drm/i915/intel_display.c    | 261 ++++++++++++++++---------------
 drivers/gpu/drm/i915/intel_dp.c         |   4 +-
 drivers/gpu/drm/i915/intel_drv.h        |  18 +--
 drivers/gpu/drm/i915/intel_dsi.c        |   2 +-
 drivers/gpu/drm/i915/intel_dsi_cmd.h    |  39 -----
 drivers/gpu/drm/i915/intel_fbc.c        |  36 ++---
 drivers/gpu/drm/i915/intel_fbdev.c      |   3 +-
 drivers/gpu/drm/i915/intel_lrc.c        | 109 ++++++++-----
 drivers/gpu/drm/i915/intel_lrc.h        |   8 +-
 drivers/gpu/drm/i915/intel_pm.c         | 114 ++++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c | 217 +++++++++++++++++---------
 drivers/gpu/drm/i915/intel_ringbuffer.h |   1 -
 drivers/gpu/drm/i915/intel_runtime_pm.c | 220 ++++++++++++++++++++++++++
 drivers/gpu/drm/i915/intel_sprite.c     |  19 +--
 drivers/gpu/drm/i915/intel_uncore.c     |  40 ++++-
 include/drm/drm_crtc.h                  |   4 +
 include/drm/i915_pciids.h               |  28 ++--
 include/uapi/drm/drm.h                  |   1 +
 include/uapi/drm/drm_fourcc.h           |  63 ++++++++
 include/uapi/drm/drm_mode.h             |   9 ++
 40 files changed, 1348 insertions(+), 456 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_vgpu.c
 create mode 100644 drivers/gpu/drm/i915/i915_vgpu.h
 delete mode 100644 drivers/gpu/drm/i915/intel_dsi_cmd.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-02-04 12:08 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-02-04 12:08 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

As discussed on irc one more pull for a bit of atomic goodies. Otherwise
just random all over. Plus one fixup on top of the tag because we've
accidentally broken thread-safety for the hangcheck.

drm-intel-next-2015-01-30:
- chv rps improvements from Ville
- atomic state handling prep work from Ander
- execlist request tracking refactoring from Nick Hoath
- forcewake code consolidation from Chris&Mika
- fastboot plane config refactoring and skl support from Damien
- some more skl pm patches all over (Damien)
- refactor dsi code to use drm dsi helpers and drm_panel infrastructure (Jani)
- first cut at experimental atomic plane updates (Matt Roper)
- piles of smaller things all over, as usual

From now on Jani will take care of 3.20, and apparently he already has
some fun with amdkfd conflicts ...

Cheers, Daniel


The following changes since commit 1da30627fc511a57c9bd23a02c97f0576379f761:

  drm: Add rotation value to plane state (2015-01-27 18:48:53 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel drm-intel-next

for you to fetch changes up to b838cbee0d6f0234406e435032b2304f3d05515d:

  drm/i915: Remove bogus locking check in the hangcheck code (2015-02-03 17:13:04 +0100)

----------------------------------------------------------------
Ander Conselvan de Oliveira (9):
      drm/i915: Rename struct intel_crtc_config to intel_crtc_state
      drm/i915: Embedded struct drm_crtc_state in intel_crtc_state
      drm/i915: Pass new_config down do crtc_compute_clock
      drm/i915: Use local pipe_config varariable when available
      drm/i915: Make intel_crtc->config a pointer
      drm/i915: Improve how the memory for crtc state is allocated
      drm/i915: Keep drm_crtc->state in sync with intel_crtc->config
      drm/i915: Split shared dpll setup out of __intel_set_mode()
      drm/i915: Use pipe_config's cpu_transcoder for reading encoder hw state

Chris Wilson (9):
      drm/i915: Rebalance runtime pm vs forcewake
      drm/i915: Assert that runtime pm is active on user fw access
      drm/i915: Skip uncore lock on earlier gens
      drm/i915: Reduce duplicated forcewake logic
      drm/i915: Performed deferred clflush inside set-cache-level
      agp/intel: Serialise after GTT updates
      drm/i915: Convert hangcheck from a timer into a delayed work item
      drm/i915: Display current hangcheck status in debugfs
      Revert "drm/i915: Fix mutex->owner inspection race under DEBUG_MUTEXES"

Damien Lespiau (12):
      drm/i915/skl: Retrieve the frequency limits
      drm/i915: Change plane_config to store a tiling_mode
      drm/i915: Use a common function for computing the fb height alignment
      drm/i915: Unclutter the get_plane() functions
      drm/i915: Don't use crtc->plane in ILK+ get_config()
      drm/i915: Use pipe_name() in the get_plane_config() functions
      drm/i915: Make intel_format_to_fourcc() static
      drm/i915/skl: intel_format_to_fourcc() doesn't work for SKL planes
      drm/i915/skl: Provide a Skylake version of get_plane_config()
      drm/i915: Rename plane_config to initial_plane_config
      drm/i915: Fix kzalloc() smatch warnings in get_initial_plane_config()
      drm/i915: Use sizeof(*fb) not sizeof(struct ...) in get_initial_plane_config()

Daniel Vetter (4):
      drm/i915: Simplify flush_cpu_write_domain
      drm/i915: Use symbolic irqreturn for ->hpd_pulse
      drm/i915: Update DRIVER_DATE to 20150130
      drm/i915: Remove bogus locking check in the hangcheck code

Deepak S (3):
      drm/i915/chv: Populate total EU count on Cherryview
      drm/i915: Increase the range of sideband address.
      drm/i915: New offset for reading frequencies on CHV.

Jani Nikula (12):
      drm/i915/dsi: call dpi_send_cmd() for each dsi port at a higher level
      drm/i915/dsi: set max return packet size for each dsi port
      drm/i915/dsi: move wait_for_dsi_fifo_empty to intel_dsi.c
      drm/i915/dsi: call wait_for_dsi_fifo_empty() for each dsi port
      drm/i915/dsi: remove unnecessary dsi device callbacks
      drm/i915/dsi: add some constness to vbt panel driver
      drm/i915/dsi: switch to drm_panel interface
      drm/i915/dsi: add drm mipi dsi host support
      drm/i915/dsi: make the vbt panel driver use mipi_dsi_device for transfers
      drm/i915/dsi: remove old read/write functions in favor of new stuff
      drm/i915/dsi: move dpi_send_cmd() to intel_dsi.c and make it static
      drm/i915/dsi: remove intel_dsi_cmd.c and the unused functions therein

Jesse Barnes (1):
      drm/i915/skl: add turbo support

Kumar Amit Mehta (1):
      drivers: gpu: drm: i915: intel_fifo_underrun.c: Fix a typo in comment

Matt Roper (10):
      drm/i915: Don't cleanup plane state in intel_plane_destroy()
      drm/i915: Move rotation from intel_plane to drm_plane_state
      drm/i915: Consolidate plane handler vtables
      drm/i915: Add .atomic_{get, set}_property() entrypoints to planes
      drm/i915: Add main atomic entrypoints (v2)
      drm/i915: Setup dummy atomic state for connectors (v3)
      drm/i915: Add atomic_get_property entrypoint for connectors (v2)
      drm/i915: Add crtc state duplication/destruction functions
      drm/i915: Switch plane properties to full atomic helper.
      drm/i915: Add i915.nuclear_pageflip command line param to force atomic (v4)

Mika Kuoppala (12):
      drm/i915: Consolidate forcewake code
      drm/i915: Make vlv and chv forcewake put generic.
      drm/i915: Rename the forcewake get/put functions
      drm/i915: Enum forcewake domains and domain identifiers
      drm/i915: Rename i915_gen6_forcewake_count_info
      drm/i915: Balance context pinning on reset cleanup
      drm/i915: Rename unpin_count to pin_count
      drm/i915: Be consistent on printing seqnos
      drm/i915/documentation: Add intel_uncore.c to drm.tmpl
      drm/i915: Remove nested work in gpu error handling
      drm/i915: Do uncore early sanitize after domain init
      drm/i915: Don't do posting reads on getting forcewake

Nick Hoath (5):
      drm/i915: execlist request keeps ptr/ref to gem_request
      drm/i915: Removed duplicate members from submit_request
      drm/i915: Remove FIXME_lrc_ctx backpointer
      drm/i915: Subsume intel_ctx_submit_request in to drm_i915_gem_request
      drm/i915: Fix a use-after-free in intel_execlists_retire_requests

Satheeshakrishna M (1):
      drm/i915/skl: Adding power domains for AUX controllers

Sonika Jindal (1):
      drm/i915/skl: Enabling PSR on Skylake

Vandana Kannan (4):
      drm/i915: Modifying structures related to DRRS
      drm/i915: Initialize DRRS delayed work
      drm/i915: Enable/disable DRRS
      drm/i915: DRRS calls based on frontbuffer

Ville Syrjälä (15):
      drm/i915: Disable RC6 before configuring in on VLV/CHV
      drm/i915: Change VLV GEN6_RP_DOWN_TIMEOUT value to decimal
      drm/i915: Configure GEN6_RP_DOWN_TIMEOUT on CHV
      Revert "Revert "drm/i915/chv: Use timeout mode for RC6 on chv""
      drm/i915: Drop VLV checks from rc6p and rc6pp sysfs files
      drm/i915: Rename 'reg' to 'clk_reg' to unconfuse it from the other 'reg'
      drm/i915: Implement Wa4x4STCOptimizationDisable:chv
      drm/i915: Drop some more CHV pre-production workarounds
      drm/i915: Change CHV WIZ hashing mode to 16x4
      drm/i915: Change VLV WIZ hashing mode to 16x4
      drm/i915: Update PMINTRMSK on VLV/CHV after sysfs min/max freq change
      drm/i915: Add gt_act_freq_mhz sysfs file
      drm/i915: Add intel_gpu_freq() and intel_freq_opcode()
      drm/i915: Use intel_gpu_freq() and intel_freq_opcode()
      drm/i915: Handle CHV in vlv_set_rps_idle()

Zhe Wang (1):
      drm/i915/skl: Gen9 coarse power gating

Zhipeng Gong (2):
      drm/i915: Specify bsd rings through exec flag
      drm/i915: add I915_PARAM_HAS_BSD2 to i915_getparam

 Documentation/DocBook/drm.tmpl             |    1 +
 drivers/char/agp/intel-gtt.c               |   14 +-
 drivers/gpu/drm/i915/Kconfig               |    2 +
 drivers/gpu/drm/i915/Makefile              |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  195 +++--
 drivers/gpu/drm/i915/i915_dma.c            |   28 +-
 drivers/gpu/drm/i915/i915_drv.c            |   15 +-
 drivers/gpu/drm/i915/i915_drv.h            |  176 +++--
 drivers/gpu/drm/i915/i915_gem.c            |   72 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   26 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |    2 +-
 drivers/gpu/drm/i915/i915_irq.c            |   77 +-
 drivers/gpu/drm/i915/i915_params.c         |    5 +
 drivers/gpu/drm/i915/i915_reg.h            |   28 +
 drivers/gpu/drm/i915/i915_sysfs.c          |  133 ++--
 drivers/gpu/drm/i915/intel_atomic.c        |  237 ++++++
 drivers/gpu/drm/i915/intel_atomic_plane.c  |  116 ++-
 drivers/gpu/drm/i915/intel_audio.c         |    2 +-
 drivers/gpu/drm/i915/intel_crt.c           |   19 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  105 +--
 drivers/gpu/drm/i915/intel_display.c       | 1071 +++++++++++++++-----------
 drivers/gpu/drm/i915/intel_dp.c            |  232 ++++--
 drivers/gpu/drm/i915/intel_dp_mst.c        |   19 +-
 drivers/gpu/drm/i915/intel_drv.h           |  115 +--
 drivers/gpu/drm/i915/intel_dsi.c           |  359 +++++++--
 drivers/gpu/drm/i915/intel_dsi.h           |   69 +-
 drivers/gpu/drm/i915/intel_dsi_cmd.c       |  432 -----------
 drivers/gpu/drm/i915/intel_dsi_cmd.h       |   78 --
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c |  290 +++----
 drivers/gpu/drm/i915/intel_dvo.c           |   23 +-
 drivers/gpu/drm/i915/intel_fbc.c           |   12 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |   15 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c |    2 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c   |    2 +
 drivers/gpu/drm/i915/intel_hdmi.c          |   51 +-
 drivers/gpu/drm/i915/intel_lrc.c           |  187 ++---
 drivers/gpu/drm/i915/intel_lrc.h           |   41 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   19 +-
 drivers/gpu/drm/i915/intel_overlay.c       |    2 +-
 drivers/gpu/drm/i915/intel_panel.c         |   16 +-
 drivers/gpu/drm/i915/intel_pm.c            |  262 ++++---
 drivers/gpu/drm/i915/intel_psr.c           |   34 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   22 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   14 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c    |   15 +
 drivers/gpu/drm/i915/intel_sdvo.c          |   31 +-
 drivers/gpu/drm/i915/intel_sideband.c      |    4 +-
 drivers/gpu/drm/i915/intel_sprite.c        |   62 +-
 drivers/gpu/drm/i915/intel_tv.c            |   13 +-
 drivers/gpu/drm/i915/intel_uncore.c        | 1126 +++++++++++++---------------
 include/uapi/drm/i915_drm.h                |    9 +-
 51 files changed, 3193 insertions(+), 2689 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_atomic.c
 delete mode 100644 drivers/gpu/drm/i915/intel_dsi_cmd.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-01-23 15:44 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-01-23 15:44 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2015-01-17:
- refactor i915/snd-hda interaction to use the component framework (Imre)
- psr cleanups and small fixes (Rodrigo)
- a few perf w/a from Ken Graunke
- switch to atomic plane helpers (Matt Roper)
- wc mmap support (Chris Wilson & Akash Goel)
- smaller things all over

Cheers, Daniel


The following changes since commit eaa27f34e91a14cdceed26ed6c6793ec1d186115:

  linux 3.19-rc4 (2015-01-11 12:44:53 -0800)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2015-01-17

for you to fetch changes up to 0a0c0018f6badd4adebe1cb453dc42143a464f87:

  drm/i915: Update DRIVER_DATE to 20150117 (2015-01-17 10:43:04 +0100)

----------------------------------------------------------------
- refactor i915/snd-hda interaction to use the component framework (Imre)
- psr cleanups and small fixes (Rodrigo)
- a few perf w/a from Ken Graunke
- switch to atomic plane helpers (Matt Roper)
- wc mmap support (Chris Wilson & Akash Goel)
- smaller things all over

----------------------------------------------------------------
Akash Goel (1):
      drm/i915: Support creation of unbound wc user mappings for objects

Andy Shevchenko (1):
      i915: reuse %ph to dump small buffers

Ben Widawsky (1):
      drm/i915: Add some extra guards in evict_vm

Chris Wilson (3):
      drm/i915: Broaden application of set-domain(GTT)
      drm/i915: Move the ban period onto the context
      drm/i915: Add ioctl to set per-context parameters

Daniel Vetter (4):
      drm/i915: Include i915_gem_evict.c kerneldoc into the drm docbook
      drm/i915: Push vblank enable/disable past encoder->enable/disable
      Merge tag 'topic/i915-hda-componentized-2015-01-12' into drm-intel-next-queued
      drm/i915: Update DRIVER_DATE to 20150117

Imre Deak (6):
      drm/i915: add dev_to_i915 helper
      drm/i915: add component support
      ALSA: hda: export struct hda_intel
      ALSA: hda: pass intel_hda to all i915 interface functions
      ALSA: hda: add component support
      drm/i915: remove unused power_well/get_cdclk_freq api

Jani Nikula (1):
      drm/i915: fix build for CONFIG_BUG=n

Kenneth Graunke (4):
      drm/i915: Make sample_c messages go faster on Haswell.
      drm/i915: Improve HiZ throughput on Cherryview.
      drm/i915: Enable the HiZ RAW Stall Optimization on Broadwell.
      drm/i915: Ensure the HiZ RAW Stall Optimization is on for Cherryview.

Matt Roper (6):
      drm/i915: Refactor work that can sleep out of commit (v7)
      drm/i915: Move vblank evasion to commit (v4)
      drm/i915: Clarify sprite plane function names (v4)
      drm/i915: Move to atomic plane helpers (v9)
      drm/i915: Drop unused position fields (v2)
      drm/i915: Fix kerneldoc for i915 atomic plane code

Rodrigo Vivi (8):
      Revert "drm/i915: Parsing LFP brightness control from VBT"
      Revert "drm/i915/chv: Use timeout mode for RC6 on chv"
      drm/i915: VLV/CHV PSR needs to exit PSR on every flush.
      drm/i915: PSR VLV/CHV: Remove condition checks that only applies to Haswell.
      drm/i915: PSR HSW/BDW: Fix inverted logic at sink main_link_active bit.
      drm/i915: Add missing vbt check.
      drm/i915: group link_standby setup and let this info visible everywhere.
      drm/i915: PSR link standby at debugfs

Thomas Daniel (1):
      drm/i915: Reset CSB read pointer in ring init

Tvrtko Ursulin (1):
      drm/i915: Reserve shadow batch VMA analogue to others

Ville Syrjälä (4):
      drm/i915: Make hpd arrays big enough to avoid out of bounds access
      drm/i915: Remove I915_HAS_HOTPLUG() check from i915_hpd_irq_setup()
      drm/i915: Don't register HDMI connectors for eDP ports on VLV/CHV
      drm/i915: Don't pretend SDVO hotplug works on 915

 Documentation/DocBook/drm.tmpl                |   31 +
 drivers/gpu/drm/drm_crtc.c                    |   32 +-
 drivers/gpu/drm/drm_modes.c                   |   26 +-
 drivers/gpu/drm/i915/Makefile                 |    3 +
 drivers/gpu/drm/i915/i915_cmd_parser.c        |  131 ++-
 drivers/gpu/drm/i915/i915_debugfs.c           |  235 ++++--
 drivers/gpu/drm/i915/i915_dma.c               |   21 +-
 drivers/gpu/drm/i915/i915_drv.c               |   18 +-
 drivers/gpu/drm/i915/i915_drv.h               |  272 +++++-
 drivers/gpu/drm/i915/i915_gem.c               |  600 +++++++------
 drivers/gpu/drm/i915/i915_gem_batch_pool.c    |  137 +++
 drivers/gpu/drm/i915/i915_gem_context.c       |  114 ++-
 drivers/gpu/drm/i915/i915_gem_evict.c         |   11 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |  119 ++-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |  170 +++-
 drivers/gpu/drm/i915/i915_gem_gtt.h           |   35 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |    2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c        |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c         |   91 +-
 drivers/gpu/drm/i915/i915_irq.c               |   84 +-
 drivers/gpu/drm/i915/i915_params.c            |    9 +-
 drivers/gpu/drm/i915/i915_reg.h               |  382 +++++----
 drivers/gpu/drm/i915/i915_suspend.c           |    2 +-
 drivers/gpu/drm/i915/i915_trace.h             |   69 +-
 drivers/gpu/drm/i915/intel_atomic_plane.c     |  152 ++++
 drivers/gpu/drm/i915/intel_audio.c            |  110 +++
 drivers/gpu/drm/i915/intel_bios.c             |   45 +
 drivers/gpu/drm/i915/intel_bios.h             |   25 +-
 drivers/gpu/drm/i915/intel_ddi.c              |   25 +-
 drivers/gpu/drm/i915/intel_display.c          | 1124 +++++++++++--------------
 drivers/gpu/drm/i915/intel_dp.c               |   25 +-
 drivers/gpu/drm/i915/intel_drv.h              |   88 +-
 drivers/gpu/drm/i915/intel_dsi.c              |  483 +++++++----
 drivers/gpu/drm/i915/intel_dsi.h              |   26 +
 drivers/gpu/drm/i915/intel_dsi_cmd.c          |  141 ++--
 drivers/gpu/drm/i915/intel_dsi_cmd.h          |   46 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c    |   58 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c          |   12 +-
 drivers/gpu/drm/i915/intel_fbc.c              |  701 +++++++++++++++
 drivers/gpu/drm/i915/intel_lrc.c              |  180 ++--
 drivers/gpu/drm/i915/intel_lrc.h              |    2 +-
 drivers/gpu/drm/i915/intel_overlay.c          |   44 +-
 drivers/gpu/drm/i915/intel_pm.c               |  816 +-----------------
 drivers/gpu/drm/i915/intel_psr.c              |  278 ++++--
 drivers/gpu/drm/i915/intel_renderstate_gen6.c |   25 +
 drivers/gpu/drm/i915/intel_renderstate_gen7.c |   25 +
 drivers/gpu/drm/i915/intel_renderstate_gen8.c |   25 +
 drivers/gpu/drm/i915/intel_renderstate_gen9.c |   25 +
 drivers/gpu/drm/i915/intel_ringbuffer.c       |  244 +++---
 drivers/gpu/drm/i915/intel_ringbuffer.h       |   23 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c       |   58 +-
 drivers/gpu/drm/i915/intel_sdvo.c             |    3 +
 drivers/gpu/drm/i915/intel_sprite.c           |  354 ++------
 drivers/gpu/drm/i915/intel_uncore.c           |   15 +-
 include/drm/drm_crtc.h                        |    2 +
 include/drm/drm_modes.h                       |    3 +
 include/drm/i915_component.h                  |   38 +
 include/drm/i915_powerwell.h                  |   37 -
 include/uapi/drm/i915_drm.h                   |   21 +
 sound/pci/hda/hda_i915.c                      |  154 ++--
 sound/pci/hda/hda_i915.h                      |   37 -
 sound/pci/hda/hda_intel.c                     |   60 +-
 sound/pci/hda/hda_intel.h                     |   71 ++
 63 files changed, 4849 insertions(+), 3348 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.c
 create mode 100644 drivers/gpu/drm/i915/intel_atomic_plane.c
 create mode 100644 drivers/gpu/drm/i915/intel_fbc.c
 create mode 100644 include/drm/i915_component.h
 delete mode 100644 include/drm/i915_powerwell.h
 delete mode 100644 sound/pci/hda/hda_i915.h
 create mode 100644 sound/pci/hda/hda_intel.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2015-01-07  9:31 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2015-01-07  9:31 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-12-19:
- plane handling refactoring from Matt Roper and Gustavo Padovan in prep for
  atomic updates
- fixes and more patches for the seqno to request transformation from John
- docbook for fbc from Rodrigo
- prep work for dual-link dsi from Gaurav Signh
- crc fixes from Ville
- special ggtt views infrastructure from Tvrtko Ursulin
- shadow patch copying for the cmd parser from Brad Volkin
- execlist and full ppgtt by default on gen8, for testing for now
drm-intel-next-2014-12-05:
- dual-dsi enabling from Gaurav with prep work from Jani
- reshuffling the ring init code to move towards a clean sw/hw state setup split
- ring free space refactoring from Dave Gordon
- s/seqno/request/ rework from John Harrison
- psr support for vlv/chv from Rodrigo
- skl mmio flip support from Damien
- and the usual bits&pieces all over

Cheers, Daniel


The following changes since commit 00f0b3781028605910cb4662a0f8a4849b445fc2:

  drm/i915: Reject modeset when the same digital port is used more than once (2014-12-03 09:31:53 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-12-19

for you to fetch changes up to 0e2cfc005b376ed7b5c9a9fc466b5842fcc18cc7:

  drm/i915: Update DRIVER_DATE to 20141219 (2014-12-19 16:21:42 +0100)

----------------------------------------------------------------
- plane handling refactoring from Matt Roper and Gustavo Padovan in prep for
  atomic updates
- fixes and more patches for the seqno to request transformation from John
- docbook for fbc from Rodrigo
- prep work for dual-link dsi from Gaurav Signh
- crc fixes from Ville
- special ggtt views infrastructure from Tvrtko Ursulin
- shadow patch copying for the cmd parser from Brad Volkin
- execlist and full ppgtt by default on gen8, for testing for now

----------------------------------------------------------------
Ander Conselvan de Oliveira (1):
      drm/i915: Remove unnecessary goto in intel_primary_plane_disable()

Brad Volkin (5):
      drm/i915: Implement a framework for batch buffer pools
      drm/i915: Use batch pools with the command parser
      drm/i915: Use batch length instead of object size in command parser
      drm/i915: Mark shadow batch buffers as purgeable
      drm/i915: Tidy up execbuffer command parsing code

Chris Wilson (1):
      drm/i915: Assert that we successfully downclock the GPU before suspend

Damien Lespiau (8):
      drm/i915/skl: Read out crtl1 for eDP/DPLL0
      drm/i915/skl: Implement the skl version of MMIO flips
      drm/i915: Fix short description of intel_display_power_is_enabled()
      drm/i915/skl: Update the DDI translation values for DP/eDP 1.3
      drm/i915: Don't display nonsensical values in i915_ddb_info on gen < 9
      drm/i915: Add headers to the various render state
      drm/i915: Consolidate DDI clock reading out in a single function
      drm/i915/skl: Skylake also supports DP MST

Daniel Vetter (16):
      drm/i915: Remove user pinning code
      drm/i915: Convert i915_wait_seqno to i915_wait_request
      drm/i915: Check locking in i915_gem_request_unreference
      drm/i915: Remove redundant flip_work->flip_queued_ring
      drm/i915: s/init()/init_hw()/ in intel_engine_cs
      drm/i915: Move intel_init_pipe_control out of engine->init_hw
      drm/i915: Only init engines once
      drm/i915: Flatten engine init control flow
      drm/i915: Move init_unused_rings to gem_init_hw
      drm/i915: Update DRIVER_DATE to 20141205
      drm/i915: Move golden context init into ->init_context
      drm/i915: Check mask/bit helper functions
      drm/i915: Protect against leaks in pipe_crc_set_source
      drm/i915: Name the lrc irq handler correctly
      drm/i915: Use BUILD_BUG if possible in the i915 WARN_ON
      drm/i915: Update DRIVER_DATE to 20141219

Dave Gordon (4):
      drm/i915: Check for matching ringbuffer in logical_ring_wait_request()
      drm/i915: Don't read 'HEAD' MMIO register in LRC mode
      drm/i915: Make ring freespace calculation more robust
      drm/i915: Consolidate ring freespace calculations

Deepak M (1):
      drm/i915: Parsing LFP brightness control from VBT

Deepak S (3):
      drm/i915: Forcewake Register Range changes for CHV
      drm/i915/chv: Use timeout mode for RC6 on chv
      drm/i915: Skip gunit save/restore for cherryview

Gaurav K Singh (14):
      drm/i915: New functions added for enabling & disabling MIPI Port Ctrl reg
      drm/i915: Added port as parameter to the functions which does read/write of DSI Controller
      drm/i915: Add support for port enable/disable for dual link configuration
      drm/i915: Pixel Clock changes for DSI dual link
      drm/i915: Dual link needs Shutdown and Turn on packet for both ports
      drm/i915: Enable DSI PLL for both DSI0 and DSI1 in case of dual link
      drm/i915: cck reg used for checking DSI Pll locked
      drm/i915: MIPI Timings related changes for dual link
      drm/i915: Update the DSI disable path to support dual link panel disabling
      drm/i915: Update the DSI enable path to support dual
      drm/i915: Use DSI Pll1 for enabling MIPI DSI on Port C
      drm/i915: Enable MIPI PHY transparent latch for DSI Port C
      drm/i915: Software workaround for getting the HW status of DSI Port C on BYT
      drm/i915: Changes related to the sequence port no for

Gustavo Padovan (3):
      drm: add helper to get crtc timings (v5)
      drm/i915: remove intel_crtc_cursor_set_obj() (v5)
      drm/i915: remove intel_pipe_set_base() (v4)

Imre Deak (1):
      drm/i915: fix use after free during eDP encoder destroying

Jani Nikula (3):
      drm/i915/dsi: clean up MIPI DSI pipe vs. port usage
      drm/i915/dsi: add ports to intel_dsi to describe the ports being driven
      drm/i915: release struct_mutex on the i915_gem_init_hw fail path

John Harrison (23):
      drm/i915: Ensure OLS & PLR are always in sync
      drm/i915: Add reference count to request structure
      drm/i915: Add helper functions to aid seqno -> request transition
      drm/i915: Replace last_[rwf]_seqno with last_[rwf]_req
      drm/i915: Convert i915_gem_ring_throttle to use requests
      drm/i915: Ensure requests stick around during waits
      drm/i915: Remove 'outstanding_lazy_seqno'
      drm/i915: Make 'i915_gem_check_olr' actually check by request not seqno
      drm/i915: Convert 'last_flip_req' to be a request not a seqno
      drm/i915: Convert mmio_flip::seqno to struct request
      drm/i915: Convert __wait_seqno() to __wait_request()
      drm/i915: Remove obsolete seqno parameter from 'i915_add_request'
      drm/i915: Convert 'flip_queued_seqno' into 'flip_queued_request'
      drm/i915: Convert trace functions from seqno to request
      drm/i915: Convert 'ring_idle()' to use requests not seqnos
      drm/i915: Connect requests to rings at creation not submission
      drm/i915: Convert 'i915_seqno_passed' calls into 'i915_gem_request_completed'
      drm/i915: Remove the now redundant 'obj->ring'
      drm/i915: Convert 'trace_irq' to use requests rather than seqnos
      drm/i915: Fix up seqno -> request merge issues
      drm/i915: Zero fill the request structure
      drm/i915: Add unique id to the request structure for debugging
      drm/i915: Additional request structure tracing

Jordan Justen (1):
      drm/i915: Add GPGPU_THREADS_DISPATCHED to the register whitelist

Matt Roper (8):
      drm/i915: Introduce intel_prepare_cursor_plane() (v2)
      drm/i915: Make intel_plane_state subclass drm_plane_state
      drm/i915: Consolidate plane 'prepare' functions (v2)
      drm/i915: Consolidate plane 'cleanup' operations (v3)
      drm/i915: Consolidate top-level .update_plane() handlers
      drm/i915: Ensure state->crtc is non-NULL for plane updates
      drm/i915: Make all plane disables use 'update_plane' (v5)
      drm/i915: Hold runtime PM during plane commit

Michael H. Nguyen (1):
      drm/i915: Add MI_SET_APPID cmd to cmd parser tables

Michel Thierry (4):
      drm/i915/bdw: Add WaHdcDisableFetchWhenMasked
      drm/i915/bdw: Add WaForceEnableNonCoherent label
      drm/i915: Use true PPGTT in Gen8+ when execlists are enabled
      drm/i915: Warn about missing context state workarounds only once

Mika Kuoppala (1):
      drm/i915: Convert pxvid to extvid lookup table to a function

Rickard Strandqvist (1):
      gpu: drm: i915: intel_display.c: Remove unused function

Rob Clark (1):
      drm/i915: tame the chattermouth (v2)

Rodrigo Vivi (17):
      drm/i915: Parse VBT PSR block.
      drm/i915: HSW/BDW PSR Set idle_frames = VBT + 1
      drm/i915: PSR get full link off x standby from VBT
      drm/i915: remove PSR BDW single frame update.
      drm/i915: Remove intel_psr_is_enabled function.
      drm/i915: Add PSR registers for PSR VLV/CHV.
      drm/i915: PSR VLV/CHV: Introduce setup, enable and disable functions
      drm/i915: VLV/CHV PSR Software timer mode
      drm/i915: VLV/CHV PSR debugfs.
      drm/i915: Enable PSR for Baytrail and Braswell.
      drm/i915: Move FBC stuff to intel_fbc.c
      drm/i915: Introduce FBC DocBook.
      drm/i915: Organize Fence registers for future enablement.
      drm/i915: Organize PPGTT init
      drm/i915: Organize PDP regs report for future.
      drm/i915: Organize INSTDONE report for future.
      drm/i915: Organize bind_vma funcs

Sonika Jindal (2):
      drm/i915/skl: Correctly updating sprite wm parameter
      drm/i915/skl: Correcting the flushing of pipe

Thomas Daniel (2):
      drm/i915: Fix startup failure in LRC mode after recent init changes
      drm/i915/bdw: Enable execlists by default where supported

Tvrtko Ursulin (3):
      drm/i915: Stop putting GGTT VMA at the head of the list
      drm/i915: Infrastructure for supporting different GGTT views per object
      drm/i915: Documentation for multiple GGTT views

Ville Syrjälä (7):
      drm/i915: Deal with video overlay on GPU reset
      drm/i915: s/MI_STORE_DWORD_IMM_GEN8/MI_STORE_DWORD_IMM_GEN4/
      drm/i915: Engage the DP scramble reset for pipe C on CHV
      drm/i915: Fix CRC support for DP port D on CHV
      drm/i915: Protect pipe_crc->entries update
      drm/i915: Allocate the pipe_crc->entires with kcalloc()
      drm/i915: Make i915_pipe_crc_read() oops proof

 Documentation/DocBook/drm.tmpl                |  15 +
 drivers/gpu/drm/drm_crtc.c                    |  32 +-
 drivers/gpu/drm/drm_modes.c                   |  26 +-
 drivers/gpu/drm/i915/Makefile                 |   2 +
 drivers/gpu/drm/i915/i915_cmd_parser.c        | 131 +++-
 drivers/gpu/drm/i915/i915_debugfs.c           | 232 +++++--
 drivers/gpu/drm/i915/i915_dma.c               |  12 +-
 drivers/gpu/drm/i915/i915_drv.c               |   9 +-
 drivers/gpu/drm/i915/i915_drv.h               | 255 +++++++-
 drivers/gpu/drm/i915/i915_gem.c               | 535 +++++++--------
 drivers/gpu/drm/i915/i915_gem_batch_pool.c    | 137 ++++
 drivers/gpu/drm/i915/i915_gem_context.c       |  43 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    | 117 +++-
 drivers/gpu/drm/i915/i915_gem_gtt.c           | 170 +++--
 drivers/gpu/drm/i915/i915_gem_gtt.h           |  35 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |   2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c        |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c         |  91 +--
 drivers/gpu/drm/i915/i915_irq.c               |  30 +-
 drivers/gpu/drm/i915/i915_params.c            |   9 +-
 drivers/gpu/drm/i915/i915_reg.h               | 378 ++++++-----
 drivers/gpu/drm/i915/i915_suspend.c           |   2 +-
 drivers/gpu/drm/i915/i915_trace.h             |  69 +-
 drivers/gpu/drm/i915/intel_bios.c             |  65 ++
 drivers/gpu/drm/i915/intel_bios.h             |  36 +-
 drivers/gpu/drm/i915/intel_ddi.c              |  25 +-
 drivers/gpu/drm/i915/intel_display.c          | 895 ++++++++++++--------------
 drivers/gpu/drm/i915/intel_dp.c               |  21 +-
 drivers/gpu/drm/i915/intel_drv.h              |  36 +-
 drivers/gpu/drm/i915/intel_dsi.c              | 483 ++++++++------
 drivers/gpu/drm/i915/intel_dsi.h              |  26 +
 drivers/gpu/drm/i915/intel_dsi_cmd.c          | 141 ++--
 drivers/gpu/drm/i915/intel_dsi_cmd.h          |  46 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c    |  58 +-
 drivers/gpu/drm/i915/intel_dsi_pll.c          |  12 +-
 drivers/gpu/drm/i915/intel_fbc.c              | 701 ++++++++++++++++++++
 drivers/gpu/drm/i915/intel_lrc.c              | 178 ++---
 drivers/gpu/drm/i915/intel_lrc.h              |   2 +-
 drivers/gpu/drm/i915/intel_overlay.c          |  44 +-
 drivers/gpu/drm/i915/intel_pm.c               | 817 +----------------------
 drivers/gpu/drm/i915/intel_psr.c              | 246 +++++--
 drivers/gpu/drm/i915/intel_renderstate_gen6.c |  25 +
 drivers/gpu/drm/i915/intel_renderstate_gen7.c |  25 +
 drivers/gpu/drm/i915/intel_renderstate_gen8.c |  25 +
 drivers/gpu/drm/i915/intel_renderstate_gen9.c |  25 +
 drivers/gpu/drm/i915/intel_ringbuffer.c       | 226 ++++---
 drivers/gpu/drm/i915/intel_ringbuffer.h       |  23 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c       |   4 +-
 drivers/gpu/drm/i915/intel_sprite.c           | 183 +-----
 drivers/gpu/drm/i915/intel_uncore.c           |  15 +-
 include/drm/drm_crtc.h                        |   2 +
 include/drm/drm_modes.h                       |   3 +
 52 files changed, 3898 insertions(+), 2824 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_batch_pool.c
 create mode 100644 drivers/gpu/drm/i915/intel_fbc.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-12-19  9:44 Daniel Vetter
@ 2014-12-19 15:21 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-12-19 15:21 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Fri, Dec 19, 2014 at 10:44:34AM +0100, Daniel Vetter wrote:
> Hi Dave,
> 
> drm-intel-next-2014-12-05:
> - dual-dsi enabling from Gaurav with prep work from Jani
> - reshuffling the ring init code to move towards a clean sw/hw state setup split
> - ring free space refactoring from Dave Gordon
> - s/seqno/request/ rework from John Harrison
> - psr support for vlv/chv from Rodrigo
> - skl mmio flip support from Damien
> - and the usual bits&pieces all over
> 
> Looking at merges in -nightly there's a few harmless conflicts with things
> having changed right next to each another. Nothing complicated at all, so
> figured I'll send you the pull without a merge.
> 
> This is the last one of my pre-holidays early pull requests for 3.20.

Well I've missed an important bugfix which is only in dinq thus far. So
please ignore this one, I'll send you a new one with more next year for
drm-intel patches.
-Daniel

> 
> Cheers, Daniel
> 
> 
> The following changes since commit 00f0b3781028605910cb4662a0f8a4849b445fc2:
> 
>   drm/i915: Reject modeset when the same digital port is used more than once (2014-12-03 09:31:53 +0100)
> 
> are available in the git repository at:
> 
>   git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-12-05
> 
> for you to fetch changes up to 93dc1b6529eb8acd98243caaf399daf3c2c665bd:
> 
>   drm/i915: Update DRIVER_DATE to 20141205 (2014-12-05 15:59:16 +0100)
> 
> ----------------------------------------------------------------
> - dual-dsi enabling from Gaurav with prep work from Jani
> - reshuffling the ring init code to move towards a clean sw/hw state setup split
> - ring free space refactoring from Dave Gordon
> - s/seqno/request/ rework from John Harrison
> - psr support for vlv/chv from Rodrigo
> - skl mmio flip support from Damien
> - and the usual bits&pieces all over
> 
> ----------------------------------------------------------------
> Ander Conselvan de Oliveira (1):
>       drm/i915: Remove unnecessary goto in intel_primary_plane_disable()
> 
> Chris Wilson (1):
>       drm/i915: Assert that we successfully downclock the GPU before suspend
> 
> Damien Lespiau (5):
>       drm/i915/skl: Read out crtl1 for eDP/DPLL0
>       drm/i915/skl: Implement the skl version of MMIO flips
>       drm/i915: Fix short description of intel_display_power_is_enabled()
>       drm/i915/skl: Update the DDI translation values for DP/eDP 1.3
>       drm/i915: Don't display nonsensical values in i915_ddb_info on gen < 9
> 
> Daniel Vetter (10):
>       drm/i915: Remove user pinning code
>       drm/i915: Convert i915_wait_seqno to i915_wait_request
>       drm/i915: Check locking in i915_gem_request_unreference
>       drm/i915: Remove redundant flip_work->flip_queued_ring
>       drm/i915: s/init()/init_hw()/ in intel_engine_cs
>       drm/i915: Move intel_init_pipe_control out of engine->init_hw
>       drm/i915: Only init engines once
>       drm/i915: Flatten engine init control flow
>       drm/i915: Move init_unused_rings to gem_init_hw
>       drm/i915: Update DRIVER_DATE to 20141205
> 
> Dave Gordon (4):
>       drm/i915: Check for matching ringbuffer in logical_ring_wait_request()
>       drm/i915: Don't read 'HEAD' MMIO register in LRC mode
>       drm/i915: Make ring freespace calculation more robust
>       drm/i915: Consolidate ring freespace calculations
> 
> Gaurav K Singh (10):
>       drm/i915: New functions added for enabling & disabling MIPI Port Ctrl reg
>       drm/i915: Added port as parameter to the functions which does read/write of DSI Controller
>       drm/i915: Add support for port enable/disable for dual link configuration
>       drm/i915: Pixel Clock changes for DSI dual link
>       drm/i915: Dual link needs Shutdown and Turn on packet for both ports
>       drm/i915: Enable DSI PLL for both DSI0 and DSI1 in case of dual link
>       drm/i915: cck reg used for checking DSI Pll locked
>       drm/i915: MIPI Timings related changes for dual link
>       drm/i915: Update the DSI disable path to support dual link panel disabling
>       drm/i915: Update the DSI enable path to support dual
> 
> Jani Nikula (3):
>       drm/i915/dsi: clean up MIPI DSI pipe vs. port usage
>       drm/i915/dsi: add ports to intel_dsi to describe the ports being driven
>       drm/i915: release struct_mutex on the i915_gem_init_hw fail path
> 
> John Harrison (19):
>       drm/i915: Ensure OLS & PLR are always in sync
>       drm/i915: Add reference count to request structure
>       drm/i915: Add helper functions to aid seqno -> request transition
>       drm/i915: Replace last_[rwf]_seqno with last_[rwf]_req
>       drm/i915: Convert i915_gem_ring_throttle to use requests
>       drm/i915: Ensure requests stick around during waits
>       drm/i915: Remove 'outstanding_lazy_seqno'
>       drm/i915: Make 'i915_gem_check_olr' actually check by request not seqno
>       drm/i915: Convert 'last_flip_req' to be a request not a seqno
>       drm/i915: Convert mmio_flip::seqno to struct request
>       drm/i915: Convert __wait_seqno() to __wait_request()
>       drm/i915: Remove obsolete seqno parameter from 'i915_add_request'
>       drm/i915: Convert 'flip_queued_seqno' into 'flip_queued_request'
>       drm/i915: Convert trace functions from seqno to request
>       drm/i915: Convert 'ring_idle()' to use requests not seqnos
>       drm/i915: Connect requests to rings at creation not submission
>       drm/i915: Convert 'i915_seqno_passed' calls into 'i915_gem_request_completed'
>       drm/i915: Remove the now redundant 'obj->ring'
>       drm/i915: Convert 'trace_irq' to use requests rather than seqnos
> 
> Michel Thierry (1):
>       drm/i915/bdw: Add WaHdcDisableFetchWhenMasked
> 
> Mika Kuoppala (1):
>       drm/i915: Convert pxvid to extvid lookup table to a function
> 
> Rodrigo Vivi (10):
>       drm/i915: Parse VBT PSR block.
>       drm/i915: HSW/BDW PSR Set idle_frames = VBT + 1
>       drm/i915: PSR get full link off x standby from VBT
>       drm/i915: remove PSR BDW single frame update.
>       drm/i915: Remove intel_psr_is_enabled function.
>       drm/i915: Add PSR registers for PSR VLV/CHV.
>       drm/i915: PSR VLV/CHV: Introduce setup, enable and disable functions
>       drm/i915: VLV/CHV PSR Software timer mode
>       drm/i915: VLV/CHV PSR debugfs.
>       drm/i915: Enable PSR for Baytrail and Braswell.
> 
> Thomas Daniel (1):
>       drm/i915: Fix startup failure in LRC mode after recent init changes
> 
> Tvrtko Ursulin (1):
>       drm/i915: Stop putting GGTT VMA at the head of the list
> 
> Ville Syrjälä (2):
>       drm/i915: Deal with video overlay on GPU reset
>       drm/i915: s/MI_STORE_DWORD_IMM_GEN8/MI_STORE_DWORD_IMM_GEN4/
> 
>  drivers/gpu/drm/i915/i915_cmd_parser.c       |  14 +-
>  drivers/gpu/drm/i915/i915_debugfs.c          |  70 ++--
>  drivers/gpu/drm/i915/i915_dma.c              |  11 +-
>  drivers/gpu/drm/i915/i915_drv.c              |   2 +
>  drivers/gpu/drm/i915/i915_drv.h              | 124 +++++--
>  drivers/gpu/drm/i915/i915_gem.c              | 412 ++++++++++--------------
>  drivers/gpu/drm/i915/i915_gem_context.c      |  28 +-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  10 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c          |   8 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.h          |  13 +-
>  drivers/gpu/drm/i915/i915_gem_render_state.c |   2 +-
>  drivers/gpu/drm/i915/i915_gem_tiling.c       |   2 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c        |   9 +-
>  drivers/gpu/drm/i915/i915_irq.c              |  12 +-
>  drivers/gpu/drm/i915/i915_reg.h              | 349 +++++++++++---------
>  drivers/gpu/drm/i915/i915_trace.h            |  47 +--
>  drivers/gpu/drm/i915/intel_bios.c            |  45 +++
>  drivers/gpu/drm/i915/intel_bios.h            |  25 +-
>  drivers/gpu/drm/i915/intel_ddi.c             |  12 +-
>  drivers/gpu/drm/i915/intel_display.c         | 135 +++++---
>  drivers/gpu/drm/i915/intel_dp.c              |  13 +-
>  drivers/gpu/drm/i915/intel_drv.h             |   8 +-
>  drivers/gpu/drm/i915/intel_dsi.c             | 464 ++++++++++++++++-----------
>  drivers/gpu/drm/i915/intel_dsi.h             |  26 ++
>  drivers/gpu/drm/i915/intel_dsi_cmd.c         | 141 ++++----
>  drivers/gpu/drm/i915/intel_dsi_cmd.h         |  46 +--
>  drivers/gpu/drm/i915/intel_dsi_panel_vbt.c   |  50 ++-
>  drivers/gpu/drm/i915/intel_dsi_pll.c         |   9 +-
>  drivers/gpu/drm/i915/intel_lrc.c             | 167 +++++-----
>  drivers/gpu/drm/i915/intel_overlay.c         |  44 ++-
>  drivers/gpu/drm/i915/intel_pm.c              | 155 ++-------
>  drivers/gpu/drm/i915/intel_psr.c             | 246 +++++++++++---
>  drivers/gpu/drm/i915/intel_ringbuffer.c      | 203 ++++++------
>  drivers/gpu/drm/i915/intel_ringbuffer.h      |  23 +-
>  drivers/gpu/drm/i915/intel_runtime_pm.c      |   2 +-
>  35 files changed, 1694 insertions(+), 1233 deletions(-)
> 
> -- 
> Daniel Vetter
> Software Engineer, Intel Corporation
> +41 (0) 79 365 57 48 - http://blog.ffwll.ch

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-12-19  9:44 Daniel Vetter
  2014-12-19 15:21 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-12-19  9:44 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-12-05:
- dual-dsi enabling from Gaurav with prep work from Jani
- reshuffling the ring init code to move towards a clean sw/hw state setup split
- ring free space refactoring from Dave Gordon
- s/seqno/request/ rework from John Harrison
- psr support for vlv/chv from Rodrigo
- skl mmio flip support from Damien
- and the usual bits&pieces all over

Looking at merges in -nightly there's a few harmless conflicts with things
having changed right next to each another. Nothing complicated at all, so
figured I'll send you the pull without a merge.

This is the last one of my pre-holidays early pull requests for 3.20.

Cheers, Daniel


The following changes since commit 00f0b3781028605910cb4662a0f8a4849b445fc2:

  drm/i915: Reject modeset when the same digital port is used more than once (2014-12-03 09:31:53 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-12-05

for you to fetch changes up to 93dc1b6529eb8acd98243caaf399daf3c2c665bd:

  drm/i915: Update DRIVER_DATE to 20141205 (2014-12-05 15:59:16 +0100)

----------------------------------------------------------------
- dual-dsi enabling from Gaurav with prep work from Jani
- reshuffling the ring init code to move towards a clean sw/hw state setup split
- ring free space refactoring from Dave Gordon
- s/seqno/request/ rework from John Harrison
- psr support for vlv/chv from Rodrigo
- skl mmio flip support from Damien
- and the usual bits&pieces all over

----------------------------------------------------------------
Ander Conselvan de Oliveira (1):
      drm/i915: Remove unnecessary goto in intel_primary_plane_disable()

Chris Wilson (1):
      drm/i915: Assert that we successfully downclock the GPU before suspend

Damien Lespiau (5):
      drm/i915/skl: Read out crtl1 for eDP/DPLL0
      drm/i915/skl: Implement the skl version of MMIO flips
      drm/i915: Fix short description of intel_display_power_is_enabled()
      drm/i915/skl: Update the DDI translation values for DP/eDP 1.3
      drm/i915: Don't display nonsensical values in i915_ddb_info on gen < 9

Daniel Vetter (10):
      drm/i915: Remove user pinning code
      drm/i915: Convert i915_wait_seqno to i915_wait_request
      drm/i915: Check locking in i915_gem_request_unreference
      drm/i915: Remove redundant flip_work->flip_queued_ring
      drm/i915: s/init()/init_hw()/ in intel_engine_cs
      drm/i915: Move intel_init_pipe_control out of engine->init_hw
      drm/i915: Only init engines once
      drm/i915: Flatten engine init control flow
      drm/i915: Move init_unused_rings to gem_init_hw
      drm/i915: Update DRIVER_DATE to 20141205

Dave Gordon (4):
      drm/i915: Check for matching ringbuffer in logical_ring_wait_request()
      drm/i915: Don't read 'HEAD' MMIO register in LRC mode
      drm/i915: Make ring freespace calculation more robust
      drm/i915: Consolidate ring freespace calculations

Gaurav K Singh (10):
      drm/i915: New functions added for enabling & disabling MIPI Port Ctrl reg
      drm/i915: Added port as parameter to the functions which does read/write of DSI Controller
      drm/i915: Add support for port enable/disable for dual link configuration
      drm/i915: Pixel Clock changes for DSI dual link
      drm/i915: Dual link needs Shutdown and Turn on packet for both ports
      drm/i915: Enable DSI PLL for both DSI0 and DSI1 in case of dual link
      drm/i915: cck reg used for checking DSI Pll locked
      drm/i915: MIPI Timings related changes for dual link
      drm/i915: Update the DSI disable path to support dual link panel disabling
      drm/i915: Update the DSI enable path to support dual

Jani Nikula (3):
      drm/i915/dsi: clean up MIPI DSI pipe vs. port usage
      drm/i915/dsi: add ports to intel_dsi to describe the ports being driven
      drm/i915: release struct_mutex on the i915_gem_init_hw fail path

John Harrison (19):
      drm/i915: Ensure OLS & PLR are always in sync
      drm/i915: Add reference count to request structure
      drm/i915: Add helper functions to aid seqno -> request transition
      drm/i915: Replace last_[rwf]_seqno with last_[rwf]_req
      drm/i915: Convert i915_gem_ring_throttle to use requests
      drm/i915: Ensure requests stick around during waits
      drm/i915: Remove 'outstanding_lazy_seqno'
      drm/i915: Make 'i915_gem_check_olr' actually check by request not seqno
      drm/i915: Convert 'last_flip_req' to be a request not a seqno
      drm/i915: Convert mmio_flip::seqno to struct request
      drm/i915: Convert __wait_seqno() to __wait_request()
      drm/i915: Remove obsolete seqno parameter from 'i915_add_request'
      drm/i915: Convert 'flip_queued_seqno' into 'flip_queued_request'
      drm/i915: Convert trace functions from seqno to request
      drm/i915: Convert 'ring_idle()' to use requests not seqnos
      drm/i915: Connect requests to rings at creation not submission
      drm/i915: Convert 'i915_seqno_passed' calls into 'i915_gem_request_completed'
      drm/i915: Remove the now redundant 'obj->ring'
      drm/i915: Convert 'trace_irq' to use requests rather than seqnos

Michel Thierry (1):
      drm/i915/bdw: Add WaHdcDisableFetchWhenMasked

Mika Kuoppala (1):
      drm/i915: Convert pxvid to extvid lookup table to a function

Rodrigo Vivi (10):
      drm/i915: Parse VBT PSR block.
      drm/i915: HSW/BDW PSR Set idle_frames = VBT + 1
      drm/i915: PSR get full link off x standby from VBT
      drm/i915: remove PSR BDW single frame update.
      drm/i915: Remove intel_psr_is_enabled function.
      drm/i915: Add PSR registers for PSR VLV/CHV.
      drm/i915: PSR VLV/CHV: Introduce setup, enable and disable functions
      drm/i915: VLV/CHV PSR Software timer mode
      drm/i915: VLV/CHV PSR debugfs.
      drm/i915: Enable PSR for Baytrail and Braswell.

Thomas Daniel (1):
      drm/i915: Fix startup failure in LRC mode after recent init changes

Tvrtko Ursulin (1):
      drm/i915: Stop putting GGTT VMA at the head of the list

Ville Syrjälä (2):
      drm/i915: Deal with video overlay on GPU reset
      drm/i915: s/MI_STORE_DWORD_IMM_GEN8/MI_STORE_DWORD_IMM_GEN4/

 drivers/gpu/drm/i915/i915_cmd_parser.c       |  14 +-
 drivers/gpu/drm/i915/i915_debugfs.c          |  70 ++--
 drivers/gpu/drm/i915/i915_dma.c              |  11 +-
 drivers/gpu/drm/i915/i915_drv.c              |   2 +
 drivers/gpu/drm/i915/i915_drv.h              | 124 +++++--
 drivers/gpu/drm/i915/i915_gem.c              | 412 ++++++++++--------------
 drivers/gpu/drm/i915/i915_gem_context.c      |  28 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c   |  10 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c          |   8 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h          |  13 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c |   2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c       |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c        |   9 +-
 drivers/gpu/drm/i915/i915_irq.c              |  12 +-
 drivers/gpu/drm/i915/i915_reg.h              | 349 +++++++++++---------
 drivers/gpu/drm/i915/i915_trace.h            |  47 +--
 drivers/gpu/drm/i915/intel_bios.c            |  45 +++
 drivers/gpu/drm/i915/intel_bios.h            |  25 +-
 drivers/gpu/drm/i915/intel_ddi.c             |  12 +-
 drivers/gpu/drm/i915/intel_display.c         | 135 +++++---
 drivers/gpu/drm/i915/intel_dp.c              |  13 +-
 drivers/gpu/drm/i915/intel_drv.h             |   8 +-
 drivers/gpu/drm/i915/intel_dsi.c             | 464 ++++++++++++++++-----------
 drivers/gpu/drm/i915/intel_dsi.h             |  26 ++
 drivers/gpu/drm/i915/intel_dsi_cmd.c         | 141 ++++----
 drivers/gpu/drm/i915/intel_dsi_cmd.h         |  46 +--
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c   |  50 ++-
 drivers/gpu/drm/i915/intel_dsi_pll.c         |   9 +-
 drivers/gpu/drm/i915/intel_lrc.c             | 167 +++++-----
 drivers/gpu/drm/i915/intel_overlay.c         |  44 ++-
 drivers/gpu/drm/i915/intel_pm.c              | 155 ++-------
 drivers/gpu/drm/i915/intel_psr.c             | 246 +++++++++++---
 drivers/gpu/drm/i915/intel_ringbuffer.c      | 203 ++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.h      |  23 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c      |   2 +-
 35 files changed, 1694 insertions(+), 1233 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-12-02 14:29 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-12-02 14:29 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Ok, updated pull request with the embarrassing compile noise I've
completely forgotten about taken care of.

drm-intel-next-2014-11-21:
- infoframe tracking (for fastboot) from Jesse
- start of the dri1/ums support removal
- vlv forcewake timeout fixes (Imre)
- bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
  O'Rourke)
- on-demand pinning for execlist contexts
- vlv/chv backlight improvements (Ville)
- gen8+ render ctx w/a work from various people
- skl edp programming (Satheeshakrishna et al.)
- psr docbook (Rodrigo)
- piles of little fixes and improvements all over, as usual

Cheers, Daniel


The following changes since commit 0485c9dc24ec0939b42ca5104c0373297506b555:

  drm/i915: Kick fbdev before vgacon (2014-11-17 10:18:21 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-11-21-fixed

for you to fetch changes up to 064ca1d250b14b785e662b0a13d8d20cb84574e1:

  drm/i915: Don't pin LRC in GGTT when dumping in debugfs (2014-12-02 15:23:30 +0100)

----------------------------------------------------------------
Alexey Khoroshilov (1):
      drm/i915: avoid deadlock on failure paths in __intel_framebuffer_create()

Arun Siluvery (3):
      drm/i915/chv: Remove pre-production workarounds
      drm/i915/chv: Combine GEN8_ROW_CHICKEN w/a
      drm/i915/chv: Add new workarounds for chv

Chris Wilson (4):
      drm/i915: Make the physical object coherent with GTT
      drm/i915: Don't continually defer the hangcheck
      drm/i915: Remove DRI1 ring accessors and API
      drm/i915: Only call mod_timer() if not already pending

Damien Lespiau (9):
      drm/i915/skl: Provide skl-specific pll hw state cross-checking
      drm/i915/skl: Implement queue_flip
      drm/i915: Clear PCODE_DATA1 on SNB+
      drm/i915: Let's hope future platforms will use the same WM code as SKL
      drm/i915/skl: Fix big integer constant sparse warning
      drm/i915/skl: Don't allow disabling ppgtt and execlists on gen9+
      drm/i915/skl: Remove spurious warn in get_ddi_pll()
      drm/i915/skl: Set the eDP link rate on DPLL0
      drm/i915/skl: Use the pipe config DPLL tracking to query the link clock

Daniel Vetter (16):
      drm/i915: Delete outdated comment in byt_pte_encode
      drm/i915: Drop return value from lrc_setup_hardware_status_page
      drm/i915: Don't print header in error state for non-existing CS
      drm/i915: Tune down sink crc timeout dmesg output
      Merge tag 'drm-intel-fixes-2014-11-19' into drm-intel-next-queued
      drm/i915: Replace dri1 functions with drm_noop
      drm/i915: Drop checks for initialization
      drm/i915: No-Op enter/leave vt gem ioctl
      drm/i915: Ditch dev_priv->ums.mm_suspend
      drm/i915: Sanitize ->lastclose
      drm/i915: Can i915_gem_init_ioctl
      drm/i915: Pin tiled objects for L-shaped configs
      drm/i915: Dump hdmi pipe_config state
      drm/i915: Use ggtt error obj capture helper for gen8 semaphores
      drm/i915: Don't rely upon encoder->type for infoframe hw state readout
      drm/i915: Update DRIVER_DATE to 20141121

Daniele Ceraolo Spurio (1):
      drm/i915: Add tracepoints to track a vm during its lifetime

Imre Deak (13):
      drm/i915: unify gen6/gen8 pm irq helpers
      drm/i915: unify gen6/gen8 rps irq handler
      drm/i915: unify gen6/gen8 rps irq enable/disable
      drm/i915: move rps irq enable/disable to i915_irq.c
      drm/i915: WARN if we receive any gen9 rps interrupts
      drm/i915: WARN if we receive any rps interrupts on gen>9
      drm/i915: move rps irq disable one level up
      drm/i915: sanitize rps irq enabling
      drm/i915: sanitize rps irq disabling
      drm/i915: disable rps irqs earlier during suspend/unload
      drm/i915: vlv: fix cdclk setting during modeset while suspended
      drm/i915: vlv: increase timeout when setting idle GPU freq
      drm/i915: remove the IRQs enabled WARN from intel_disable_gt_powersave

Jani Nikula (8):
      drm/edid: fix Baseline_ELD_Len field in drm_edid_to_eld()
      drm/i915/vlv: don't save panel power sequencer registers on suspend
      drm/i915: restore RSTDBYCTL only on non-KMS paths
      drm/i915: remove the unnecessary block around display.hpd_irq_setup
      drm/i915: don't save/restore panel fitter registers
      drm/i915: don't save/restore backlight hist ctl registers
      drm/i915: unify remaining register save/restore code a bit
      drm/i915/audio: fix monitor presence indication after disable

Jesse Barnes (11):
      drm/i915: factor out compute_config from __intel_set_mode v3
      drm/i915: use compute_config in set_config v4
      drm/i915/hdmi: fetch infoframe status in get_config v2
      drm/i915: check for audio and infoframe changes across mode sets v2
      drm/i915: update pipe size at set_config time
      drm/i915: preserve SSC if previously set v3
      drm/i915/skl: fetch, enable/disable pfit as needed v2
      drm/i915/skl: AUX irqs have moved
      drm/i915/ddi: add break in DDI mode select switch
      drm/i915/ddi: set has_infoframe flag on DDI too v2
      drm/i915/g4x: fix g4x infoframe readout

Matt Roper (2):
      drm/i915: Propagate invalid setcrtc cloning errors back to userspace
      drm/i915: Don't store panning coordinates as 16.16 fixed point

Michael H. Nguyen (1):
      drm/i915/skl: Add Gen9 LRC size

Michel Thierry (1):
      drm/i915: Initialize workarounds in logical ring mode too

Mika Kuoppala (1):
      drm/i915: Wait thread status on gen8+ fw sequence

Neil Roberts (1):
      drm/i915: Add the predicate source registers to the register whitelist

Oscar Mateo (1):
      drm/i915/bdw: Pin the context backing objects to GGTT on-demand

Paulo Zanoni (1):
      drm/i915: use the correct obj when preparing the sprite plane

Rodrigo Vivi (3):
      drm/i915: Make dp aux pack/unpack public outside intel_dp.c
      drm/i915: Introduce intel_psr.c
      drm/i915: Add PSR docbook

Satheeshakrishna M (8):
      drm/i915/skl: Register definitions for SKL Clocks
      drm/i915/skl: Structure/enum definitions for SKL clocks
      drm/i915/skl: CD clock back calculation for SKL
      drm/i915/skl: Determine enabled PLL and its linkrate/pixel clock
      drm/i915/skl: Query DPLL attached to port on SKL
      drm/i915/skl: Define shared DPLLs for Skylake
      drm/i915/skl: Adjust the port PLL selection code
      drm/i915/skl: Implementation of SKL DPLL programming

Thomas Daniel (3):
      drm/i915/bdw: Clean up execlist queue items in retire_work
      drm/i915/bdw: Pin the ringbuffer backing object to GGTT on-demand
      drm/i915: Don't pin LRC in GGTT when dumping in debugfs

Tom O'Rourke (5):
      drm/i915: Extend pcode mailbox interface
      drm/i915: Use efficient frequency for HSW/BDW
      drm/i915: Keep min freq above floor on HSW/BDW
      drm/i915: change initial rps frequency for gen8
      drm/i915: Update ring freq for full gpu freq range

Tvrtko Ursulin (1):
      drm/i915/skl: Use correct use counters for force wakes

Vandana Kannan (1):
      drm/i915/skl: Apply eDP WA only for gen < 9

Ville Syrjälä (21):
      drm/i915: Warn if trying to poke a VLV backlight on invalid pipe
      drm/i915: Skip .get_backlight() when backlight isn't enabled
      drm/i915: Don't deref NULL crtc in intel_get_pipe_from_connector()
      drm/i915: Pass the current pipe from eDP init to backlight setup
      drm/i915: Register the backlight device after the modeset init
      drm/i915: Remove most INVALID_PIPE checks from the backlight code
      drm/i915: Read the CCK fuse register from CCK
      drm/i915: Refactor vlv_display_irq_uninstall()
      drm/i915: Use vlv display irq setup code for chv
      drm/i915: Fix comments about CHV snoop behaviour
      drm/i915: Reinit display irqs and hpd from chv pipe-a power well
      drm/i915: Silence valleyview_set_rps()
      drm/i915: Add a name for the Punit GPLLENABLE bit
      drm/i915: Warn if GPLL isn't used on vlv/chv
      drm/i915: Improve PCBR debug information
      drm/i915: Refactor vlv/chv GPU frequency divider setup
      drm/i915: Add missing newline to 'DDR speed' debug messages
      drm/i915: Change CHV SKU400 GPU freq divider to 10
      drm/i915: Drop the HSW special case from __gen6_gt_wait_for_thread_c0()
      drm/i915: Drop WaRsForcewakeWaitTC0:vlv
      drm/i915: Read power well status before other registers for drpc info

Zhe Wang (2):
      drm/i915/skl: Gen9 multi-engine forcewake
      drm/i915: Gen9 shadowed registers

 Documentation/DocBook/drm.tmpl                |  101 +-
 arch/x86/kernel/early-quirks.c                |   23 +
 drivers/char/agp/intel-gtt.c                  |    4 -
 drivers/gpu/drm/Makefile                      |    4 +-
 drivers/gpu/drm/README.drm                    |   43 -
 drivers/gpu/drm/armada/armada_crtc.c          |    1 +
 drivers/gpu/drm/ast/ast_mode.c                |    1 +
 drivers/gpu/drm/bochs/bochs_kms.c             |    1 +
 drivers/gpu/drm/cirrus/cirrus_mode.c          |    1 +
 drivers/gpu/drm/drm_atomic.c                  |  628 +++
 drivers/gpu/drm/drm_atomic_helper.c           | 1906 +++++++
 drivers/gpu/drm/drm_crtc.c                    |   53 +-
 drivers/gpu/drm/drm_crtc_helper.c             |  132 +
 drivers/gpu/drm/drm_dp_helper.c               |  194 +-
 drivers/gpu/drm/drm_dp_mst_topology.c         |   15 +-
 drivers/gpu/drm/drm_drv.c                     |    5 +-
 drivers/gpu/drm/drm_edid.c                    |    7 +-
 drivers/gpu/drm/drm_fb_helper.c               |    1 -
 drivers/gpu/drm/drm_fops.c                    |   12 +-
 drivers/gpu/drm/drm_irq.c                     |    4 +-
 drivers/gpu/drm/drm_modes.c                   |    2 +-
 drivers/gpu/drm/drm_plane_helper.c            |  203 +-
 drivers/gpu/drm/drm_prime.c                   |    4 +-
 drivers/gpu/drm/drm_probe_helper.c            |    3 +-
 drivers/gpu/drm/gma500/cdv_intel_dp.c         |  195 +
 drivers/gpu/drm/gma500/psb_intel_display.c    |    1 +
 drivers/gpu/drm/gma500/psb_intel_sdvo.c       |   49 -
 drivers/gpu/drm/i915/Makefile                 |   13 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c        |   39 +-
 drivers/gpu/drm/i915/i915_debugfs.c           |  265 +-
 drivers/gpu/drm/i915/i915_dma.c               | 1070 +---
 drivers/gpu/drm/i915/i915_drv.c               |  354 +-
 drivers/gpu/drm/i915/i915_drv.h               |  300 +-
 drivers/gpu/drm/i915/i915_gem.c               |  597 ++-
 drivers/gpu/drm/i915/i915_gem_context.c       |   18 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |   84 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |   96 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h           |   10 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |    2 +
 drivers/gpu/drm/i915/i915_gem_stolen.c        |    2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c        |   60 +-
 drivers/gpu/drm/i915/i915_gpu_error.c         |   43 +-
 drivers/gpu/drm/i915/i915_ioc32.c             |    2 -
 drivers/gpu/drm/i915/i915_irq.c               |  961 ++--
 drivers/gpu/drm/i915/i915_reg.h               |  622 ++-
 drivers/gpu/drm/i915/i915_suspend.c           |   49 +-
 drivers/gpu/drm/i915/i915_sysfs.c             |   22 +-
 drivers/gpu/drm/i915/i915_trace.h             |  104 +
 drivers/gpu/drm/i915/i915_ums.c               |   14 +
 drivers/gpu/drm/i915/intel_audio.c            |  463 ++
 drivers/gpu/drm/i915/intel_bios.h             |   10 +-
 drivers/gpu/drm/i915/intel_crt.c              |    4 +-
 drivers/gpu/drm/i915/intel_ddi.c              |  719 ++-
 drivers/gpu/drm/i915/intel_display.c          | 2715 +++++-----
 drivers/gpu/drm/i915/intel_dp.c               |  984 ++--
 drivers/gpu/drm/i915/intel_dp_mst.c           |   10 +-
 drivers/gpu/drm/i915/intel_drv.h              |  209 +-
 drivers/gpu/drm/i915/intel_dsi.c              |    2 +-
 drivers/gpu/drm/i915/intel_fbdev.c            |   20 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c    |  381 ++
 drivers/gpu/drm/i915/intel_frontbuffer.c      |  279 +
 drivers/gpu/drm/i915/intel_hdmi.c             |  113 +-
 drivers/gpu/drm/i915/intel_lrc.c              |  338 +-
 drivers/gpu/drm/i915/intel_lrc.h              |    6 +-
 drivers/gpu/drm/i915/intel_lvds.c             |    4 +-
 drivers/gpu/drm/i915/intel_panel.c            |  136 +-
 drivers/gpu/drm/i915/intel_pm.c               | 6911 ++++++++++++-------------
 drivers/gpu/drm/i915/intel_psr.c              |  481 ++
 drivers/gpu/drm/i915/intel_renderstate.h      |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen8.c |  802 ++-
 drivers/gpu/drm/i915/intel_renderstate_gen9.c |  974 ++++
 drivers/gpu/drm/i915/intel_ringbuffer.c       |  415 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h       |   12 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c       | 1406 +++++
 drivers/gpu/drm/i915/intel_sdvo.c             |   47 -
 drivers/gpu/drm/i915/intel_sprite.c           |  605 ++-
 drivers/gpu/drm/i915/intel_tv.c               |    9 +-
 drivers/gpu/drm/i915/intel_uncore.c           |  424 +-
 drivers/gpu/drm/mgag200/mgag200_mode.c        |    1 +
 drivers/gpu/drm/nouveau/dispnv04/crtc.c       |    1 +
 drivers/gpu/drm/nouveau/nv50_display.c        |    4 +-
 drivers/gpu/drm/omapdrm/omap_crtc.c           |    1 +
 drivers/gpu/drm/qxl/qxl_display.c             |    1 +
 drivers/gpu/drm/radeon/radeon_display.c       |    1 +
 drivers/gpu/drm/rcar-du/rcar_du_crtc.c        |    1 +
 drivers/gpu/drm/shmobile/shmob_drm_crtc.c     |    1 +
 drivers/gpu/drm/sti/sti_drm_crtc.c            |    1 +
 drivers/gpu/drm/tegra/dc.c                    |    2 +
 drivers/gpu/drm/tilcdc/tilcdc_crtc.c          |    1 +
 drivers/gpu/drm/udl/udl_modeset.c             |    1 +
 drivers/gpu/drm/vmwgfx/vmwgfx_ldu.c           |    1 +
 drivers/gpu/drm/vmwgfx/vmwgfx_scrn.c          |    1 +
 drivers/staging/imx-drm/imx-drm-core.c        |    1 +
 include/drm/drmP.h                            |    8 +-
 include/drm/drm_atomic.h                      |   67 +
 include/drm/drm_atomic_helper.h               |   97 +
 include/drm/drm_crtc.h                        |  246 +-
 include/drm/drm_crtc_helper.h                 |   13 +
 include/drm/drm_dp_helper.h                   |   26 +-
 include/drm/drm_dp_mst_helper.h               |    2 +-
 include/drm/drm_edid.h                        |  102 +
 include/drm/drm_modeset_lock.h                |    1 +
 include/drm/drm_plane_helper.h                |   39 +
 include/drm/i915_pciids.h                     |   17 +
 include/uapi/drm/i915_drm.h                   |    7 +
 105 files changed, 18090 insertions(+), 9354 deletions(-)
 delete mode 100644 drivers/gpu/drm/README.drm
 create mode 100644 drivers/gpu/drm/drm_atomic.c
 create mode 100644 drivers/gpu/drm/drm_atomic_helper.c
 create mode 100644 drivers/gpu/drm/i915/intel_audio.c
 create mode 100644 drivers/gpu/drm/i915/intel_fifo_underrun.c
 create mode 100644 drivers/gpu/drm/i915/intel_frontbuffer.c
 create mode 100644 drivers/gpu/drm/i915/intel_psr.c
 create mode 100644 drivers/gpu/drm/i915/intel_renderstate_gen9.c
 create mode 100644 drivers/gpu/drm/i915/intel_runtime_pm.c
 create mode 100644 include/drm/drm_atomic.h
 create mode 100644 include/drm/drm_atomic_helper.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-12-02  1:02   ` Dave Airlie
@ 2014-12-02  7:36     ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-12-02  7:36 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Tue, Dec 02, 2014 at 11:02:59AM +1000, Dave Airlie wrote:
> On 29 November 2014 at 00:22, Daniel Vetter <daniel@ffwll.ch> wrote:
> > On Fri, Nov 28, 2014 at 02:30:45PM +0100, Daniel Vetter wrote:
> >> Hi Dave,
> >>
> >> As discussed on irc here's the slightly late (because our QA cycle was a
> >> bit misaligned) final feature pull request for 3.19. I have a few fixes to
> >> sort out in my 3.20 queue, so will send you one more pull request next
> >> week with those. Then I'll hand over to Jani.
> >>
> >> drm-intel-next-2014-11-21:
> >> - infoframe tracking (for fastboot) from Jesse
> >> - start of the dri1/ums support removal
> >> - vlv forcewake timeout fixes (Imre)
> >> - bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
> >>   O'Rourke)
> >> - on-demand pinning for execlist contexts
> >> - vlv/chv backlight improvements (Ville)
> >> - gen8+ render ctx w/a work from various people
> >> - skl edp programming (Satheeshakrishna et al.)
> >> - psr docbook (Rodrigo)
> >> - piles of little fixes and improvements all over, as usual
> >>
> 
> Hey,
> 
> You got dirty in my tree
> 
>   CC [M]  drivers/gpu/drm/i915/i915_debugfs.o
> /home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_debugfs.c:
> In function ‘i915_dump_lrc’:
> /home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_debugfs.c:1805:26:
> warning: ignoring return value of ‘i915_gem_obj_ggtt_pin’, declared
> with attribute warn_unused_result [-Wunused-result]
>      i915_gem_obj_ggtt_pin(ctx_obj,
>                           ^
>   CC [M]  drivers/gpu/drm/i915/i915_cmd_parser.o
> 
> more careful of dirty please.
> 
> send me a fix on top of that next tree, I'm not waiting 2 weeks for QA :-)

Oh dang I've totally forgotten about that one, it's been around for soo
long :( Story is that while reviewing the fixup Chris&I realized that all
the tricky but damn important differences between pin_pages/pin_obj and
get_pages. And now the patches are somehow stuck in limbo (or at least I
have no idea where exactly).

To make matters worse I've throw part of the dri1 removal on top so can't
just revert because conflict galore.
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-11-28 14:22 ` Daniel Vetter
@ 2014-12-02  1:02   ` Dave Airlie
  2014-12-02  7:36     ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2014-12-02  1:02 UTC (permalink / raw)
  To: Daniel Vetter, oscar.mateo; +Cc: Daniel Vetter, intel-gfx, dri-devel

On 29 November 2014 at 00:22, Daniel Vetter <daniel@ffwll.ch> wrote:
> On Fri, Nov 28, 2014 at 02:30:45PM +0100, Daniel Vetter wrote:
>> Hi Dave,
>>
>> As discussed on irc here's the slightly late (because our QA cycle was a
>> bit misaligned) final feature pull request for 3.19. I have a few fixes to
>> sort out in my 3.20 queue, so will send you one more pull request next
>> week with those. Then I'll hand over to Jani.
>>
>> drm-intel-next-2014-11-21:
>> - infoframe tracking (for fastboot) from Jesse
>> - start of the dri1/ums support removal
>> - vlv forcewake timeout fixes (Imre)
>> - bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
>>   O'Rourke)
>> - on-demand pinning for execlist contexts
>> - vlv/chv backlight improvements (Ville)
>> - gen8+ render ctx w/a work from various people
>> - skl edp programming (Satheeshakrishna et al.)
>> - psr docbook (Rodrigo)
>> - piles of little fixes and improvements all over, as usual
>>

Hey,

You got dirty in my tree

  CC [M]  drivers/gpu/drm/i915/i915_debugfs.o
/home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_debugfs.c:
In function ‘i915_dump_lrc’:
/home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_debugfs.c:1805:26:
warning: ignoring return value of ‘i915_gem_obj_ggtt_pin’, declared
with attribute warn_unused_result [-Wunused-result]
     i915_gem_obj_ggtt_pin(ctx_obj,
                          ^
  CC [M]  drivers/gpu/drm/i915/i915_cmd_parser.o

more careful of dirty please.

send me a fix on top of that next tree, I'm not waiting 2 weeks for QA :-)

Dave.
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-11-28 13:30 Daniel Vetter
@ 2014-11-28 14:22 ` Daniel Vetter
  2014-12-02  1:02   ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-11-28 14:22 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Fri, Nov 28, 2014 at 02:30:45PM +0100, Daniel Vetter wrote:
> Hi Dave,
> 
> As discussed on irc here's the slightly late (because our QA cycle was a
> bit misaligned) final feature pull request for 3.19. I have a few fixes to
> sort out in my 3.20 queue, so will send you one more pull request next
> week with those. Then I'll hand over to Jani.
> 
> drm-intel-next-2014-11-21:
> - infoframe tracking (for fastboot) from Jesse
> - start of the dri1/ums support removal
> - vlv forcewake timeout fixes (Imre)
> - bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
>   O'Rourke)
> - on-demand pinning for execlist contexts
> - vlv/chv backlight improvements (Ville)
> - gen8+ render ctx w/a work from various people
> - skl edp programming (Satheeshakrishna et al.)
> - psr docbook (Rodrigo)
> - piles of little fixes and improvements all over, as usual
> 
> Cheers, Daniel
> 
> 
> The following changes since commit e1f234bde6edb2bcdb763c90076b9484e4c71a33:
> 
>   drm/i915: Use correct pipe config to update pll dividers. V2 (2014-11-14 10:28:52 +0100)
> 
> are available in the git repository at:
> 
>   git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-11-21
> 
> for you to fetch changes up to e7f1d0b735fd4bbec225a83de2aa8f52a0a2e95c:
> 
>   drm/i915: Update DRIVER_DATE to 20141121 (2014-11-21 10:37:14 +0100)
> 
> ----------------------------------------------------------------
> - infoframe tracking (for fastboot) from Jesse
> - start of the dri1/ums support removal
> - vlv forcewake timeout fixes (Imre)
> - bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
>   O'Rourke)
> - on-demand pinning for execlist contexts
> - vlv/chv backlight improvements (Ville)
> - gen8+ render ctx w/a work from various people
> - skl edp programming (Satheeshakrishna et al.)
> - psr docbook (Rodrigo)
> - piles of little fixes and improvements all over, as usual
> 
> ----------------------------------------------------------------

Forgotten to add: I had to do a backmerge due to fun, shortlog of just the
stuff new compared to Linus' tree below.
-Daniel

Alexey Khoroshilov (1):
      drm/i915: avoid deadlock on failure paths in __intel_framebuffer_create()

Arun Siluvery (3):
      drm/i915/chv: Remove pre-production workarounds
      drm/i915/chv: Combine GEN8_ROW_CHICKEN w/a
      drm/i915/chv: Add new workarounds for chv

Chris Wilson (4):
      drm/i915: Make the physical object coherent with GTT
      drm/i915: Don't continually defer the hangcheck
      drm/i915: Remove DRI1 ring accessors and API
      drm/i915: Only call mod_timer() if not already pending

Damien Lespiau (9):
      drm/i915/skl: Provide skl-specific pll hw state cross-checking
      drm/i915/skl: Implement queue_flip
      drm/i915: Clear PCODE_DATA1 on SNB+
      drm/i915: Let's hope future platforms will use the same WM code as SKL
      drm/i915/skl: Fix big integer constant sparse warning
      drm/i915/skl: Don't allow disabling ppgtt and execlists on gen9+
      drm/i915/skl: Remove spurious warn in get_ddi_pll()
      drm/i915/skl: Set the eDP link rate on DPLL0
      drm/i915/skl: Use the pipe config DPLL tracking to query the link clock

Daniel Vetter (16):
      drm/i915: Delete outdated comment in byt_pte_encode
      drm/i915: Drop return value from lrc_setup_hardware_status_page
      drm/i915: Don't print header in error state for non-existing CS
      drm/i915: Tune down sink crc timeout dmesg output
      Merge tag 'drm-intel-fixes-2014-11-19' into drm-intel-next-queued
      drm/i915: Replace dri1 functions with drm_noop
      drm/i915: Drop checks for initialization
      drm/i915: No-Op enter/leave vt gem ioctl
      drm/i915: Ditch dev_priv->ums.mm_suspend
      drm/i915: Sanitize ->lastclose
      drm/i915: Can i915_gem_init_ioctl
      drm/i915: Pin tiled objects for L-shaped configs
      drm/i915: Dump hdmi pipe_config state
      drm/i915: Use ggtt error obj capture helper for gen8 semaphores
      drm/i915: Don't rely upon encoder->type for infoframe hw state readout
      drm/i915: Update DRIVER_DATE to 20141121

Daniele Ceraolo Spurio (1):
      drm/i915: Add tracepoints to track a vm during its lifetime

Imre Deak (13):
      drm/i915: unify gen6/gen8 pm irq helpers
      drm/i915: unify gen6/gen8 rps irq handler
      drm/i915: unify gen6/gen8 rps irq enable/disable
      drm/i915: move rps irq enable/disable to i915_irq.c
      drm/i915: WARN if we receive any gen9 rps interrupts
      drm/i915: WARN if we receive any rps interrupts on gen>9
      drm/i915: move rps irq disable one level up
      drm/i915: sanitize rps irq enabling
      drm/i915: sanitize rps irq disabling
      drm/i915: disable rps irqs earlier during suspend/unload
      drm/i915: vlv: fix cdclk setting during modeset while suspended
      drm/i915: vlv: increase timeout when setting idle GPU freq
      drm/i915: remove the IRQs enabled WARN from intel_disable_gt_powersave

Jani Nikula (8):
      drm/edid: fix Baseline_ELD_Len field in drm_edid_to_eld()
      drm/i915/vlv: don't save panel power sequencer registers on suspend
      drm/i915: restore RSTDBYCTL only on non-KMS paths
      drm/i915: remove the unnecessary block around display.hpd_irq_setup
      drm/i915: don't save/restore panel fitter registers
      drm/i915: don't save/restore backlight hist ctl registers
      drm/i915: unify remaining register save/restore code a bit
      drm/i915/audio: fix monitor presence indication after disable

Jesse Barnes (11):
      drm/i915: factor out compute_config from __intel_set_mode v3
      drm/i915: use compute_config in set_config v4
      drm/i915/hdmi: fetch infoframe status in get_config v2
      drm/i915: check for audio and infoframe changes across mode sets v2
      drm/i915: update pipe size at set_config time
      drm/i915: preserve SSC if previously set v3
      drm/i915/skl: fetch, enable/disable pfit as needed v2
      drm/i915/skl: AUX irqs have moved
      drm/i915/ddi: add break in DDI mode select switch
      drm/i915/ddi: set has_infoframe flag on DDI too v2
      drm/i915/g4x: fix g4x infoframe readout

Matt Roper (2):
      drm/i915: Propagate invalid setcrtc cloning errors back to userspace
      drm/i915: Don't store panning coordinates as 16.16 fixed point

Michael H. Nguyen (1):
      drm/i915/skl: Add Gen9 LRC size

Michel Thierry (1):
      drm/i915: Initialize workarounds in logical ring mode too

Mika Kuoppala (1):
      drm/i915: Wait thread status on gen8+ fw sequence

Neil Roberts (1):
      drm/i915: Add the predicate source registers to the register whitelist

Oscar Mateo (1):
      drm/i915/bdw: Pin the context backing objects to GGTT on-demand

Paulo Zanoni (1):
      drm/i915: use the correct obj when preparing the sprite plane

Rodrigo Vivi (3):
      drm/i915: Make dp aux pack/unpack public outside intel_dp.c
      drm/i915: Introduce intel_psr.c
      drm/i915: Add PSR docbook

Satheeshakrishna M (8):
      drm/i915/skl: Register definitions for SKL Clocks
      drm/i915/skl: Structure/enum definitions for SKL clocks
      drm/i915/skl: CD clock back calculation for SKL
      drm/i915/skl: Determine enabled PLL and its linkrate/pixel clock
      drm/i915/skl: Query DPLL attached to port on SKL
      drm/i915/skl: Define shared DPLLs for Skylake
      drm/i915/skl: Adjust the port PLL selection code
      drm/i915/skl: Implementation of SKL DPLL programming

Thomas Daniel (2):
      drm/i915/bdw: Clean up execlist queue items in retire_work
      drm/i915/bdw: Pin the ringbuffer backing object to GGTT on-demand

Tom O'Rourke (5):
      drm/i915: Extend pcode mailbox interface
      drm/i915: Use efficient frequency for HSW/BDW
      drm/i915: Keep min freq above floor on HSW/BDW
      drm/i915: change initial rps frequency for gen8
      drm/i915: Update ring freq for full gpu freq range

Tvrtko Ursulin (1):
      drm/i915/skl: Use correct use counters for force wakes

Vandana Kannan (1):
      drm/i915/skl: Apply eDP WA only for gen < 9

Ville Syrjälä (21):
      drm/i915: Warn if trying to poke a VLV backlight on invalid pipe
      drm/i915: Skip .get_backlight() when backlight isn't enabled
      drm/i915: Don't deref NULL crtc in intel_get_pipe_from_connector()
      drm/i915: Pass the current pipe from eDP init to backlight setup
      drm/i915: Register the backlight device after the modeset init
      drm/i915: Remove most INVALID_PIPE checks from the backlight code
      drm/i915: Read the CCK fuse register from CCK
      drm/i915: Refactor vlv_display_irq_uninstall()
      drm/i915: Use vlv display irq setup code for chv
      drm/i915: Fix comments about CHV snoop behaviour
      drm/i915: Reinit display irqs and hpd from chv pipe-a power well
      drm/i915: Silence valleyview_set_rps()
      drm/i915: Add a name for the Punit GPLLENABLE bit
      drm/i915: Warn if GPLL isn't used on vlv/chv
      drm/i915: Improve PCBR debug information
      drm/i915: Refactor vlv/chv GPU frequency divider setup
      drm/i915: Add missing newline to 'DDR speed' debug messages
      drm/i915: Change CHV SKU400 GPU freq divider to 10
      drm/i915: Drop the HSW special case from __gen6_gt_wait_for_thread_c0()
      drm/i915: Drop WaRsForcewakeWaitTC0:vlv
      drm/i915: Read power well status before other registers for drpc info

Zhe Wang (2):
      drm/i915/skl: Gen9 multi-engine forcewake
      drm/i915: Gen9 shadowed registers
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-11-28 13:30 Daniel Vetter
  2014-11-28 14:22 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-11-28 13:30 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

As discussed on irc here's the slightly late (because our QA cycle was a
bit misaligned) final feature pull request for 3.19. I have a few fixes to
sort out in my 3.20 queue, so will send you one more pull request next
week with those. Then I'll hand over to Jani.

drm-intel-next-2014-11-21:
- infoframe tracking (for fastboot) from Jesse
- start of the dri1/ums support removal
- vlv forcewake timeout fixes (Imre)
- bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
  O'Rourke)
- on-demand pinning for execlist contexts
- vlv/chv backlight improvements (Ville)
- gen8+ render ctx w/a work from various people
- skl edp programming (Satheeshakrishna et al.)
- psr docbook (Rodrigo)
- piles of little fixes and improvements all over, as usual

Cheers, Daniel


The following changes since commit e1f234bde6edb2bcdb763c90076b9484e4c71a33:

  drm/i915: Use correct pipe config to update pll dividers. V2 (2014-11-14 10:28:52 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-11-21

for you to fetch changes up to e7f1d0b735fd4bbec225a83de2aa8f52a0a2e95c:

  drm/i915: Update DRIVER_DATE to 20141121 (2014-11-21 10:37:14 +0100)

----------------------------------------------------------------
- infoframe tracking (for fastboot) from Jesse
- start of the dri1/ums support removal
- vlv forcewake timeout fixes (Imre)
- bunch of patches to polish the rps code (Imre) and improve it on bdw (Tom
  O'Rourke)
- on-demand pinning for execlist contexts
- vlv/chv backlight improvements (Ville)
- gen8+ render ctx w/a work from various people
- skl edp programming (Satheeshakrishna et al.)
- psr docbook (Rodrigo)
- piles of little fixes and improvements all over, as usual

----------------------------------------------------------------
Abhilash Kesavan (1):
      cpufreq: cpufreq-dt: Fix arguments in clock failure error message

Adam Lee (1):
      ACPI / blacklist: blacklist Win8 OSI for Dell Vostro 3546

Adel Gadllah (1):
      HID: usbhid: enable always-poll quirk for Elan Touchscreen 0103

Alex Deucher (3):
      drm/radeon: make sure mode init is complete in bandwidth_update
      drm/radeon: use gart for DMA IB tests
      drm/radeon: add missing crtc unlock when setting up the MC

Alexander Kochetkov (2):
      net/smsc911x: Fix rare soft reset timeout issue due to PHY power-down mode
      net/smsc911x: Fix delays in the PHY enable/disable routines

Alexandre Courbot (1):
      drm/gk20a/fb: fix setting of large page size bit

Alexey Khoroshilov (1):
      drm/i915: avoid deadlock on failure paths in __intel_framebuffer_create()

Andreas Larsson (1):
      sparc32: Implement xchg and atomic_xchg using ATOMIC_HASH locks

Andrew Lunn (2):
      ARM: mvebu: armada xp: Generalize use of i2c quirk
      net: dsa: slave: Fix autoneg for phys on switch MDIO bus

Anish Bhatt (4):
      cxgbi: add maintainer for cxgb3i/cxgb4i
      cxgb4i: send abort_rpl correctly
      cxgb4 : Fix bug in DCB app deletion
      cxgb4 : dcb open-lldp interop fixes

Anna Schumaker (1):
      NFS: SEEK is an NFS v4.2 feature

Antoine Tenart (1):
      ahci: fix AHCI parameters not taken into account

Aravind Gopalakrishnan (1):
      hwmon: (fam15h_power) Fix NB device ID for F16h M30h

Arun Siluvery (3):
      drm/i915/chv: Remove pre-production workarounds
      drm/i915/chv: Combine GEN8_ROW_CHICKEN w/a
      drm/i915/chv: Add new workarounds for chv

Bai Ping (1):
      thermal: imx: correct driver load sequence for cpu cooling

Bartlomiej Zolnierkiewicz (1):
      thermal: exynos: use correct offset for TMU_CONTROL register on Exynos5260

Benjamin Tissoires (1):
      HID: core: cleanup .claimed field on disconnect

Boris Ostrovsky (1):
      x86/core, x86/xen/smp: Use 'die_complete' completion when taking CPU down

Borislav Petkov (3):
      x86, microcode, AMD: Fix early ucode loading on 32-bit
      x86, microcode: Fix accessing dis_ucode_ldr on 32-bit
      x86, microcode, AMD: Fix ucode patch stashing on 32-bit

Brian Hill (1):
      net: phy: Correctly handle MII ioctl which changes autonegotiation.

Charles Keepax (1):
      asix: Do full reset during ax88772_bind

Chen Gang (2):
      drivers: net: ethernet: xilinx: xilinx_emaclite: Compatible with 'xlnx, xps-ethernetlite-2.00.b' for QEMU using
      drivers: net: ethernet: xilinx: xilinx_emaclite: revert the original commit "1db3ddff1602edf2390b7667dcbaa0f71512e3ea"

Chris Wilson (5):
      drm/i915: Fix obj->map_and_fenceable across tiling changes
      drm/i915: Make the physical object coherent with GTT
      drm/i915: Don't continually defer the hangcheck
      drm/i915: Remove DRI1 ring accessors and API
      drm/i915: Only call mod_timer() if not already pending

Chris Zankel (3):
      Merge tag 'v3.18-rc1' into for_next
      Merge tag 'xtensa-for-next-20141021-1' of git://github.com/jcmvbkbc/linux-xtensa into for_next
      Merge tag 'v3.18-rc4' into for_next

Christoph Hellwig (3):
      scsi: only re-lock door after EH on devices that were reset
      scsi: call device handler for failed TUR command
      pnfs/blocklayout: serialize GETDEVICEINFO calls

Cornelia Huck (1):
      virtio_console: move early VQ enablement

Cristian Stoica (1):
      crypto: caam - fix missing dma unmap on error path

Damien Lespiau (9):
      drm/i915/skl: Provide skl-specific pll hw state cross-checking
      drm/i915/skl: Implement queue_flip
      drm/i915: Clear PCODE_DATA1 on SNB+
      drm/i915: Let's hope future platforms will use the same WM code as SKL
      drm/i915/skl: Fix big integer constant sparse warning
      drm/i915/skl: Don't allow disabling ppgtt and execlists on gen9+
      drm/i915/skl: Remove spurious warn in get_ddi_pll()
      drm/i915/skl: Set the eDP link rate on DPLL0
      drm/i915/skl: Use the pipe config DPLL tracking to query the link clock

Daniel Baluta (1):
      MAINTAINERS: add IIO include files

Daniel Borkmann (3):
      net: sctp: fix NULL pointer dereference in af->from_addr_param on malformed packet
      net: sctp: fix memory leak in auth key management
      ixgbe: phy: fix uninitialized status in ixgbe_setup_phy_link_tnx

Daniel Thompson (1):
      param: fix crash on bad kernel arguments

Daniel Vetter (18):
      drm/i915: Delete outdated comment in byt_pte_encode
      drm/i915: drop WaSetupGtModeTdRowDispatch:snb
      drm/i915: Kick fbdev before vgacon
      drm/i915: Drop return value from lrc_setup_hardware_status_page
      drm/i915: Don't print header in error state for non-existing CS
      drm/i915: Tune down sink crc timeout dmesg output
      Merge tag 'drm-intel-fixes-2014-11-19' into drm-intel-next-queued
      drm/i915: Replace dri1 functions with drm_noop
      drm/i915: Drop checks for initialization
      drm/i915: No-Op enter/leave vt gem ioctl
      drm/i915: Ditch dev_priv->ums.mm_suspend
      drm/i915: Sanitize ->lastclose
      drm/i915: Can i915_gem_init_ioctl
      drm/i915: Pin tiled objects for L-shaped configs
      drm/i915: Dump hdmi pipe_config state
      drm/i915: Use ggtt error obj capture helper for gen8 semaphores
      drm/i915: Don't rely upon encoder->type for infoframe hw state readout
      drm/i915: Update DRIVER_DATE to 20141121

Daniele Ceraolo Spurio (1):
      drm/i915: Add tracepoints to track a vm during its lifetime

Dave Airlie (8):
      Merge branch 'drm-fixes-3.18' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2014-11-07' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      drm/radeon: add locking around atombios scratch space usage
      Merge branch 'exynos-drm-fixes' of git://git.kernel.org/.../daeinki/drm-exynos into drm-fixes
      Merge branch 'drm-fixes-3.18' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2014-11-13' of git://anongit.freedesktop.org/drm-intel into drm-fixes
      Merge branch 'linux-3.18' of git://anongit.freedesktop.org/git/nouveau/linux-2.6 into drm-fixes
      Merge tag 'drm/tegra/for-3.18-rc5' of git://people.freedesktop.org/~tagr/linux into drm-fixes

David S. Miller (13):
      sunhme: Add DMA mapping error checks.
      sparc64: Fix crashes in schizo_pcierr_intr_other().
      Merge branch 'systemport-net'
      Merge branch 'tun-net'
      Merge branch 'ipv6_tunnel_iflink_init'
      Merge branch 'xgene-net'
      Merge branch 'stmmac-net'
      Merge branch 'mlx5-net'
      Merge tag 'master-2014-11-04' of git://git.kernel.org/.../linville/wireless
      sparc64: Do irq_{enter,exit}() around generic_smp_call_function*().
      Merge branch 'cxgb4-net'
      Merge branch 'bcmgenet-net'
      sparc64: Fix constraints on swab helpers.

Devin Ryles (1):
      ALSA: hda_intel: Add DeviceIDs for Sunrise Point-LP

Dmitry Eremin-Solenikov (1):
      PM / sleep: Fix entering suspend-to-IDLE if no freeze_oops is set

Ebru Akagunduz (1):
      power: ab8500_fg.c: use 64-bit time types

Edward Cree (1):
      sfc: don't BUG_ON efx->max_channels == 0 in probe

Eli Cohen (2):
      net/mlx5_core: Fix race in create EQ
      net/mlx5_core: Fix race on driver load

Emmanuel Grumbach (2):
      iwlwifi: mvm: initialize the cur_ucode upon boot
      iwlwifi: fix RFkill while calibrating

Enric Balletbo i Serra (1):
      smsc911x: power-up phydev before doing a software reset.

Eric Dumazet (1):
      ipv6: fix IPV6_PKTINFO with v4 mapped

Evgeniy Dushistov (1):
      ARM: orion: Fix for certain sequence of request_irq can cause irq storm

Fabrice Gasnier (2):
      stmmac: fix stmmac_tx_avail should be called with TX locked
      stmmac: release tx lock, in case of dma mapping error.

Felipe Balbi (1):
      MAINTAINERS: add more files under OMAP SUPPORT

Felix Fietkau (1):
      mac80211: flush keys for AP mode on ieee80211_do_stop

Florian Fainelli (4):
      net: systemport: fix DMA allocation/freeing sizes
      net: systemport: do not crash freeing an unitialized TX ring
      net: bcmgenet: connect and disconnect from the PHY state machine
      net: bcmgenet: apply MII configuration in bcmgenet_open()

Geert Uytterhoeven (1):
      cpufreq: Avoid crash in resume on SMP without OPP

Giedrius Statkevicius (2):
      platform: hp_accel: add a i8042 filter to remove HPQ6000 data from kb bus stream
      platform: hp_accel: Add SERIO_I8042 as a dependency since it now includes i8042.h/serio.h

Giuseppe CAVALLARO (3):
      stmmac: fix lock in stmmac_set_rx_mode
      stmmac: fix concurrency in eee initialization.
      stmmac: fix atomicity in pm routines

Govindarajulu Varadarajan (2):
      enic: handle error condition properly in enic_rq_indicate_buf
      enic: update desc properly in rx_copybreak

Greg Kurz (1):
      hwrng: pseries - port to new read API and fix stack corruption

Gregory Fong (1):
      bridge: include in6.h in if_bridge.h for struct in6_addr

Guenter Roeck (1):
      netfilter: nft_reject_bridge: Fix powerpc build error

Hariprasad Shenai (3):
      cxgb4vf: Move fl_starv_thres into adapter->sge data structure
      cxgb4/cxgb4vf: For T5 use Packing and Padding Boundaries for SGE DMA transfers
      cxgb4vf: FL Starvation Threshold needs to be larger than the SGE's Egress Congestion Threshold

Heiner Kallweit (1):
      imx: thermal: imx_get_temp might be called before sensor clock is prepared

Heinz Mauelshagen (2):
      dm raid: ensure superblock's size matches device's logical block size
      dm raid: fix inaccessible superblocks causing oops in configure_discard_support

Helge Deller (4):
      parisc: Wire up bpf syscall
      parisc: Use BUILD_BUG() instead of undefined functions
      parisc: Use compat layer for msgctl, shmat, shmctl and semtimedop syscalls
      parisc: Avoid using CONFIG_64BIT in userspace exported headers

Herbert Xu (4):
      tun: Fix csum_start with VLAN acceleration
      tun: Fix TUN_PKT_STRIP setting
      macvtap: Fix csum_start when VLAN tags are present
      lib: rhashtable - Remove weird non-ASCII characters from comments

Hiroaki SHIMODA (1):
      netlink: Properly unbind in error conditions.

Ilya Dryomov (4):
      libceph: do not crash on large auth tickets
      libceph: unlink from o_linger_requests when clearing r_osd
      libceph: clear r_req_lru_item in __unregister_linger_request()
      libceph: change from BUG to WARN for __remove_osd() asserts

Imre Deak (14):
      drm/i915: vlv: fix gunit HW state corruption during S4 suspend
      drm/i915: unify gen6/gen8 pm irq helpers
      drm/i915: unify gen6/gen8 rps irq handler
      drm/i915: unify gen6/gen8 rps irq enable/disable
      drm/i915: move rps irq enable/disable to i915_irq.c
      drm/i915: WARN if we receive any gen9 rps interrupts
      drm/i915: WARN if we receive any rps interrupts on gen>9
      drm/i915: move rps irq disable one level up
      drm/i915: sanitize rps irq enabling
      drm/i915: sanitize rps irq disabling
      drm/i915: disable rps irqs earlier during suspend/unload
      drm/i915: vlv: fix cdclk setting during modeset while suspended
      drm/i915: vlv: increase timeout when setting idle GPU freq
      drm/i915: remove the IRQs enabled WARN from intel_disable_gt_powersave

Ingo Molnar (1):
      Merge tag 'microcode_fixes_for_3.18' of git://git.kernel.org/.../bp/bp into x86/urgent

Inki Dae (4):
      drm/exynos: resolve infinite loop issue on multi-platform
      drm/exynos: resolve infinite loop issue on non multi-platform
      drm/exynos: g2d: fix null pointer dereference
      drm/exynos: fix possible infinite loop issue

Iyappan Subramanian (3):
      dtb: xgene: fix: Backward compatibility with older firmware
      drivers: net: xgene: Backward compatibility with older firmware
      drivers: net: xgene: fix: Use separate resources

James Morris (1):
      Merge branch 'stable-3.18' of git://git.infradead.org/users/pcmoore/selinux into for-linus

James Ralston (1):
      ahci: Add Device IDs for Intel Sunrise Point PCH

Jammy Zhou (1):
      drm/radeon: set correct CE ram size for CIK

Jan Kara (4):
      block: Fix computation of merged request priority
      nfs: Remove bogus assignment
      nfs: Fix use of uninitialized variable in nfs_getattr()
      fanotify: fix notification of groups with inode & mount marks

Jani Nikula (9):
      drm/i915: safeguard against too high minimum brightness
      drm/edid: fix Baseline_ELD_Len field in drm_edid_to_eld()
      drm/i915/vlv: don't save panel power sequencer registers on suspend
      drm/i915: restore RSTDBYCTL only on non-KMS paths
      drm/i915: remove the unnecessary block around display.hpd_irq_setup
      drm/i915: don't save/restore panel fitter registers
      drm/i915: don't save/restore backlight hist ctl registers
      drm/i915: unify remaining register save/restore code a bit
      drm/i915/audio: fix monitor presence indication after disable

Jeff Layton (1):
      sunrpc: fix sleeping under rcu_read_lock in gss_stringify_acceptor

Jesse Barnes (11):
      drm/i915: factor out compute_config from __intel_set_mode v3
      drm/i915: use compute_config in set_config v4
      drm/i915/hdmi: fetch infoframe status in get_config v2
      drm/i915: check for audio and infoframe changes across mode sets v2
      drm/i915: update pipe size at set_config time
      drm/i915: preserve SSC if previously set v3
      drm/i915/skl: fetch, enable/disable pfit as needed v2
      drm/i915/skl: AUX irqs have moved
      drm/i915/ddi: add break in DDI mode select switch
      drm/i915/ddi: set has_infoframe flag on DDI too v2
      drm/i915/g4x: fix g4x infoframe readout

Jesse Gross (3):
      geneve: Set GSO type on transmit.
      geneve: Unregister pernet subsys on module unload.
      udptunnel: Add SKB_GSO_UDP_TUNNEL during gro_complete.

Jiang Liu (1):
      megaraid_sas: fix bug in handling return value of pci_enable_msix_range()

Joe Thornber (2):
      dm thin: grab a virtual cell before looking up the mapping
      dm btree: fix a recursion depth bug in btree walking code

Johan Hovold (1):
      mfd: viperboard: Fix platform-device id collision

Johannes Berg (2):
      mac80211: properly flush delayed scan work on interface removal
      mac80211: fix use-after-free in defragmentation

John W. Linville (2):
      Merge tag 'iwlwifi-for-john-2014-11-03' of git://git.kernel.org/.../iwlwifi/iwlwifi-fixes
      Merge tag 'mac80211-for-john-2014-11-04' of git://git.kernel.org/.../jberg/mac80211

Joonsoo Kim (7):
      mm/compaction: skip the range until proper target pageblock is met
      mm/page_alloc: fix incorrect isolation behavior by rechecking migratetype
      mm/page_alloc: add freepage on isolate pageblock to correct buddy list
      mm/page_alloc: move freepage counting logic to __free_one_page()
      mm/page_alloc: restrict max order of merging on isolated pageblock
      mm/slab: fix unalignment problem on Malta with EVA due to slab merge
      mm/debug-pagealloc: correct freepage accounting and order resetting

Junjie Mao (2):
      mac80211_hwsim: release driver when ieee80211_register_hw fails
      x86, kaslr: Prevent .bss from overlaping initrd

Kailang Yang (1):
      ALSA: hda/realtek - Change EAPD to verb control

Kamil Debski (1):
      hwmon: (pwm-fan) Fix suspend/resume behavior

Karl Beldan (1):
      net: mv643xx_eth: reclaim TX skbs only when released by the HW

Keerthy (3):
      ARM: dts: AM43x-EPOS-EVM: Fix DCDC3 voltage
      ARM: dts: AM437x-GP-EVM: Fix DCDC3 voltage
      ARM: dts: AM437x-SK-EVM: Fix DCDC3 voltage

Kirill A. Shutemov (1):
      kernel: use the gnu89 standard explicitly

Koji Matsuoka (1):
      ata: sata_rcar: Add r8a7793 device support

Krzysztof Kozlowski (8):
      power: bq2415x_charger: Properly handle ENODEV from power_supply_get_by_phandle
      power: bq2415x_charger: Fix memory leak on DTS parsing error
      power_supply: Add no_thermal property to prevent recursive get_temp calls
      power: charger-manager: Avoid recursive thermal get_temp call
      power: charger-manager: Fix accessing invalidated power supply after fuel gauge unbind
      power: charger-manager: Fix accessing invalidated power supply after charger unbind
      mfd: max77693: Use proper regmap for handling MUIC interrupts
      mfd: max77693: Fix always masked MUIC interrupts

Kyle McMartin (1):
      arm64: __clear_user: handle exceptions on strb

Linus Torvalds (38):
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'mfd-fixes-3.18' of git://git.kernel.org/.../lee/mfd
      Merge branch 'fixes' of git://git.kernel.org/.../evalenti/linux-soc-thermal
      Merge tag 'hwmon-for-linus-v3.18-rc5' of git://git.kernel.org/.../groeck/linux-staging
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../rusty/linux
      Merge tag 'trace-fixes-v3.18-rc4' of git://git.kernel.org/.../rostedt/linux-trace
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge tag 'xtensa-20141109' of git://github.com/czankel/xtensa-linux
      Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'dm-3.18-fixes' of git://git.kernel.org/.../device-mapper/linux-dm
      Merge branch 'stable-3.18' of git://git.infradead.org/users/pcmoore/audit
      Merge branch 'for-linus' of git://git.kernel.org/.../jmorris/linux-security
      Merge tag 'sound-3.18-rc5' of git://git.kernel.org/.../tiwai/sound
      Merge branch 'for-linus' of git://git.kernel.org/.../jikos/hid
      Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
      Merge branch 'akpm' (fixes from Andrew Morton)
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
      Merge branch 'for-linus' of git://git.kernel.org/.../viro/vfs
      Merge tag 'firewire-fix' of git://git.kernel.org/.../ieee1394/linux1394
      Merge tag 'pm+acpi-3.18-rc5' of git://git.kernel.org/.../rafael/linux-pm
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge branch 'for-3.18-fixes' of git://git.kernel.org/.../tj/libata
      Merge tag 'platform-drivers-x86-v3.18-3' of git://git.infradead.org/users/dvhart/linux-platform-drivers-x86
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge tag 'nfs-for-3.18-3' of git://git.linux-nfs.org/projects/trondmy/linux-nfs
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'for-v3.18-rc' of git://git.infradead.org/battery-2.6
      Merge branch 'parisc-3.18-2' of git://git.kernel.org/.../deller/parisc-linux
      Merge branch 'fixes' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      x86-64: make csum_partial_copy_from_user() error handling consistent
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 'scsi-fixes' of git://git.kernel.org/.../jejb/scsi
      Merge tag 'md/3.18-fix' of git://neil.brown.name/md
      Merge git://git.kernel.org/.../davem/sparc
      Merge tag 'armsoc-for-rc5' of git://git.kernel.org/.../arm/arm-soc
      Linux 3.18-rc5

Linus Walleij (2):
      smc91x: retrieve IRQ and trigger flags in a modern way
      mfd: stmpe: Fix STMPE24xx GPMR LSB

Loganaden Velvindron (1):
      net: Add missing descriptions for fwmark_reflect for ipv4 and ipv6.

Lothar Waßmann (1):
      net: fec: fix regression on i.MX28 introduced by rx_copybreak support

Luciano Coelho (2):
      mac80211: use secondary channel offset IE also beacons during CSA
      mac80211: schedule the actual switch of the station before CSA count 0

Manish Chopra (1):
      netxen: Fix link event handling.

Marcelo Leitner (2):
      tcp: zero retrans_stamp if all retrans were acked
      vxlan: Do not reuse sockets for a different address family

Mark Rutland (1):
      arm64: efi: Fix stub cache maintenance

Matt Roper (2):
      drm/i915: Propagate invalid setcrtc cloning errors back to userspace
      drm/i915: Don't store panning coordinates as 16.16 fixed point

Maurizio Lombardi (1):
      bnx2fc: fix tgt spinlock locking

Max Filippov (7):
      xtensa: implement pgprot_noncached
      xtensa: ISS: add BLOCK dependency to BLK_DEV_SIMDISK
      xtensa: add seccomp, getrandom, and memfd_create syscalls
      xtensa: xtfpga: only select ethoc when ethernet is available
      xtensa: re-wire umount syscall to sys_oldumount
      xtensa: xtfpga: add generic KC705 board config
      xtensa: xtfpga: add lx200 SMP DTS and defconfig

Michael Ellerman (1):
      hwmon: (ibmpowernv) Quieten when probing finds no device

Michael H. Nguyen (1):
      drm/i915/skl: Add Gen9 LRC size

Michal Nazarewicz (1):
      mm: alloc_contig_range: demote pages busy message from warn to info

Michel Thierry (1):
      drm/i915: Initialize workarounds in logical ring mode too

Mika Kuoppala (1):
      drm/i915: Wait thread status on gen8+ fw sequence

Miklos Szeredi (1):
      audit: keep inode pinned

Mikulas Patocka (1):
      dm bufio: change __GFP_IO to __GFP_FS in shrinker callbacks

Min-Hua Chen (1):
      arm64: Fix data type for physical address

Ming Lei (1):
      block: blk-merge: fix blk_recount_segments()

Mugunthan V N (1):
      drivers: net: cpsw: remove cpsw_ale_stop from cpsw_ale_destroy

Nadav Amit (1):
      KVM: x86: Fix uninitialized op->type for some immediate values

Nathan Lynch (1):
      ARM: 8198/1: make kuser helpers depend on MMU

Neil Roberts (1):
      drm/i915: Add the predicate source registers to the register whitelist

Neil Zhang (1):
      arm64: ARCH_PFN_OFFSET should be unsigned long

NeilBrown (2):
      Input: twl4030-pwrbutton - ensure a wakeup event is recorded.
      md: Always set RECOVERY_NEEDED when clearing RECOVERY_FROZEN

Nimrod Andy (1):
      net: fec: fix suspend broken on multiple MACs sillicons

Nishanth Menon (1):
      MAINTAINERS: Update entry for omap related .dts files to cover new SoCs

Olof Johansson (2):
      Merge tag 'mvebu-fixes-3.18' of git://git.infradead.org/linux-mvebu into fixes
      Merge tag 'omap-fixes-against-v3.18-rc4' of git://git.kernel.org/.../tmlind/linux-omap into fixes

Or Gerlitz (1):
      net/mlx4_en: Advertize encapsulation offloads features only when VXLAN tunnel is set

Oscar Mateo (1):
      drm/i915/bdw: Pin the context backing objects to GGTT on-demand

Pali Rohár (3):
      Input: alps - ignore potential bare packets when device is out of sync
      Input: alps - allow up to 2 invalid packets without resetting device
      Input: alps - ignore bad data on Dell Latitudes E6440 and E7440

Paolo Bonzini (1):
      Merge tag 'kvm-s390-20141103' of git://git.kernel.org/.../kvms390/linux into kvm-master

Paul Mackerras (1):
      Fix thinko in iov_iter_single_seg_count

Paulo Zanoni (1):
      drm/i915: use the correct obj when preparing the sprite plane

Pavitra Kumar (1):
      dm stripe: fix potential for leak in stripe_ctr error path

Peng Tao (1):
      nfs: fix pnfs direct write memory leak

Peter Rosin (1):
      ARM: at91/dt: Fix sama5d3x typos

Rabin Vincent (2):
      tracing: Do not busy wait in buffer splice
      tracing: Do not risk busy looping in buffer splice

Rafael J. Wysocki (2):
      Merge branch 'acpi-blacklist'
      Merge branches 'pm-domains', 'pm-sleep' and 'pm-cpufreq'

Rasmus Villemoes (1):
      include/linux/socket.h: Fix comment

Ricardo Ribalda Delgado (1):
      xtensa/uapi: Add definition of TIOC[SG]RS485

Richard Cochran (1):
      net: ptp: fix time stamp matching logic for VLAN packets.

Richard Guy Briggs (2):
      audit: AUDIT_FEATURE_CHANGE message format missing delimiting space
      selinux: convert WARN_ONCE() to printk() in selinux_nlmsg_perm()

Rodrigo Vivi (4):
      drm/i915: Disable caches for Global GTT.
      drm/i915: Make dp aux pack/unpack public outside intel_dp.c
      drm/i915: Introduce intel_psr.c
      drm/i915: Add PSR docbook

Roger Quadros (2):
      ARM: dts: am335x-evm: Fix 5th NAND partition's name
      pinctrl: dra: dt-bindings: Fix output pull up/down

Roy Spliet (1):
      drm/nouveau/nv50/disp: Fix modeset on G94

Ryo Munakata (1):
      net/9p: remove a comment about pref member which doesn't exist

Satheeshakrishna M (8):
      drm/i915/skl: Register definitions for SKL Clocks
      drm/i915/skl: Structure/enum definitions for SKL clocks
      drm/i915/skl: CD clock back calculation for SKL
      drm/i915/skl: Determine enabled PLL and its linkrate/pixel clock
      drm/i915/skl: Query DPLL attached to port on SKL
      drm/i915/skl: Define shared DPLLs for Skylake
      drm/i915/skl: Adjust the port PLL selection code
      drm/i915/skl: Implementation of SKL DPLL programming

Sebastian Ott (1):
      KVM: s390: virtio_ccw: remove unused variable

Shuah Khan (1):
      selftests/net: psock_fanout seg faults in sock_fanout_read_ring()

Simon Horman (1):
      ata: sata_rcar: Disable DIPM mode for r8a7790 ES1

Stefan Richter (1):
      firewire: cdev: prevent kernel stack leaking into ioctl arguments

Stefan Wahren (1):
      net: qualcomm: Fix dependency

Steffen Klassert (4):
      ip6_tunnel: Use ip6_tnl_dev_init as the ndo_init function.
      vti6: Use vti6_dev_init as the ndo_init function.
      sit: Use ipip6_tunnel_init as the ndo_init function.
      gre6: Move the setting of dev->iflink into the ndo_init functions.

Sudip Mukherjee (1):
      net: mvpp2: fix possible memory leak

Tadeusz Struk (2):
      crypto: qat - Prevent dma mapping zero length assoc data
      crypto: qat - Enforce valid numa configuration

Takashi Iwai (4):
      Input: synaptics - add min/max quirk for Lenovo T440s
      ALSA: usb-audio: Fix memory leak in FTU quirk
      net: ppp: Don't call bpf_prog_create() in ppp_lock
      ALSA: hda - Add mute LED control for Lenovo Ideapad Z560

Tang Chen (2):
      mem-hotplug: reset node managed pages when hot-adding a new pgdat
      mem-hotplug: reset node present pages when hot-adding a new pgdat

Tejun Heo (4):
      Revert "AHCI: Do not acquire ata_host::lock from single IRQ handler"
      Revert "AHCI: Optimize single IRQ interrupt processing"
      ahci: disable MSI instead of NCQ on Samsung pci-e SSDs on macbooks
      blk-mq: make mq_queue_reinit_notify() freeze queues in parallel

Thierry Reding (2):
      mfd: rtsx: Fix build warnings for !PM
      drm/tegra: dc: Add missing call to drm_vblank_on()

Thomas Daniel (2):
      drm/i915/bdw: Clean up execlist queue items in retire_work
      drm/i915/bdw: Pin the ringbuffer backing object to GGTT on-demand

Tom O'Rourke (5):
      drm/i915: Extend pcode mailbox interface
      drm/i915: Use efficient frequency for HSW/BDW
      drm/i915: Keep min freq above floor on HSW/BDW
      drm/i915: change initial rps frequency for gen8
      drm/i915: Update ring freq for full gpu freq range

Tony Battersby (1):
      scsi: Fix more error handling in SCSI_IOCTL_SEND_COMMAND

Tony Lindgren (1):
      mfd: twl4030-power: Fix poweroff with PM configuration enabled

Trond Myklebust (8):
      NFSv4: Ensure nfs_atomic_open set the dentry verifier on ENOENT
      Revert "NFS: remove BUG possibility in nfs4_open_and_get_state"
      Revert "NFS: nfs4_do_open should add negative results to the dcache."
      NFSv4: Ensure that we remove NFSv4.0 delegations when state has expired
      NFSv4.1: nfs41_clear_delegation_stateid shouldn't trust NFS_DELEGATED_STATE
      NFSv4: Fix races between nfs_remove_bad_delegation() and delegation return
      NFSv4: Ensure that we call FREE_STATEID when NFSv4.x stateids are revoked
      NFS: Don't try to reclaim delegation open state if recovery failed

Tvrtko Ursulin (1):
      drm/i915/skl: Use correct use counters for force wakes

Ulf Hansson (2):
      PM / Domains: Change prototype for the attach and detach callbacks
      PM / Domains: Fix initial default state of the need_restore flag

Ulrik De Bie (5):
      Input: elantech - use elantech_report_trackpoint for hardware v4 too
      Input: elantech - fix crc_enabled for Fujitsu H730
      Input: elantech - report the middle button of the touchpad
      Input: elantech - provide a sysfs knob for crc_enabled
      Input: elantech - update the documentation

Vandana Kannan (1):
      drm/i915/skl: Apply eDP WA only for gen < 9

Ville Syrjälä (21):
      drm/i915: Warn if trying to poke a VLV backlight on invalid pipe
      drm/i915: Skip .get_backlight() when backlight isn't enabled
      drm/i915: Don't deref NULL crtc in intel_get_pipe_from_connector()
      drm/i915: Pass the current pipe from eDP init to backlight setup
      drm/i915: Register the backlight device after the modeset init
      drm/i915: Remove most INVALID_PIPE checks from the backlight code
      drm/i915: Read the CCK fuse register from CCK
      drm/i915: Refactor vlv_display_irq_uninstall()
      drm/i915: Use vlv display irq setup code for chv
      drm/i915: Fix comments about CHV snoop behaviour
      drm/i915: Reinit display irqs and hpd from chv pipe-a power well
      drm/i915: Silence valleyview_set_rps()
      drm/i915: Add a name for the Punit GPLLENABLE bit
      drm/i915: Warn if GPLL isn't used on vlv/chv
      drm/i915: Improve PCBR debug information
      drm/i915: Refactor vlv/chv GPU frequency divider setup
      drm/i915: Add missing newline to 'DDR speed' debug messages
      drm/i915: Change CHV SKU400 GPU freq divider to 10
      drm/i915: Drop the HSW special case from __gen6_gt_wait_for_thread_c0()
      drm/i915: Drop WaRsForcewakeWaitTC0:vlv
      drm/i915: Read power well status before other registers for drpc info

Vlastimil Babka (1):
      mm, compaction: prevent infinite loop in compact_zone

Weijie Yang (1):
      zram: avoid kunmap_atomic() of a NULL pointer

Weston Andros Adamson (1):
      nfs: remove spurious WARN_ON_ONCE in write path

Will Deacon (1):
      ARM: 8191/1: decompressor: ensure I-side picks up relocated code

William Cohen (1):
      Correct the race condition in aarch64_insn_patch_text_sync()

Xie XiuQi (1):
      kernel/panic.c: update comments for print_tainted

Yan, Zheng (1):
      ceph: fix flush tid comparision

Zhe Wang (2):
      drm/i915/skl: Gen9 multi-engine forcewake
      drm/i915: Gen9 shadowed registers

lan,Tianyu (1):
      Thermal/int3403: Fix thermal hysteresis unit conversion

stephen hemminger (1):
      uapi: add missing network related headers to kbuild

wenxiong@linux.vnet.ibm.com (1):
      scsi: TUR path is down after adapter gets reset with multipath

 Documentation/ABI/testing/sysfs-ibft               |    2 +-
 Documentation/DocBook/drm.tmpl                     |   26 +
 Documentation/DocBook/media/Makefile               |    2 +-
 Documentation/DocBook/media/v4l/compat.xml         |    4 +
 Documentation/HOWTO                                |    1 -
 Documentation/SubmittingPatches                    |   10 +-
 Documentation/development-process/2.Process        |    4 -
 Documentation/development-process/8.Conclusion     |    4 -
 .../devicetree/bindings/ata/sata_rcar.txt          |    6 +-
 .../devicetree/bindings/net/smsc-lan91c111.txt     |    2 +
 .../devicetree/bindings/sound/sgtl5000.txt         |   10 +
 .../devicetree/bindings/submitting-patches.txt     |    3 +
 .../devicetree/bindings/thermal/rcar-thermal.txt   |    5 +-
 Documentation/input/elantech.txt                   |   81 +-
 Documentation/kernel-parameters.txt                |   18 +-
 Documentation/kmemleak.txt                         |    4 +
 Documentation/networking/ip-sysctl.txt             |   14 +
 Documentation/prctl/Makefile                       |    2 +-
 Documentation/ptp/testptp.mk                       |   33 +
 Documentation/vDSO/Makefile                        |    3 +
 Documentation/vDSO/vdso_standalone_test_x86.c      |    2 +-
 Documentation/video4linux/vivid.txt                |   12 +-
 Documentation/vm/hugetlbpage.txt                   |    2 +-
 MAINTAINERS                                        |   58 +-
 Makefile                                           |    9 +-
 arch/arm/Kconfig.debug                             |    2 +-
 arch/arm/boot/compressed/head.S                    |   20 +-
 arch/arm/boot/dts/am335x-evm.dts                   |    2 +-
 arch/arm/boot/dts/am437x-gp-evm.dts                |    4 +-
 arch/arm/boot/dts/am437x-sk-evm.dts                |    4 +-
 arch/arm/boot/dts/am43x-epos-evm.dts               |    4 +-
 arch/arm/boot/dts/omap3-n900.dts                   |    2 +
 arch/arm/boot/dts/sama5d31.dtsi                    |    2 +-
 arch/arm/boot/dts/sama5d33.dtsi                    |    2 +-
 arch/arm/boot/dts/sama5d34.dtsi                    |    2 +-
 arch/arm/boot/dts/sama5d35.dtsi                    |    2 +-
 arch/arm/boot/dts/sama5d36.dtsi                    |    2 +-
 arch/arm/boot/dts/sama5d3xcm.dtsi                  |    2 +-
 arch/arm/boot/dts/vf610-cosmic.dts                 |   19 +
 arch/arm/boot/dts/zynq-parallella.dts              |    4 +
 arch/arm/common/edma.c                             |    9 +
 arch/arm/configs/imx_v4_v5_defconfig               |    1 +
 arch/arm/configs/imx_v6_v7_defconfig               |    1 +
 arch/arm/configs/multi_v7_defconfig                |    2 +
 arch/arm/configs/omap2plus_defconfig               |    4 +-
 arch/arm/configs/socfpga_defconfig                 |   71 +-
 arch/arm/include/uapi/asm/unistd.h                 |    1 +
 arch/arm/kernel/asm-offsets.c                      |   12 +-
 arch/arm/kernel/calls.S                            |    1 +
 arch/arm/mach-imx/clk-vf610.c                      |  134 ++-
 arch/arm/mach-ixp4xx/include/mach/io.h             |    4 +-
 arch/arm/mach-mvebu/board-v7.c                     |    2 +-
 arch/arm/mach-omap2/omap_device.c                  |    4 +
 arch/arm/mach-omap2/pdata-quirks.c                 |    3 -
 arch/arm/mach-pxa/include/mach/addr-map.h          |    5 +
 arch/arm/mm/Kconfig                                |    1 +
 arch/arm/mm/cache-l2x0.c                           |   26 +-
 arch/arm/mm/dma-mapping.c                          |    1 -
 arch/arm/mm/highmem.c                              |    3 +
 arch/arm/mm/init.c                                 |    8 +-
 arch/arm/plat-orion/gpio.c                         |   36 +-
 arch/arm64/boot/dts/apm-storm.dtsi                 |   10 +-
 arch/arm64/configs/defconfig                       |   26 +-
 arch/arm64/include/asm/memory.h                    |    2 +-
 arch/arm64/include/asm/unistd32.h                  |    2 +
 arch/arm64/kernel/efi-entry.S                      |   27 +-
 arch/arm64/kernel/insn.c                           |    5 +-
 arch/arm64/kernel/psci.c                           |    2 +-
 arch/arm64/lib/clear_user.S                        |    2 +-
 arch/arm64/mm/mmu.c                                |    2 +-
 arch/m68k/include/asm/unistd.h                     |    2 +-
 arch/m68k/include/uapi/asm/unistd.h                |    1 +
 arch/m68k/kernel/syscalltable.S                    |    1 +
 arch/microblaze/Kconfig                            |    4 +
 arch/microblaze/include/asm/unistd.h               |    2 +-
 arch/microblaze/include/uapi/asm/unistd.h          |    1 +
 arch/microblaze/kernel/syscall_table.S             |    1 +
 arch/microblaze/pci/pci-common.c                   |    9 +-
 arch/mips/Makefile                                 |    9 +
 arch/mips/cavium-octeon/octeon-irq.c               |    2 +
 arch/mips/include/asm/asmmacro-32.h                |    6 +
 arch/mips/include/asm/asmmacro.h                   |   18 +
 arch/mips/include/asm/fpregdef.h                   |   14 +
 arch/mips/include/asm/fpu.h                        |    4 +-
 arch/mips/include/asm/mipsregs.h                   |   11 +-
 arch/mips/include/uapi/asm/unistd.h                |   15 +-
 arch/mips/kernel/branch.c                          |    8 +-
 arch/mips/kernel/genex.S                           |    1 +
 arch/mips/kernel/r2300_fpu.S                       |    6 +
 arch/mips/kernel/r2300_switch.S                    |    5 +
 arch/mips/kernel/r4k_fpu.S                         |   27 +-
 arch/mips/kernel/r4k_switch.S                      |   15 +-
 arch/mips/kernel/r6000_fpu.S                       |    5 +
 arch/mips/kernel/scall32-o32.S                     |    1 +
 arch/mips/kernel/scall64-64.S                      |    1 +
 arch/mips/kernel/scall64-n32.S                     |    1 +
 arch/mips/kernel/scall64-o32.S                     |    1 +
 arch/mips/kernel/setup.c                           |    3 +-
 arch/mips/lib/r3k_dump_tlb.c                       |    4 +-
 arch/mips/lib/strnlen_user.S                       |    6 +-
 arch/mips/math-emu/cp1emu.c                        |    6 +-
 arch/mips/pci/msi-xlp.c                            |    4 +-
 arch/parisc/include/asm/uaccess.h                  |   19 +-
 arch/parisc/include/uapi/asm/bitsperlong.h         |    8 +-
 arch/parisc/include/uapi/asm/msgbuf.h              |    8 +-
 arch/parisc/include/uapi/asm/sembuf.h              |    6 +-
 arch/parisc/include/uapi/asm/shmbuf.h              |   35 +-
 arch/parisc/include/uapi/asm/signal.h              |    2 +-
 arch/parisc/include/uapi/asm/unistd.h              |    3 +-
 arch/parisc/kernel/syscall_table.S                 |    9 +-
 arch/powerpc/include/asm/fadump.h                  |   52 +-
 arch/powerpc/include/asm/hugetlb.h                 |    2 +-
 arch/powerpc/include/asm/systbl.h                  |    1 +
 arch/powerpc/include/asm/unistd.h                  |    2 +-
 arch/powerpc/include/uapi/asm/unistd.h             |    1 +
 arch/powerpc/kernel/entry_64.S                     |    6 +
 arch/powerpc/kernel/fadump.c                       |  114 +--
 arch/powerpc/mm/copro_fault.c                      |    3 +-
 arch/powerpc/mm/init_32.c                          |    2 +-
 arch/powerpc/mm/numa.c                             |    7 +-
 arch/powerpc/mm/slice.c                            |    3 +-
 arch/powerpc/perf/hv-24x7.c                        |    6 -
 arch/powerpc/perf/hv-gpci.c                        |    6 -
 arch/powerpc/platforms/powernv/opal-lpc.c          |   63 +-
 arch/powerpc/platforms/powernv/opal-wrappers.S     |    2 +-
 arch/powerpc/platforms/pseries/dlpar.c             |    4 +-
 arch/powerpc/platforms/pseries/lpar.c              |   14 +-
 arch/s390/configs/default_defconfig                |   36 +-
 arch/s390/configs/gcov_defconfig                   |   25 +-
 arch/s390/configs/performance_defconfig            |   30 +-
 arch/s390/configs/zfcpdump_defconfig               |   10 +-
 arch/s390/defconfig                                |    5 +-
 arch/s390/kernel/ftrace.c                          |    2 +
 arch/s390/kernel/perf_cpum_sf.c                    |    6 -
 arch/s390/kernel/vdso32/clock_gettime.S            |   12 +-
 arch/s390/kernel/vdso32/gettimeofday.S             |   14 +-
 arch/s390/kernel/vdso64/clock_gettime.S            |   13 +-
 arch/s390/kernel/vdso64/gettimeofday.S             |    6 +-
 arch/s390/kernel/vtime.c                           |    4 +
 arch/sh/kernel/cpu/sh3/setup-sh770x.c              |    6 +-
 arch/sparc/include/asm/atomic_32.h                 |    2 +-
 arch/sparc/include/asm/cmpxchg_32.h                |   12 +-
 arch/sparc/include/uapi/asm/swab.h                 |   12 +-
 arch/sparc/include/uapi/asm/unistd.h               |    3 +-
 arch/sparc/kernel/pci_schizo.c                     |    6 +-
 arch/sparc/kernel/smp_64.c                         |    4 +
 arch/sparc/kernel/systbls_32.S                     |    2 +-
 arch/sparc/kernel/systbls_64.S                     |    4 +-
 arch/sparc/lib/atomic32.c                          |   27 +
 arch/x86/Kconfig                                   |    4 +
 arch/x86/boot/compressed/Makefile                  |    4 +-
 arch/x86/boot/compressed/head_32.S                 |    5 +-
 arch/x86/boot/compressed/head_64.S                 |    5 +-
 arch/x86/boot/compressed/misc.c                    |   13 +-
 arch/x86/boot/compressed/mkpiggy.c                 |    9 +-
 arch/x86/ia32/ia32entry.S                          |    2 +-
 arch/x86/include/asm/preempt.h                     |    1 +
 arch/x86/include/asm/smp.h                         |    1 +
 arch/x86/kernel/acpi/boot.c                        |   16 +-
 arch/x86/kernel/apb_timer.c                        |    2 -
 arch/x86/kernel/apic/apic.c                        |    4 +-
 arch/x86/kernel/cpu/Makefile                       |    7 +-
 arch/x86/kernel/cpu/intel.c                        |    5 +-
 arch/x86/kernel/cpu/microcode/amd_early.c          |   33 +-
 arch/x86/kernel/cpu/microcode/core_early.c         |    2 +-
 arch/x86/kernel/cpu/perf_event.c                   |   14 +-
 arch/x86/kernel/cpu/perf_event.h                   |    1 -
 arch/x86/kernel/cpu/perf_event_intel.c             |  173 +---
 arch/x86/kernel/entry_32.S                         |   15 +-
 arch/x86/kernel/i8259.c                            |    3 +-
 arch/x86/kernel/irqinit.c                          |    3 +-
 arch/x86/kernel/setup.c                            |    2 +-
 arch/x86/kernel/smpboot.c                          |   15 +-
 arch/x86/kernel/tsc.c                              |    5 +-
 arch/x86/kvm/emulate.c                             |   63 +-
 arch/x86/kvm/vmx.c                                 |    6 +-
 arch/x86/lib/csum-wrappers_64.c                    |    5 +-
 arch/x86/mm/pageattr.c                             |    2 +-
 arch/x86/platform/intel-mid/sfi.c                  |    2 +
 arch/x86/tools/calc_run_size.pl                    |   30 +
 arch/x86/xen/smp.c                                 |    3 +
 arch/xtensa/Kconfig                                |    4 +-
 arch/xtensa/boot/dts/lx200mx.dts                   |   16 +
 arch/xtensa/configs/generic_kc705_defconfig        |  131 +++
 arch/xtensa/configs/smp_lx200_defconfig            |  135 +++
 arch/xtensa/include/asm/pgtable.h                  |    2 +
 arch/xtensa/include/uapi/asm/unistd.h              |   12 +-
 block/blk-merge.c                                  |   18 +-
 block/blk-mq.c                                     |   41 +-
 block/elevator.c                                   |    4 +-
 block/ioprio.c                                     |   14 +-
 block/scsi_ioctl.c                                 |   11 +-
 drivers/acpi/blacklist.c                           |    8 +
 drivers/acpi/ec.c                                  |   31 +-
 drivers/acpi/scan.c                                |   70 +-
 drivers/ata/ahci.c                                 |   28 +-
 drivers/ata/libahci.c                              |   78 +-
 drivers/ata/sata_rcar.c                            |   15 +
 drivers/base/Kconfig                               |   19 +-
 drivers/base/core.c                                |    4 +-
 drivers/base/dma-contiguous.c                      |    3 +-
 drivers/base/power/domain.c                        |   42 +-
 drivers/base/power/main.c                          |    2 +
 drivers/bcma/host_pci.c                            |    5 +-
 drivers/bcma/main.c                                |    2 +-
 drivers/block/null_blk.c                           |   14 +-
 drivers/block/rbd.c                                |   35 +-
 drivers/block/sunvdc.c                             |    9 -
 drivers/block/zram/zram_drv.c                      |   13 +-
 drivers/char/hw_random/pseries-rng.c               |   11 +-
 drivers/char/raw.c                                 |    2 +-
 drivers/char/virtio_console.c                      |    4 +-
 drivers/clocksource/arm_arch_timer.c               |    6 +-
 drivers/cpufreq/cpufreq-dt.c                       |   72 +-
 drivers/cpufreq/cpufreq.c                          |    3 +-
 drivers/crypto/caam/key_gen.c                      |   29 +-
 drivers/crypto/qat/qat_common/adf_accel_devices.h  |    3 +-
 drivers/crypto/qat/qat_common/adf_transport.c      |   12 +-
 drivers/crypto/qat/qat_common/qat_algs.c           |    7 +-
 drivers/crypto/qat/qat_common/qat_crypto.c         |    8 +-
 drivers/crypto/qat/qat_dh895xcc/adf_admin.c        |    2 +-
 drivers/crypto/qat/qat_dh895xcc/adf_drv.c          |   32 +-
 drivers/crypto/qat/qat_dh895xcc/adf_isr.c          |    2 +-
 drivers/dma/edma.c                                 |   40 +-
 drivers/edac/cpc925_edac.c                         |    2 +-
 drivers/edac/e7xxx_edac.c                          |    2 +-
 drivers/edac/i3200_edac.c                          |    4 +-
 drivers/edac/i82860_edac.c                         |    2 +-
 drivers/firewire/core-cdev.c                       |    3 +-
 drivers/gpu/drm/armada/armada_crtc.c               |   21 +-
 drivers/gpu/drm/armada/armada_drv.c                |    3 +-
 drivers/gpu/drm/drm_edid.c                         |    7 +-
 drivers/gpu/drm/exynos/exynos_dp_core.c            |    5 -
 drivers/gpu/drm/exynos/exynos_drm_crtc.c           |    5 +-
 drivers/gpu/drm/exynos/exynos_drm_dpi.c            |    4 -
 drivers/gpu/drm/exynos/exynos_drm_drv.c            |   92 +-
 drivers/gpu/drm/exynos/exynos_drm_dsi.c            |    4 -
 drivers/gpu/drm/exynos/exynos_drm_g2d.c            |    9 +-
 drivers/gpu/drm/exynos/exynos_drm_vidi.c           |    4 -
 drivers/gpu/drm/exynos/exynos_hdmi.c               |    6 -
 drivers/gpu/drm/i915/Makefile                      |    1 +
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    6 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   27 +-
 drivers/gpu/drm/i915/i915_dma.c                    | 1039 +-------------------
 drivers/gpu/drm/i915/i915_drv.c                    |   26 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   95 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  397 ++++----
 drivers/gpu/drm/i915/i915_gem_context.c            |    8 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   49 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   52 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    2 -
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   37 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   17 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  223 +++--
 drivers/gpu/drm/i915/i915_reg.h                    |  112 ++-
 drivers/gpu/drm/i915/i915_suspend.c                |   49 +-
 drivers/gpu/drm/i915/i915_trace.h                  |  104 ++
 drivers/gpu/drm/i915/i915_ums.c                    |   14 +
 drivers/gpu/drm/i915/intel_audio.c                 |   17 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  604 +++++++++++-
 drivers/gpu/drm/i915/intel_display.c               |  389 ++++++--
 drivers/gpu/drm/i915/intel_dp.c                    |  475 ++-------
 drivers/gpu/drm/i915/intel_drv.h                   |   40 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |    4 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   69 ++
 drivers/gpu/drm/i915/intel_lrc.c                   |  302 ++++--
 drivers/gpu/drm/i915/intel_lrc.h                   |    6 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    2 +-
 drivers/gpu/drm/i915/intel_panel.c                 |  116 ++-
 drivers/gpu/drm/i915/intel_pm.c                    |  322 +++---
 drivers/gpu/drm/i915/intel_psr.c                   |  481 +++++++++
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  216 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.h            |   12 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            |   23 +
 drivers/gpu/drm/i915/intel_sprite.c                |    5 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  156 ++-
 drivers/gpu/drm/nouveau/core/subdev/fb/gk20a.c     |   16 +-
 drivers/gpu/drm/nouveau/nv50_display.c             |   28 +-
 drivers/gpu/drm/radeon/atom.c                      |   11 +-
 drivers/gpu/drm/radeon/atom.h                      |    2 +
 drivers/gpu/drm/radeon/atombios_dp.c               |    4 +-
 drivers/gpu/drm/radeon/atombios_i2c.c              |    4 +-
 drivers/gpu/drm/radeon/cik.c                       |    7 +-
 drivers/gpu/drm/radeon/cik_sdma.c                  |   21 +-
 drivers/gpu/drm/radeon/evergreen.c                 |    8 +-
 drivers/gpu/drm/radeon/kv_dpm.c                    |   19 +-
 drivers/gpu/drm/radeon/r100.c                      |    3 +
 drivers/gpu/drm/radeon/r600_dma.c                  |   20 +-
 drivers/gpu/drm/radeon/radeon_bios.c               |    6 +-
 drivers/gpu/drm/radeon/radeon_cs.c                 |    2 +-
 drivers/gpu/drm/radeon/radeon_device.c             |    1 +
 drivers/gpu/drm/radeon/radeon_ring.c               |    4 +-
 drivers/gpu/drm/radeon/radeon_vm.c                 |    4 +-
 drivers/gpu/drm/radeon/rs600.c                     |    3 +
 drivers/gpu/drm/radeon/rs690.c                     |    3 +
 drivers/gpu/drm/radeon/rv515.c                     |    3 +
 drivers/gpu/drm/radeon/si.c                        |    3 +
 drivers/gpu/drm/radeon/si_dpm.c                    |    2 +-
 drivers/gpu/drm/tegra/dc.c                         |    9 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_cmdbuf_res.c         |    3 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_drv.c                |    6 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_kms.c                |   24 +-
 drivers/hid/hid-core.c                             |    1 +
 drivers/hid/hid-debug.c                            |    6 +
 drivers/hid/hid-ids.h                              |    3 +
 drivers/hid/hid-input.c                            |   12 +-
 drivers/hid/usbhid/hid-quirks.c                    |    3 +
 drivers/hwmon/fam15h_power.c                       |    2 +-
 drivers/hwmon/ibmpowernv.c                         |    6 +-
 drivers/hwmon/pwm-fan.c                            |   13 +-
 drivers/i2c/algos/i2c-algo-bit.c                   |    5 -
 drivers/i2c/algos/i2c-algo-pca.c                   |    5 -
 drivers/i2c/algos/i2c-algo-pcf.c                   |    5 -
 drivers/i2c/algos/i2c-algo-pcf.h                   |    7 +-
 drivers/i2c/busses/i2c-ali1535.c                   |    4 -
 drivers/i2c/busses/i2c-ali15x3.c                   |    4 -
 drivers/i2c/busses/i2c-amd756-s4882.c              |    4 -
 drivers/i2c/busses/i2c-amd756.c                    |    4 -
 drivers/i2c/busses/i2c-at91.c                      |    2 +-
 drivers/i2c/busses/i2c-au1550.c                    |    4 -
 drivers/i2c/busses/i2c-cpm.c                       |    4 -
 drivers/i2c/busses/i2c-davinci.c                   |    4 -
 drivers/i2c/busses/i2c-designware-core.c           |    4 -
 drivers/i2c/busses/i2c-designware-core.h           |    4 -
 drivers/i2c/busses/i2c-designware-pcidrv.c         |    4 -
 drivers/i2c/busses/i2c-designware-platdrv.c        |    4 -
 drivers/i2c/busses/i2c-eg20t.c                     |    4 -
 drivers/i2c/busses/i2c-elektor.c                   |    6 +-
 drivers/i2c/busses/i2c-hydra.c                     |    4 -
 drivers/i2c/busses/i2c-i801.c                      |    4 -
 drivers/i2c/busses/i2c-imx.c                       |    5 -
 drivers/i2c/busses/i2c-iop3xx.h                    |    6 +-
 drivers/i2c/busses/i2c-isch.c                      |    4 -
 drivers/i2c/busses/i2c-ismt.c                      |    4 -
 drivers/i2c/busses/i2c-nforce2-s4985.c             |    4 -
 drivers/i2c/busses/i2c-nforce2.c                   |    4 -
 drivers/i2c/busses/i2c-omap.c                      |    4 -
 drivers/i2c/busses/i2c-parport-light.c             |    4 -
 drivers/i2c/busses/i2c-parport.c                   |    4 -
 drivers/i2c/busses/i2c-parport.h                   |    4 -
 drivers/i2c/busses/i2c-pasemi.c                    |    4 -
 drivers/i2c/busses/i2c-pca-isa.c                   |    4 -
 drivers/i2c/busses/i2c-piix4.c                     |    4 -
 drivers/i2c/busses/i2c-pmcmsp.c                    |    4 -
 drivers/i2c/busses/i2c-powermac.c                  |    4 -
 drivers/i2c/busses/i2c-s3c2410.c                   |    4 -
 drivers/i2c/busses/i2c-sh_mobile.c                 |    4 -
 drivers/i2c/busses/i2c-sibyte.c                    |    4 -
 drivers/i2c/busses/i2c-simtec.c                    |    4 -
 drivers/i2c/busses/i2c-sis5595.c                   |    4 -
 drivers/i2c/busses/i2c-sis630.c                    |    4 -
 drivers/i2c/busses/i2c-sis96x.c                    |    4 -
 drivers/i2c/busses/i2c-taos-evm.c                  |    4 -
 drivers/i2c/busses/i2c-via.c                       |    4 -
 drivers/i2c/busses/i2c-viapro.c                    |    4 -
 drivers/i2c/busses/i2c-xiic.c                      |    4 -
 drivers/i2c/busses/scx200_acb.c                    |    4 -
 drivers/i2c/i2c-boardinfo.c                        |    5 -
 drivers/i2c/i2c-core.c                             |   10 +-
 drivers/i2c/i2c-core.h                             |    5 -
 drivers/i2c/i2c-dev.c                              |    5 -
 drivers/i2c/i2c-smbus.c                            |    5 -
 drivers/i2c/i2c-stub.c                             |    4 -
 drivers/iio/accel/kxcjk-1013.c                     |    2 +-
 drivers/iio/common/st_sensors/st_sensors_buffer.c  |    2 +-
 drivers/iio/light/tsl4531.c                        |    7 +-
 drivers/iio/proximity/as3935.c                     |    2 +-
 drivers/infiniband/hw/mlx4/main.c                  |   10 +-
 drivers/input/keyboard/opencores-kbd.c             |    2 +-
 drivers/input/keyboard/stmpe-keypad.c              |    2 +-
 drivers/input/misc/ims-pcu.c                       |    2 +-
 drivers/input/misc/max77693-haptic.c               |    5 +-
 drivers/input/misc/soc_button_array.c              |    2 +-
 drivers/input/misc/twl4030-pwrbutton.c             |    1 +
 drivers/input/mouse/alps.c                         |   28 +-
 drivers/input/mouse/elantech.c                     |   56 +-
 drivers/input/mouse/psmouse-base.c                 |    7 -
 drivers/input/mouse/synaptics.c                    |    5 +-
 drivers/input/mouse/vsxxxaa.c                      |    2 +-
 drivers/input/serio/altera_ps2.c                   |    4 +-
 drivers/input/serio/i8042-x86ia64io.h              |  297 +++++-
 drivers/input/serio/i8042.c                        |    2 +-
 drivers/input/touchscreen/wm97xx-core.c            |    4 +-
 drivers/irqchip/irq-armada-370-xp.c                |   23 +-
 drivers/md/dm-bufio.c                              |   12 +-
 drivers/md/dm-raid.c                               |   17 +-
 drivers/md/dm-stripe.c                             |    4 +-
 drivers/md/dm-thin.c                               |   16 +-
 drivers/md/md.c                                    |    4 +
 drivers/md/persistent-data/dm-btree-internal.h     |    6 +
 drivers/md/persistent-data/dm-btree-spine.c        |    2 +-
 drivers/md/persistent-data/dm-btree.c              |   24 +-
 drivers/media/common/saa7146/saa7146_core.c        |    6 +-
 drivers/media/dvb-core/dvb_frontend.c              |    6 +
 drivers/media/dvb-frontends/ds3000.c               |    7 +
 drivers/media/dvb-frontends/sp2.c                  |    4 +-
 drivers/media/dvb-frontends/tc90522.c              |   18 +-
 drivers/media/pci/cx23885/cx23885-dvb.c            |    3 +
 drivers/media/pci/tw68/Kconfig                     |    1 -
 drivers/media/pci/tw68/tw68-core.c                 |    2 +-
 drivers/media/platform/Kconfig                     |    6 +-
 drivers/media/platform/exynos4-is/Kconfig          |    2 +-
 drivers/media/platform/exynos4-is/fimc-core.c      |    2 +
 drivers/media/platform/s5p-jpeg/jpeg-core.c        |    6 +-
 drivers/media/platform/s5p-tv/Kconfig              |    2 +-
 drivers/media/platform/vivid/Kconfig               |    5 +-
 drivers/media/platform/vivid/vivid-core.c          |   11 +-
 drivers/media/platform/vivid/vivid-tpg.c           |    2 +-
 drivers/media/radio/wl128x/fmdrv_common.c          |    2 +-
 drivers/media/rc/imon.c                            |    3 +-
 drivers/media/rc/ir-hix5hd2.c                      |    2 +-
 drivers/media/rc/ir-rc5-decoder.c                  |    2 +-
 drivers/media/rc/rc-ir-raw.c                       |    1 -
 drivers/media/rc/rc-main.c                         |    2 +
 drivers/media/tuners/xc5000.c                      |    2 +-
 drivers/media/usb/dvb-usb-v2/af9035.c              |    6 +-
 drivers/media/usb/dvb-usb-v2/anysee.c              |    6 +-
 drivers/media/usb/em28xx/em28xx-core.c             |    2 +-
 drivers/media/usb/em28xx/em28xx-input.c            |    4 +-
 drivers/media/usb/hackrf/hackrf.c                  |    2 +-
 drivers/media/usb/usbvision/usbvision-video.c      |    2 +
 drivers/media/usb/uvc/uvc_v4l2.c                   |    1 -
 drivers/media/usb/uvc/uvc_video.c                  |    2 +-
 drivers/media/usb/uvc/uvcvideo.h                   |    1 -
 drivers/media/v4l2-core/videobuf-dma-contig.c      |    9 +
 drivers/mfd/max77693.c                             |   14 +-
 drivers/mfd/rtsx_pcr.c                             |    2 +
 drivers/mfd/stmpe.h                                |    2 +-
 drivers/mfd/twl4030-power.c                        |   52 +
 drivers/mfd/viperboard.c                           |    5 +-
 drivers/misc/cxl/fault.c                           |   74 +-
 drivers/misc/cxl/native.c                          |    4 +-
 drivers/mmc/core/host.c                            |   21 +-
 drivers/mtd/chips/cfi_cmdset_0001.c                |    2 +
 drivers/mtd/devices/m25p80.c                       |   64 +-
 drivers/mtd/nand/omap_elm.c                        |    2 +-
 drivers/mtd/spi-nor/fsl-quadspi.c                  |    7 +-
 drivers/mtd/spi-nor/spi-nor.c                      |   16 +-
 drivers/net/Kconfig                                |    2 +
 drivers/net/dsa/mv88e6171.c                        |    2 +-
 drivers/net/ethernet/amd/xgbe/xgbe-drv.c           |   22 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_hw.c     |   18 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_hw.h     |    4 +
 drivers/net/ethernet/apm/xgene/xgene_enet_main.c   |   11 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_main.h   |    5 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_sgmac.c  |   23 +-
 drivers/net/ethernet/apm/xgene/xgene_enet_xgmac.c  |    7 +-
 drivers/net/ethernet/broadcom/bcmsysport.c         |   24 +-
 drivers/net/ethernet/broadcom/cnic.c               |    5 +-
 drivers/net/ethernet/broadcom/genet/bcmgenet.c     |   11 +-
 drivers/net/ethernet/broadcom/genet/bcmgenet.h     |    3 +-
 drivers/net/ethernet/broadcom/genet/bcmmii.c       |    9 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_dcb.c     |   84 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_main.c    |    7 +-
 drivers/net/ethernet/chelsio/cxgb4/sge.c           |   30 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_hw.c         |   51 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_regs.h       |   10 +
 drivers/net/ethernet/chelsio/cxgb4vf/adapter.h     |    8 +
 .../net/ethernet/chelsio/cxgb4vf/cxgb4vf_main.c    |   16 +-
 drivers/net/ethernet/chelsio/cxgb4vf/sge.c         |  136 ++-
 drivers/net/ethernet/chelsio/cxgb4vf/t4vf_common.h |    2 +
 drivers/net/ethernet/chelsio/cxgb4vf/t4vf_hw.c     |   28 +-
 drivers/net/ethernet/cisco/enic/enic_clsf.c        |   12 +-
 drivers/net/ethernet/cisco/enic/enic_main.c        |   24 +-
 drivers/net/ethernet/freescale/fec_main.c          |   42 +-
 drivers/net/ethernet/freescale/fs_enet/mac-fec.c   |    3 +
 drivers/net/ethernet/freescale/fs_enet/mac-scc.c   |    3 +
 drivers/net/ethernet/intel/e1000/e1000_main.c      |    5 +-
 drivers/net/ethernet/intel/i40e/i40e_main.c        |    4 +-
 drivers/net/ethernet/intel/igb/igb_main.c          |    6 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_ethtool.c   |    4 +
 drivers/net/ethernet/intel/ixgbe/ixgbe_main.c      |    2 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_phy.c       |    4 +-
 drivers/net/ethernet/marvell/mv643xx_eth.c         |   18 +-
 drivers/net/ethernet/marvell/mvpp2.c               |   27 +-
 drivers/net/ethernet/mellanox/mlx4/en_netdev.c     |   22 +-
 drivers/net/ethernet/mellanox/mlx4/en_tx.c         |    7 +-
 drivers/net/ethernet/mellanox/mlx4/eq.c            |    1 +
 drivers/net/ethernet/mellanox/mlx4/mcg.c           |    4 +
 drivers/net/ethernet/mellanox/mlx5/core/eq.c       |    8 +-
 drivers/net/ethernet/mellanox/mlx5/core/main.c     |    4 +-
 .../net/ethernet/qlogic/netxen/netxen_nic_main.c   |    3 +-
 drivers/net/ethernet/qualcomm/Kconfig              |    3 +-
 drivers/net/ethernet/sfc/ef10.c                    |    3 +-
 drivers/net/ethernet/sfc/tx.c                      |    4 -
 drivers/net/ethernet/smsc/smc91x.c                 |   78 +-
 drivers/net/ethernet/smsc/smc91x.h                 |    3 +
 drivers/net/ethernet/smsc/smsc911x.c               |   61 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac_main.c  |   52 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac_pci.c   |    7 +
 drivers/net/ethernet/sun/sunhme.c                  |   62 +-
 drivers/net/ethernet/ti/cpsw.c                     |   48 +-
 drivers/net/ethernet/ti/cpsw_ale.c                 |   30 +-
 drivers/net/ethernet/ti/cpsw_ale.h                 |    2 +
 drivers/net/ethernet/ti/cpts.c                     |    2 +-
 drivers/net/hyperv/netvsc_drv.c                    |    1 +
 drivers/net/macvlan.c                              |   10 +-
 drivers/net/macvtap.c                              |   18 +-
 drivers/net/phy/dp83640.c                          |    4 +-
 drivers/net/phy/marvell.c                          |   19 +
 drivers/net/phy/phy.c                              |   36 +-
 drivers/net/ppp/ppp_generic.c                      |   40 +-
 drivers/net/tun.c                                  |   53 +-
 drivers/net/usb/asix_devices.c                     |   14 +-
 drivers/net/usb/ax88179_178a.c                     |    7 +-
 drivers/net/usb/cdc_ether.c                        |   47 +-
 drivers/net/usb/r8152.c                            |   17 +-
 drivers/net/usb/usbnet.c                           |   20 +
 drivers/net/virtio_net.c                           |   24 +-
 drivers/net/vxlan.c                                |   31 +-
 drivers/net/wireless/ath/ath.h                     |    1 +
 drivers/net/wireless/ath/ath9k/common.c            |    8 +-
 drivers/net/wireless/ath/ath9k/debug.c             |    2 +-
 drivers/net/wireless/ath/ath9k/init.c              |   55 +-
 drivers/net/wireless/ath/ath9k/main.c              |    3 +
 drivers/net/wireless/ath/ath9k/xmit.c              |   10 +-
 drivers/net/wireless/ath/regd.c                    |   14 +
 drivers/net/wireless/brcm80211/brcmfmac/dhd_sdio.c |   25 +-
 drivers/net/wireless/iwlwifi/dvm/mac80211.c        |   24 +-
 drivers/net/wireless/iwlwifi/iwl-8000.c            |    3 +-
 drivers/net/wireless/iwlwifi/iwl-trans.h           |    2 +
 drivers/net/wireless/iwlwifi/mvm/coex.c            |    4 +-
 drivers/net/wireless/iwlwifi/mvm/coex_legacy.c     |    4 +-
 drivers/net/wireless/iwlwifi/mvm/fw-api-power.h    |   35 +-
 drivers/net/wireless/iwlwifi/mvm/fw-api.h          |    1 +
 drivers/net/wireless/iwlwifi/mvm/fw.c              |   19 +-
 drivers/net/wireless/iwlwifi/mvm/mac80211.c        |   33 +-
 drivers/net/wireless/iwlwifi/mvm/mvm.h             |    1 +
 drivers/net/wireless/iwlwifi/mvm/ops.c             |   13 +-
 drivers/net/wireless/iwlwifi/mvm/scan.c            |    3 +-
 drivers/net/wireless/iwlwifi/mvm/time-event.c      |    2 +-
 drivers/net/wireless/iwlwifi/mvm/tx.c              |    8 +-
 drivers/net/wireless/iwlwifi/pcie/trans.c          |   26 +-
 drivers/net/wireless/mac80211_hwsim.c              |    4 +-
 drivers/net/wireless/mwifiex/11n_rxreorder.c       |   52 +-
 drivers/net/wireless/mwifiex/11n_rxreorder.h       |    2 +
 drivers/net/wireless/mwifiex/main.h                |    1 +
 drivers/net/wireless/rt2x00/rt2800usb.c            |    1 +
 drivers/net/wireless/rtlwifi/base.c                |    2 +-
 drivers/net/wireless/rtlwifi/core.c                |    6 +
 drivers/net/wireless/rtlwifi/core.h                |    1 +
 drivers/net/wireless/rtlwifi/pci.c                 |    3 +-
 drivers/net/wireless/rtlwifi/rtl8192c/fw_common.c  |    8 +-
 drivers/net/wireless/rtlwifi/rtl8192c/fw_common.h  |    4 +-
 drivers/net/wireless/rtlwifi/rtl8192ce/def.h       |    2 +
 drivers/net/wireless/rtlwifi/rtl8192ce/hw.c        |    2 +-
 drivers/net/wireless/rtlwifi/rtl8192ce/sw.c        |    1 +
 drivers/net/wireless/rtlwifi/rtl8192ce/trx.c       |    3 +
 drivers/net/wireless/rtlwifi/rtl8192cu/hw.c        |   17 +-
 drivers/net/wireless/rtlwifi/rtl8192cu/hw.h        |    1 -
 drivers/net/wireless/rtlwifi/rtl8192cu/sw.c        |    7 +
 drivers/net/wireless/rtlwifi/rtl8192de/sw.c        |    1 +
 drivers/net/wireless/rtlwifi/rtl8192ee/hw.c        |    8 +-
 drivers/net/wireless/rtlwifi/rtl8192se/def.h       |    2 +
 drivers/net/wireless/rtlwifi/rtl8192se/sw.c        |   22 +-
 drivers/net/wireless/rtlwifi/rtl8192se/trx.c       |    3 +
 drivers/net/wireless/rtlwifi/rtl8821ae/phy.c       |   15 +-
 drivers/net/wireless/rtlwifi/usb.c                 |   11 +
 drivers/net/xen-netback/common.h                   |   39 +-
 drivers/net/xen-netback/interface.c                |   74 +-
 drivers/net/xen-netback/netback.c                  |  319 +++---
 drivers/net/xen-netback/xenbus.c                   |   22 +-
 drivers/of/base.c                                  |   88 +-
 drivers/of/of_reserved_mem.c                       |   14 +-
 drivers/of/selftest.c                              |   66 +-
 drivers/of/testcase-data/tests-phandle.dtsi        |    2 +
 drivers/pci/host/pci-imx6.c                        |   13 +-
 drivers/pci/hotplug/pciehp_core.c                  |    7 -
 drivers/pci/pci-sysfs.c                            |    8 +-
 drivers/phy/phy-omap-usb2.c                        |    6 +-
 drivers/pinctrl/pinctrl-baytrail.c                 |    8 +-
 drivers/platform/x86/Kconfig                       |    1 +
 drivers/platform/x86/acer-wmi.c                    |   11 +
 drivers/platform/x86/asus-nb-wmi.c                 |    9 +
 drivers/platform/x86/hp_accel.c                    |   44 +
 drivers/platform/x86/ideapad-laptop.c              |    7 +
 drivers/platform/x86/samsung-laptop.c              |   10 +
 drivers/platform/x86/toshiba_acpi.c                |    6 +
 drivers/power/ab8500_fg.c                          |   17 +-
 drivers/power/bq2415x_charger.c                    |   23 +-
 drivers/power/charger-manager.c                    |  164 ++-
 drivers/power/power_supply_core.c                  |    3 +
 drivers/regulator/max1586.c                        |    2 +-
 drivers/regulator/max77686.c                       |    2 +-
 drivers/regulator/max77693.c                       |    2 +-
 drivers/regulator/max77802.c                       |    2 +-
 drivers/regulator/max8660.c                        |    2 +-
 drivers/regulator/of_regulator.c                   |    3 +-
 drivers/regulator/rk808-regulator.c                |    2 +-
 drivers/regulator/s2mpa01.c                        |    2 +-
 drivers/rtc/Kconfig                                |    2 +-
 drivers/rtc/rtc-bq32k.c                            |    2 +-
 drivers/rtc/rtc-pm8xxx.c                           |  222 +++--
 drivers/rtc/rtc-s3c.c                              |   14 +-
 drivers/s390/kvm/virtio_ccw.c                      |    1 -
 drivers/scsi/bnx2fc/bnx2fc_els.c                   |    2 -
 drivers/scsi/bnx2fc/bnx2fc_io.c                    |   19 +-
 drivers/scsi/cxgbi/cxgb4i/cxgb4i.c                 |   15 +-
 drivers/scsi/cxgbi/libcxgbi.c                      |   60 +-
 drivers/scsi/cxgbi/libcxgbi.h                      |    5 -
 drivers/scsi/device_handler/scsi_dh_alua.c         |    7 +
 drivers/scsi/megaraid/megaraid_sas_base.c          |    2 +-
 drivers/scsi/scsi_error.c                          |   20 +-
 drivers/scsi/scsi_lib.c                            |    5 +
 drivers/soc/versatile/soc-realview.c               |    1 +
 drivers/spi/spi-dw.c                               |    1 +
 drivers/spi/spi-fsl-dspi.c                         |    4 +-
 drivers/spi/spi-orion.c                            |    2 +-
 drivers/spi/spi-pl022.c                            |    2 +-
 drivers/spi/spi-pxa2xx.c                           |    7 +-
 drivers/spi/spi-rockchip.c                         |   50 +-
 drivers/spi/spidev.c                               |   79 +-
 drivers/staging/android/logger.c                   |   13 +-
 drivers/staging/comedi/Kconfig                     |    2 +-
 drivers/staging/comedi/comedi_fops.c               |   26 +-
 drivers/staging/iio/adc/mxs-lradc.c                |   12 +-
 drivers/staging/iio/impedance-analyzer/ad5933.c    |   15 +-
 drivers/staging/iio/meter/ade7758.h                |    1 -
 drivers/staging/iio/meter/ade7758_core.c           |   57 +-
 drivers/staging/iio/meter/ade7758_ring.c           |    5 +-
 drivers/staging/rtl8723au/include/rtw_eeprom.h     |    2 +-
 drivers/thermal/imx_thermal.c                      |   45 +-
 drivers/thermal/int340x_thermal/int3403_thermal.c  |    8 +-
 drivers/thermal/of-thermal.c                       |   40 +-
 drivers/thermal/samsung/exynos_thermal_common.h    |    2 +-
 drivers/thermal/samsung/exynos_tmu.c               |  170 ++--
 drivers/thermal/samsung/exynos_tmu.h               |   89 +-
 drivers/thermal/samsung/exynos_tmu_data.c          |  105 +-
 drivers/thermal/samsung/exynos_tmu_data.h          |   55 +-
 drivers/thermal/thermal_core.c                     |    3 +-
 drivers/tty/n_tty.c                                |    9 +-
 drivers/tty/serial/8250/8250_mtk.c                 |    2 +-
 drivers/tty/serial/of_serial.c                     |    2 +-
 drivers/tty/serial/serial_core.c                   |    2 +-
 drivers/tty/tty_io.c                               |   15 +-
 drivers/tty/vt/consolemap.c                        |    7 +
 drivers/usb/chipidea/core.c                        |    1 -
 drivers/usb/class/cdc-acm.c                        |   25 +-
 drivers/usb/class/cdc-acm.h                        |    2 +
 drivers/usb/core/hcd.c                             |    2 +
 drivers/usb/core/hub.c                             |   10 +-
 drivers/usb/core/quirks.c                          |    6 +
 drivers/usb/dwc2/core.h                            |    2 +-
 drivers/usb/dwc2/gadget.c                          |   16 +-
 drivers/usb/dwc3/dwc3-omap.c                       |   15 +-
 drivers/usb/dwc3/dwc3-pci.c                        |    2 +
 drivers/usb/dwc3/ep0.c                             |   48 +-
 drivers/usb/dwc3/gadget.c                          |   39 +-
 drivers/usb/dwc3/gadget.h                          |    3 +-
 drivers/usb/dwc3/trace.h                           |   53 +-
 drivers/usb/gadget/composite.c                     |    2 +-
 drivers/usb/gadget/function/f_acm.c                |    8 +-
 drivers/usb/gadget/function/f_eem.c                |    1 -
 drivers/usb/gadget/function/f_fs.c                 |   42 +-
 drivers/usb/gadget/function/f_hid.c                |    5 +-
 drivers/usb/gadget/function/f_loopback.c           |   87 +-
 drivers/usb/gadget/function/f_ncm.c                |    1 -
 drivers/usb/gadget/function/f_obex.c               |    9 +-
 drivers/usb/gadget/function/f_phonet.c             |    2 +-
 drivers/usb/gadget/function/f_rndis.c              |    9 +-
 drivers/usb/gadget/function/f_subset.c             |    1 -
 drivers/usb/gadget/function/f_uac2.c               |   23 +-
 drivers/usb/gadget/function/f_uvc.c                |   54 +-
 drivers/usb/gadget/function/uvc_video.c            |    3 +-
 drivers/usb/gadget/udc/Kconfig                     |    1 +
 drivers/usb/gadget/udc/udc-core.c                  |    5 +
 drivers/usb/host/Kconfig                           |    4 +-
 drivers/usb/host/hwa-hc.c                          |    2 +-
 drivers/usb/host/xhci-pci.c                        |   18 +-
 drivers/usb/musb/musb_cppi41.c                     |    3 +-
 drivers/usb/musb/musb_dsps.c                       |   18 +-
 drivers/usb/serial/cp210x.c                        |    1 +
 drivers/usb/serial/ftdi_sio.c                      |    3 +
 drivers/usb/serial/ftdi_sio_ids.h                  |   12 +-
 drivers/usb/serial/kobil_sct.c                     |   20 +-
 drivers/usb/serial/opticon.c                       |    2 +-
 drivers/usb/serial/option.c                        |   10 +
 drivers/usb/storage/initializers.c                 |    4 +-
 drivers/usb/storage/realtek_cr.c                   |    2 +
 drivers/usb/storage/transport.c                    |   26 +
 drivers/usb/storage/unusual_uas.h                  |   28 +
 drivers/video/console/fbcon.c                      |   19 +-
 drivers/video/console/vgacon.c                     |   24 +-
 drivers/video/fbdev/atmel_lcdfb.c                  |    1 -
 .../fbdev/omap2/displays-new/connector-analog-tv.c |    3 +
 .../video/fbdev/omap2/displays-new/connector-dvi.c |    1 +
 .../fbdev/omap2/displays-new/connector-hdmi.c      |    1 +
 .../fbdev/omap2/displays-new/encoder-tfp410.c      |    1 +
 .../fbdev/omap2/displays-new/encoder-tpd12s015.c   |    1 +
 drivers/video/fbdev/omap2/displays-new/panel-dpi.c |    1 +
 .../video/fbdev/omap2/displays-new/panel-dsi-cm.c  |    1 +
 .../omap2/displays-new/panel-lgphilips-lb035q02.c  |    1 +
 .../omap2/displays-new/panel-nec-nl8048hl11.c      |    1 +
 .../omap2/displays-new/panel-sharp-ls037v7dw01.c   |    1 +
 .../omap2/displays-new/panel-sony-acx565akm.c      |    1 +
 .../omap2/displays-new/panel-tpo-td028ttec1.c      |    1 +
 .../omap2/displays-new/panel-tpo-td043mtea1.c      |    1 +
 drivers/video/fbdev/omap2/dss/apply.c              |    2 +
 drivers/video/fbdev/omap2/dss/dispc.c              |    8 +-
 drivers/video/fbdev/omap2/dss/dispc.h              |    3 +-
 drivers/video/fbdev/omap2/dss/dpi.c                |    1 +
 drivers/video/fbdev/omap2/dss/dsi.c                |    3 +-
 drivers/video/fbdev/omap2/dss/dss.c                |    1 +
 drivers/video/fbdev/omap2/dss/hdmi4.c              |    1 +
 drivers/video/fbdev/omap2/dss/hdmi5.c              |    1 +
 drivers/video/fbdev/omap2/dss/hdmi_pll.c           |   13 +-
 drivers/video/fbdev/omap2/dss/rfbi.c               |    1 +
 drivers/video/fbdev/omap2/dss/sdi.c                |    1 +
 drivers/video/fbdev/omap2/dss/venc.c               |    1 +
 drivers/video/fbdev/omap2/omapfb/omapfb-main.c     |   18 +-
 fs/block_dev.c                                     |    3 +-
 fs/btrfs/ctree.h                                   |    2 +-
 fs/btrfs/disk-io.c                                 |   43 +-
 fs/btrfs/extent-tree.c                             |   18 +-
 fs/btrfs/file-item.c                               |    2 +-
 fs/btrfs/super.c                                   |    1 +
 fs/btrfs/tree-log.c                                |    2 +-
 fs/buffer.c                                        |   38 +-
 fs/ceph/caps.c                                     |    2 +-
 fs/ext3/super.c                                    |    7 -
 fs/ext4/extents.c                                  |    9 +-
 fs/ext4/file.c                                     |    2 +-
 fs/ext4/ialloc.c                                   |    4 +
 fs/ext4/inode.c                                    |    7 +-
 fs/ext4/namei.c                                    |   28 +-
 fs/ext4/resize.c                                   |    2 +-
 fs/ext4/super.c                                    |   17 +-
 fs/isofs/inode.c                                   |   24 +-
 fs/isofs/namei.c                                   |   22 +-
 fs/jbd/revoke.c                                    |    7 +-
 fs/jbd2/revoke.c                                   |   10 +-
 fs/namei.c                                         |    5 +-
 fs/nfs/blocklayout/blocklayout.c                   |    2 +-
 fs/nfs/blocklayout/rpc_pipefs.c                    |   14 +-
 fs/nfs/delegation.c                                |   25 +-
 fs/nfs/delegation.h                                |    1 +
 fs/nfs/dir.c                                       |    1 +
 fs/nfs/direct.c                                    |    1 +
 fs/nfs/filelayout/filelayout.c                     |    3 -
 fs/nfs/inode.c                                     |    2 +-
 fs/nfs/netns.h                                     |    1 +
 fs/nfs/nfs4proc.c                                  |   95 +-
 fs/nfs/write.c                                     |    2 -
 fs/nfsd/nfs4proc.c                                 |    7 +-
 fs/notify/fsnotify.c                               |   36 +-
 fs/notify/fsnotify.h                               |    4 +
 fs/notify/inode_mark.c                             |   25 +-
 fs/notify/mark.c                                   |   36 +
 fs/notify/vfsmount_mark.c                          |    8 +-
 fs/ocfs2/cluster/tcp.c                             |    2 +-
 fs/ocfs2/namei.c                                   |    2 +-
 fs/overlayfs/readdir.c                             |   19 +-
 fs/quota/dquot.c                                   |    2 +-
 fs/xfs/xfs_bmap_util.c                             |   72 +-
 fs/xfs/xfs_itable.c                                |  250 +++--
 fs/xfs/xfs_itable.h                                |   16 -
 include/drm/drm_pciids.h                           |    1 -
 include/dt-bindings/clock/vf610-clock.h            |   39 +-
 include/dt-bindings/pinctrl/dra.h                  |    4 +-
 include/linux/blkdev.h                             |    7 +-
 include/linux/bootmem.h                            |    1 +
 include/linux/cma.h                                |    8 +-
 include/linux/compiler-gcc4.h                      |    1 -
 include/linux/compiler-gcc5.h                      |    1 -
 include/linux/fs.h                                 |   10 +-
 include/linux/khugepaged.h                         |   17 +-
 include/linux/memcontrol.h                         |   58 +-
 include/linux/mfd/max77693-private.h               |    7 +
 include/linux/mm.h                                 |    1 -
 include/linux/mmzone.h                             |    9 +
 include/linux/mtd/spi-nor.h                        |   21 +-
 include/linux/nfs_xdr.h                            |   11 +
 include/linux/of.h                                 |   84 +-
 include/linux/of_reserved_mem.h                    |    9 +-
 include/linux/page-isolation.h                     |    8 +
 include/linux/pci-acpi.h                           |    7 +-
 include/linux/pm_domain.h                          |    8 +-
 include/linux/power/charger-manager.h              |    3 -
 include/linux/power_supply.h                       |    6 +
 include/linux/rcupdate.h                           |   15 +
 include/linux/regulator/consumer.h                 |    2 +
 include/linux/ring_buffer.h                        |    2 +-
 include/linux/skbuff.h                             |   12 +-
 include/linux/socket.h                             |    2 +-
 include/linux/usb/usbnet.h                         |    4 +
 include/net/9p/transport.h                         |    1 -
 include/net/ipv6.h                                 |    2 +
 include/net/netfilter/ipv4/nf_reject.h             |   10 +
 include/net/netfilter/ipv6/nf_reject.h             |   10 +
 include/net/netfilter/nf_tables.h                  |    3 +
 include/net/netfilter/nft_masq.h                   |    3 +
 include/net/udp_tunnel.h                           |    9 +
 include/scsi/scsi_tcq.h                            |    8 +-
 include/trace/events/rcu.h                         |   18 +-
 include/uapi/drm/i915_drm.h                        |    1 +
 include/uapi/linux/Kbuild                          |    4 +
 include/uapi/linux/if_bridge.h                     |    1 +
 include/uapi/linux/input.h                         |    7 +
 include/uapi/linux/perf_event.h                    |   14 +-
 include/uapi/linux/sched.h                         |    2 +-
 include/uapi/linux/v4l2-dv-timings.h               |    9 +
 init/Kconfig                                       |   14 +
 init/main.c                                        |    2 +-
 kernel/Makefile                                    |    2 +-
 kernel/audit.c                                     |    2 +-
 kernel/audit_tree.c                                |    1 +
 kernel/bpf/Makefile                                |    6 +-
 kernel/bpf/core.c                                  |    9 +
 kernel/bpf/verifier.c                              |    3 +-
 kernel/context_tracking.c                          |   40 -
 kernel/cpu.c                                       |   14 +-
 kernel/events/core.c                               |   15 +-
 kernel/events/hw_breakpoint.c                      |    7 -
 kernel/futex.c                                     |   36 +-
 kernel/gcov/Kconfig                                |    2 +-
 kernel/kmod.c                                      |   76 +-
 kernel/panic.c                                     |    1 +
 kernel/power/hibernate.c                           |    8 +-
 kernel/power/suspend.c                             |    4 +-
 kernel/rcu/tree.c                                  |   15 +-
 kernel/rcu/tree.h                                  |    1 +
 kernel/rcu/tree_plugin.h                           |   33 +
 kernel/sched/core.c                                |   47 +
 kernel/sched/deadline.c                            |   41 +-
 kernel/sched/fair.c                                |   21 +-
 kernel/sysctl.c                                    |    3 +-
 kernel/time/clockevents.c                          |    2 +-
 kernel/time/posix-timers.c                         |    1 +
 kernel/trace/ftrace.c                              |   54 +-
 kernel/trace/ring_buffer.c                         |   81 +-
 kernel/trace/trace.c                               |   33 +-
 kernel/trace/trace_syscalls.c                      |    8 +-
 lib/bitmap.c                                       |    8 +-
 lib/rhashtable.c                                   |   10 +-
 lib/scatterlist.c                                  |    6 +-
 mm/balloon_compaction.c                            |    2 +
 mm/bootmem.c                                       |    9 +-
 mm/cma.c                                           |   68 +-
 mm/compaction.c                                    |   21 +-
 mm/huge_memory.c                                   |   15 +-
 mm/internal.h                                      |   25 +
 mm/iov_iter.c                                      |    4 +-
 mm/memcontrol.c                                    |  105 +-
 mm/memory.c                                        |    1 +
 mm/memory_hotplug.c                                |   31 +-
 mm/mmap.c                                          |    8 +-
 mm/nobootmem.c                                     |    8 +-
 mm/page-writeback.c                                |   43 +-
 mm/page_alloc.c                                    |   68 +-
 mm/page_cgroup.c                                   |    1 +
 mm/page_isolation.c                                |   43 +-
 mm/rmap.c                                          |   88 +-
 mm/slab_common.c                                   |   14 +-
 mm/truncate.c                                      |    6 +-
 net/Kconfig                                        |    2 +-
 net/bridge/br_forward.c                            |    1 +
 net/bridge/br_netfilter.c                          |   24 +-
 net/bridge/netfilter/nf_tables_bridge.c            |    6 +-
 net/bridge/netfilter/nft_reject_bridge.c           |  297 +++++-
 net/ceph/auth_x.c                                  |   25 +-
 net/ceph/crypto.c                                  |  169 +++-
 net/ceph/messenger.c                               |   10 +-
 net/ceph/osd_client.c                              |    7 +-
 net/core/dev.c                                     |    4 +
 net/core/ethtool.c                                 |    6 +-
 net/core/skbuff.c                                  |   13 +-
 net/core/tso.c                                     |    3 +-
 net/dsa/dsa.c                                      |    5 +-
 net/dsa/slave.c                                    |    7 +-
 net/ipv4/af_inet.c                                 |    2 +-
 net/ipv4/fou.c                                     |    2 +
 net/ipv4/geneve.c                                  |    3 +
 net/ipv4/gre_offload.c                             |    4 +-
 net/ipv4/inet_fragment.c                           |    4 +-
 net/ipv4/ip_output.c                               |    2 +-
 net/ipv4/ip_sockglue.c                             |    2 +-
 net/ipv4/netfilter/nf_reject_ipv4.c                |   91 +-
 net/ipv4/netfilter/nft_masq_ipv4.c                 |    1 +
 net/ipv4/route.c                                   |    1 +
 net/ipv4/tcp.c                                     |   59 +-
 net/ipv4/tcp_input.c                               |   60 +-
 net/ipv4/tcp_ipv4.c                                |    4 +-
 net/ipv4/tcp_output.c                              |    2 +-
 net/ipv4/udp_offload.c                             |    2 +-
 net/ipv6/addrconf.c                                |    1 +
 net/ipv6/ip6_gre.c                                 |    5 +-
 net/ipv6/ip6_offload.c                             |    2 +-
 net/ipv6/ip6_tunnel.c                              |   10 +-
 net/ipv6/ip6_vti.c                                 |   11 +-
 net/ipv6/netfilter/nf_reject_ipv6.c                |  179 ++--
 net/ipv6/netfilter/nft_masq_ipv6.c                 |    1 +
 net/ipv6/output_core.c                             |   34 +
 net/ipv6/sit.c                                     |   15 +-
 net/ipv6/tcp_ipv6.c                                |    4 +-
 net/ipv6/xfrm6_policy.c                            |   11 +-
 net/irda/af_irda.c                                 |    2 -
 net/mac80211/cfg.c                                 |    2 +-
 net/mac80211/ibss.c                                |    2 +-
 net/mac80211/ieee80211_i.h                         |    3 +-
 net/mac80211/iface.c                               |   18 +-
 net/mac80211/mesh.c                                |    2 +-
 net/mac80211/mlme.c                                |    5 +-
 net/mac80211/rate.c                                |    2 +-
 net/mac80211/rc80211_minstrel_debugfs.c            |   12 +-
 net/mac80211/rc80211_minstrel_ht_debugfs.c         |   13 +-
 net/mac80211/rx.c                                  |   14 +-
 net/mac80211/spectmgmt.c                           |   18 +-
 net/mac80211/sta_info.h                            |    1 +
 net/mpls/Makefile                                  |    2 +-
 net/mpls/mpls_gso.c                                |    5 +-
 net/netfilter/ipset/ip_set_core.c                  |    2 +-
 net/netfilter/ipvs/ip_vs_xmit.c                    |    4 +-
 net/netfilter/nf_conntrack_proto_tcp.c             |    4 +-
 net/netfilter/nf_tables_api.c                      |   18 +-
 net/netfilter/nfnetlink_log.c                      |   31 +-
 net/netfilter/nfnetlink_queue_core.c               |    2 +-
 net/netfilter/nft_compat.c                         |   81 +-
 net/netfilter/nft_masq.c                           |   12 +
 net/netfilter/nft_nat.c                            |   86 +-
 net/netlink/af_netlink.c                           |   42 +-
 net/openvswitch/datapath.c                         |    2 +
 net/sched/sch_api.c                                |    2 +-
 net/sched/sch_pie.c                                |    2 +-
 net/sctp/auth.c                                    |    2 -
 net/sctp/sm_make_chunk.c                           |    3 +
 net/sunrpc/auth_gss/auth_gss.c                     |   35 +-
 net/tipc/node.c                                    |   46 +-
 net/tipc/node.h                                    |    7 +-
 net/tipc/socket.c                                  |    6 +-
 net/wireless/nl80211.c                             |   10 +-
 net/xfrm/xfrm_output.c                             |    2 +
 net/xfrm/xfrm_policy.c                             |    2 +-
 samples/bpf/test_verifier.c                        |   11 +
 security/integrity/evm/evm_main.c                  |    9 +-
 security/integrity/ima/ima_appraise.c              |    2 +
 security/integrity/integrity.h                     |    1 +
 security/selinux/hooks.c                           |    7 +-
 sound/core/pcm_compat.c                            |    2 +
 sound/firewire/bebob/bebob_focusrite.c             |   62 +-
 sound/firewire/bebob/bebob_stream.c                |   18 +-
 sound/firewire/bebob/bebob_terratec.c              |    7 +-
 sound/pci/ad1889.c                                 |    8 +-
 sound/pci/hda/hda_intel.c                          |    8 +-
 sound/pci/hda/patch_conexant.c                     |   31 +
 sound/pci/hda/patch_realtek.c                      |  223 +++--
 sound/soc/Kconfig                                  |    1 -
 sound/soc/Makefile                                 |    1 -
 sound/soc/codecs/adau1761.c                        |    4 +
 sound/soc/fsl/fsl_asrc.c                           |    2 +-
 sound/soc/fsl/fsl_esai.c                           |    2 +-
 sound/soc/intel/sst-haswell-pcm.c                  |    4 +-
 sound/soc/s6000/Kconfig                            |   26 -
 sound/soc/s6000/Makefile                           |   11 -
 sound/soc/s6000/s6000-i2s.c                        |  617 ------------
 sound/soc/s6000/s6000-i2s.h                        |   23 -
 sound/soc/s6000/s6000-pcm.c                        |  521 ----------
 sound/soc/s6000/s6000-pcm.h                        |   33 -
 sound/soc/s6000/s6105-ipcam.c                      |  221 -----
 sound/usb/card.c                                   |    9 +-
 sound/usb/mixer_quirks.c                           |    6 +
 tools/perf/builtin-diff.c                          |    5 +
 tools/perf/builtin-probe.c                         |    2 +-
 tools/perf/perf-sys.h                              |   30 +-
 tools/perf/util/header.c                           |   27 +-
 tools/perf/util/sort.c                             |  102 +-
 tools/perf/util/thread.c                           |    3 +
 tools/perf/util/unwind-libunwind.c                 |   12 +
 tools/perf/util/unwind.h                           |    3 +
 tools/testing/selftests/ftrace/ftracetest          |    2 +-
 tools/testing/selftests/net/psock_fanout.c         |    2 +-
 970 files changed, 12113 insertions(+), 9041 deletions(-)
 create mode 100644 Documentation/ptp/testptp.mk
 create mode 100644 arch/x86/tools/calc_run_size.pl
 create mode 100644 arch/xtensa/boot/dts/lx200mx.dts
 create mode 100644 arch/xtensa/configs/generic_kc705_defconfig
 create mode 100644 arch/xtensa/configs/smp_lx200_defconfig
 create mode 100644 drivers/gpu/drm/i915/intel_psr.c
 delete mode 100644 sound/soc/s6000/Kconfig
 delete mode 100644 sound/soc/s6000/Makefile
 delete mode 100644 sound/soc/s6000/s6000-i2s.c
 delete mode 100644 sound/soc/s6000/s6000-i2s.h
 delete mode 100644 sound/soc/s6000/s6000-pcm.c
 delete mode 100644 sound/soc/s6000/s6000-pcm.h
 delete mode 100644 sound/soc/s6000/s6105-ipcam.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-11-14 16:31 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-11-14 16:31 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-11-07:
- skl watermarks code (Damien, Vandana, Pradeep)
- reworked audio codec /eld handling code (Jani)
- rework the mmio_flip code to use the vblank evade logic and wait for rendering
  using the standard wait_seqno interface (Ander)
- skl forcewake support (Zhe Wang)
- refactor the chv interrupt code to use functions shared with vlv (Ville)
- prep work for different global gtt views (Tvrtko Ursulin)
- precompute the display PLL config before touching hw state (Ander)
- completely reworked panel power sequencer code for chv/vlv (Ville)
- pre work to split the plane update code into a prepare and commit phase
  (Gustavo Padovan)
- golden context for skl (Armin Reese)
- as usual tons of fixes and improvements all over

The pll rework from Ander resulted in a few black screens, so 2 fixup
patches on top. And a backmerge because I needed that for the next round
of patches.

And as discussed on irc because misaligned QA test cycle on our end there
will be one more pull request for 3.19 and that's it.

Cheers, Daniel


The following changes since commit cc7096fb6d1dfbdac5e7e2675c046fd40646cc66:

  drm/mode: document path property and function to set it. (v1.1) (2014-11-10 10:21:14 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-11-07-fixups

for you to fetch changes up to e1f234bde6edb2bcdb763c90076b9484e4c71a33:

  drm/i915: Use correct pipe config to update pll dividers. V2 (2014-11-14 10:28:52 +0100)

----------------------------------------------------------------
Ander Conselvan de Oliveira (15):
      drm/i915: Make *_crtc_mode_set work on new_config
      drm/i915: Convert shared dpll reference count to a crtc mask
      drm/i915: Move dpll crtc_mask and hw_state fields into separate struct
      drm/i915: Add infrastructure for choosing DPLLs before disabling crtcs
      drm/i915: Covert HSW+ to choose DPLLS before disabling CRTCs
      drm/i915: Covert ILK-IVB to choose DPLLS before disabling CRTCs
      drm/i915: Covert remaining platforms to choose DPLLS before disabling CRTCs
      drm/i915: Remove crtc_mode_set() hook
      drm/i915: Don't store current shared DPLL in the new pipe_config
      drm/i915: Add kerneldoc for intel_pipe_update_{start, end}
      drm/i915: Remove modeset lock check from intel_pipe_update_start()
      drm/i915: Use vblank evade mechanism in mmio_flip
      drm/i915: Make __wait_seqno non-static and rename to __i915_wait_seqno
      drm/i915: Make mmio flip wait for seqno in the work function
      drm/i915: Plug memory leak in intel_shared_dpll_start_config()

Armin Reese (2):
      drm/i915 Update Gen8 golden context batch buffer
      drm/i915 Add golden context support for Gen9

Bob Paauwe (1):
      drm/i915: Use correct pipe config to update pll dividers. V2

Brad Volkin (1):
      drm/i915: Abort command parsing for chained batches

Chris Wilson (3):
      drm/i915: Only mark as map-and-fenceable when bound into the GGTT
      drm/i915: Request PIN_GLOBAL when pinning a vma for GTT relocations
      drm/i915: Report the actual swizzling back to userspace

Damien Lespiau (24):
      drm/i915: Remove unnecessary test on the gen in intel_do_mmio_flip()
      drm/i915: Make intel_pipe_has_type() take an output type enum
      drm/i915: Remove unused WATCH_GTT define
      drm/i915: Removed orphaned prototype intel_dp_handle_hpd_irq()
      drm/i915: Remove orphaned prototype gen6_set_pm_mask()
      drm/i915/skl: Add DDB allocation management structures
      drm/i915/skl: Allocate DDB portions for display planes
      drm/i915/skl: Program the DDB allocation
      drm/i915/skl: Store the new WM state at the very end of the update
      drm/i915/skl: Read back the DDB allocation hw state
      drm/i915/skl: Augment the latency debugfs files for SKL
      drm/i915/skl: Add a debugfs file to dump the DDB allocation
      drm/i915/skl: Check the DDB state at modeset
      drm/i915/skl: Make 'end' of the DDB allocation entry exclusive
      drm/i915/skl: Use a more descriptive parameter name in skl_compute_plane_wm()
      drm/i915/skl: Make res_blocks/lines intermediate values 32 bits
      drm/i915/skl: Reduce the number of holes in struct skl_wm_level
      drm/i915/skl: Move all the WM compute functions in one place
      drm/i915/skl: Rework when the transition WMs are computed
      drm/i915/skl: Correctly align skl_compute_plane_wm() arguments
      drm/i915/skl: Reduce the indentation level in skl_write_wm_values()
      drm/i915/skl: Stage the pipe DDB allocation
      drm/i915/skl: Flush the WM configuration
      drm/i915/skl: Log the order in which we flush the pipes in the WM code

Daniel Vetter (5):
      drm/i915: Check pipe_config.has_dp_encoder instead of encoder types
      drm/i915: Move pll state commit into intel_modeset_update_state
      drm/i915/dp: Don't stop the link when retraining
      drm/i915: Update DRIVER_DATE to 20141107
      Merge remote-tracking branch 'airlied/drm-next' into HEAD

Dave Gordon (1):
      drm/i915: Remove redundant return value and WARN_ON

Gustavo Padovan (6):
      drm/i915: only flip frontbuffer if crtc is active
      drm: make sure visible is set to false if fb is null
      drm/i915: remove unneeded visible check
      drm/i915: create a prepare step for primary planes updates
      drm/i915: create a prepare phase for sprite plane updates
      drm/i915: use intel_fb_obj() macros to assign gem objects

Jani Nikula (18):
      drm/i915: add new intel audio file to group DP/HDMI audio
      drm/i915/audio: constify hdmi audio clock struct
      drm/i915/audio: beat some sense into the variable types and names
      drm/i915: pass intel_encoder to intel_write_eld
      drm/i915/audio: pass intel_encoder on to platform specific ELD functions
      drm/i915/audio: set ELD Conn_Type at one place
      drm/i915/ddi: write ELD where it's supposed to be done
      drm/i915: introduce intel_audio_codec_{enable, disable}
      drm/i915/audio: remove misleading checks for !eld[0]
      drm/i915: clean up and clarify audio related register defines
      drm/i915: rewrite hsw/bdw audio codec enable/disable sequences
      drm/i915/audio: rewrite vlv/chv and gen 5-7 audio codec enable sequence
      drm/i915/audio: add vlv/chv/gen5-7 audio codec disable sequence
      drm/i915: enable audio codec after port
      drm/i915/audio: add audio codec disable on g4x
      drm/i915/audio: add audio codec enable debug log for g4x
      drm/i915: make pipe/port based audio valid accessors easier to use
      drm/i915/audio: add DOC comment describing HDA over HDMI/DP

John Harrison (2):
      drm/i915: Fix null pointer dereference in ring cleanup code
      drm/i915: Remove redundant parameter to i915_gem_object_wait_rendering__tail()

Mika Kuoppala (3):
      drm/i915: Redefine WARN_ON to include the condition
      Revert "drm/i915/vlv: Remove check for Old Ack during forcewake"
      drm/i915: Add gen to the gpu hang ecode

Paulo Zanoni (5):
      drm/i915: transform INTEL_OUTPUT_* into an enum
      drm/i915: kill intel_resume_prepare()
      drm/i915: run hsw_disable_pc8() later on resume
      drm/i915: fix "Unexpected fault" error message line break
      drm/i915: fix RPS on runtime suspend

Pradeep Bhat (5):
      drm/i915/skl: Read the Memory Latency Values for WM computation
      drm/i915/skl: Register definitions and macros for SKL Watermark regs
      drm/i915/skl: Definition of SKL WM param structs for pipe/plane
      drm/i915/skl: SKL Watermark Computation
      drm/i915/skl: Read the pipe WM HW state

Sonika Jindal (1):
      drm/i915: Update plane parameters for cursor plane (v2)

Thomas Daniel (1):
      drm/i915/bdw: Setup global hardware status page in execlists mode

Tvrtko Ursulin (2):
      drm/i915: Move flags describing VMA mappings into the VMA
      drm/i915: Make intel_pin_and_fence_fb_obj take plane and framebuffer

Vandana Kannan (2):
      drm/i915/gen9: Add 2us read latency to WM level
      drm/i915/gen9: Disable WM if corresponding latency is 0

Ville Syrjälä (37):
      drm/i915: Warn if trying to register eDP on port != B/C on vlv/chv
      drm/i915: Remove high level intel_edp_vdd_{on, off}() from hpd/detect
      drm/i915: Store power sequencer delays in intel_dp
      drm/i915: Don't initialize power seqeuencer delays more than once
      drm/i915: Split power sequencer panel on/off functions to locked and unlocked variants
      drm/i915: Hold the pps mutex across the whole panel power enable sequence
      drm/i915: Wait for PHY port ready before link training on VLV/CHV
      drm/i915: Fix eDP link training when switching pipes on VLV/CHV
      drm/i915: Kick the power sequencer before AUX transactions
      drm/i915: Don't kick the power seqeuncer just to check if we have vdd/panel power
      drm/i915: Clear PPS port select when giving up the power sequencer
      drm/i915: Warn if stealing non pipe A/B power sequencer
      drm/i915: Steal power sequencer in vlv_power_sequencer_pipe()
      drm/i915: Improve VDD/PPS debugs
      drm/i915: Warn if panel power is already on when enabling it
      drm/i915: Warn if stealing power sequencer from an active eDP port
      drm/i915: Make sure DPLL is enabled when kicking the power sequencer on VLV/CHV
      drm/i915: Do vlv cmnlane toggle w/a in more cases
      drm/i915: Initialize new chv primary plane and pipe blender registers
      drm/i915: Add support for CHV pipe B sprite CSC
      drm/i915: Enable pipe-a power well on chv
      drm/i915: Initialize PPS timestamps on vlv/chv
      drm/i915: Read out the power sequencer port assignment on resume on vlv/chv
      drm/i915: Apply some ocd for IMR vs. IER order during irq enable
      drm/i915: Use DPINVGTT_STATUS_MASK
      drm/i915: Use gen8_gt_irq_reset() in cherryview_irq_uninstall()
      drm/i915: Drop the extra GEN8_PCU_IIR posting read from cherryview_irq_preinstall()
      drm/i915: Use a consistent order between IIR, IER, IMR writes on vlv/chv
      drm/i915: Use GEN5_IRQ_RESET() on vlv/chv
      drm/i915: Call gen5_gt_irq_reset() from valleyview_irq_uninstall()
      drm/i915: Make valleyview_display_irqs_(un)install() work for chv
      drm/i915: Refactor vlv_display_irq_reset()
      drm/i914: Refactor vlv_display_irq_postinstall()
      drm/i915: Drop useless VLV_IIR writes from vlv_display_irq_postinstall()
      drm/i915: Kill leftover GTIIR writes from valleyview_irq_preinstall()
      drm/i915: Move the .global_resources() hook call into modeset_update_crtc_power_domains()
      drm/i915: Cache HPLL frequency on VLV/CHV

Zhe Wang (2):
      drm/i915/skl: Gen9 Forcewake
      drm/i915/skl: Enable Gen9 RC6

 Documentation/DocBook/drm.tmpl                |    5 +
 drivers/gpu/drm/drm_plane_helper.c            |    5 +
 drivers/gpu/drm/i915/Makefile                 |    6 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c        |   18 +-
 drivers/gpu/drm/i915/i915_debugfs.c           |  132 ++-
 drivers/gpu/drm/i915/i915_drv.c               |   75 +-
 drivers/gpu/drm/i915/i915_drv.h               |  105 ++-
 drivers/gpu/drm/i915/i915_gem.c               |   75 +-
 drivers/gpu/drm/i915/i915_gem_context.c       |   10 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |   35 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |   26 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h           |    8 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |    2 +
 drivers/gpu/drm/i915/i915_gem_stolen.c        |    2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c        |    1 +
 drivers/gpu/drm/i915/i915_gpu_error.c         |   13 +-
 drivers/gpu/drm/i915/i915_irq.c               |  156 ++--
 drivers/gpu/drm/i915/i915_reg.h               |  303 +++++--
 drivers/gpu/drm/i915/intel_audio.c            |  462 ++++++++++
 drivers/gpu/drm/i915/intel_ddi.c              |   61 +-
 drivers/gpu/drm/i915/intel_display.c          | 1143 +++++++++++--------------
 drivers/gpu/drm/i915/intel_dp.c               |  429 ++++++----
 drivers/gpu/drm/i915/intel_drv.h              |   65 +-
 drivers/gpu/drm/i915/intel_fbdev.c            |   20 +-
 drivers/gpu/drm/i915/intel_hdmi.c             |   19 +-
 drivers/gpu/drm/i915/intel_lrc.c              |   52 +-
 drivers/gpu/drm/i915/intel_pm.c               | 1034 +++++++++++++++++++++-
 drivers/gpu/drm/i915/intel_renderstate.h      |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen8.c |  802 +++++++++++++----
 drivers/gpu/drm/i915/intel_renderstate_gen9.c |  974 +++++++++++++++++++++
 drivers/gpu/drm/i915/intel_ringbuffer.c       |    7 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c       |   20 +-
 drivers/gpu/drm/i915/intel_sprite.c           |  170 +++-
 drivers/gpu/drm/i915/intel_uncore.c           |  192 ++++-
 include/uapi/drm/i915_drm.h                   |    6 +
 35 files changed, 4970 insertions(+), 1464 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_audio.c
 create mode 100644 drivers/gpu/drm/i915/intel_renderstate_gen9.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-11-03 14:34 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-11-03 14:34 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-10-24:
- suspend/resume/freeze/thaw unification from Imre
- wa list improvements from Mika&Arun
- display pll precomputation from Ander Conselvan prep work
- more kerneldoc for the interrupt code
- 180 rotation for cursors (Ville&Sonika)
- ULT/ULX feature check macros cleaned up thanks to Damien
- piles and piles of fixes all over, bug team seems to work!

Aside: the tag log summary is a bit bogus - I've only merged Ander's prep
work with this, the actuall dpll precomputation patches will be in the
next round. So please copypaste the above fixed shortlog into the merge
commit.

Cheers, Daniel


The following changes since commit cacc6c837b799b058d59d2af02c11140640cc1d2:

  Revert "drm/i915: Enable full PPGTT on gen7" (2014-10-24 16:30:14 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-10-24

for you to fetch changes up to 3eebaec630c2413a5e67bb7f49f0c6a53069a399:

  drm/i915: Update DRIVER_DATE to 20141024 (2014-10-24 16:45:21 +0200)

----------------------------------------------------------------
- suspend/resume/freeze/thaw unification from Imre
- wa list improvements from Mika&Arun
- display pll precomputation from Ander Conselvan, this removed the last
  ->mode_set callbacks, a big step towards implementing atomic modesets
- more kerneldoc for the interrupt code
- 180 rotation for cursors (Ville&Sonika)
- ULT/ULX feature check macros cleaned up thanks to Damien
- piles and piles of fixes all over, bug team seems to work!

----------------------------------------------------------------
Ander Conselvan de Oliveira (4):
      drm/i915: Replace some loop through encoders with intel_pipe_has_type()
      drm/i915: Make *_find_best_dpll() take an intel_crtc insted of drm_crtc
      drm/i915: Make *_crtc_mode_set() take an intel_crtc insted of drm_crtc
      drm/i915: Make intel_pipe_has_type() and some callers take intel_crtc

Arun Siluvery (1):
      drm/i915: Emit even number of dwords when emitting LRIs

Chris Wilson (3):
      drm/i915: Report the current number of bytes freed during oom
      drm/i915: Suppress no action noise from oom shrinker
      drm/i915: Convert a couple more INTEL_INFO-esque macros to be pointer agnostic

Damien Lespiau (6):
      drm/i915: Use IS_HSW_ULT() in a HSW specific code path
      drm/i915: Use IS_HSW_ULT() in HAS_IPS()
      drm/i915: Spell out IS_HSW/BDW_ULT() in intel_crt_present()
      drm/i915: Use IS_HSW_ULT() in HSW CDCLK clock read-out
      drm/i915/skl: Don't check for ULT/ULX when detecting the PCH
      drm/i915: Remove IS_ULT()

Daniel Vetter (9):
      drm/i915: Extract intel_fifo_underrun.c
      drm/i915: Use dev_priv in public intel_fifo_underrun.c functions
      drm/i915: Add wrappers to handle fifo underrun interrupts
      drm/i915: Filter gmch fifo underruns in the shared handler
      drm/i915: kerneldoc for intel_fifo_underrun.c
      drm/i915: Document that mmap forwarding is discouraged
      drm/i915: Fold in intel_mst_port_dp_detect
      drm/i915: Correctly reject invalid flags for wait_ioctl
      drm/i915: Update DRIVER_DATE to 20141024

Gustavo Padovan (4):
      drm/i915: Merge of visible and !visible paths for primary planes
      drm/i915: remove leftover from pre-universal planes days
      drm/i915: move check of intel_crtc_cursor_set_obj() out
      drm/i915: Fix not checking cursor and object sizes

Imre Deak (16):
      drm/i915: remove dead code from legacy suspend handler
      drm/i915: vlv: fix gunit HW state corruption during S4 suspend
      drm/i915: factor out i915_drm_suspend_late
      drm/i915: unify legacy S3 suspend and S4 freeze handlers
      drm/i915: propagate error from legacy resume handler
      drm/i915: vlv: fix switcheroo/legacy suspend/resume
      drm/i915: fix S4 suspend while switcheroo state is off
      drm/i915: remove unused restore_gtt_mappings optimization during suspend
      drm/i915: check for GT faults in all resume handlers and driver load time
      drm/i915: enable output polling during S4 thaw
      drm/i915: disable/re-enable PCI device around S4 freeze/thaw
      drm/i915: unify S3 and S4 suspend/resume handlers
      drm/i915: sanitize suspend/resume helper function names
      drm/i915: add poweroff_late handler
      drm/i915: unify switcheroo and legacy suspend/resume handlers
      drm/i915: add comments on what stage a given PM handler is called

Jani Nikula (2):
      drm/i915: remove redundant #ifdef CONFIG_COMPAT
      drm/i915: spt does not have pch backlight override bit

Jesse Barnes (1):
      drm/i915: preserve swizzle settings if necessary v4

Michel Thierry (1):
      drm/i915: add runtime PM get/put call in i915_execlists

Mika Kuoppala (2):
      drm/i915: Build workaround list in ring initialization
      drm/i915: Check workaround status on dfs read time

Paulo Zanoni (3):
      drm/i915: call drm_vblank_cleanup() earlier at unload
      drm/i915: disable IPS while getting the pipe CRCs.
      drm/i915: only run hsw_power_well_post_enable when really needed

Rodrigo Vivi (3):
      drm/i915: Do not export RC6p and RC6pp if they don't exist
      drm/i915/bdw: Remove BDW preproduction W/As until C stepping.
      drm/i915/chv: Use 16 and 32 for low and high drain latency precision.

Sonika Jindal (1):
      drm/i915/skl: Add 180 degree HW rotation support

Ville Syrjälä (4):
      drm/i915: Add missing '\n' to cdclk debug message
      drm/i915: Don't claim that we're resetting PCH ADPA register
      drm/i915: Fix chv PCS DW11 register defines
      drm/i915: Add rotation support for cursor plane (v5)

Yu Zhang (1):
      drm/i915: use macros to assign mmio access functions

 Documentation/DocBook/drm.tmpl             |   5 +
 drivers/gpu/drm/i915/Makefile              |   1 +
 drivers/gpu/drm/i915/i915_debugfs.c        |  39 ++-
 drivers/gpu/drm/i915/i915_dma.c            |  12 +-
 drivers/gpu/drm/i915/i915_drv.c            | 210 +++++-------
 drivers/gpu/drm/i915/i915_drv.h            |  60 ++--
 drivers/gpu/drm/i915/i915_gem.c            |  24 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |  41 ++-
 drivers/gpu/drm/i915/i915_ioc32.c          |   2 -
 drivers/gpu/drm/i915/i915_irq.c            | 366 ++------------------
 drivers/gpu/drm/i915/i915_reg.h            |  21 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |  22 +-
 drivers/gpu/drm/i915/intel_crt.c           |   2 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   2 +-
 drivers/gpu/drm/i915/intel_display.c       | 513 ++++++++++++++++-------------
 drivers/gpu/drm/i915/intel_dp_mst.c        |  10 +-
 drivers/gpu/drm/i915/intel_drv.h           |  14 +-
 drivers/gpu/drm/i915/intel_fifo_underrun.c | 381 +++++++++++++++++++++
 drivers/gpu/drm/i915/intel_panel.c         |   5 +-
 drivers/gpu/drm/i915/intel_pm.c            |  65 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.c    | 190 ++++++-----
 drivers/gpu/drm/i915/intel_runtime_pm.c    |   2 +-
 drivers/gpu/drm/i915/intel_sprite.c        |   3 +
 drivers/gpu/drm/i915/intel_uncore.c        |  91 +++--
 24 files changed, 1145 insertions(+), 936 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_fifo_underrun.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-10-22  7:05   ` Chris Wilson
@ 2014-10-22  8:06     ` Dave Airlie
  0 siblings, 0 replies; 265+ messages in thread
From: Dave Airlie @ 2014-10-22  8:06 UTC (permalink / raw)
  To: Chris Wilson, Dave Airlie, intel-gfx, dri-devel, Daniel Vetter,
	Jani Nikula

On 22 October 2014 17:05, Chris Wilson <chris@chris-wilson.co.uk> wrote:
> On Wed, Oct 22, 2014 at 09:09:43AM +1000, Dave Airlie wrote:
>> On 21 October 2014 23:38, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
>> > Hi Dave,
>> >
>> > drm-intel-next-2014-10-03:
>> > - first batch of skl stage 1 enabling
>> > - fixes from Rodrigo to the PSR, fbc and sink crc code
>> > - kerneldoc for the frontbuffer tracking code, runtime pm code and the basic
>> >   interrupt enable/disable functions
>> > - smaller stuff all over
>> > drm-intel-next-2014-09-19:
>> > - bunch more i830M fixes from Ville
>> > - full ppgtt now again enabled by default
>> > - more ppgtt fixes from Michel Thierry and Chris Wilson
>> > - plane config work from Gustavo Padovan
>> > - spinlock clarifications
>> > - piles of smaller improvements all over, as usual
>>
>> Chris made some noises about PPGTT being broken somewhere on irc last week,
>>
>> Chris, did you figure that out?
>
> Nope. All full-ppgtt platforms (ivb/byt/hsw) suffer from spontaneously
> loosing track of the right page directories and end up executing
> garbage. It correlates with load, so frequently makes igt (and a few
> tests in particular) die, along with piglit, webgl conformance,
> benchmarking and even eventually light loads on composited desktops.
>
> I've made the pd uncached, added copious flushing, forced switch_mm
> every time, added noops, cacheline alignment, srm, forced the execution
> of batches to be synchronous, and yet IPEHR != *ACTHD. The register and
> command state looks valid. The gpu resets ok and runs fine until the
> error strikes again.
>
> [So I need to test whether switch_mm(aliasing_ppgtt) on every batch fails
> as well, and whether i915.enable_rc6=0 masks it. There is the worrying
> bits in bspec that talk of non-RCS as being part of the render context
> state, but only the RCS pd registers are shown in the context diagrams.
> I guess I should inspect the context state and see if I can spot the other
> registers. If context restore (and with rc6 that could happen at any time)
> switched the pd on the other rings, that would be a nice snafu.]
>
> I would suggest that full-ppgtt be disabled unless someone else has had
> better luck finding a hsd or figuring out the missing magic.
> -Chris

Thanks Chris for the report,

Daniel, fill in the swear words where you like, but yeah don't think I
want to pull this in this state.

Either pull the enable ppgtt patch or revert it on top,

Thanks,
Dave.

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-10-21 23:09 ` Dave Airlie
@ 2014-10-22  7:05   ` Chris Wilson
  2014-10-22  8:06     ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Chris Wilson @ 2014-10-22  7:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Wed, Oct 22, 2014 at 09:09:43AM +1000, Dave Airlie wrote:
> On 21 October 2014 23:38, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> > Hi Dave,
> >
> > drm-intel-next-2014-10-03:
> > - first batch of skl stage 1 enabling
> > - fixes from Rodrigo to the PSR, fbc and sink crc code
> > - kerneldoc for the frontbuffer tracking code, runtime pm code and the basic
> >   interrupt enable/disable functions
> > - smaller stuff all over
> > drm-intel-next-2014-09-19:
> > - bunch more i830M fixes from Ville
> > - full ppgtt now again enabled by default
> > - more ppgtt fixes from Michel Thierry and Chris Wilson
> > - plane config work from Gustavo Padovan
> > - spinlock clarifications
> > - piles of smaller improvements all over, as usual
> 
> Chris made some noises about PPGTT being broken somewhere on irc last week,
> 
> Chris, did you figure that out?

Nope. All full-ppgtt platforms (ivb/byt/hsw) suffer from spontaneously
loosing track of the right page directories and end up executing
garbage. It correlates with load, so frequently makes igt (and a few
tests in particular) die, along with piglit, webgl conformance,
benchmarking and even eventually light loads on composited desktops.

I've made the pd uncached, added copious flushing, forced switch_mm
every time, added noops, cacheline alignment, srm, forced the execution
of batches to be synchronous, and yet IPEHR != *ACTHD. The register and
command state looks valid. The gpu resets ok and runs fine until the
error strikes again.

[So I need to test whether switch_mm(aliasing_ppgtt) on every batch fails
as well, and whether i915.enable_rc6=0 masks it. There is the worrying
bits in bspec that talk of non-RCS as being part of the render context
state, but only the RCS pd registers are shown in the context diagrams.
I guess I should inspect the context state and see if I can spot the other
registers. If context restore (and with rc6 that could happen at any time)
switched the pd on the other rings, that would be a nice snafu.]

I would suggest that full-ppgtt be disabled unless someone else has had
better luck finding a hsd or figuring out the missing magic.
-Chris

-- 
Chris Wilson, Intel Open Source Technology Centre

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-10-21 13:38 Daniel Vetter
@ 2014-10-21 23:09 ` Dave Airlie
  2014-10-22  7:05   ` Chris Wilson
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2014-10-21 23:09 UTC (permalink / raw)
  To: Chris Wilson; +Cc: Daniel Vetter, intel-gfx, dri-devel

On 21 October 2014 23:38, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> Hi Dave,
>
> drm-intel-next-2014-10-03:
> - first batch of skl stage 1 enabling
> - fixes from Rodrigo to the PSR, fbc and sink crc code
> - kerneldoc for the frontbuffer tracking code, runtime pm code and the basic
>   interrupt enable/disable functions
> - smaller stuff all over
> drm-intel-next-2014-09-19:
> - bunch more i830M fixes from Ville
> - full ppgtt now again enabled by default
> - more ppgtt fixes from Michel Thierry and Chris Wilson
> - plane config work from Gustavo Padovan
> - spinlock clarifications
> - piles of smaller improvements all over, as usual

Chris made some noises about PPGTT being broken somewhere on irc last week,

Chris, did you figure that out?

Dave.

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-10-21 13:38 Daniel Vetter
  2014-10-21 23:09 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-10-21 13:38 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-10-03:
- first batch of skl stage 1 enabling
- fixes from Rodrigo to the PSR, fbc and sink crc code
- kerneldoc for the frontbuffer tracking code, runtime pm code and the basic
  interrupt enable/disable functions
- smaller stuff all over
drm-intel-next-2014-09-19:
- bunch more i830M fixes from Ville
- full ppgtt now again enabled by default
- more ppgtt fixes from Michel Thierry and Chris Wilson
- plane config work from Gustavo Padovan
- spinlock clarifications
- piles of smaller improvements all over, as usual

As promised the updated pull with the backmerge to take care of the silent
conflict I've missed first time around.

Cheers, Daniel


The following changes since commit ebb69c95175609990af708ec90c46530f5a2c819:

  drm/i915: Enable pixel replicated modes on BDW and HSW. (2014-10-01 10:01:41 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-10-03-merge

for you to fetch changes up to a8cbd4597799ade2b8a656dac7768c352b58e43a:

  Merge branch 'drm-intel-next-fixes' into drm-intel-next (2014-10-21 14:42:30 +0200)

----------------------------------------------------------------
Brad Volkin (2):
      drm/i915: Re-enable the command parser when using PPGTT
      drm/i915: Log a message when rejecting LRM to OACONTROL

Chris Wilson (3):
      drm/i915: Remove dead code, i915_gem_verify_gtt
      drm/i915: Inline feature detection into sanitize_enable_ppgtt
      drm/i915: Remove the duplicated logic between the two shrink phases

Daisy Sun (1):
      drm/i915/skl: SKL FBC enablement

Damien Lespiau (31):
      drm/i915/skl: Add the Skylake PCI ids
      drm/i915/skl: Add an IS_GEN9() define
      drm/i915/skl: Fence registers on SKL are the same as SNB
      drm/i915/skl: Provide a placeholder for init_clock_gating()
      drm/i915/skl: Skylake shares the interrupt logic with Broadwell
      drm/i915/skl: Framebuffers need to be aligned to 256KB on Skylake
      drm/i915/skl: Implement the new update_plane() for primary planes
      drm/i915/skl: Don't create a VGA connector on Skylake
      drm/i915/skl: Don't try to read out the PCH transcoder state if not present
      drm/i915/skl: Program the DDI buffer translation tables
      drm/i915/skl: Add support for DP voltage swings and pre-emphasis
      drm/i915/skl: Skylake moves AUX_CTL from PCH to CPU
      drm/i915/skl: Add the additional graphics stolen sizes
      drm/i915/skl: gen9 uses the same bind_vma() vfuncs as gen6+
      drm/i915/skl: Implement the get_aux_clock_divider() DP vfunc
      drm/i915/skl: Provide a get_aux_send_ctl() vfunc for skylake
      drm/i915/skl: Initialize PPGTT like gen8
      drm/i915/skl: Allow the reg_read ioctl to return RCS_TIMESTAMP
      drm/i915/skl: report the same INSTDONE registers as gen8
      drm/i915/skl: Report the PDP regs as in gen8
      drm/i915/skl: SKL shares the same underrun interrupt as BDW
      drm/i915/skl: Adjust the display engine interrupts
      drm/i915/skl: Implement WaDisableSDEUnitClockGating:skl
      drm/i915/skl: Implement Wa4x4STCOptimizationDisable:skl
      drm/i915/skl: Implement WaDisableDgMirrorFixInHalfSliceChicken5:skl
      drm/i915/skl: Skylake has 2 "sprite" planes per pipe
      drm/i915/skl: Implement drm_plane vfuncs
      drm/i915/skl: Adjust assert_sprites_disabled()
      drm/i915/skl: Introduce a I915_MAX_PLANES macro
      drm/i915/skl: Introduce intel_num_planes()
      drm/i915/skl: Move gen9 pm initialization into its own branch

Daniel Vetter (36):
      drm/i915: WARN if interrupts aren't on in en/disable_pipestat
      drm/i915: Restore resume irq ordering comment
      drm/i915: Drop get/put_pages for scratch page
      agp/intel-gtt: Remove get/put_pages
      drm/i915: Fix irq checks in ring->irq_get/put functions
      drm/i915: Convert backlight_lock to a mutex
      drm/i915: Use generic vblank wait
      drm/i915: static inline for intel_wait_for_vblank
      drm/i915: Clarify event_lock locking, process context
      drm/i915: Clarify event_lock locking, irq&mixed context
      drm/i915: Clarify gpu_error.lock locking
      drm/i915: Clarify irq_lock locking, intel_tv_detect
      drm/i915: Clarify irq_lock locking, work functions
      drm/i915: Clarify irq_lock locking, interrupt install/uninstall
      drm/i915: Clarify irq_lock locking, irq handlers
      drm/i915: Clarify irq_lock locking, special cases
      drm/i915: Clarify uncore.lock locking
      drm/i915: Clarify mmio_flip_lock locking
      drm/i915: Update DRIVER_DATE to 20140919
      drm/i915: DocBook integration for frontbuffer tracking
      Merge branch 'topic/skl-stage1' into drm-intel-next-queued
      drm/i915: Tighting frontbuffer tracking around flips
      drm/i915: spelling fixes for frontbuffer tracking kerneldoc
      drm/i915: Remove intel_modeset_suspend_hw
      drm/i915: Extract intel_runtime_pm.c
      drm/i915: Bikeshed rpm functions name a bit.
      drm/i915: Move intel_display_set_init_power to intel_runtime_pm.c
      drm/i915: Call runtime_pm_disable directly
      drm/i915: Kerneldoc for intel_runtime_pm.c
      drm/i915: Reinstate error level message for non-simulated gpu hangs
      drm/i915: Constify send buffer for intel_dp_aux_ch
      drm/i915: s/pm._irqs_disabled/pm.irqs_enabled/
      drm/i915: Use dev_priv instead of dev in irq setup functions
      drm/i915: kerneldoc for interrupt enable/disable functions
      drm/i915: Update DRIVER_DATE to 20141003
      Merge branch 'drm-intel-next-fixes' into drm-intel-next

Deepak S (1):
      drm/i915/vlv: Remove check for Old Ack during forcewake

Gustavo Padovan (8):
      drm/i915: create struct intel_plane_state
      drm/i915: split intel_update_plane into check() and commit()
      drm/i915: split intel_cursor_plane_update() into check() and commit()
      drm/i915: split intel_primary_plane_setplane() into check() and commit()
      drm/i915: remove !enabled handling from commit primary plane step
      drm/i915: pin sprite fb only if it changed
      drm/i915: create intel_update_pipe_size()
      drm/i915: Fix regression in the sprite plane update split

Imre Deak (2):
      drm/i915: vlv: fix display IRQ enable/disable
      drm/i915/skl: don't set the AsyncFlip performance mode for Gen9+

Jani Nikula (2):
      drm/i915/bios: add missing __packed to structs used for reading vbt
      drm/i915: fix short vs. long hpd detection

Michel Thierry (1):
      drm/i915: Enable full PPGTT on gen7

Paulo Zanoni (3):
      drm/i915: extract intel_init_fbc()
      drm/i915: add SW tracking to FBC enabling
      drm/i915: properly reenable gen8 pipe IRQs

Robert Beckett (1):
      drm/i915/skl: i915_swizzle_info gen9 fix

Rodrigo Vivi (14):
      drm/i915: Only flush fbc on sw when fbc is enabled.
      drm/i915: Avoid reading fbc registers in vain when fbc was never enabled.
      drm/i915: PSR: organize setup function.
      drm/i915: PSR: Organize PSR enable function
      drm/i915: Avoid re-configure panel on every PSR re-enable.
      drm/i915: Minimize the huge amount of unecessary fbc sw cache clean.
      drm/i915: Make sure PSR is ready for been re-enabled.
      drm/i915: Broadwell DDI Buffer translation changed to give better margin.
      drm/i915: Broadwell DDI Buffer translation - more tuning
      drm/i915: Fix Sink CRC
      drm/i915: Add IS_BDW_GT3 macro.
      drm/i915/bdw: WaDisableFenceDestinationToSLM
      drm/i915: preserve other DP_TEST_SINK bits.
      drm/i915: make sink_crc return -EIO on aux read/write failure

Satheeshakrishna M (6):
      drm/i915/skl: Add an IS_SKYLAKE macro
      drm/i915/skl: SKL pipe misc programming
      drm/i915/skl: vfuncs for skl eld and global resource
      drm/i915/skl: SKL backlight enabling
      drm/i915/skl: Restore pipe B/C interrupts
      drm/i915/skl: Sunrise Point PCH detection

U. Artie Eoff (2):
      drm/i915: intel_backlight scale() math WA
      drm/i915: Move DIV_ROUND_CLOSEST_ULL macro to header

Ville Syrjälä (7):
      drm/i915: Move the cursor_base setup to i{845, 9xx}_update_cursor()
      drm/i915: Only set CURSOR_PIPE_CSC_ENABLE when cursor is enabled
      drm/i915: Move vblank enable earlier and disable later
      drm/i915: De-magic the PSR AUX message
      drm/i915: Make sure hardware uses the correct swing margin/deemph bits on chv
      drm/i915: Clear TX FIFO reset master override bits on chv
      drm/i915: Don't trust the DP_DETECT bit for eDP ports on CHV

 Documentation/DocBook/drm.tmpl                     |   30 +-
 .../devicetree/bindings/video/exynos_dsim.txt      |    1 +
 .../devicetree/bindings/video/samsung-fimd.txt     |    1 +
 arch/arm/boot/dts/exynos3250.dtsi                  |   33 +
 arch/x86/kernel/early-quirks.c                     |   23 +
 drivers/char/agp/intel-gtt.c                       |    4 -
 drivers/gpu/drm/armada/armada_gem.h                |    2 +
 drivers/gpu/drm/ast/ast_drv.h                      |    2 +
 drivers/gpu/drm/ast/ast_ttm.c                      |    2 +-
 drivers/gpu/drm/bochs/bochs.h                      |    2 +
 drivers/gpu/drm/bochs/bochs_mm.c                   |    2 +-
 drivers/gpu/drm/cirrus/cirrus_drv.h                |    2 +
 drivers/gpu/drm/cirrus/cirrus_ttm.c                |    2 +-
 drivers/gpu/drm/drm_drv.c                          |    7 +-
 drivers/gpu/drm/drm_gem.c                          |    3 +-
 drivers/gpu/drm/drm_info.c                         |    2 +
 drivers/gpu/drm/drm_internal.h                     |   39 +-
 drivers/gpu/drm/drm_mipi_dsi.c                     |    6 +
 drivers/gpu/drm/drm_prime.c                        |    2 +
 drivers/gpu/drm/drm_vm.c                           |   13 +-
 drivers/gpu/drm/exynos/exynos_dp_core.c            |    4 +-
 drivers/gpu/drm/exynos/exynos_drm_crtc.c           |   62 +-
 drivers/gpu/drm/exynos/exynos_drm_dpi.c            |    6 +-
 drivers/gpu/drm/exynos/exynos_drm_drv.c            |  103 +-
 drivers/gpu/drm/exynos/exynos_drm_drv.h            |    1 -
 drivers/gpu/drm/exynos/exynos_drm_dsi.c            |   40 +-
 drivers/gpu/drm/exynos/exynos_drm_fb.c             |    1 +
 drivers/gpu/drm/exynos/exynos_drm_fbdev.c          |    4 +-
 drivers/gpu/drm/exynos/exynos_drm_fimc.c           |   90 +-
 drivers/gpu/drm/exynos/exynos_drm_fimd.c           |   55 +-
 drivers/gpu/drm/exynos/exynos_drm_gem.c            |  106 +-
 drivers/gpu/drm/exynos/exynos_drm_gem.h            |   16 +-
 drivers/gpu/drm/exynos/exynos_drm_gsc.c            |    3 +-
 drivers/gpu/drm/exynos/exynos_drm_ipp.c            |  453 +++----
 drivers/gpu/drm/exynos/exynos_drm_ipp.h            |    4 +-
 drivers/gpu/drm/exynos/exynos_drm_plane.c          |   19 +-
 drivers/gpu/drm/exynos/exynos_drm_plane.h          |    3 +-
 drivers/gpu/drm/exynos/exynos_drm_rotator.c        |    3 +-
 drivers/gpu/drm/exynos/exynos_drm_vidi.c           |   19 -
 drivers/gpu/drm/exynos/exynos_hdmi.c               |    4 +-
 drivers/gpu/drm/exynos/exynos_mixer.c              |    3 -
 drivers/gpu/drm/gma500/gtt.h                       |    1 +
 drivers/gpu/drm/i810/i810_drv.c                    |    2 +-
 drivers/gpu/drm/i915/Makefile                      |    5 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   11 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |    9 +-
 drivers/gpu/drm/i915/i915_dma.c                    |   29 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   49 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   49 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  101 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   36 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   13 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  293 +++--
 drivers/gpu/drm/i915/i915_reg.h                    |  190 ++-
 drivers/gpu/drm/i915/intel_bios.h                  |   10 +-
 drivers/gpu/drm/i915/intel_crt.c                   |    2 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |   52 +-
 drivers/gpu/drm/i915/intel_display.c               |  931 ++++++-------
 drivers/gpu/drm/i915/intel_dp.c                    |  194 ++-
 drivers/gpu/drm/i915/intel_drv.h                   |   93 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |    2 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c           |  279 ++++
 drivers/gpu/drm/i915/intel_hdmi.c                  |   25 +-
 drivers/gpu/drm/i915/intel_lrc.c                   |    2 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |    2 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   37 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 1247 +-----------------
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   34 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c            | 1375 ++++++++++++++++++++
 drivers/gpu/drm/i915/intel_sprite.c                |  473 +++++--
 drivers/gpu/drm/i915/intel_tv.c                    |    9 +-
 drivers/gpu/drm/i915/intel_uncore.c                |   19 +-
 drivers/gpu/drm/mga/mga_drv.c                      |    2 +-
 drivers/gpu/drm/mgag200/mgag200_drv.h              |    2 +
 drivers/gpu/drm/mgag200/mgag200_ttm.c              |    2 +-
 drivers/gpu/drm/msm/msm_drv.h                      |    1 +
 drivers/gpu/drm/nouveau/nouveau_bo.h               |    2 +
 drivers/gpu/drm/nouveau/nouveau_ttm.c              |    2 +-
 drivers/gpu/drm/omapdrm/omap_drv.h                 |    1 +
 drivers/gpu/drm/qxl/qxl_drv.h                      |    2 +
 drivers/gpu/drm/qxl/qxl_ttm.c                      |    2 +-
 drivers/gpu/drm/r128/r128_drv.c                    |    2 +-
 drivers/gpu/drm/radeon/radeon.h                    |    2 +
 drivers/gpu/drm/radeon/radeon_drv.c                |    4 +-
 drivers/gpu/drm/radeon/radeon_ttm.c                |   23 +-
 drivers/gpu/drm/savage/savage_drv.c                |    2 +-
 drivers/gpu/drm/sis/sis_drv.c                      |    2 +-
 drivers/gpu/drm/tdfx/tdfx_drv.c                    |    3 +-
 drivers/gpu/drm/tegra/gem.h                        |    1 +
 drivers/gpu/drm/ttm/ttm_bo_util.c                  |   20 +-
 drivers/gpu/drm/ttm/ttm_bo_vm.c                    |    5 +-
 drivers/gpu/drm/udl/udl_drv.h                      |    1 +
 drivers/gpu/drm/via/via_drv.c                      |    2 +-
 include/drm/drmP.h                                 |  184 +--
 include/drm/drm_dp_helper.h                        |    5 +-
 include/drm/drm_gem.h                              |  183 +++
 include/drm/drm_gem_cma_helper.h                   |    1 +
 include/drm/drm_legacy.h                           |    1 +
 include/drm/drm_mipi_dsi.h                         |    2 +
 include/drm/i915_pciids.h                          |   17 +
 include/uapi/drm/exynos_drm.h                      |   40 -
 101 files changed, 4175 insertions(+), 3092 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_frontbuffer.c
 create mode 100644 drivers/gpu/drm/i915/intel_runtime_pm.c
 create mode 100644 include/drm/drm_gem.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-10-21 12:27 Daniel Vetter
@ 2014-10-21 12:43 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-10-21 12:43 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Tue, Oct 21, 2014 at 2:27 PM, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> There's some simple merge conflicts with current upstream but nothing
> serious really, I can push out a merge point if you want to. As usual
> -nightly has the solution for you to peek at.

Sorrry I've lied, there's an annoying conflict that git somehow
doesn't spot which results in a function declaration that's no longer
used being left behind. I'll prep a new pull with the merge on top.
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-10-21 12:27 Daniel Vetter
  2014-10-21 12:43 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-10-21 12:27 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

So -rc1 is out the door and the first i915 pull request for 3.19 in your
inbox ;-)

Due to the early drm-next merge window we've already accumulated two
testing cycles instead of the usual one, but somehow there wasn't too much
going on really.

drm-intel-next-2014-10-03:
- first batch of skl stage 1 enabling
- fixes from Rodrigo to the PSR, fbc and sink crc code
- kerneldoc for the frontbuffer tracking code, runtime pm code and the basic
  interrupt enable/disable functions
- smaller stuff all over
drm-intel-next-2014-09-19:
- bunch more i830M fixes from Ville
- full ppgtt now again enabled by default
- more ppgtt fixes from Michel Thierry and Chris Wilson
- plane config work from Gustavo Padovan
- spinlock clarifications
- piles of smaller improvements all over, as usual

There's some simple merge conflicts with current upstream but nothing
serious really, I can push out a merge point if you want to. As usual
-nightly has the solution for you to peek at.

Cheers, Daniel


The following changes since commit d743ecf360637d489a3ba81a268f574359149601:

  drm/doc: Fixup drm_irq kerneldoc includes. (2014-09-24 11:43:47 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-10-03

for you to fetch changes up to b727735732d5b2fde0a88911210215e03e190d11:

  drm/i915: Update DRIVER_DATE to 20141003 (2014-10-03 17:38:34 +0200)

----------------------------------------------------------------
- first batch of skl stage 1 enabling
- fixes from Rodrigo to the PSR, fbc and sink crc code
- kerneldoc for the frontbuffer tracking code, runtime pm code and the basic
  interrupt enable/disable functions
- smaller stuff all over

----------------------------------------------------------------
Brad Volkin (2):
      drm/i915: Re-enable the command parser when using PPGTT
      drm/i915: Log a message when rejecting LRM to OACONTROL

Chris Wilson (3):
      drm/i915: Remove dead code, i915_gem_verify_gtt
      drm/i915: Inline feature detection into sanitize_enable_ppgtt
      drm/i915: Remove the duplicated logic between the two shrink phases

Daisy Sun (1):
      drm/i915/skl: SKL FBC enablement

Damien Lespiau (31):
      drm/i915/skl: Add the Skylake PCI ids
      drm/i915/skl: Add an IS_GEN9() define
      drm/i915/skl: Fence registers on SKL are the same as SNB
      drm/i915/skl: Provide a placeholder for init_clock_gating()
      drm/i915/skl: Skylake shares the interrupt logic with Broadwell
      drm/i915/skl: Framebuffers need to be aligned to 256KB on Skylake
      drm/i915/skl: Implement the new update_plane() for primary planes
      drm/i915/skl: Don't create a VGA connector on Skylake
      drm/i915/skl: Don't try to read out the PCH transcoder state if not present
      drm/i915/skl: Program the DDI buffer translation tables
      drm/i915/skl: Add support for DP voltage swings and pre-emphasis
      drm/i915/skl: Skylake moves AUX_CTL from PCH to CPU
      drm/i915/skl: Add the additional graphics stolen sizes
      drm/i915/skl: gen9 uses the same bind_vma() vfuncs as gen6+
      drm/i915/skl: Implement the get_aux_clock_divider() DP vfunc
      drm/i915/skl: Provide a get_aux_send_ctl() vfunc for skylake
      drm/i915/skl: Initialize PPGTT like gen8
      drm/i915/skl: Allow the reg_read ioctl to return RCS_TIMESTAMP
      drm/i915/skl: report the same INSTDONE registers as gen8
      drm/i915/skl: Report the PDP regs as in gen8
      drm/i915/skl: SKL shares the same underrun interrupt as BDW
      drm/i915/skl: Adjust the display engine interrupts
      drm/i915/skl: Implement WaDisableSDEUnitClockGating:skl
      drm/i915/skl: Implement Wa4x4STCOptimizationDisable:skl
      drm/i915/skl: Implement WaDisableDgMirrorFixInHalfSliceChicken5:skl
      drm/i915/skl: Skylake has 2 "sprite" planes per pipe
      drm/i915/skl: Implement drm_plane vfuncs
      drm/i915/skl: Adjust assert_sprites_disabled()
      drm/i915/skl: Introduce a I915_MAX_PLANES macro
      drm/i915/skl: Introduce intel_num_planes()
      drm/i915/skl: Move gen9 pm initialization into its own branch

Daniel Vetter (35):
      drm/i915: WARN if interrupts aren't on in en/disable_pipestat
      drm/i915: Restore resume irq ordering comment
      drm/i915: Drop get/put_pages for scratch page
      agp/intel-gtt: Remove get/put_pages
      drm/i915: Fix irq checks in ring->irq_get/put functions
      drm/i915: Convert backlight_lock to a mutex
      drm/i915: Use generic vblank wait
      drm/i915: static inline for intel_wait_for_vblank
      drm/i915: Clarify event_lock locking, process context
      drm/i915: Clarify event_lock locking, irq&mixed context
      drm/i915: Clarify gpu_error.lock locking
      drm/i915: Clarify irq_lock locking, intel_tv_detect
      drm/i915: Clarify irq_lock locking, work functions
      drm/i915: Clarify irq_lock locking, interrupt install/uninstall
      drm/i915: Clarify irq_lock locking, irq handlers
      drm/i915: Clarify irq_lock locking, special cases
      drm/i915: Clarify uncore.lock locking
      drm/i915: Clarify mmio_flip_lock locking
      drm/i915: Update DRIVER_DATE to 20140919
      drm/i915: DocBook integration for frontbuffer tracking
      Merge branch 'topic/skl-stage1' into drm-intel-next-queued
      drm/i915: Tighting frontbuffer tracking around flips
      drm/i915: spelling fixes for frontbuffer tracking kerneldoc
      drm/i915: Remove intel_modeset_suspend_hw
      drm/i915: Extract intel_runtime_pm.c
      drm/i915: Bikeshed rpm functions name a bit.
      drm/i915: Move intel_display_set_init_power to intel_runtime_pm.c
      drm/i915: Call runtime_pm_disable directly
      drm/i915: Kerneldoc for intel_runtime_pm.c
      drm/i915: Reinstate error level message for non-simulated gpu hangs
      drm/i915: Constify send buffer for intel_dp_aux_ch
      drm/i915: s/pm._irqs_disabled/pm.irqs_enabled/
      drm/i915: Use dev_priv instead of dev in irq setup functions
      drm/i915: kerneldoc for interrupt enable/disable functions
      drm/i915: Update DRIVER_DATE to 20141003

Deepak S (1):
      drm/i915/vlv: Remove check for Old Ack during forcewake

Gustavo Padovan (8):
      drm/i915: create struct intel_plane_state
      drm/i915: split intel_update_plane into check() and commit()
      drm/i915: split intel_cursor_plane_update() into check() and commit()
      drm/i915: split intel_primary_plane_setplane() into check() and commit()
      drm/i915: remove !enabled handling from commit primary plane step
      drm/i915: pin sprite fb only if it changed
      drm/i915: create intel_update_pipe_size()
      drm/i915: Fix regression in the sprite plane update split

Imre Deak (2):
      drm/i915: vlv: fix display IRQ enable/disable
      drm/i915/skl: don't set the AsyncFlip performance mode for Gen9+

Jani Nikula (1):
      drm/i915/bios: add missing __packed to structs used for reading vbt

Michel Thierry (1):
      drm/i915: Enable full PPGTT on gen7

Paulo Zanoni (2):
      drm/i915: extract intel_init_fbc()
      drm/i915: add SW tracking to FBC enabling

Robert Beckett (1):
      drm/i915/skl: i915_swizzle_info gen9 fix

Rodrigo Vivi (14):
      drm/i915: Only flush fbc on sw when fbc is enabled.
      drm/i915: Avoid reading fbc registers in vain when fbc was never enabled.
      drm/i915: PSR: organize setup function.
      drm/i915: PSR: Organize PSR enable function
      drm/i915: Avoid re-configure panel on every PSR re-enable.
      drm/i915: Minimize the huge amount of unecessary fbc sw cache clean.
      drm/i915: Make sure PSR is ready for been re-enabled.
      drm/i915: Broadwell DDI Buffer translation changed to give better margin.
      drm/i915: Broadwell DDI Buffer translation - more tuning
      drm/i915: Fix Sink CRC
      drm/i915: Add IS_BDW_GT3 macro.
      drm/i915/bdw: WaDisableFenceDestinationToSLM
      drm/i915: preserve other DP_TEST_SINK bits.
      drm/i915: make sink_crc return -EIO on aux read/write failure

Satheeshakrishna M (6):
      drm/i915/skl: Add an IS_SKYLAKE macro
      drm/i915/skl: SKL pipe misc programming
      drm/i915/skl: vfuncs for skl eld and global resource
      drm/i915/skl: SKL backlight enabling
      drm/i915/skl: Restore pipe B/C interrupts
      drm/i915/skl: Sunrise Point PCH detection

Ville Syrjälä (6):
      drm/i915: Move the cursor_base setup to i{845, 9xx}_update_cursor()
      drm/i915: Only set CURSOR_PIPE_CSC_ENABLE when cursor is enabled
      drm/i915: Move vblank enable earlier and disable later
      drm/i915: De-magic the PSR AUX message
      drm/i915: Make sure hardware uses the correct swing margin/deemph bits on chv
      drm/i915: Clear TX FIFO reset master override bits on chv

 Documentation/DocBook/drm.tmpl           |   28 +
 arch/x86/kernel/early-quirks.c           |   23 +
 drivers/char/agp/intel-gtt.c             |    4 -
 drivers/gpu/drm/i915/Makefile            |    5 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c   |   11 +-
 drivers/gpu/drm/i915/i915_debugfs.c      |   43 +-
 drivers/gpu/drm/i915/i915_dma.c          |   29 +-
 drivers/gpu/drm/i915/i915_drv.c          |   51 +-
 drivers/gpu/drm/i915/i915_drv.h          |   54 +-
 drivers/gpu/drm/i915/i915_gem.c          |  181 ++--
 drivers/gpu/drm/i915/i915_gem_evict.c    |    4 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c      |   36 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c   |   13 +-
 drivers/gpu/drm/i915/i915_gpu_error.c    |   13 +-
 drivers/gpu/drm/i915/i915_irq.c          |  275 +++---
 drivers/gpu/drm/i915/i915_reg.h          |  198 ++++-
 drivers/gpu/drm/i915/intel_bios.h        |   10 +-
 drivers/gpu/drm/i915/intel_crt.c         |    2 +-
 drivers/gpu/drm/i915/intel_ddi.c         |   52 +-
 drivers/gpu/drm/i915/intel_display.c     |  949 ++++++++++-----------
 drivers/gpu/drm/i915/intel_dp.c          |  230 +++--
 drivers/gpu/drm/i915/intel_drv.h         |   90 +-
 drivers/gpu/drm/i915/intel_dsi.c         |    2 +-
 drivers/gpu/drm/i915/intel_frontbuffer.c |  279 ++++++
 drivers/gpu/drm/i915/intel_hdmi.c        |   27 +-
 drivers/gpu/drm/i915/intel_lrc.c         |   31 +-
 drivers/gpu/drm/i915/intel_lvds.c        |    2 +-
 drivers/gpu/drm/i915/intel_panel.c       |   32 +-
 drivers/gpu/drm/i915/intel_pm.c          | 1258 ++-------------------------
 drivers/gpu/drm/i915/intel_ringbuffer.c  |   39 +-
 drivers/gpu/drm/i915/intel_runtime_pm.c  | 1375 ++++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/intel_sprite.c      |  473 +++++++---
 drivers/gpu/drm/i915/intel_tv.c          |    9 +-
 drivers/gpu/drm/i915/intel_uncore.c      |   19 +-
 include/drm/drm_dp_helper.h              |    5 +-
 include/drm/i915_pciids.h                |   17 +
 36 files changed, 3590 insertions(+), 2279 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_frontbuffer.c
 create mode 100644 drivers/gpu/drm/i915/intel_runtime_pm.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-09-15 14:05 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-09-15 14:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

So final feature pull request for 3.18. QA isn't really done yet with the
manul testing, but this help up a week of soaking so should be fairly
ok-ish. And I think holding up merging doesn't really help anyone,
especially since I want to rebase my 3.19 queue on top of drm-next with
all the branches that just landed.

drm-intel-next-2014-09-05:
- final bits (again) for the rotation support (Sonika Jindal)
- support bl_power in the intel backlight (Jani)
- vdd handling improvements from Ville
- i830M fixes from Ville
- piles of prep work all over to make skl enabling just plug in (Damien, Sonika)
- rename DP training defines to reflect latest edp standards, this touches all
  drm drivers supporting DP (Sonika Jindal)
- cache edids during single detect cycle to avoid re-reading it for e.g. audio,
  from Chris
- move w/a for registers which are stored in the hw context to the context init
  code (Arun&Damien)
- edp panel power sequencer fixes, helps chv a lot (Ville)
- piles of other chv fixes all over
- much more paranoid pageflip handling with stall detection and better recovery
  from Chris
- small things all over, as usual

Aside: A backmerge of latest drm-fixes would be good to baseline 3.19
stuff on top. Note that there's a conflict in i915 which gcc will catch -
you need to add a local dev_prive = dev->dev_private somewhere.

Cheers, Daniel


The following changes since commit 47c1296829505d119d7d58dd23d39cc5db344f12:

  drm/qxl: enables gem prime helpers for qxl using dummy driver callbacks (2014-09-03 15:36:52 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-09-05

for you to fetch changes up to a12624959ad4e3bfa8c344ad71728ffc9a379158:

  drm/i915: Update DRIVER_DATE to 20140905 (2014-09-05 14:57:29 +0200)

----------------------------------------------------------------
- final bits (again) for the rotation support (Sonika Jindal)
- support bl_power in the intel backlight (Jani)
- vdd handling improvements from Ville
- i830M fixes from Ville
- piles of prep work all over to make skl enabling just plug in (Damien, Sonika)
- rename DP training defines to reflect latest edp standards, this touches all
  drm drivers supporting DP (Sonika Jindal)
- cache edids during single detect cycle to avoid re-reading it for e.g. audio,
  from Chris
- move w/a for registers which are stored in the hw context to the context init
  code (Arun&Damien)
- edp panel power sequencer fixes, helps chv a lot (Ville)
- piles of other chv fixes all over
- much more paranoid pageflip handling with stall detection and better recovery
  from Chris
- small things all over, as usual

----------------------------------------------------------------
Andy Shevchenko (1):
      drm: i915: reduce memory footprint when debugging

Arun Siluvery (2):
      drm/i915/bdw: Apply workarounds in render ring init function
      drm/i915/bdw: Export workaround data to debugfs

Ben Widawsky (1):
      drm/i915: Don't save/restore RS when not used

Chris Wilson (15):
      drm/i915: Do not access stolen memory directly by the CPU, even for error capture
      drm/i915: Remove num_pages parameter to i915_error_object_create()
      drm/i915: Suppress a WARN on reading an object back for a GPU hang
      drm/i915: honour forced connector modes
      drm/i915: Make wait-for-pending-flips more defensive
      drm/i915: Differentiate between LLC or snooped for the user
      drm/i915/dp: Refactor common eDP lid detection
      drm/i915/dp: Cache EDID for a detection cycle
      drm/i915/hdmi: Cache EDID for a detection cycle
      drm/i915: Rename global latency_ns variable
      drm/i915: Remove shadowed local variable 'i' from i915_interrupt_info
      drm/i915: Fix unsafe vma iteration in i915_drop_caches
      drm/i915: Reset the HEAD pointer for the ring after writing START
      drm/i915: Check for a stalled page flip after each vblank
      drm/i915: Decouple the stuck pageflip on modeset

Daisy Sun (1):
      drm/i915/bdw: BDW Software Turbo

Damien Lespiau (12):
      drm/i915: Use dev_priv as first argument of for_each_pipe()
      drm/i915: Print the pipe on which the vblank wait times out
      drm/i915: Don't use a define when it's clearer to just put the value
      drm/i915: Add "Intel Corporation" as module author
      drm/i915/bdw: Let the memory controller do all the swizzling
      drm/i915: Rename intel_wa_registers with a i915_ prefix
      drm/i915: Don't overrun the intel_wa_regs array
      drm/i915: Don't silently discard workarounds
      drm/i915: Remove unneeded brackets
      drm/i915: Don't restrict i915_wa_registers to BDW
      drm/i915: Rewrite ABS_DIFF() in a safer manner
      drm/i915: Introduce a for_each_plane() macro

Daniel Vetter (2):
      MAINTAINERS: Update Daniel Vetter's email address
      drm/i915: Update DRIVER_DATE to 20140905

Deepak S (2):
      drm/i915: Bring UP Power Wells before disabling RC6.
      drm/i915: Fix to Enable GT/PM Interrupts

Derek Foreman (1):
      drm/i915: init sprites with univeral plane init function

Gustavo Padovan (1):
      drm/i915: trivial: remove unneed set to NULL

Jani Nikula (10):
      drm/i915/dp: split up panel power control from backlight pwm control
      drm/i915: add some framework for backlight bl_power support
      drm/i915/dp: make backlight bl_power control power sequencer backlight
      drm/i915: switch off backlight for backlight class 0 brightness
      drm/i915: don't check for i830 in vlv specific code
      drm/i915: fix panel unlock register mask
      drm/i915: improve assert_panel_unlocked
      drm/i915/dp: debug log whether backlight is being enabled or disabled
      drm/i915/ddi: use struct for ddi buf translation tables
      drm/i915: debug sink dpms aux errors also on enable

Jesse Barnes (1):
      drm/i915: make fbdev initialization asynchronous v2

McAulay, Alistair (1):
      drm/i915: Rework GPU reset sequence to match driver load & thaw

Michel Thierry (1):
      drm/i915: Handle i915_ppgtt_put correctly

Oscar Mateo (2):
      drm/i915/bdw: Make sure error capture keeps working with Execlists
      drm/i915/bdw: Render state init for Execlists

Paulo Zanoni (5):
      drm/i915: fix i915_frequency_info on BDW
      drm/i915: call lpt_init_clock_gating on BDW too
      drm/i915: rename gen8_init_clock_gating to broadwell_init_clock_gating
      drm/i915: send PCI_D3hot adapter opregion message on BDW RPM suspend
      drm/i915: change CHV write_eld/global_resources function pointers

Rodrigo Vivi (1):
      drm/i915: FBC flush nuke for BDW

Sonika Jindal (10):
      drm/i915: Updating plane parameters for primary plane in setplane
      drm/i915: Add 180 degree primary plane rotation support
      drm/i915: Rename defines for selection of ddi buffer translation slot
      drm: Renaming DP training vswing pre emph defines
      drm/exynos: Renaming DP training vswing pre emph defines
      drm/gma500: Renaming DP training vswing pre emph defines
      drm/radeon: Renaming DP training vswing pre emph defines
      drm/tegra: Renaming DP training vswing pre emph defines
      drm/i915: Renaming DP training vswing pre emph defines
      drm: Remove old defines for vswing and pre-emph values

Thomas Daniel (3):
      drm/i915/bdw: Populate lrc with aliasing ppgtt if required
      drm/i915/bdw: Do not initialize PPGTT in the legacy way for execlists
      drm/i915/bdw: Don't execute context reset and switch with Execlists

Vedang Patel (1):
      drm/i915/bdw: Add BDW support in the i915 debugfs entry

Ville Syrjälä (41):
      drm/i915: Parametrize PANEL_PORT_SELECT_VLV
      drm/i915: Use intel_edp_panel_vdd_on() in intel_dp_probe_mst()
      drm/i915: Rename edp vdd funcs for consistency
      drm/i915: Add a note explaining vdd on/off handling in intel_dp_aux_ch()
      drm/i915: Replace big nested if block with early return
      drm/i915: Warn about want_panel_vdd in edp_panel_vdd_off_sync()
      drm/i915: Flatten intel_edp_panel_vdd_on()
      drm/i915: Don't dereference fb when disabling primary plane
      drm/i915: s, fb->bits_per_pixel/8, pixel_size, in primary plane code
      drm/i915: Populate mem_freq in init_gt_powerwave()
      drm/i915: Warn about odd rps values on CHV
      drm/i915: Init some CHV workarounds via LRIs in ring->init_context()
      drm/i915: Fix gen2 planes B and C max watermark value
      drm/i915: Disable trickle feed for gen2/3
      drm/i915: Idle unused rings on gen2/3 during init/resume
      drm/i915: Pass intel_crtc to intel_disable_pipe() and intel_wait_for_pipe_off()
      drm/i915: Disable double wide even when leaving the pipe on
      drm/i915: ns2501 is on DVOB
      drm/i915: Enable DVO between mode_set and dpms hooks
      drm/i915: Don't call DVO mode_set hook on DPMS changes
      drm/i915: Kill useless ns2501_dump_regs
      drm/i915: Rewrite ns2501 driver a bit
      drm/i915: Init important ns2501 registers
      drm/i915: Check pixel clock in ns2501 mode_valid hook
      Revert "drm/i915: Nuke pipe A quirk on i830M"
      drm/i915: Add pipe B force quirk for 830M
      drm/i915: Preserve VGACNTR bits from the BIOS
      drm/i915: Don't use WaGsvRC0ResidenncyMethod on chv
      drm/i915: Don't call intel_plane_restore() when the prop value didn't change
      drm/i915: Don't call gen8_fbc_sw_flush() on chv
      drm/i915: Use IS_BROADWELL() instead of IS_GEN8() in forcewake code
      drm/i915: Use HAS_GMCH_DISPLAY un underrun reporting code
      drm/i915: Check of !HAS_PCH_SPLIT() in PCH transcoder funcs
      drm/i915: Fix edp vdd locking
      drm/i915: Track which port is using which pipe's power sequencer
      drm/i915: Reset power sequencer pipe tracking when disp2d is off
      drm/i915: Be more careful when picking the initial power sequencer pipe
      drm/i915: Turn on panel power before doing aux transfers
      drm/i915: Enable DP port earlier
      drm/i915: Move DP port disable to post_disable for pch platforms
      drm/i915: Add comments explaining the vdd on/off functions

 MAINTAINERS                                  |    2 +-
 drivers/gpu/drm/exynos/exynos_dp_core.c      |    4 +-
 drivers/gpu/drm/gma500/cdv_intel_dp.c        |    4 +-
 drivers/gpu/drm/gma500/intel_bios.c          |   16 +-
 drivers/gpu/drm/i915/dvo_ns2501.c            |  560 +++++++-----
 drivers/gpu/drm/i915/i915_debugfs.c          |  124 ++-
 drivers/gpu/drm/i915/i915_dma.c              |    7 +-
 drivers/gpu/drm/i915/i915_drv.c              |   38 +-
 drivers/gpu/drm/i915/i915_drv.h              |   63 +-
 drivers/gpu/drm/i915/i915_gem.c              |   51 +-
 drivers/gpu/drm/i915/i915_gem_context.c      |   65 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c          |   82 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h          |    3 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c |   40 +-
 drivers/gpu/drm/i915/i915_gem_render_state.h |   47 +
 drivers/gpu/drm/i915/i915_gem_tiling.c       |    9 +-
 drivers/gpu/drm/i915/i915_gpu_error.c        |  106 ++-
 drivers/gpu/drm/i915/i915_irq.c              |  185 ++--
 drivers/gpu/drm/i915/i915_reg.h              |   35 +-
 drivers/gpu/drm/i915/i915_sysfs.c            |    2 +-
 drivers/gpu/drm/i915/intel_bios.c            |   16 +-
 drivers/gpu/drm/i915/intel_ddi.c             |  217 +++--
 drivers/gpu/drm/i915/intel_display.c         |  478 +++++++---
 drivers/gpu/drm/i915/intel_dp.c              | 1236 +++++++++++++++++---------
 drivers/gpu/drm/i915/intel_drv.h             |   24 +-
 drivers/gpu/drm/i915/intel_dvo.c             |   11 +-
 drivers/gpu/drm/i915/intel_fbdev.c           |   39 +-
 drivers/gpu/drm/i915/intel_hdmi.c            |  145 +--
 drivers/gpu/drm/i915/intel_lrc.c             |   78 +-
 drivers/gpu/drm/i915/intel_lrc.h             |    2 +
 drivers/gpu/drm/i915/intel_panel.c           |   29 +-
 drivers/gpu/drm/i915/intel_pm.c              |  508 +++++++----
 drivers/gpu/drm/i915/intel_renderstate.h     |    8 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c      |  161 +++-
 drivers/gpu/drm/i915/intel_ringbuffer.h      |    2 +
 drivers/gpu/drm/i915/intel_sprite.c          |   19 +-
 drivers/gpu/drm/i915/intel_uncore.c          |    6 +-
 drivers/gpu/drm/radeon/atombios_dp.c         |    4 +-
 drivers/gpu/drm/tegra/dpaux.c                |    4 +-
 include/drm/drm_dp_helper.h                  |   16 +-
 40 files changed, 2929 insertions(+), 1517 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_render_state.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-09-01  8:49 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-09-01  8:49 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-08-22:
- basic code for execlist, which is the fancy new cmd submission on gen8. Still
  disabled by default (Ben, Oscar Mateo, Thomas Daniel et al)
- remove the useless usage of console_lock for I915_FBDEV=n (Chris)
- clean up relations between ctx and ppgtt
- clean up ppgtt lifetime handling (Michel Thierry)
- various cursor code improvements from Ville
- execbuffer code cleanups and secure batch fixes (Chris)
- prep work for dev -> dev_priv transition (Chris)
- some of the prep patches for the seqno -> request object transition (Chris)
- various small improvements all over

Plus a fix from Imre to make sure this pull doesn't break suspend/resume
badly on a bunch of machines on top.

Cheers, Daniel


The following changes since commit 2c0827cffca8ac0c654b888c58a1989a5172f007:

  drm/i915: Update DRIVER_DATE to 20140808 (2014-08-08 20:44:59 +0200)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-09-01

for you to fetch changes up to 604effb782a8a4d9a20c8af16bcbf86d742db119:

  drm/i915: fix suspend/resume for GENs w/o runtime PM support (2014-08-26 13:13:03 +0200)

----------------------------------------------------------------
Ben Widawsky (2):
      drm/i915/bdw: Implement context switching (somewhat)
      drm/i915/bdw: Print context state in debugfs

Chris Wilson (12):
      drm/i915: Only perform set-to-gtt domain for objects bound to the global gtt
      drm/i915: Force CPU relocations if not GTT mapped
      drm/i915: Remove fenced_gpu_access and pending_fenced_gpu_access
      drm/i915: Copy PCI device id into the device info block
      drm/i915: Double check ring is idle before declaring the GPU wedged
      drm/i915: Agnostic INTEL_INFO
      drm/i915: Pre-validate the NEED_GTTS flag for execbuffer
      drm/i915: Remove redundant list_empty(eb->vmas) tests in execbuffer
      drm/i915: Simplify relocate_entry_gtt() and make 64-bit safe
      drm/i915: Replace __I915__ with typesafe variant
      drm/i915: Localise the fbdev console lock frobbing
      drm/i915: Print captured bo for all VM in error state

Damien Lespiau (5):
      drm/i915: Fix erroneous conversion to u8
      drm/i915: Fix wrong number of HDMI translation entries
      drm/i915: Make intel_disable_shared_dpll() static
      drm/i915: Remove set but unused 'gt_perf_status'
      drm/i915/bdw: Disable execlists by default

Daniel Vetter (18):
      drm/i915: Fix secure dispatch with full ppgtt
      drm/i915: WARN if module opt sanitization goes out of order
      drm/i915/bdw: Add a context and an engine pointers to the ringbuffer
      drm/i915: Some cleanups for the ppgtt lifetime handling
      drm/i915: Track file_priv, not ctx in the ppgtt structure
      drm/i915: Only refcount ppgtt if it actually is one
      drm/i915: Add proper prefix to obj_to_ggtt
      drm/i915: Allow i915_gem_setup_global_gtt to fail
      drm/i915: Fix up checks for aliasing ppgtt
      drm/i915: Rework ppgtt init to no require an aliasing ppgtt
      drm/i915: Initialize the aliasing ppgtt as part of global gtt
      drm/i915: Only track real ppgtt for a context
      drm/i915: Drop create_vm argument to i915_gem_create_context
      drm/i915: Extract common cleanup into i915_ppgtt_release
      drm/i915: Extract commmon global gtt cleanup code
      drm/i915: Cleanup aliasging ppgtt alongside the global gtt
      drm/i915: Track cursor changes as frontbuffer tracking flushes
      drm/i915: Update DRIVER_DATE to 20140822

Imre Deak (1):
      drm/i915: fix suspend/resume for GENs w/o runtime PM support

Michel Thierry (2):
      drm/i915: vma/ppgtt lifetime rules
      drm/i915/bdw: Two-stage execlist submit process

Oscar Mateo (33):
      drm/i915/bdw: New source and header file for LRs, LRCs and Execlists
      drm/i915/bdw: Macro for LRCs and module option for Execlists
      drm/i915/bdw: Initialization for Logical Ring Contexts
      drm/i915/bdw: Introduce one context backing object per engine
      drm/i915/bdw: A bit more advanced LR context alloc/free
      drm/i915/bdw: Allocate ringbuffers for Logical Ring Contexts
      drm/i915/bdw: Populate LR contexts (somewhat)
      drm/i915/bdw: Deferred creation of user-created LRCs
      drm/i915: Abstract the legacy workload submission mechanism away
      drm/i915/bdw: Skeleton for the new logical rings submission path
      drm/i915/bdw: Generic logical ring init and cleanup
      drm/i915/bdw: GEN-specific logical ring init
      drm/i915/bdw: GEN-specific logical ring set/get seqno
      drm/i915/bdw: New logical ring submission mechanism
      drm/i915/bdw: GEN-specific logical ring emit request
      drm/i915/bdw: GEN-specific logical ring emit flush
      drm/i915/bdw: Ring idle and stop with logical rings
      drm/i915/bdw: Interrupts with logical rings
      drm/i915/bdw: GEN-specific logical ring emit batchbuffer start
      drm/i915/bdw: Workload submission mechanism for Execlists
      drm/i915/bdw: Always use MMIO flips with Execlists
      drm/i915: Add temporary ring->ctx backpointer
      drm/i915/bdw: Emission of requests with logical rings
      drm/i915/bdw: Write the tail pointer, LRC style
      drm/i915/bdw: Avoid non-lite-restore preemptions
      drm/i915/bdw: Help out the ctx switch interrupt handler
      drm/i915/bdw: Don't write PDP in the legacy way when using LRCs
      drm/i915/bdw: Make sure gpu reset still works with Execlists
      drm/i915/bdw: Disable semaphores for Execlists
      drm/i915/bdw: Display execlists info in debugfs
      drm/i915/bdw: Display context backing obj & ringbuffer info in debugfs
      drm/i915/bdw: Document Logical Rings, LR contexts and Execlists
      drm/i915/bdw: Enable Logical Ring Contexts (hence, Execlists)

Paulo Zanoni (1):
      drm/i915: fix i915_interrupt_info on BDW

Sagar Kamble (2):
      drm/i915: Created common handler for platform specific suspend/resume
      drm/i915: Sharing platform specific sequence between runtime and system suspend/ resume paths

Sonika Jindal (1):
      drm/i915: Continuation of future readiness series

Thomas Daniel (1):
      drm/i915/bdw: Handle context switch events

Thomas Wood (1):
      drm: fix plane rotation when restoring fbdev configuration

Ville Syrjälä (7):
      drm/i915: Eliminate rmw from .update_primary_plane()
      drm/i915: Call .update_primary_plane in intel_{enable, disable}_primary_hw_plane()
      drm/i915: Make hpd debug messages less cryptic
      drm/i915: Don't try to enable cursor from setplane when crtc is disabled
      drm/i915: Move CURSIZE setup to i845_update_cursor()
      drm/i915: Unify ivb_update_cursor() and i9xx_update_cursor()
      drm/i915: Add support for variable cursor size on 845/865

 Documentation/DocBook/drm.tmpl             |    5 +
 drivers/gpu/drm/drm_crtc.c                 |   25 +-
 drivers/gpu/drm/drm_fb_helper.c            |    6 +-
 drivers/gpu/drm/i915/Makefile              |    1 +
 drivers/gpu/drm/i915/i915_cmd_parser.c     |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  189 +++-
 drivers/gpu/drm/i915/i915_dma.c            |   18 +-
 drivers/gpu/drm/i915/i915_drv.c            |  154 +--
 drivers/gpu/drm/i915/i915_drv.h            |  147 ++-
 drivers/gpu/drm/i915/i915_gem.c            |  185 +--
 drivers/gpu/drm/i915/i915_gem_context.c    |  155 +--
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  227 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  218 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.h        |   28 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |    2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |   90 +-
 drivers/gpu/drm/i915/i915_irq.c            |   52 +-
 drivers/gpu/drm/i915/i915_params.c         |    6 +
 drivers/gpu/drm/i915/i915_reg.h            |    7 +-
 drivers/gpu/drm/i915/intel_ddi.c           |    6 +-
 drivers/gpu/drm/i915/intel_display.c       |  420 ++++---
 drivers/gpu/drm/i915/intel_dp.c            |    3 +-
 drivers/gpu/drm/i915/intel_drv.h           |    5 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |   44 +-
 drivers/gpu/drm/i915/intel_lrc.c           | 1697 ++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/intel_lrc.h           |  112 ++
 drivers/gpu/drm/i915/intel_pm.c            |    2 -
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   97 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   44 +-
 include/drm/drm_crtc.h                     |    3 +
 30 files changed, 3107 insertions(+), 845 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_lrc.c
 create mode 100644 drivers/gpu/drm/i915/intel_lrc.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-08-04  7:10 Daniel Vetter
@ 2014-08-04  7:52 ` Dave Airlie
  0 siblings, 0 replies; 265+ messages in thread
From: Dave Airlie @ 2014-08-04  7:52 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: intel-gfx, dri-devel

On 4 August 2014 17:10, Daniel Vetter <daniel.vetter@ffwll.ch> wrote:
> Hi Dave,
>
> Final feature pull for 3.17.
>
> drm-intel-next-2014-07-25:
> - Ditch UMS support (well just the config option for now)
> - Prep work for future platforms (Sonika Jindal, Damien)
> - runtime pm/soix fixes (Paulo, Jesse)
> - psr tracking improvements, locking fixes, now enabled by default!
> - rps fixes for chv (Deepak, Ville)
> - drm core patches for rotation support (Ville, Sagar Kamble) - the i915 parts
>   unfortunately didn't make it yet
> - userptr fixes (Chris)
> - minimum backlight brightness (Jani), acked long ago by Matthew Garret on irc -
>   I've forgotten about this patch :(
>
> QA is a bit unhappy about the DP MST stuff since it broke hpd testing a
> bit, but otherwise looks sane. I've backmerged drm-next to resolve
> conflicts with the mst stuff, which means the new tag itself doesn't
> contain the overview as usual.

They are unhappy to do their job?

I'm a bit confused. :-P

Dave.

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-08-04  7:10 Daniel Vetter
  2014-08-04  7:52 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-08-04  7:10 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

Final feature pull for 3.17.

drm-intel-next-2014-07-25:
- Ditch UMS support (well just the config option for now)
- Prep work for future platforms (Sonika Jindal, Damien)
- runtime pm/soix fixes (Paulo, Jesse)
- psr tracking improvements, locking fixes, now enabled by default!
- rps fixes for chv (Deepak, Ville)
- drm core patches for rotation support (Ville, Sagar Kamble) - the i915 parts
  unfortunately didn't make it yet
- userptr fixes (Chris)
- minimum backlight brightness (Jani), acked long ago by Matthew Garret on irc -
  I've forgotten about this patch :(

QA is a bit unhappy about the DP MST stuff since it broke hpd testing a
bit, but otherwise looks sane. I've backmerged drm-next to resolve
conflicts with the mst stuff, which means the new tag itself doesn't
contain the overview as usual.

Cheers, Daniel


The following changes since commit e05444be705b5c7c7f85d7722b6f97f3a6732d54:

  drm/i915: fix initial fbdev setup warnings (2014-07-24 10:27:42 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-07-25-merged

for you to fetch changes up to 4dac3edfe68e5e1b3c2216b84ba160572420fa40:

  Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next (2014-07-29 20:49:36 +0200)

----------------------------------------------------------------
Armin Reese (1):
      drm/i915: Do not unmap object unless no other VMAs reference it

Ben Widawsky (2):
      drm/i915/error: Check the potential ctx obj's vm
      drm/i915: Reorder ctx unref on ppgtt cleanup

Borun Fu (1):
      drm/i915: Power gating display wells during i915_pm_suspend

Chris Wilson (5):
      drm/i915: Handle failure to kick out a conflicting fb driver
      drm/i915: Abandon oom quickly if killed by a signal
      drm/i915: Initialise userptr mmu_notifier serial to 1
      drm/i915: Allow overlapping userptr objects
      drm/i915/userptr: Keep spin_lock/unlock in the same block

Damien Lespiau (3):
      drm/i915: PM irq enabling is generic on gen8, too
      drm/i915: Also give the sprite width for WM computation
      drm/i915: Make the WRPLL names const

Daniel Vetter (14):
      drm/i915: ddi: enable runtime pm during dpms
      drm/i915: Run psr_setup unconditionally
      drm/i915: Add a FIXME about drrs/psr interactions
      drm/i915: Track the psr dp connector in dev_priv->psr.enabled
      drm/i915: Don't try to disable psr harder from the work item
      drm/i915: Lock down psr sw/hw state tracking
      drm/i915: More checks for psr.enabled
      drm/i915: Add locking to psr code
      drm/i915: Fix up PSR frontbuffer tracking
      drm/i915: Improve PSR debugfs output
      drm/i915: Remove redundant HAS_PSR checks
      drm/i915: Use genX_ prefix for gt irq enable/disable functions
      drm/i915: Ditch UMS config option
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next

Deepak S (9):
      drm/i915: Read guaranteed freq for valleyview
      drm/i915: Add RP0/RP1/RPn render P state thresholds in VLV sysfs
      drm/i915: populate mem_freq/cz_clock for chv
      drm/i915: CHV GPU frequency to opcode functions
      drm/i915/chv: Add basic PM interrupt support for CHV
      drm/i915: Add RP1 render P state thresholds in CHV
      drm/i915: Force GPU Freq to lowest while suspending.
      drm/i915/chv: Drop WaGsvBringDownFreqInRc6
      drm/i915: Fix printing proper min/min/rpe values in debugfs

Fengguang Wu (1):
      drm/i915: byt_gpu_freq() can be static

Jani Nikula (2):
      drm/i915: extract backlight minimum brightness from VBT
      drm/i915: respect the VBT minimum backlight brightness

Jesse Barnes (5):
      drm/i915: don't warn if IRQs are disabled when shutting down display IRQs
      drm/i915: add helper for checking whether IRQs are enabled
      drm/i915: set pm._irqs_disabled at IRQ init time
      drm/i915: clear pm._irqs_disabled field after installing IRQs
      drm/i915: mark IRQs as disabled on unload

Mika Kuoppala (1):
      drm/i915/chv: calculate rc6 residency correctly

Paulo Zanoni (7):
      drm/i915: remove useless runtime PM get calls
      drm/i915: don't write powered down IRQ registers on Gen 8
      drm/i915: HSW_BLC_PWM2_CTL doesn't exist on BDW
      drm/i915: extract and improve gen8_irq_power_well_post_enable
      drm/i915: reorganize the unclaimed register detection code
      drm/i915: BDW can also detect unclaimed registers
      drm/i915: remove plane/cursor/pipe assertions from intel_crtc_disable

Rodrigo Vivi (2):
      drm/i915: Enable PSR by default.
      drm/i915: Fix possible overflow when recording semaphore states.

Sagar Kamble (2):
      Documentation: drm: Removing placeholders for generic drm properties description
      Documentation: drm: describing rotation property

Sonika Jindal (8):
      drm/i915: Adding HAS_GMCH_DISPLAY macro
      drm/i915: Allowing changing of wm latencies for valid platforms
      drm/i915: Returning the right VGA control reg for platforms
      drm/i915: Writing proper check for reading of pipe status reg
      drm/i915: Avoid incorrect returning for some platforms
      drm/i915: Setting legacy palette correctly for different platforms
      drm/i915: Returning from increase/decrease of pllclock when invalid
      drm/i915: Replace HAS_PCH_SPLIT which incorrectly lets some platforms in

Vandana Kannan (4):
      drm/crtc: Add property for aspect ratio
      drm/edid: Check for user aspect ratio input
      drm/i915: Add aspect ratio property for HDMI
      Documentation/drm: Describing aspect ratio property

Ville Syrjälä (9):
      drm/i915: Don't disable PPGTT for CHV based in PCI rev
      drm/i915: Use the cached min/min/rpe values in the vlv debugfs code
      drm/i915: Call encoder->post_disable() in intel_sanitize_encoder()
      drm: Move DRM_ROTATE bits out of omapdrm into drm_crtc.h
      drm: Add support_bits parameter to drm_property_create_bitmask()
      drm: Add drm_mode_create_rotation_property()
      drm/omap: Switch omapdrm over to drm_mode_create_rotation_property()
      drm: Add drm_rect rotation functions
      drm: Add drm_rotation_simplify()

 Documentation/DocBook/drm.tmpl          |  77 ++++--------
 drivers/gpu/drm/drm_crtc.c              |  98 ++++++++++++++-
 drivers/gpu/drm/drm_edid.c              |  10 +-
 drivers/gpu/drm/drm_rect.c              | 140 ++++++++++++++++++++++
 drivers/gpu/drm/i915/Kconfig            |  12 --
 drivers/gpu/drm/i915/i915_debugfs.c     |  35 +++---
 drivers/gpu/drm/i915/i915_dma.c         |  20 +++-
 drivers/gpu/drm/i915/i915_drv.c         |  11 +-
 drivers/gpu/drm/i915/i915_drv.h         |  29 +++--
 drivers/gpu/drm/i915/i915_gem.c         |  13 +-
 drivers/gpu/drm/i915/i915_gem_context.c |   6 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c     |   3 +-
 drivers/gpu/drm/i915/i915_gem_userptr.c | 149 ++++++++++++++++-------
 drivers/gpu/drm/i915/i915_gpu_error.c   |  24 ++--
 drivers/gpu/drm/i915/i915_irq.c         |  68 +++++++----
 drivers/gpu/drm/i915/i915_params.c      |  10 +-
 drivers/gpu/drm/i915/i915_reg.h         |   8 +-
 drivers/gpu/drm/i915/i915_sysfs.c       |  57 +++++++--
 drivers/gpu/drm/i915/intel_bios.c       |   3 +-
 drivers/gpu/drm/i915/intel_crt.c        |   4 -
 drivers/gpu/drm/i915/intel_ddi.c        |   2 +-
 drivers/gpu/drm/i915/intel_display.c    |  78 ++++++------
 drivers/gpu/drm/i915/intel_dp.c         | 205 +++++++++++++++++++-------------
 drivers/gpu/drm/i915/intel_drv.h        |  40 +++++--
 drivers/gpu/drm/i915/intel_hdmi.c       |  35 +++++-
 drivers/gpu/drm/i915/intel_opregion.c   |   2 +-
 drivers/gpu/drm/i915/intel_panel.c      | 160 ++++++++++++++++++++++---
 drivers/gpu/drm/i915/intel_pm.c         | 202 +++++++++++++++++++++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c |  12 +-
 drivers/gpu/drm/i915/intel_sprite.c     |  15 ++-
 drivers/gpu/drm/i915/intel_uncore.c     |  30 +++--
 drivers/gpu/drm/omapdrm/omap_drv.h      |   7 --
 drivers/gpu/drm/omapdrm/omap_plane.c    |  17 ++-
 include/drm/drm_crtc.h                  |  17 ++-
 include/drm/drm_rect.h                  |   6 +
 include/uapi/drm/drm_mode.h             |   5 +
 36 files changed, 1182 insertions(+), 428 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-07-18 16:36 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-07-18 16:36 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-07-11:
- fbc improvements when stolen memory is tight (Ben)
- cdclk handling improvements for vlv/chv (Ville)
- proper fix for stuck primary planes on gmch platforms with cxsr (Imre&Ebgert
  Eich)
- gen8 hw semaphore support (Ben)
- more execlist prep work from Oscar Mateo
- locking fixes for primary planes (Matt Roper)
- code rework to support runtime pm for dpms on hsw/bdw (Paulo, Imre & me), but
  not yet enabled because some fixes from Paulo haven't made the cut
- more gpu boost tuning from Chris
- as usual piles of little things all over

Cheers, Daniel


The following changes since commit cd3de83f147601356395b57a8673e9c5ff1e59d1:

  Linux 3.16-rc4 (2014-07-06 12:37:51 -0700)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-07-11

for you to fetch changes up to 7b3c29f6fd374640266d82aafe6929761469e27b:

  drm/i915: Make the RPS interrupt generation mask handle the vlv wa (2014-07-10 22:30:40 +0200)

----------------------------------------------------------------
- fbc improvements when stolen memory is tight (Ben)
- cdclk handling improvements for vlv/chv (Ville)
- proper fix for stuck primary planes on gmch platforms with cxsr (Imre&Ebgert
  Eich)
- gen8 hw semaphore support (Ben)
- more execlist prep work from Oscar Mateo
- locking fixes for primary planes (Matt Roper)
- code rework to support runtime pm for dpms on hsw/bdw (Paulo, Imre & me), but
  not yet enabled because some fixes from Paulo haven't made the cut
- more gpu boost tuning from Chris
- as usual piles of little things all over

----------------------------------------------------------------
Ben Widawsky (11):
      drm/i915: Move compressed_fb to static allocation
      drm/i915: Extract CFB threshold calculation
      drm/i915: Try harder to get FBC
      drm/i915: gen specific ring init
      drm/i915: Make semaphore updates more precise
      drm/i915/bdw: implement semaphore signal
      drm/i915/bdw: implement semaphore wait
      drm/i915: Extract semaphore error collection
      drm/i915/bdw: collect semaphore error state
      drm/i915: semaphore debugfs
      drm/i915/bdw: poll semaphores

Chris Wilson (7):
      drm/i915: Show cursor size in debugfs/i915_display_info
      drm/i915: Restrict GPU boost to the RCS engine
      drm/i915: Disable RCS flips on Ivybridge
      drm/i915: Use mmio flips to change tiling mode on Baytrail
      drm/i915: HWS must be in the mappable region for g33
      drm/i915: Move RPS evaluation interval counters to i915->rps
      drm/i915: Make the RPS interrupt generation mask handle the vlv wa

Damien Lespiau (1):
      drm/i915: Don't cast a pointer to void* unnecessarily

Daniel Vetter (19):
      Merge patches merged by Jani while I was on vacation.
      Merge tag 'v3.16-rc4' into drm-intel-next-queued
      drm/i915: Support pf CRC source on haswell transcoder edp
      drm/i915: Check hw state in assert_can_disable_lcpll
      drm/i915: Remove spll_refcount for hsw
      drm/i915: Clean up WRPLL/SPLL #defines
      drm/i915: Move the SPLL enabling into hsw_crt_pre_enable
      drm/i915: Move SPLL disabling into hsw_crt_post_disable
      drm/i915: Add a debugfs file for the shared dpll state
      drm/i915: Move ddi_pll_sel into the pipe config
      drm/i915: State readout and cross-checking for ddi_pll_sel
      drm/i915: Precompute static ddi_pll_sel values in encoders
      drm/i915: Basic shared dpll support for WRPLLs
      drm/i915: Document that the pll->mode_set hook is optional
      drm/i915: State readout support for WRPLLs
      drm/i915: ->disable hook for WRPLLs
      drm/i915: ->enable hook for WRPLLs
      drm/i915: Switch to common shared dpll framework for WRPLLs
      drm/i915: Only touch WRPLL hw state in enable/disable hooks

Dave Airlie (1):
      drm/i915: rework digital port IRQ handling (v2)

Deepak S (1):
      drm/i915/vlv: WA for Turbo and RC6 to work together.

Egbert Eich (1):
      DRM/i915: Remove magic to prevent blank screen on gen4 chipsets

Fabian Frederick (1):
      drm/i915: replace ALIGN(PAGE_SIZE) by PAGE_ALIGN

Imre Deak (6):
      drm/i915: gmch: factor out intel_set_memory_cxsr
      drm/i915: gmch: set SR WMs to valid values before enabling them
      drm/i915: gmch: fix stuck primary plane due to memory self-refresh mode
      drm/i915: make system freeze support depend on CONFIG_ACPI_SLEEP
      drm/i915: ddi: move pch setup after encoder->pre_enable
      drm/i915: ddi: move pch cleanup before encoder->post_disable

Jesse Barnes (1):
      drm/i915: correct BLC vs PWM enable/disable ordering

John Harrison (1):
      drm/i915: Corrected 'file_priv' to 'file' in 'i915_driver_preclose()'

Matt Roper (5):
      drm/i915: Don't try to look up object for non-existent fb
      drm/i915: Introduce intel_fb_obj() macro
      drm/i915: Make use of intel_fb_obj() (v2)
      drm/i915: Add missing locking to primary plane handlers
      drm/i915: Add a couple WARN()'s to catch missing locks

Michel Thierry (1):
      drm/i915/bdw: 3D_CHICKEN3 has write mask bits

Mika Kuoppala (4):
      drm/i915: remove i915_delayedfreq_table debugfs entry
      drm/i915: remove i915_inttoext_table debugfs entry
      drm/i915: remove i915_gfxec debugfs entry
      drm/i915: remove i915_rstdby_delays debugfs entry

Oscar Mateo (8):
      drm/i915: Extract context backing object allocation
      drm/i915: Emphasize that ctx->obj & ctx->is_initialized refer to the legacy rcs ctx
      drm/i915: Emphasize that ctx->id is merely a user handle
      drm/i915: Add kerneldoc comments to the intel_context struct
      drm/i915: Extract ringbuffer destroy & generalize alloc to take a ringbuf
      drm/i915: Generalize ring_space to take a ringbuf
      drm/i915: Generalize intel_ring_get_tail to take a ringbuf
      drm/i915: Extract the actual workload submission mechanism from execbuffer

Paulo Zanoni (10):
      drm/i915: flush delayed_resume_work when suspending
      drm/i915: fix hsw_write_dcomp() error message
      drm/i915: fix D_COMP usage on BDW
      drm/i915: get/put runtime PM at i915_semaphore_status
      drm/i915: BDW also has special-purpose DP DDI clocks
      drm/i915: add POWER_DOMAIN_PLLS
      drm/i915: don't skip shared DPLL assertion on LPT
      drm/i915: check the power domains in ironlake_get_pipe_config()
      drm/i915: check the power domains in intel_lvds_get_hw_state()
      drm/i915: don't read LVDS regs at compute_config time

Rodrigo Vivi (5):
      drm/i915: Don't pretend ips is always enabled on BDW.
      drm/i915: Fix VCS2's ring name.
      drm/i915: Updating comments.
      drm/i915: Implement MI decode for gen8
      drm/i915: Enable semaphores on BDW

Tom O'Rourke (1):
      drm/i915/bdw: Use timeout mode for RC6 on bdw

Ville Syrjälä (11):
      drm/i915: Change vlv cdclk to use kHz units
      drm/i915: Give names to the CCK_DISPLAY_CLOCK_CONTROL bits
      drm/i915: Move vlv cdclk code to .get_display_clock_speed()
      drm/i915: Handle 320 vs. 333 MHz cdclk on vlv
      drm/i915: Use 200MHz cdclk on vlv when all pipes are off
      drm/i915: Wait for cdclk change to occure when going for 400MHz
      drm/i915: Warn if there's a cdclk change in progess
      drm/i915: Kill duplicated cdclk readout code from i2c
      drm/i915: Pull the cmnlane tricks into its own power well ops
      drm/i915: Move VLV cmnlane workaround to intel_power_domains_init_hw()
      drm/i915: Unpin last_context at reset

 drivers/gpu/drm/drm_crtc.c                    |  357 +++++--
 drivers/gpu/drm/i915/i915_cmd_parser.c        |    3 +
 drivers/gpu/drm/i915/i915_debugfs.c           |  353 ++++---
 drivers/gpu/drm/i915/i915_dma.c               |   40 +-
 drivers/gpu/drm/i915/i915_drv.c               |   46 +-
 drivers/gpu/drm/i915/i915_drv.h               |  154 ++-
 drivers/gpu/drm/i915/i915_gem.c               |  105 +-
 drivers/gpu/drm/i915/i915_gem_context.c       |  145 +--
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |  308 +++---
 drivers/gpu/drm/i915/i915_gem_gtt.c           |   54 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h           |    5 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |  161 ++-
 drivers/gpu/drm/i915/i915_gem_stolen.c        |  104 +-
 drivers/gpu/drm/i915/i915_gpu_error.c         |   79 +-
 drivers/gpu/drm/i915/i915_irq.c               |  544 +++++++---
 drivers/gpu/drm/i915/i915_params.c            |    5 +
 drivers/gpu/drm/i915/i915_reg.h               |  481 +++++----
 drivers/gpu/drm/i915/intel_crt.c              |   32 +-
 drivers/gpu/drm/i915/intel_ddi.c              |  354 ++-----
 drivers/gpu/drm/i915/intel_display.c          | 1348 +++++++++++++++++++------
 drivers/gpu/drm/i915/intel_dp.c               |  308 ++++--
 drivers/gpu/drm/i915/intel_drv.h              |   68 +-
 drivers/gpu/drm/i915/intel_dsi.c              |   26 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c    |    8 +-
 drivers/gpu/drm/i915/intel_dvo.c              |    8 +
 drivers/gpu/drm/i915/intel_fbdev.c            |   32 +-
 drivers/gpu/drm/i915/intel_hdmi.c             |   65 ++
 drivers/gpu/drm/i915/intel_i2c.c              |   54 -
 drivers/gpu/drm/i915/intel_lvds.c             |   18 +-
 drivers/gpu/drm/i915/intel_overlay.c          |   13 +-
 drivers/gpu/drm/i915/intel_pm.c               |  703 +++++++++----
 drivers/gpu/drm/i915/intel_renderstate.h      |    2 -
 drivers/gpu/drm/i915/intel_renderstate_gen6.c |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen7.c |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen8.c |    1 +
 drivers/gpu/drm/i915/intel_ringbuffer.c       |  432 +++++---
 drivers/gpu/drm/i915/intel_ringbuffer.h       |   90 +-
 drivers/gpu/drm/i915/intel_sprite.c           |    9 +
 drivers/gpu/drm/i915/intel_uncore.c           |  182 +++-
 include/drm/drm_crtc.h                        |    6 +-
 40 files changed, 4554 insertions(+), 2151 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-07-01  8:24 Jani Nikula
@ 2014-07-07  8:10 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-07-07  8:10 UTC (permalink / raw)
  To: Jani Nikula; +Cc: intel-gfx, dri-devel

On Tue, Jul 1, 2014 at 10:24 AM, Jani Nikula <jani.nikula@intel.com> wrote:
> As discussed, it contains acpi-next as a dependency for Jesse's S0ix
> work through these merges (should not conflict, fingers crossed):

Aside: This is acpi-next for 3.16, so _really_ shouldn't conflict.
It's only in there since at the time I've merged Jesse's soix patches
I didn't yet roll drm-intel-next forward to post-rc1 - I tend to only
do that once drm-next has rolled forward first.
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-07-01  8:24 Jani Nikula
  2014-07-07  8:10 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Jani Nikula @ 2014-07-01  8:24 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel


Hi Dave -

drm-intel-next-2014-06-20:
- Accurate frontbuffer tracking and frontbuffer rendering invalidate, flush and
  flip events. This is prep work for proper PSR support and should also be
  useful for DRRS&fbc.
- Runtime suspend hardware on system suspend to support the new SOix sleep
  states, from Jesse.
- PSR updates for broadwell (Rodrigo)
- Universal plane support for cursors (Matt Roper), including core drm patches.
- Prefault gtt mappings (Chris)
- baytrail write-enable pte bit support (Akash Goel)
- mmio based flips (Sourab Gupta) instead of blitter ring flips
- interrupt handling race fixes (Oscar Mateo)

And old, not yet merged features from the previous round:
- rps/turbo support for chv (Deepak)
- some other straggling chv patches (Ville)
- proper universal plane conversion for the primary plane (Matt Roper)
- ppgtt on vlv from Jesse
- pile of cleanups, little fixes for insane corner cases and improved debug
  support all over

This is the first i915 pull request for 3.17. (Also my first feature
pull request, yay!)

As discussed, it contains acpi-next as a dependency for Jesse's S0ix
work through these merges (should not conflict, fingers crossed):

Daniel Vetter (22):
      Merge commit 'e81a0e771c10de86fdb52c6baf534ff5fdeec72c' into topic/soix
      Merge branch 'topic/soix' into drm-intel-next-queued


BR,
Jani.


The following changes since commit bc1dfff04a5d4064ba0db1fab13f84ab4f333d2b:

  Merge branch 'drm-nouveau-next' of git://anongit.freedesktop.org/git/nouveau/linux-2.6 into drm-next (2014-06-11 16:28:10 +1000)

are available in the git repository at:


  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-06-20

for you to fetch changes up to 34882298b93e998d5fccde852b860e8fbe6c8f6b:

  drm/i915: Update DRIVER_DATE to 20140620 (2014-06-20 10:36:06 +0200)

----------------------------------------------------------------
- Accurate frontbuffer tracking and frontbuffer rendering invalidate, flush and
  flip events. This is prep work for proper PSR support and should also be
  useful for DRRS&fbc.
- Runtime suspend hardware on system suspend to support the new SOix sleep
  states, from Jesse.
- PSR updates for broadwell (Rodrigo)
- Universal plane support for cursors (Matt Roper), including core drm patches.
- Prefault gtt mappings (Chris)
- baytrail write-enable pte bit support (Akash Goel)
- mmio based flips (Sourab Gupta) instead of blitter ring flips
- interrupt handling race fixes (Oscar Mateo)

And old, not yet merged features from the previous round:
- rps/turbo support for chv (Deepak)
- some other straggling chv patches (Ville)
- proper universal plane conversion for the primary plane (Matt Roper)
- ppgtt on vlv from Jesse
- pile of cleanups, little fixes for insane corner cases and improved debug
  support all over

----------------------------------------------------------------
Akash Goel (1):
      drm/i915: Added write-enable pte bit supportt

Brad Volkin (1):
      drm/i915: Add some L3 registers to the parser whitelist

Chris Wilson (6):
      drm/i915: Check for a NULL shared dpll before dereferencing
      drm/i915: Use the .release hook to drop the stolen drm_mm tracking
      drm/i915: Prefault the entire object on first page fault
      drm: Avoid NULL deference when disabling a plane from userspace
      drm/i915: Simplify i915_gem_release_all_mmaps()
      drm/i915: Simplify processing of the golden render context state

Christoph Jaeger (1):
      drm/i915: Fix memory leak in intel_dsi_init() error path

Daisy Sun (1):
      drm/i915: Broaden FBC resolution limit to 4096*4096

Damien Lespiau (2):
      drm/i915: Make intel_dsi_init() return void
      drm/i915: Use %c in a format string for the pipe name

Daniel Vetter (22):
      drm/i915: Fix context locking in debugfs
      drm/i915: Drop locking around fbdev-fb in debugfs
      drm/i915: runtime PM support for DPMS
      drm/i915: Add #defines for short/long pulse on gmch platforms
      Merge commit 'e81a0e771c10de86fdb52c6baf534ff5fdeec72c' into topic/soix
      drm/i915: Unifiy GT powersave suspend logic
      drm/i915: Only wait one vblank when disabling crc if the pipe is on
      drm/i915: Update DRIVER_DATE to 20140606
      drm/i915: Fix comment about our plane remapping on gen2/3
      drm/i915: Add missing statics to recent psr functions
      drm/i915: Grab dev->struct_mutex in i915_gem_pageflip_info
      drm/i915: Don't BUG_ON in i915_gem_obj_offset
      Merge branch 'topic/soix' into drm-intel-next-queued
      drm/i915: Drop unecessary complexity from psr_inactivate
      drm/i915: Ditch intel_edp_psr_update
      drm/i915: Drop schedule_back from psr_exit
      drm/i915: Introduce accurate frontbuffer tracking
      drm/i915: Print obj->frontbuffer_bits in debugfs output
      drm/i915: Properly track domain of the fbcon fb
      drm/i915: Use new frontbuffer bits to increase pll clock
      drm/i915: Track frontbuffer invalidation/flushing
      drm/i915: Update DRIVER_DATE to 20140620

David Herrmann (1):
      drm/i915: use shmem helpers if possible

Deepak S (4):
      drm/i915/chv: Enable Render Standby (RC6) for Cherryview
      drm/i915/chv: Added CHV specific register read and write and Streamline CHV forcewake stuff
      drm/i915/chv: Enable RPS (Turbo) for Cherryview
      drm/i915/chv: Freq(opcode) request for CHV.

Imre Deak (2):
      drm/i915: fix possible refcount leak when resetting forcewake
      drm/i915: preserve user forcewake over system suspend/resume

Jesse Barnes (6):
      drm/i915: enable PPGTT on VLV
      drm/i915: leave rc6 enabled at suspend time v4
      drm/i915: send proper opregion notifications on suspend/resume
      drm/i915/vlv: disable PPGTT on early revs v3
      drm/i915: use runtime irq suspend/resume in freeze/thaw
      drm/i915: don't take runtime PM reference around freeze/thaw

Konrad Zapalowicz (1):
      drivers/i915: Fix unnoticed failure of init_ring_common()

Kristen Carlson Accardi (2):
      drm/i915: disable power wells on suspend
      drm/i915: make sure PC8 is enabled on suspend and disabled on resume v4

Matt Roper (10):
      drm/i915: don't force full modeset if primary plane is disabled (v2)
      drm/i915: Intel-specific primary plane handling (v8)
      drm/i915: Drop unused lut tables from intel_plane
      drm: Refactor framebuffer creation to allow internal use (v2)
      drm: Refactor setplane to allow internal use (v3)
      drm: Support legacy cursor ioctls via universal planes when possible (v4)
      drm: Allow drivers to register cursor planes with crtc
      drm/i915: Add intel_crtc_cursor_set_obj() to set cursor buffer (v2)
      drm/i915: Switch to unified plane cursor handling (v4)
      drm/i915: Handle disabled primary plane in debugfs i915_display_info (v2)

Oscar Mateo (6):
      drm/i915/bdw: Do not write the Semaphore Sync Registers in GEN8+
      drm/i915: Ack interrupts before handling them (GEN5 - GEN7)
      drm/i915/vlv: Ack interrupts before handling them (VLV)
      drm/i915/bdw: Ack interrupts before handling them (GEN8)
      drm/i915/chv: Ack interrupts before handling them (CHV)
      drm/i915: Remove ctx->last_ring

Paulo Zanoni (2):
      drm/i915: update BDW DDI buffer translations
      drm/i915: update intel_dp_voltage_max comment

Robert Beckett (1):
      drm/i915: Simplify intel_gpu_reset

Robin Schroer (1):
      drivers/gpu/drm/i915/dma: style fixes

Rodrigo Vivi (11):
      drm/i915: move psr_setup_done to psr struct
      drm/i915: Fix VLV CRC reading.
      drm/i915: Use HAS_PSR to avoid unecessary interactions.
      drm/i915: Don't let update_psr function actually enable PSR.
      drm/i915: Do not try to enable PSR when Panel doesn't suport it.
      drm/i915: BDW PSR: Add single frame update support.
      drm/i915: BDW PSR: Remove limitations that aren't valid for BDW.
      drm/i915: BDW PSR: Remove DDIA limitation for Broadwell.
      drm/i915: PSR HSW: update after enabling sprite.
      drm/i915: Force PSR exit by inactivating it.
      drm/i915: Improve PSR debugfs status.

Shashank Sharma (2):
      drm/i915: Change Mipi register definitions
      drm/i915: Use transcoder as index to MIPI regs

Shobhit Kumar (1):
      drm/i915: Fix checkpatch errors

Sourab Gupta (1):
      drm/i915: Replaced Blitter ring based flips with MMIO flips

Tom O'Rourke (2):
      drm/i915/bdw: Add Broadwell support for debugfs rps freq info
      drm/i915/chv: Fix "drm/i915/chv: Add a bunch of pre production workarounds"

Ville Syrjälä (14):
      drm/i915: Keep vblank interrupts enabled while enabling/disabling planes
      drm/i915/chv: CHV doesn't need WaRsForcewakeWaitTC0
      drm/i915/chv: Skip gen6_gt_check_fifodbg() on CHV
      drm/i915/chv: Try to program the PHY used clock channel overrides
      drm/i915/chv: Force clock buffer enables
      drm/i915/chv: Force PHY clock buffers off after PLL disable
      drm/i915: Don't use pipe_offset stuff for DPLL registers
      drm/i915/chv: Handle video DIP registers on CHV
      drm/i915: Use named initializers for gmch wm params
      drm/i915: Don't get hw state from DVO chip unless DVO is enabled
      drm/i915: Add locking around framebuffer_references--
      drm/i915: Print PCI revision in i915_dump_device_info()
      drm/i915: Fix __user sparse warning
      drm/i915: Don't prefault the entire obj if the vma is smaller

 Documentation/ABI/testing/sysfs-power              |   29 +-
 Documentation/kernel-parameters.txt                |   24 +-
 Documentation/power/devices.txt                    |   34 +-
 Documentation/power/runtime_pm.txt                 |   17 +
 Documentation/power/states.txt                     |   87 +-
 Documentation/power/swsusp.txt                     |    5 +-
 arch/ia64/include/asm/acenv.h                      |   56 +
 arch/ia64/include/asm/acpi.h                       |   52 +-
 arch/ia64/kernel/acpi.c                            |    3 +
 arch/x86/include/asm/acenv.h                       |   49 +
 arch/x86/include/asm/acpi.h                        |   45 -
 drivers/acpi/Makefile                              |    7 +-
 drivers/acpi/acpi_cmos_rtc.c                       |    2 +-
 drivers/acpi/acpi_extlog.c                         |   16 +-
 drivers/acpi/acpi_lpss.c                           |  306 ++++-
 drivers/acpi/acpi_memhotplug.c                     |   31 +-
 drivers/acpi/acpi_pad.c                            |   16 +-
 drivers/acpi/acpi_platform.c                       |   51 +-
 drivers/acpi/acpi_pnp.c                            |  395 ++++++
 drivers/acpi/acpi_processor.c                      |    2 +-
 drivers/acpi/acpica/Makefile                       |    1 +
 drivers/acpi/acpica/acapps.h                       |  170 +++
 drivers/acpi/acpica/acevents.h                     |    5 +-
 drivers/acpi/acpica/acglobal.h                     |  142 +--
 drivers/acpi/acpica/aclocal.h                      |   17 +-
 drivers/acpi/acpica/acpredef.h                     |   10 +-
 drivers/acpi/acpica/actables.h                     |   62 +-
 drivers/acpi/acpica/acutils.h                      |   10 +-
 drivers/acpi/acpica/evgpe.c                        |   13 +-
 drivers/acpi/acpica/evgpeblk.c                     |   34 +-
 drivers/acpi/acpica/evgpeinit.c                    |   12 +-
 drivers/acpi/acpica/evmisc.c                       |    3 +-
 drivers/acpi/acpica/evsci.c                        |    2 +-
 drivers/acpi/acpica/evxface.c                      |   61 +-
 drivers/acpi/acpica/evxfgpe.c                      |    7 +-
 drivers/acpi/acpica/exconfig.c                     |   82 +-
 drivers/acpi/acpica/exdump.c                       |    4 +-
 drivers/acpi/acpica/hwpci.c                        |   15 +-
 drivers/acpi/acpica/rscreate.c                     |   13 +-
 drivers/acpi/acpica/tbdata.c                       |  760 +++++++++++
 drivers/acpi/acpica/tbfadt.c                       |   61 +-
 drivers/acpi/acpica/tbfind.c                       |    4 +-
 drivers/acpi/acpica/tbinstal.c                     |  837 +++++-------
 drivers/acpi/acpica/tbutils.c                      |  285 +----
 drivers/acpi/acpica/tbxface.c                      |   18 +-
 drivers/acpi/acpica/tbxfload.c                     |   87 +-
 drivers/acpi/acpica/utdecode.c                     |   74 +-
 drivers/acpi/acpica/utglobal.c                     |   26 +-
 drivers/acpi/acpica/utstring.c                     |    2 +-
 drivers/acpi/acpica/utxferror.c                    |    2 +
 drivers/acpi/apei/einj.c                           |   14 +-
 drivers/acpi/battery.c                             |   77 +-
 drivers/acpi/bus.c                                 |   56 +-
 drivers/acpi/container.c                           |   15 +
 drivers/acpi/device_pm.c                           |   46 +-
 drivers/acpi/internal.h                            |   18 +-
 drivers/acpi/nvs.c                                 |    4 +-
 drivers/acpi/osl.c                                 |   32 +-
 drivers/acpi/processor_driver.c                    |    7 +
 drivers/acpi/scan.c                                |   76 +-
 drivers/acpi/sleep.c                               |   19 +
 drivers/acpi/tables.c                              |   23 +
 drivers/acpi/thermal.c                             |   11 +-
 drivers/acpi/utils.c                               |   64 +-
 drivers/acpi/video.c                               |  252 +++-
 drivers/base/power/main.c                          |   66 +-
 drivers/base/power/wakeup.c                        |    6 +
 drivers/char/tpm/tpm_acpi.c                        |    4 +-
 drivers/clk/Makefile                               |    1 +
 drivers/clk/clk-fractional-divider.c               |  135 ++
 drivers/cpufreq/intel_pstate.c                     |   52 +-
 drivers/cpuidle/Kconfig.arm                        |    6 +
 drivers/cpuidle/Makefile                           |    1 +
 drivers/cpuidle/cpuidle-clps711x.c                 |   64 +
 drivers/cpuidle/cpuidle.c                          |   55 +-
 drivers/cpuidle/governors/menu.c                   |   17 +-
 drivers/gpu/drm/drm_crtc.c                         |  357 ++++--
 drivers/gpu/drm/i915/i915_cmd_parser.c             |    3 +
 drivers/gpu/drm/i915/i915_debugfs.c                |   52 +-
 drivers/gpu/drm/i915/i915_dma.c                    |   34 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   39 +-
 drivers/gpu/drm/i915/i915_drv.h                    |   76 +-
 drivers/gpu/drm/i915/i915_gem.c                    |   97 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |    3 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |    8 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   54 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h                |    5 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c       |  161 +--
 drivers/gpu/drm/i915/i915_gem_stolen.c             |   21 +-
 drivers/gpu/drm/i915/i915_irq.c                    |  213 ++--
 drivers/gpu/drm/i915/i915_params.c                 |    5 +
 drivers/gpu/drm/i915/i915_reg.h                    |  442 ++++---
 drivers/gpu/drm/i915/intel_ddi.c                   |    4 +-
 drivers/gpu/drm/i915/intel_display.c               |  903 +++++++++++--
 drivers/gpu/drm/i915/intel_dp.c                    |  249 ++--
 drivers/gpu/drm/i915/intel_drv.h                   |   45 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |   26 +-
 drivers/gpu/drm/i915/intel_dsi_panel_vbt.c         |    8 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    8 +
 drivers/gpu/drm/i915/intel_fbdev.c                 |   30 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   65 +
 drivers/gpu/drm/i915/intel_overlay.c               |   13 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  376 ++++--
 drivers/gpu/drm/i915/intel_renderstate.h           |    2 -
 drivers/gpu/drm/i915/intel_renderstate_gen6.c      |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen7.c      |    1 +
 drivers/gpu/drm/i915/intel_renderstate_gen8.c      |    1 +
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   12 +-
 drivers/gpu/drm/i915/intel_sprite.c                |    9 +
 drivers/gpu/drm/i915/intel_uncore.c                |  183 ++-
 drivers/gpu/drm/nouveau/nouveau_backlight.c        |    9 -
 drivers/platform/x86/acer-wmi.c                    |   10 +-
 drivers/pnp/pnpacpi/core.c                         |   28 +-
 drivers/power/power_supply_core.c                  |   15 +-
 drivers/video/backlight/backlight.c                |   40 +
 include/acpi/acpi.h                                |    4 +-
 include/acpi/acpi_bus.h                            |    9 +-
 include/acpi/acpi_drivers.h                        |    5 +
 include/acpi/acpi_io.h                             |    3 +
 include/acpi/acpixf.h                              |  838 ++++++++----
 include/acpi/actbl.h                               |   11 +-
 include/acpi/actbl1.h                              |    4 +-
 include/acpi/actbl2.h                              |   71 +-
 include/acpi/actypes.h                             |   21 +
 include/acpi/platform/acenvex.h                    |   63 +
 include/acpi/platform/acgcc.h                      |   11 +
 include/acpi/platform/aclinux.h                    |  209 +--
 include/acpi/platform/aclinuxex.h                  |  112 ++
 include/acpi/video.h                               |    2 +
 include/drm/drm_crtc.h                             |    6 +-
 include/linux/acpi.h                               |   30 +-
 include/linux/backlight.h                          |    7 +
 include/linux/clk-provider.h                       |   31 +
 include/linux/cpuidle.h                            |    7 +-
 include/linux/pm.h                                 |   36 +-
 include/linux/pm_runtime.h                         |    6 +
 include/linux/power_supply.h                       |    2 +
 include/linux/suspend.h                            |    7 +
 kernel/power/hibernate.c                           |   27 +-
 kernel/power/main.c                                |   33 +-
 kernel/power/power.h                               |    9 +-
 kernel/power/suspend.c                             |  111 +-
 kernel/power/suspend_test.c                        |   24 +-
 kernel/power/swap.c                                |    2 +-
 kernel/sched/idle.c                                |   20 +-
 tools/power/acpi/Makefile                          |   26 +-
 tools/power/acpi/common/cmfsize.c                  |  101 ++
 tools/power/acpi/common/getopt.c                   |  239 ++++
 tools/power/acpi/man/acpidump.8                    |   85 +-
 .../acpi/os_specific/service_layers/oslinuxtbl.c   | 1329 ++++++++++++++++++++
 .../acpi/os_specific/service_layers/osunixdir.c    |  204 +++
 .../acpi/os_specific/service_layers/osunixmap.c    |  151 +++
 tools/power/acpi/tools/acpidump/acpidump.c         |  559 --------
 tools/power/acpi/tools/acpidump/acpidump.h         |  130 ++
 tools/power/acpi/tools/acpidump/apdump.c           |  451 +++++++
 tools/power/acpi/tools/acpidump/apfiles.c          |  228 ++++
 tools/power/acpi/tools/acpidump/apmain.c           |  351 ++++++
 157 files changed, 10653 insertions(+), 3697 deletions(-)
 create mode 100644 arch/ia64/include/asm/acenv.h
 create mode 100644 arch/x86/include/asm/acenv.h
 create mode 100644 drivers/acpi/acpi_pnp.c
 create mode 100644 drivers/acpi/acpica/acapps.h
 create mode 100644 drivers/acpi/acpica/tbdata.c
 create mode 100644 drivers/clk/clk-fractional-divider.c
 create mode 100644 drivers/cpuidle/cpuidle-clps711x.c
 create mode 100644 include/acpi/platform/acenvex.h
 create mode 100644 include/acpi/platform/aclinuxex.h
 create mode 100644 tools/power/acpi/common/cmfsize.c
 create mode 100644 tools/power/acpi/common/getopt.c
 create mode 100644 tools/power/acpi/os_specific/service_layers/oslinuxtbl.c
 create mode 100644 tools/power/acpi/os_specific/service_layers/osunixdir.c
 create mode 100644 tools/power/acpi/os_specific/service_layers/osunixmap.c
 delete mode 100644 tools/power/acpi/tools/acpidump/acpidump.c
 create mode 100644 tools/power/acpi/tools/acpidump/acpidump.h
 create mode 100644 tools/power/acpi/tools/acpidump/apdump.c
 create mode 100644 tools/power/acpi/tools/acpidump/apfiles.c
 create mode 100644 tools/power/acpi/tools/acpidump/apmain.c


-- 
Jani Nikula, Intel Open Source Technology Center
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-06-02  6:05 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-06-02  6:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-05-23:
- prep refactoring for execlists (Oscar Mateo)
- corner-case fixes for runtime pm (Imre)
- tons of vblank improvements from Ville
- prep work for atomic plane/sprite updates (Ville)
- more chv code, now almost complete (tons of different people)
- refactoring and improvements for drm_irq.c merged through drm-intel-next
- g4x/ilk reset improvements (Ville)
- removal of encoder->mode_set
- moved audio state tracking into pipe_config
- shuffled fb pinning out of the platform crtc modeset callbacks into core code
- userptr support (Chris)
- OOM handling improvements from Chris, with now have a neat oom notifier which
  jumps additional debug information.
- topdown allocation of ppgtt PDEs (Ben)
- fixes and small improvements all over

Final pull request for 3.16. QA finished testing last week, but I was
goofing off over an extended w/e a bit hence the delay.

Cheers, Daniel


The following changes since commit 263432b021cd252570001c10404367e948ac10f0:

  Merge branch 'ast-updates' of ssh://people.freedesktop.org/~/linux into drm-next (2014-05-19 11:15:08 +1000)

are available in the git repository at:


  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-05-23

for you to fetch changes up to f83d6518a13020e3cf7abbcc6b4e6d34459d9a9f:

  drm/i915: Kill private_default_ctx off (2014-05-22 23:44:44 +0200)

----------------------------------------------------------------
- prep refactoring for execlists (Oscar Mateo)
- corner-case fixes for runtime pm (Imre)
- tons of vblank improvements from Ville
- prep work for atomic plane/sprite updates (Ville)
- more chv code, now almost complete (tons of different people)
- refactoring and improvements for drm_irq.c merged through drm-intel-next
- g4x/ilk reset improvements (Ville)
- removal of encoder->mode_set
- moved audio state tracking into pipe_config
- shuffled fb pinning out of the platform crtc modeset callbacks into core code
- userptr support (Chris)
- OOM handling improvements from Chris, with now have a neat oom notifier which
  jumps additional debug information.
- topdown allocation of ppgtt PDEs (Ben)
- fixes and small improvements all over

----------------------------------------------------------------
Ben Widawsky (3):
      drm/i915: Use topdown allocation for PPGTT PDEs on gen6/7
      drm/i915: Make aliasing a 2nd class VM
      drm/i915/bdw: Implement a basic PM interrupt handler

Brad Volkin (1):
      drm/i915: Use hash tables for the command parser

Chon Ming Lee (8):
      drm/i915/chv: Add DPIO offset for Cherryview. v3
      drm/i915/chv: Update Cherryview DPLL changes to support Port D. v2
      drm/i915/chv: Add vlv_pipe_to_channel
      drm/i915/chv: Trigger phy common lane reset
      drm/i915/chv: find the best divisor for the target clock v4
      drm/i915/chv: Add update and enable pll for Cherryview
      drm/i915/chv: Add phy supports for Cherryview
      drm/i915/chv: Pipe select change for DP and HDMI

Chris Wilson (13):
      drm/i915: Improve fallback ring waiting
      drm/i915: Flush request queue when waiting for ring space
      drm/i915: Use the connector name in fbdev debug messages
      drm/i915: Introduce mapping of user pages into video memory (userptr) ioctl
      drm/i915: Only unpin the default ctx object if it exists
      drm/i915: Retire requests before creating a new one
      drm/i915: Translate ENOSPC from shmem_get_page() to ENOMEM
      drm/i915: Include bound and active pages in the count of shrinkable objects
      drm/i915: Refactor common lock handling between shrinker count/scan
      drm/i915: Invalidate our pages under memory pressure
      drm/i915: Implement an oom-notifier for last resort shrinking
      drm/i915: Only discard backing storage on releasing the last ref
      drm/i915: Hold CRTC lock whilst freezing the planes

Damien Lespiau (8):
      drm/i915/chv: Implement stolen memory size detection
      x86/gpu: Implement stolen memory size early quirk for CHV
      drm/i915: Don't cast void* pointers
      drm/i915: Use ilk_wm_max_level() in latency debugfs files
      drm/i915: Introduce a for_each_intel_crtc() macro
      drm/i915: Use for_each_intel_crtc() when iterating through intel_crtcs
      drm/i915: Introduce a for_each_crtc() macro
      drm/i915: Use for_each_crtc() when iterating through the CRTCs

Daniel Vetter (47):
      drm/i915: Drop unecessary casts in i915_irq.c
      drm/i915: WARN_ON fence pin leaks
      drm/i915: Work-around garbage DR4 from UXA
      drm/i915: use dev_priv directly in i915_driver_unload
      drm/i915: Don't drop pinned fences
      drm/i915: Only do gtt cleanup in vma_unbind for the global vma
      drm/i915/hdmi: Enable hdmi mode on g4x, too
      drm/i915: Track hdmi mode in the pipe config
      drm/i915/sdvo: Use pipe_config->limited_color_range consistently
      drm/i915: state readout and cross checking for limited_color_range
      drm/i915/sdvo: use config->has_hdmi_sink
      drm/i915: Simplify audio handling on DDI ports
      drm/i915: Track has_audio in the pipe config
      drm/i915/dp: Move port A pll setup to g4x_pre_enable_dp
      drm/i915/dp: Remove ->mode_set callback
      drm/i915/hdmi: Remove redundant IS_VLV checks
      drm/i915/hdmi: Remove ->mode_set callback
      drm/i915/lvds: Remove ->mode_set callback
      drm/i915/ddi: Remove ->mode_set callback
      drm/i915/dsi: Remove ->mode_set callback
      drm/i915: Stop calling encoder->mode_set
      drm/i915: Make ->update_primary_plane infallible
      drm/i915: More cargo-culted locking for intel_update_fbc
      drm/i915: Sprinkle intel_edp_psr_update over crtc_enable/disable
      drm/i915: Inline set_base into crtc_mode_set
      drm/i915: Move fb pinning into __intel_set_mode
      drm/i915: Don't die in wait_for_pending_flips
      drm/i915: Shovel hw setup code out of i9xx_crtc_mode_set
      drm/i915: Move lowfreq_avail around a bit in ilk/hsw_crtc_mode_set
      drm/i915: Shovel hw setup code out of ilk_crtc_mode_set
      drm/i915: Shovel hw setup code out of hsw_crtc_mode_set
      drm/i915: Extract i9xx_set_pll_dividers
      drm/i915: Extract vlv_prepare_pll
      drm/i915: Only update shared dpll state when needed
      drm/i915: Extract intel_prepare_shared_dpll
      drm/i915: s/ironlake_/intel_ for the enable_share_dpll function
      drm/i915: Drop now misleading DDI comment from dp_link_down
      drm/i915: Remove drm_vblank_pre/post_modeset calls
      drm/doc: Discourage usage of MODESET_CTL ioctl
      drm/irq: kerneldoc polish
      drm/irq: Add kms-native crtc interface functions
      drm/i915: Use new kms-native vblank functions
      drm/i915: rip our vblank reset hacks for runtime PM
      drm/i915: Accurately initialize fifo underrun state on gmch platforms
      Merge branch 'topic/drm-vblank-rework' into drm-intel-next-queued
      drm/irq: Coding style fix
      drm/i915: move bsd dispatch index somewhere better

Deepak S (1):
      drm/i915: Enable PM Interrupts target via Display Interface.

Imre Deak (10):
      drm/i915: add various missing GTI/Gunit register definitions
      drm/i915: propagate the error code from runtime PM callbacks
      drm/i915: vlv: add runtime PM support
      drm/i915: vlv: enable runtime PM
      drm/i915: rename IOSF sideband opcodes according to the spec
      drm/i915: vlv/chv: fix DSI sideband register accessing
      drm/i915: add missing unregister_oom_notifier to the error/unload path
      drm/i915: remove user GTT mappings early during runtime suspend
      drm/i915: fix possible RPM ref leaking during RPS disabling
      drm/i915: disable GT power saving early during system suspend

Jani Nikula (1):
      drm/i915: shuffle panel code

Jesse Barnes (1):
      drm/i915: drop encoder hot_plug calls at resume

Mika Kuoppala (7):
      drm/i915: add render state initialization
      drm/i915: add null render states for gen6, gen7 and gen8
      drm/i915: Bail out early on gen6_signal if no semaphores
      drm/i915: Fix rc6 options debug info
      drm/i915: Enable rc6 with bdw
      drm/i915: Be careful with non-disp bit in PMINTRMSK
      drm/i915: Add null state batch to active list

Oscar Mateo (8):
      drm/i915: Ringbuffer signal func for the second BSD ring
      drm/i915: Gracefully handle obj not bound to GGTT in is_pin_display
      drm/i915: s/intel_ring_buffer/intel_engine_cs
      drm/i915: Split the ringbuffers from the rings (1/3)
      drm/i915: Split the ringbuffers from the rings (2/3)
      drm/i915: Split the ringbuffers from the rings (3/3)
      drm/i915: s/i915_hw_context/intel_context
      drm/i915: Kill private_default_ctx off

Paulo Zanoni (2):
      drm/i915: don't read HSW_AUD_PIN_ELD_CP_VLD when the power well is off
      drm/i915: grab the audio power domain when enabling audio on HSW+

Peter Hurley (1):
      drm: Use correct spinlock flavor in drm_vblank_get()

Rafael Barbalho (3):
      drm/i915/chv: Flush caches when programming page tables
      drm/i915/chv: Implement WaDisableSamplerPowerBypass for CHV
      drm/i915/chv: Add CHV display support

Robin Schroer (1):
      drivers/gpu/drm/i915/intel_display: coding style fixes

Shashank Sharma (1):
      drm/i915: Add MIPI mmio reg base

Shobhit Kumar (3):
      drm/i915: Correct MIPI operation mode as per expected values from VBT
      drm/i915: MIPI init count programming as generic parameter
      drm/i915: MIPI PPS delays added

Ville Syrjälä (69):
      drm/i915/chv: PPAT setup for Cherryview
      drm/i915/chv: Enable aliasing PPGTT for CHV
      drm/i915/chv: Add PIPESTAT register bits for Cherryview
      drm/i915/chv: Add DPFLIPSTAT register bits for Cherryview
      drm/i915/chv: Add display interrupt registers bits for Cherryview
      drm/i915/chv: Add DPINVGTT registers defines for Cherryview
      drm/i915: Convert gmch platforms over to ilk_crtc_{enable, disable}_planes()
      drm/i915/chv: Preliminary interrupt support for Cherryview
      drm/i915/chv: Add Cherryview interrupt registers into debugfs
      drm/i915/chv: Initial clock gating support for Cherryview
      srm/i915/chv: Add Cherryview PCI IDs
      drm/i915/chv: Add DDL register defines for Cherryview
      drm/i915/chv: Add DPLL state readout support
      drm/i915/chv: CHV doesn't have CRT output
      x86/gpu: Sprinkle const, __init and __initconst to stolen memory quirks
      drm/i915: Disable/enable planes as the first/last thing during modeset on gmch platforms
      drm/i915: Kill vblank waits after pipe enable on gmch platforms
      drm/i915: Convert uncleared FIFO underrun message to errors
      drm/i915: Drop bogus comments about display reset
      drm/i915: Fix ILK reset wait
      drm/i915: Fix ILK GPU reset domain bits
      drm/i915/chv: Implement WaDisablePartialInstShootdown:chv
      drm/i915/chv: Implement WaDisableThreadStallDopClockGating:chv
      drm/i915/chv: Implement WaVSRefCountFullforceMissDisable:chv and WaDSRefCountFullforceMissDisable:chv
      drm/i915/chv: Implement WaDisableSemaphoreAndSyncFlipWait:chv
      drm/i915/chv: Implement WaDisableCSUnitClockGating:chv
      drm/i915/chv: Implement WaDisableSDEUnitClockGating:chv
      drm/i915/chv: Add some workaround notes
      drm/i915/chv: Clarify VLV/CHV PIPESTAT bits a bit more
      drrm/i915/chv: Use valleyview_pipestat_irq_handler() for CHV
      drm/i915/chv: Make CHV irq handler loop until all interrupts are consumed
      drm/i915/chv: Configure crtc_mask correctly for CHV
      drm/i915/chv: Fix gmbus for port D
      drm/i915/chv: Add cursor pipe offsets
      drm/i915/chv: Bump num_pipes to 3
      drm/i915/chv: Fix PORT_TO_PIPE for CHV
      drm/i915/chv: Register port D encoders and connectors
      drm/i915/chv: Fix CHV PLL state tracking
      drm/i915/chv: Move data lane deassert to encoder pre_enable
      drm/i915/chv: Turn off dclkp after the PLL has been disabled
      drm/i915/chv: Reset data lanes in encoder .post_disable() hook
      drm/i915/chv: Set soft reset override bit for data lane resets
      drm/i915/chv: Don't use PCS group access reads
      drm/i915/chv: Don't do group access reads from TX lanes either
      drm/i915/chv: Use RMW to toggle swing calc init
      drm/i915/chv: Add a bunch of pre production workarounds
      drm/i915: Drop /** */ comments from i915_reg.h
      drm/i915: Kill RMW from ILK reset code
      drm/i915: Clear GDSR after reset on ILK
      drm: Make the vblank disable timer per-crtc
      drm: Make blocking vblank wait return when the vblank interrupts get disabled
      drm: Add drm_vblank_on()
      drm/i915: Fix mmio vs. CS flip race on ILK+
      drm/i915: Wait for vblank in hsw_enable_ips()
      drm/i915: Drop the excessive vblank waits from modeset codepaths
      drm/i915: Move buffer pinning and ring selection to intel_crtc_page_flip()
      drm/i915: Re-enable vblank irqs for already active pipes
      drm/i915: Add a brief description of the VLV display PHY internals
      drm/i915: Provide DPIO diagrams as docboox tables
      drm/i915: Check for FIFO underuns when disabling reporting on gmch platforms
      drm/i915: Check for FIFO underruns at the end of modeset on gmch
      drm/i915: Simplify the uncleared FIFO underrun detection
      drm/i915: Shuffle fifo underrun disable/enable points for gmch platforms
      drm/i915: Wait for pending page flips before enabling/disabling the primary plane
      drm/i915: Add a small adjustment to the pixel counter on interlaced modes
      drm/i915: Improve gen3/4 frame counter
      drm/i915: Draw a picture about video timings
      drm/i915: Fix gen2 and hsw+ scanline counter
      drm/i915: Implement WaVcpClkGateDisableForMediaReset:ctg, elk

 Documentation/DocBook/drm.tmpl                |  107 +-
 arch/x86/kernel/early-quirks.c                |   46 +-
 drivers/gpu/drm/drm_irq.c                     |  356 +++++--
 drivers/gpu/drm/i915/Kconfig                  |    1 +
 drivers/gpu/drm/i915/Makefile                 |    7 +
 drivers/gpu/drm/i915/i915_cmd_parser.c        |  170 ++-
 drivers/gpu/drm/i915/i915_debugfs.c           |  162 +--
 drivers/gpu/drm/i915/i915_dma.c               |   46 +-
 drivers/gpu/drm/i915/i915_drv.c               |  497 ++++++++-
 drivers/gpu/drm/i915/i915_drv.h               |  201 +++-
 drivers/gpu/drm/i915/i915_gem.c               |  367 +++++--
 drivers/gpu/drm/i915/i915_gem_context.c       |   79 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c        |    8 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c    |   37 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c           |  113 +-
 drivers/gpu/drm/i915/i915_gem_gtt.h           |    5 +-
 drivers/gpu/drm/i915/i915_gem_render_state.c  |  198 ++++
 drivers/gpu/drm/i915/i915_gem_userptr.c       |  711 +++++++++++++
 drivers/gpu/drm/i915/i915_gpu_error.c         |   14 +-
 drivers/gpu/drm/i915/i915_irq.c               |  466 +++++++--
 drivers/gpu/drm/i915/i915_reg.h               |  644 +++++++++---
 drivers/gpu/drm/i915/i915_suspend.c           |    2 -
 drivers/gpu/drm/i915/i915_sysfs.c             |    2 +-
 drivers/gpu/drm/i915/i915_trace.h             |   26 +-
 drivers/gpu/drm/i915/intel_ddi.c              |   97 +-
 drivers/gpu/drm/i915/intel_display.c          | 1381 ++++++++++++++++---------
 drivers/gpu/drm/i915/intel_dp.c               |  348 ++++++-
 drivers/gpu/drm/i915/intel_drv.h              |   44 +-
 drivers/gpu/drm/i915/intel_dsi.c              |   39 +-
 drivers/gpu/drm/i915/intel_dsi.h              |   13 +-
 drivers/gpu/drm/i915/intel_fbdev.c            |   30 +-
 drivers/gpu/drm/i915/intel_hdmi.c             |  228 +++-
 drivers/gpu/drm/i915/intel_lvds.c             |   14 -
 drivers/gpu/drm/i915/intel_overlay.c          |   12 +-
 drivers/gpu/drm/i915/intel_panel.c            |  150 +--
 drivers/gpu/drm/i915/intel_pm.c               |  182 ++--
 drivers/gpu/drm/i915/intel_renderstate.h      |   48 +
 drivers/gpu/drm/i915/intel_renderstate_gen6.c |  289 ++++++
 drivers/gpu/drm/i915/intel_renderstate_gen7.c |  253 +++++
 drivers/gpu/drm/i915/intel_renderstate_gen8.c |  479 +++++++++
 drivers/gpu/drm/i915/intel_ringbuffer.c       |  376 ++++---
 drivers/gpu/drm/i915/intel_ringbuffer.h       |  138 +--
 drivers/gpu/drm/i915/intel_sdvo.c             |   33 +-
 drivers/gpu/drm/i915/intel_sideband.c         |   51 +-
 drivers/gpu/drm/i915/intel_sprite.c           |    8 +-
 drivers/gpu/drm/i915/intel_uncore.c           |   61 +-
 include/drm/drmP.h                            |   10 +-
 include/drm/i915_pciids.h                     |    6 +
 include/uapi/drm/i915_drm.h                   |   16 +
 49 files changed, 6789 insertions(+), 1782 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_render_state.c
 create mode 100644 drivers/gpu/drm/i915/i915_gem_userptr.c
 create mode 100644 drivers/gpu/drm/i915/intel_renderstate.h
 create mode 100644 drivers/gpu/drm/i915/intel_renderstate_gen6.c
 create mode 100644 drivers/gpu/drm/i915/intel_renderstate_gen7.c
 create mode 100644 drivers/gpu/drm/i915/intel_renderstate_gen8.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-05-16 16:43 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-05-16 16:43 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-05-06:
- ring init improvements (Chris)
- vebox2 support (Zhao Yakui)
- more prep work for runtime pm on Baytrail (Imre)
- eDram support for BDW (Ben)
- prep work for userptr support (Chris)
- first parts of the encoder->mode_set callback removal (Daniel)
- 64b reloc fixes (Ben)
- first part of atomic plane updates (Ville)

Also another ping about topic/core-stuff and for pushing out drm-next with
the properties doc patch applied.

Cheers, Daniel


The following changes since commit 444c9a08bf787e8236e132fab7eceeb2f065aa4c:

  Merge branch 'drm-init-cleanup' of git://people.freedesktop.org/~danvet/drm into drm-next (2014-05-01 09:32:21 +1000)

are available in the git repository at:


  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-05-06

for you to fetch changes up to 10efa9321efe5f62637b189587539e4086726a2b:

  drm/i915: Remove useless checks from primary enable/disable (2014-05-06 10:18:04 +0200)

----------------------------------------------------------------
- ring init improvements (Chris)
- vebox2 support (Zhao Yakui)
- more prep work for runtime pm on Baytrail (Imre)
- eDram support for BDW (Ben)
- prep work for userptr support (Chris)
- first parts of the encoder->mode_set callback removal (Daniel)
- 64b reloc fixes (Ben)
- first part of atomic plane updates (Ville)

----------------------------------------------------------------
Ben Widawsky (8):
      drm/i915/bdw: Add WT caching ability
      drm/i915/bdw: enable eDRAM.
      drm/i915/bdw: Disable idle DOP clock gating
      drm/i915: Move semaphore specific ring members to struct
      drm/i915: Virtualize the ringbuffer signal func
      drm/i915: Move ring_begin to signal()
      drm/i915: Support 64b execbuf
      drm/i915: Support 64b relocations

Chris Wilson (10):
      drm/i915: Replace hardcoded cacheline size with macro
      drm/i915: Preserve ring buffers objects across resume
      drm/i915: Allow the module to load even if we fail to setup rings
      drm/i915: Mark device as wedged if we fail to resume
      drm/i915: Include a little more information about why ring init fails
      drm/i915: Validate BDB section before reading
      drm/i915: Validate VBT header before trusting it
      lib: Export interval_tree
      drm/i915: Do not call retire_requests from wait_for_rendering
      drm/i915: Avoid NULL ctx->obj dereference in debugfs/i915_context_info

Daniel Vetter (13):
      drm/i915: Catch abuse of I915_EXEC_GEN7_SOL_RESET
      drm/i915: Catch abuse of I915_EXEC_CONSTANTS_*
      drm/i915: Catch dirt in unused execbuffer fields
      drm/i915: Integrate cmd parser kerneldoc
      drm/i915: Make encoder->mode_set callbacks optional
      drm/i915/dvo: Remove ->mode_set callback
      drm/i915/tv: extract set_tv_mode_timings
      drm/i915/tv: extract set_color_conversion
      drm/i915/tv: De-magic device check
      drm/i915/tv: Rip out pipe-disabling nonsense from ->mode_set
      drm/i915/tv: Remove ->mode_set callback
      drm/i915/crt: Remove ->mode_set callback
      drm/i915/sdvo: Remove ->mode_set callback

Imre Deak (25):
      drm/i915: vlv: clean up GTLC wake control/status register macros
      drm/i915: vlv: clear master interrupt flag when disabling interrupts
      drm/i915: vlv: add RC6 residency counters
      drm/i915: fix the RC6 status debug print
      drm/i915: remove the i915_dpio debugfs entry
      drm/i915: get a runtime PM ref for debugfs entries where needed
      drm/i915: move getting struct_mutex lower in the callstack during GPU reset
      drm/i915: get a runtime PM ref for the deferred GT powersave enabling
      drm/i915: get a runtime PM ref for the deferred GPU reset work
      drm/i915: gen2: move error capture of IER to its correct place
      drm/i915: add missing error capturing of the PIPESTAT reg
      drm/i915: vlv: check port power domain instead of only D0 for eDP VDD on
      drm/i915: fix unbalanced GT powersave enable / disable calls
      drm/i915: sanitize enable_rc6 option
      drm/i915: disable runtime PM if RC6 is disabled
      drm/i915: make runtime PM interrupt enable/disable platform independent
      drm/i915: factor out gen6_update_ring_freq
      drm/i915: make runtime PM swizzling/ring_freq init platform independent
      drm/i915: reinit GT power save during resume
      drm/i915: vlv: setup RPS min/max frequencies once during init time
      drm/i915: vlv: factor out vlv_force_gfx_clock and check for pending force-off
      drm/i915: vlv: increase timeout when forcing on the GFX clock
      drm/i915: remove extraneous VGA power domain put calls
      drm/i915: bdw: fix RC6 enabled status reporting and disable runtime PM
      drm/i915: vlv: init only needed state during early power well enabling

Jan Moskyto Matejka (1):
      Revert "drm/i915: fix build warning on 32-bit (v2)"

Jesse Barnes (1):
      drm/i915: remove unexplained vblank wait in the DP off code

Ville Syrjälä (11):
      drm/i915: Fix deadlock during driver init on ILK
      drm/i915: Fix assert_plane warning during FDI link train
      drm/i915: Fix scanout position for real
      drm/i915: Add intel_get_crtc_scanline()
      drm/i915: Make primary_enabled match the actual hardware state
      drm/i915: Make sprite updates atomic
      drm/i915: Perform primary enable/disable atomically with sprite updates
      drm/i915: Add pipe update trace points
      drm/i915: Make sure computed watermarks never overflow the registers
      drm/i915: Merge LP1+ watermarks in safer way
      drm/i915: Remove useless checks from primary enable/disable

Zhao Yakui (6):
      drm/i915: Split the BDW device definition to prepare for dual BSD rings on BDW GT3
      drm/i915: Update the restrict check to filter out wrong Ring ID passed by user-space
      drm/i915:Initialize the second BSD ring on BDW GT3 machine
      drm/i915:Handle the irq interrupt for the second BSD ring
      drm/i915:Add the VCS2 switch in Intel_ring_setup_status_page
      drm/i915: Use the coarse ping-pong mechanism based on drm fd to dispatch the BSD command on BDW GT3

 Documentation/DocBook/drm.tmpl                     |   5 +
 drivers/gpu/drm/i915/i915_cmd_parser.c             |   4 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  66 +--
 drivers/gpu/drm/i915/i915_dma.c                    |  12 +-
 drivers/gpu/drm/i915/i915_drv.c                    | 110 +++--
 drivers/gpu/drm/i915/i915_drv.h                    |  19 +-
 drivers/gpu/drm/i915/i915_gem.c                    | 181 ++++----
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         | 102 ++++-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  17 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  18 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 173 ++++----
 drivers/gpu/drm/i915/i915_reg.h                    |  14 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   4 +
 drivers/gpu/drm/i915/i915_trace.h                  |  75 ++++
 drivers/gpu/drm/i915/intel_bios.c                  |  76 +++-
 drivers/gpu/drm/i915/intel_crt.c                   |  76 ++--
 drivers/gpu/drm/i915/intel_display.c               |  47 ++-
 drivers/gpu/drm/i915/intel_dp.c                    |   9 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   5 +
 drivers/gpu/drm/i915/intel_dvo.c                   |   4 +-
 drivers/gpu/drm/i915/intel_pm.c                    | 290 ++++++++++---
 drivers/gpu/drm/i915/intel_ringbuffer.c            | 465 +++++++++++++--------
 drivers/gpu/drm/i915/intel_ringbuffer.h            |  32 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   4 +-
 drivers/gpu/drm/i915/intel_sprite.c                | 231 ++++++++--
 drivers/gpu/drm/i915/intel_tv.c                    | 214 +++++-----
 drivers/gpu/drm/i915/intel_uncore.c                |   2 +-
 include/drm/i915_pciids.h                          |  22 +-
 lib/Kconfig                                        |  14 +
 lib/Kconfig.debug                                  |   1 +
 lib/Makefile                                       |   3 +-
 lib/interval_tree.c                                |   6 +
 ...erval_tree_test_main.c => interval_tree_test.c} |   0
 33 files changed, 1516 insertions(+), 785 deletions(-)
 rename lib/{interval_tree_test_main.c => interval_tree_test.c} (100%)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-05-06 20:17         ` [Intel-gfx] " Daniel Vetter
@ 2014-05-07  6:38           ` Jani Nikula
  0 siblings, 0 replies; 265+ messages in thread
From: Jani Nikula @ 2014-05-07  6:38 UTC (permalink / raw)
  To: Daniel Vetter, Knut Petersen; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Tue, 06 May 2014, Daniel Vetter <daniel@ffwll.ch> wrote:
> On Tue, May 06, 2014 at 10:04:17PM +0200, Knut Petersen wrote:
>> On 06.05.2014 20:59, Daniel Vetter wrote:
>> >On Tue, May 06, 2014 at 04:30:45PM +0300, Jani Nikula wrote:
>> >>On Tue, 06 May 2014, Knut Petersen <Knut_Petersen@t-online.de> wrote:
>> >>>On 28.04.2014 15:26, Daniel Vetter wrote:
>> >>>The patch below is a clear candidate for 3.15 as it fixes a regression introduced after 3.14
>> >>>>Egbert Eich (1):
>> >>>>        drm/i915/SDVO: For sysfs link put directory and target in correct order
>> >>Daniel, want me to pick that for -fixes?
>> >Yeah I geuss so. People never complained that the link isn't there, us
>> >adding it for one kernel release to the wrong place doesn't really sound
>> >too bothersome.
>> >
>> >But if this unbreaks a system somewhere then it makes sense to apply to
>> >-fixes.
>> >
>> >Knut, how exactly does your system blow up?
>> Well, it's only the warning generated at boot time that would irritate users.
>> As a patch is known and tested it's probably a good idea to fix it before
>> people complain to lkml and bugzilla.
>
> Ah, I didn't realize there's a warning, I've thought only the symlink goes
> the wrong way. In that case it's good to go for -fixes.

Done.

-- 
Jani Nikula, Intel Open Source Technology Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-05-06 18:59     ` Daniel Vetter
@ 2014-05-06 20:04       ` Knut Petersen
  2014-05-06 20:17         ` [Intel-gfx] " Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Knut Petersen @ 2014-05-06 20:04 UTC (permalink / raw)
  To: Daniel Vetter, Jani Nikula; +Cc: Daniel Vetter, intel-gfx, dri-devel

On 06.05.2014 20:59, Daniel Vetter wrote:
> On Tue, May 06, 2014 at 04:30:45PM +0300, Jani Nikula wrote:
>> On Tue, 06 May 2014, Knut Petersen <Knut_Petersen@t-online.de> wrote:
>>> On 28.04.2014 15:26, Daniel Vetter wrote:
>>> The patch below is a clear candidate for 3.15 as it fixes a regression introduced after 3.14
>>>> Egbert Eich (1):
>>>>         drm/i915/SDVO: For sysfs link put directory and target in correct order
>> Daniel, want me to pick that for -fixes?
> Yeah I geuss so. People never complained that the link isn't there, us
> adding it for one kernel release to the wrong place doesn't really sound
> too bothersome.
>
> But if this unbreaks a system somewhere then it makes sense to apply to
> -fixes.
>
> Knut, how exactly does your system blow up?
Well, it's only the warning generated at boot time that would irritate users.
As a patch is known and tested it's probably a good idea to fix it before
people complain to lkml and bugzilla.

cu,
  Knut
> -Daniel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-05-06 13:30   ` Jani Nikula
@ 2014-05-06 18:59     ` Daniel Vetter
  2014-05-06 20:04       ` Knut Petersen
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2014-05-06 18:59 UTC (permalink / raw)
  To: Jani Nikula; +Cc: Daniel Vetter, intel-gfx, dri-devel

On Tue, May 06, 2014 at 04:30:45PM +0300, Jani Nikula wrote:
> On Tue, 06 May 2014, Knut Petersen <Knut_Petersen@t-online.de> wrote:
> > On 28.04.2014 15:26, Daniel Vetter wrote:
> > The patch below is a clear candidate for 3.15 as it fixes a regression introduced after 3.14
> >> Egbert Eich (1):
> >>        drm/i915/SDVO: For sysfs link put directory and target in correct order
> >
> 
> Daniel, want me to pick that for -fixes?

Yeah I geuss so. People never complained that the link isn't there, us
adding it for one kernel release to the wrong place doesn't really sound
too bothersome.

But if this unbreaks a system somewhere then it makes sense to apply to
-fixes.

Knut, how exactly does your system blow up?
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-04-30 23:26 ` Dave Airlie
@ 2014-05-05  6:39   ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-05-05  6:39 UTC (permalink / raw)
  To: Dave Airlie; +Cc: intel-gfx, dri-devel

On Thu, May 1, 2014 at 1:26 AM, Dave Airlie <airlied@gmail.com> wrote:
>
> Merged, but 32-bit still a thing,
>
>   CC [M]  drivers/gpu/drm/i915/i915_cmd_parser.o
> /ssd/git/drm-next/drivers/gpu/drm/i915/i915_cmd_parser.c: In function
> ‘i915_parse_cmds’:
> /ssd/git/drm-next/drivers/gpu/drm/i915/i915_cmd_parser.c:919:4:
> warning: format ‘%td’ expects argument of type ‘ptrdiff_t’, but
> argument 5 has type ‘long unsigned int’ [-Wformat=]
>     DRM_DEBUG_DRIVER("CMD: Command length exceeds batch length: 0x%08X
> length=%u batchlen=%td\n",
>     ^

Oops, sorry about this. I've made a pretty decent mess here by
applying two competing patches to fix the issue ;-) My -next queue has
the revert for one again already, so should be addressed with the next
pull request:

commit dcfce6cafbb1e2afb16792f2834d9924924c2228
Author: Jan Moskyto Matejka <mq@suse.cz>
Date:   Mon Apr 28 15:03:23 2014 +0200

    Revert "drm/i915: fix build warning on 32-bit (v2)"

Cheers, Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2014-04-28 13:26 Daniel Vetter
@ 2014-04-30 23:26 ` Dave Airlie
  2014-05-05  6:39   ` Daniel Vetter
  2014-05-06 13:08 ` [Intel-gfx] " Knut Petersen
  1 sibling, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2014-04-30 23:26 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: intel-gfx, dri-devel

> As discussed on irc this contains a (not yet fully tuned and also not yet
> in granting mode) cmd parser for gen7. Performance is still a bit rough,
> but not quite as bad as originally feared (Ken later on discovered that he
> also changed something in his glamour setup which made things worse). If
> it doesn't get better (and ofc if we don't get all the missing bits in for
> granting mode) I'll disable it before 3.16 again. But I want to give this
> beast as much testing as possible for now to avoid ugly regressions once
> we switch it on.
>
> Also please don't use the autogenerate merge commit since that'll miss the
> stuff from the 1st drm-intel-next tag.
>
> If I read the merges in -nightly correctly there's a bit a conflict in
> i915_gem_context.c. I can provide an example merge if you want (or
> otherwise just peak at linux-next or drm-intel-nightly).
>

Merged, but 32-bit still a thing,

  CC [M]  drivers/gpu/drm/i915/i915_cmd_parser.o
/ssd/git/drm-next/drivers/gpu/drm/i915/i915_cmd_parser.c: In function
‘i915_parse_cmds’:
/ssd/git/drm-next/drivers/gpu/drm/i915/i915_cmd_parser.c:919:4:
warning: format ‘%td’ expects argument of type ‘ptrdiff_t’, but
argument 5 has type ‘long unsigned int’ [-Wformat=]
    DRM_DEBUG_DRIVER("CMD: Command length exceeds batch length: 0x%08X
length=%u batchlen=%td\n",
    ^

Dave.
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-04-28 13:26 Daniel Vetter
  2014-04-30 23:26 ` Dave Airlie
  2014-05-06 13:08 ` [Intel-gfx] " Knut Petersen
  0 siblings, 2 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-04-28 13:26 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-04-16:
- vlv infoframe fixes from Jesse
- dsi/mipi fixes from Shobhit
- gen8 pageflip fixes for LRI/SRM from Damien
- cmd parser fixes from Brad Volkin
- some prep patches for CHV, DRRS, ...
- and tons of little things all over
drm-intel-next-2014-04-04:
- cmd parser for gen7 but only in enforcing and not yet granting mode - the
  batch copying stuff is still missing. Also performance is a bit ... rough
  (Brad Volkin + OACONTROL fix from Ken).
- deprecate UMS harder (i.e. CONFIG_BROKEN)
- interrupt rework from Paulo Zanoni
- runtime PM support for bdw and snb, again from Paulo
- a pile of refactorings from various people all over the place to prep for new
  stuff (irq reworks, power domain polish, ...)

drm-intel-next-2014-04-04:
- cmd parser for gen7 but only in enforcing and not yet granting mode - the
  batch copying stuff is still missing. Also performance is a bit ... rough
  (Brad Volkin + OACONTROL fix from Ken).
- deprecate UMS harder (i.e. CONFIG_BROKEN)
- interrupt rework from Paulo Zanoni
- runtime PM support for bdw and snb, again from Paulo
- a pile of refactorings from various people all over the place to prep for new
  stuff (irq reworks, power domain polish, ...)

As discussed on irc this contains a (not yet fully tuned and also not yet
in granting mode) cmd parser for gen7. Performance is still a bit rough,
but not quite as bad as originally feared (Ken later on discovered that he
also changed something in his glamour setup which made things worse). If
it doesn't get better (and ofc if we don't get all the missing bits in for
granting mode) I'll disable it before 3.16 again. But I want to give this
beast as much testing as possible for now to avoid ugly regressions once
we switch it on.

Also please don't use the autogenerate merge commit since that'll miss the
stuff from the 1st drm-intel-next tag.

If I read the merges in -nightly correctly there's a bit a conflict in
i915_gem_context.c. I can provide an example merge if you want (or
otherwise just peak at linux-next or drm-intel-nightly).

Cheers, Daniel


The following changes since commit c39b06951f1dc2e384650288676c5b7dcc0ec92c:

  DRM: armada: fix corruption while loading cursors (2014-04-08 10:51:03 +1000)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-04-16

for you to fetch changes up to c79057922ed6c2c6df1214e6ab4414fea1b23db2:

  drm/i915: Remove vblank wait from haswell_write_eld (2014-04-16 18:52:47 +0200)

----------------------------------------------------------------
- vlv infoframe fixes from Jesse
- dsi/mipi fixes from Shobhit
- gen8 pageflip fixes for LRI/SRM from Damien
- cmd parser fixes from Brad Volkin
- some prep patches for CHV, DRRS, ...
- and tons of little things all over

----------------------------------------------------------------
Akash Goel (2):
      drm/i915: Enabling the TLB invalidate bit in GFX Mode register
      drm/i915/vlv:Implement the WA 'WaDisable_RenderCache_OperationalFlush'

Ben Widawsky (10):
      drm/i915: Split out GTT specific header file
      drm/i915: Allow full PPGTT with param override
      drm/i915/bdw: Set initial rps freq to RP1
      drm/i915/bdw: Extract rp_state_caps logic
      drm/i915/bdw: RPS frequency bits are the same as HSW
      drm/i915/bdw: Expand FADD to 64bit
      drm/i915: Invariably invalidate before ctx switch
      drm/i915: Unref context on failed eb_create
      drm/i915: Dump the whole context object.
      drm/i915/bdw: Add 42ms delay for IPS disable

Brad Volkin (15):
      drm/i915: Initial command parser table definitions
      drm/i915: Reject privileged commands
      drm/i915: Allow some privileged commands from master
      drm/i915: Add register whitelists for mesa
      drm/i915: Add register whitelist for DRM master
      drm/i915: Enable register whitelist checks
      drm/i915: Reject commands that explicitly generate interrupts
      drm/i915: Enable PPGTT command parser checks
      drm/i915: Reject commands that would store to global HWS page
      drm/i915: Add a CMD_PARSER_VERSION getparam
      drm/i915: Enable command parsing by default
      drm/i915: BUG_ON() when cmd/reg tables are not sorted
      drm/i915: Refactor cmd parser checks into a function
      drm/i915: Track OACONTROL register enable/disable during parsing
      drm/i915: Add more registers to the whitelist for mesa

Chris Wilson (4):
      drm/i915: Rename GFX_TLB_INVALIDATE_ALWAYS
      drm/i915: Add PM interrupt details and RPS thresholds to debugfs
      drm/i915: Move all ring resets before setting the HWS page
      drm/i915: dma_buf_vunmap is presumed not to fail, don't let it

Christoph Jaeger (1):
      drm/i915: drop __FUNCTION__ as argument to DRM_DEBUG_KMS

Damien Lespiau (10):
      drm/i915: Don't store the max cursor width/height in the crtc
      drm/i915: Hide vlv_force_wake_{get, put}() in intel_uncore.c
      drm/i915: Hide the per forcewake-engine register ranges
      drm/i915: Use a macro to express the range of valid gens for reg_read
      drm/i915: Protect the argument expansion in LRI and SRM macros
      drm/i915/bdw: Provide a gen8 version of SRM
      drm/i915/bdw: Use the GEN8 SRM when qeueing a flip
      drm/i915: Rename GEN8_PIPE_FLIP_DONE to PRIMARY_FLIP_DONE
      drm/i915: Remove misleading debug message
      drm/i915: Remove spurious semicolons

Daniel Vetter (10):
      drm/i915: Add FIXME for bdw semaphore detection in hancheck
      drm/i915: make semaphore signaller detection more robust
      drm/i915: Deprecate UMS harder
      drm/i915: Add debug module option for VTd validation
      drm/i915: dmesg output for VT-d testing
      Merge remote-tracking branch 'airlied/drm-next' into HEAD
      Revert "drm/i915: fix infinite loop at gen6_update_ring_freq"
      drm/i915: Don't set the 8to6 dither flag when not scaling
      drm/i915: Don't vblank wait on ilk-ivb after pipe enable
      drm/i915: Remove vblank wait from haswell_write_eld

Deepak S (1):
      drm/i915: Match debugfs interface name to new RPS naming

Egbert Eich (1):
      drm/i915/SDVO: For sysfs link put directory and target in correct order

Imre Deak (2):
      drm/i915: vlv: cache current CD clock rate
      drm/i915: vlv: get power domain for eDP vdd

Jani Nikula (2):
      drm/i915: drop the typedef for drm_i915_private_t
      drm/i915: fix command parser debug print format mismatches

Jesse Barnes (5):
      drm/i915: warn when a vblank wait times out
      drm/i915/vlv: write the port field in the per-pipe DIP control reg
      drm/i915/vlv: disable AVI infoframe emission when writing infoframes
      drm/i915: enable HDMI mode on VLV when an HDMI sink is detected
      drm/i915: move infoframe setting to after pll enable v3

Kenneth Graunke (1):
      drm/i915: Add OACONTROL to the command parser register whitelist.

Mika Kuoppala (1):
      drm/i915: add flags to i915_ring_stop

Paulo Zanoni (27):
      drm/i915: add GEN5_IRQ_INIT macro
      drm/i915: also use GEN5_IRQ_INIT with south display interrupts
      drm/i915: use GEN8_IRQ_INIT on GEN5
      drm/i915: add GEN5_IRQ_FINI
      drm/i915: don't forget to uninstall the PM IRQs
      drm/i915: properly clear IIR at irq_uninstall on Gen5+
      drm/i915: add GEN5_IRQ_INIT
      drm/i915: check if IIR is still zero at postinstall on Gen5+
      drm/i915: fix SERR_INT init/reset code
      drm/i915: fix GEN7_ERR_INT init/reset code
      drm/i915: fix open coded gen5_gt_irq_preinstall
      drm/i915: extract ibx_irq_uninstall
      drm/i915: call ibx_irq_uninstall from gen8_irq_uninstall
      drm/i915: enable SDEIER later
      drm/i915: remove ibx_irq_uninstall
      drm/i915: add missing intel_hpd_irq_uninstall
      drm/i915: add ironlake_irq_reset
      drm/i915: add gen8_irq_reset
      drm/i915: only enable HWSTAM interrupts on postinstall on ILK+
      drm/i915: kill dev_priv->pm.regsave
      drm/i915: add gen-specific runtime suspend/resume functions
      drm/i915: add SNB runtime PM support
      drm/i915: remove HAS_PC8 check
      drm/i915: BDW needs D_COMP writes through MCHBAR
      drm/i915: add BDW runtime PM support
      drm/i915: only check for irqs_disabled when disabling LCPLL
      drm/i915: fix infinite loop at gen6_update_ring_freq

Pradeep Bhat (3):
      drm/i915: Adding VBT fields to support eDP DRRS feature
      drm/i915: Parse EDID probed modes for DRRS support
      drm/i915: Add support for DRRS to switch RR

Rafael Barbalho (1):
      drm/i915: Fix framecount offset

Shobhit Kumar (9):
      drm/i915: Program Rcomp and band gap reset everytime we resume from power gate
      drm/i915: Enable MIPI port before the plane and pipe enable
      drm/i915: Disable DPOunit clock gating
      drm/i915: Parameterize the Clockstop and escape_clk_div
      drm/i915: Panel commands can be sent only when clock is in LP11
      drm/i915: Send DPI command explicitely in LP mode
      drm/i915: Enable RANDOM resolution support for MIPI panels
      drm/i915: Add parsing support for new MIPI blocks in VBT
      drm/i915: Code cleanup patch to fix checkpatch errors

Ville Syrjälä (17):
      drm/i915: Kill crtc->plane checks from the primary plane update hooks
      drm/i915: Split dp post_disable hooks
      drm/i915: Refactor gmch hpd irq handling
      drm: Make drm_clflush_virt_range() void*
      drm/i915: Implement WaProgramMiArbOnOffAroundMiSetContext:bdw
      drm/i915: Fix debugfs PDP register dump
      drm/i915: Move DP M/N setup from update_pll to mode_set for gmch platforms
      drm/i915: Warn when DPIO read returns 0xffffffff
      drm/i915: Provide a bit more info when pipestat bits are wrong
      drm/i915: Make contexts non-snooped on non-LLC platforms
      drm/i915: Don't read sprite LP2+ registers on ILK/SNB
      drm/i915: Add some more tracked state to intel_pipe_wm
      drm/i915: Skip watermark merging for inactive pipes
      drm/i916: Refactor WM register maximums
      drm/i915/chv: IS_BROADWELL() should not be true for Cherryview
      drm/i915/chv: Add IS_CHERRYVIEW() macro
      drm/i915: Disable/enable planes as the first/last thing during modeset on ILK+

Zhenyu Wang (1):
      drm/i915: Allow i915_pc8_status debug info on BDW

 drivers/gpu/drm/drm_cache.c                |   4 +-
 drivers/gpu/drm/i915/Kconfig               |   2 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c          |   2 +-
 drivers/gpu/drm/i915/dvo_ivch.c            |   2 +-
 drivers/gpu/drm/i915/dvo_ns2501.c          |  24 +-
 drivers/gpu/drm/i915/dvo_sil164.c          |   2 +-
 drivers/gpu/drm/i915/dvo_tfp410.c          |   2 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c     | 616 +++++++++++++++++++++++++----
 drivers/gpu/drm/i915/i915_debugfs.c        |  25 +-
 drivers/gpu/drm/i915/i915_dma.c            |   3 +
 drivers/gpu/drm/i915/i915_drv.c            |  53 ++-
 drivers/gpu/drm/i915/i915_drv.h            | 265 +++----------
 drivers/gpu/drm/i915/i915_gem.c            |   5 +-
 drivers/gpu/drm/i915/i915_gem_context.c    |  18 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c     |   6 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   3 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  75 +---
 drivers/gpu/drm/i915/i915_gem_gtt.h        | 283 +++++++++++++
 drivers/gpu/drm/i915/i915_gpu_error.c      |  23 +-
 drivers/gpu/drm/i915/i915_irq.c            | 504 +++++++++++------------
 drivers/gpu/drm/i915/i915_params.c         |   8 +-
 drivers/gpu/drm/i915/i915_reg.h            | 117 +++++-
 drivers/gpu/drm/i915/intel_bios.c          | 242 +++++++++++-
 drivers/gpu/drm/i915/intel_bios.h          |  60 +++
 drivers/gpu/drm/i915/intel_display.c       | 283 ++++++-------
 drivers/gpu/drm/i915/intel_dp.c            | 197 ++++++++-
 drivers/gpu/drm/i915/intel_drv.h           |  35 +-
 drivers/gpu/drm/i915/intel_dsi.c           | 125 ++++--
 drivers/gpu/drm/i915/intel_dsi.h           |   4 +-
 drivers/gpu/drm/i915/intel_dsi_cmd.c       |   4 +-
 drivers/gpu/drm/i915/intel_dsi_cmd.h       |   5 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  38 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   7 -
 drivers/gpu/drm/i915/intel_panel.c         |   8 +-
 drivers/gpu/drm/i915/intel_pm.c            | 219 ++++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  75 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   5 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   4 +-
 drivers/gpu/drm/i915/intel_sideband.c      |   8 +
 drivers/gpu/drm/i915/intel_uncore.c        |  24 +-
 include/drm/drmP.h                         |   2 +-
 include/uapi/drm/i915_drm.h                |   1 +
 42 files changed, 2354 insertions(+), 1034 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_gtt.h

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-03-28  9:05 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-03-28  9:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-03-21:
- Inherit/reuse firmwar framebuffers (for real this time) from Jesse, less
  flicker for fastbooting.
- More flexible cloning for hdmi (Ville).
- Some PPGTT fixes from Ben.
- Ring init fixes from Naresh Kumar.
- set_cache_level regression fixes for the vma conversion from Ville&Chris.
- Conversion to the new dp aux helpers (Jani).
- Unification of runtime pm with pc8 support from Paulo, prep work for runtime
  pm on other platforms than HSW.
- Larger cursor sizes (Sagar Kamble).
- Piles of improvements and fixes all over, as usual.

Final feature pull request for 3.15!

Note that the runtime pm stuff here is still a bit wobbly and occasionally
spews a warn on hsw (not enabled anywhere else yet). But it doesn't kill
the driver and we have patches for them. But since it's tricky business
and I wanted to make sure we're fully covered with igts they're not yet
included here.

Cheers, Daniel


The following changes since commit 5a08c07526e9586318c5b57fd90af4350f83e26e:

  Merge branch 'topic/core-stuff' of git://git.freedesktop.org/git/drm-intel into drm-next (2014-03-18 19:23:22 +1000)

are available in the git repository at:


  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-03-21

for you to fetch changes up to 698b3135acb94e838a33a69f1a7a684fe0d90734:

  drm/i915: Include a note about the dangers of I915_READ64/I915_WRITE64 (2014-03-21 16:13:14 +0100)

----------------------------------------------------------------
- Inherit/reuse firmwar framebuffers (for real this time) from Jesse, less
  flicker for fastbooting.
- More flexible cloning for hdmi (Ville).
- Some PPGTT fixes from Ben.
- Ring init fixes from Naresh Kumar.
- set_cache_level regression fixes for the vma conversion from Ville&Chris.
- Conversion to the new dp aux helpers (Jani).
- Unification of runtime pm with pc8 support from Paulo, prep work for runtime
  pm on other platforms than HSW.
- Larger cursor sizes (Sagar Kamble).
- Piles of improvements and fixes all over, as usual.

----------------------------------------------------------------
Ben Widawsky (10):
      drm/i915/bdw: Use scratch page table for GEN8 PPGTT
      drm/i915: Correct PPGTT total size
      drm/i915: Actually capture PP_DIR_BASE on error
      drm/i915/bdw: Restore PPAT on thaw
      drm/i915: Reorganize the overclock code
      drm/i915: Fix coding style for RPS
      drm/i915: Store the HW min frequency as min_freq
      drm/i915: Rename and comment all the RPS *stuff*
      drm/i915: Remove extraneous MMIO for RPS
      drm/i915: remove rps local variables

Chris Wilson (11):
      drm/i915: Process page flags once rather than per pwrite/pread
      drm/i915: Do not force non-caching copies for pwrite along shmem path
      drm/i915: Prevent use-after-free of inherited framebuffer
      drm/i915: Avoid requesting a zero-sized stolen object
      drm/i915: Show cursor status in debugfs/i915_display_info
      drm/i915: Reset forcewake before suspend
      drm/i915: Consolidate forcewake resetting to a single function
      drm/i915: Per-process stats work better when evaluated per-process
      drm/i915: Print how many objects are shared in per-process stats
      drm/i915: Fix unsafe loop iteration over vma whilst unbinding them
      drm/i915: Include a note about the dangers of I915_READ64/I915_WRITE64

Damien Lespiau (4):
      drm/i915/bdw: The TLB invalidation mechanism has been removed from INSTPM
      drm/i915: Remove spurious '()' in WARN macros
      drm/i915: Rename intel_setup_wm_latency() to ilk_setup_wm_latency()
      drm/i915: Use the correct format string modifier for ptrdiff_t

Daniel Vetter (6):
      drm/i915: move dev_priv->suspend around
      Merge tag 'v3.14-rc6' into drm-intel-next-queued
      drm/i915: Remove erronous WARN in the vlv pipe crc code
      drm/i915: Fix up the forcewake timer initialization
      drm/i915: catch forcewake reference underruns
      Merge branch 'topic/dp-aux-rework' into drm-intel-next-queued

Imre Deak (1):
      drm/i915: fix typo in display IRQ mask when disabling IRQs

Jani Nikula (8):
      drm/dp: let drivers specify the name of the I2C-over-AUX adapter
      drm/i915/dp: split edp_panel_vdd_on() for reuse
      drm/i915/dp: move edp vdd enable/disable at a lower level in i2c-over-aux
      drm/i915/dp: use the new drm helpers for dp aux
      drm/i915/dp: move dp aux ch register init to aux init
      drm/i915/dp: use the new drm helpers for dp i2c-over-aux
      drm/i915: finish off reverting eDP VDD changes
      drm/i915/sdvo: fix questionable return value check

Jesse Barnes (6):
      drm/i915: add plane_config fetching infrastructure v2
      drm/i915: get_plane_config for i9xx v13
      drm/i915: get_plane_config support for ILK+ v3
      drm/i915: Wrap the preallocated BIOS framebuffer and preserve for KMS fbcon v12
      drm/i915: remove early fb allocation dependency on CONFIG_FB v2
      drm/i915/vlv: no MCHBAR on VLV

Matt Roper (1):
      drm/i915: Rename similar plane functions to avoid confusion

Mika Kuoppala (1):
      drm/i915: Switch to fake context on older gens

Naresh Kumar Kachhi (3):
      drm/i915: disable rings before HW status page setup
      drm/i915: wait for rings to become idle once disabled
      drm/i915: warn if ring is active before sync flush

Paulo Zanoni (17):
      drm/i915: properly disable the VDD when disabling the panel
      drm/i915: extract __hsw_do_{en, dis}able_package_c8
      drm/i915: make PC8 be part of runtime PM suspend/resume
      drm/i915: get/put runtime PM when we get/put a power domain
      drm/i915: remove dev_priv->pc8.requirements_met
      drm/i915: get runtime PM references when the GPU is idle/busy
      drm/i915: kill pc8.disable_count
      drm/i915: remove an indirection level on PC8 functions
      drm/i915: don't get/put PC8 reference on freeze/thaw
      drm/i915: make intel_aux_display_runtime_get get runtime PM, not PC8
      drm/i915: don't get/put PC8 when getting/putting power wells
      drm/i915: remove dev_priv->pc8.enabled
      drm/i915: move pc8.irqs_disabled to pm.irqs_disabled
      drm/i915: kill struct i915_package_c8
      drm/i915: rename __hsw_do_{en, dis}able_pc8
      drm/i915: update the PC8 and runtime PM documentation
      drm/i915: init pm.suspended earlier

Sagar Kamble (1):
      drm/i915: Enabling 128x128 and 256x256 ARGB Cursor Support

Steven Rostedt (1):
      drm/i915: Do not dereference pointers from ring buffer in evict event

Ville Syrjälä (7):
      drm/i915: Make encoder cloning more flexible
      drm/i915: Don't use HDMI 12bpc when cloning with other encoder types
      drm/i915: Allow HDMI+VGA cloning
      drm/i915: Allow HDMI+HDMI cloning on g4x
      drm/i915: Reduce the time we hold struct mutex in intel_pipe_set_base()
      drm/i915: Drop WARN_ON(flags) from ppgtt_bind_vma()
      drm/i915: Unbind all vmas whose new cache_level doesn't agree with the neighbours

 drivers/gpu/drm/drm_dp_helper.c         |   3 +-
 drivers/gpu/drm/i915/i915_cmd_parser.c  |   2 +-
 drivers/gpu/drm/i915/i915_debugfs.c     | 148 ++++++--
 drivers/gpu/drm/i915/i915_dma.c         |   7 +-
 drivers/gpu/drm/i915/i915_drv.c         |  14 +-
 drivers/gpu/drm/i915/i915_drv.h         | 109 +++---
 drivers/gpu/drm/i915/i915_gem.c         |  22 +-
 drivers/gpu/drm/i915/i915_gem_context.c |   7 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c     |  12 +-
 drivers/gpu/drm/i915/i915_gpu_error.c   |   6 +-
 drivers/gpu/drm/i915/i915_irq.c         |  85 ++---
 drivers/gpu/drm/i915/i915_params.c      |  10 -
 drivers/gpu/drm/i915/i915_reg.h         |   6 +
 drivers/gpu/drm/i915/i915_sysfs.c       |  81 ++--
 drivers/gpu/drm/i915/i915_trace.h       |   4 +-
 drivers/gpu/drm/i915/intel_crt.c        |   2 +-
 drivers/gpu/drm/i915/intel_ddi.c        |   3 +-
 drivers/gpu/drm/i915/intel_display.c    | 632 +++++++++++++++++++++++---------
 drivers/gpu/drm/i915/intel_dp.c         | 532 ++++++++++-----------------
 drivers/gpu/drm/i915/intel_drv.h        |  35 +-
 drivers/gpu/drm/i915/intel_dsi.c        |   2 +-
 drivers/gpu/drm/i915/intel_dvo.c        |   5 +-
 drivers/gpu/drm/i915/intel_fbdev.c      | 144 +++++++-
 drivers/gpu/drm/i915/intel_hdmi.c       |  36 +-
 drivers/gpu/drm/i915/intel_lvds.c       |   2 +-
 drivers/gpu/drm/i915/intel_pm.c         | 182 +++++----
 drivers/gpu/drm/i915/intel_ringbuffer.c |  26 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h |   2 +
 drivers/gpu/drm/i915/intel_sdvo.c       |   8 +-
 drivers/gpu/drm/i915/intel_tv.c         |   3 +-
 drivers/gpu/drm/i915/intel_uncore.c     | 100 ++---
 include/drm/drm_dp_helper.h             |   4 +
 32 files changed, 1306 insertions(+), 928 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-03-17 10:02 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-03-17 10:02 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-03-07:
- fine-grained display power domains for byt (Imre)
- runtime pm prep patches for !hsw from Paulo
- WiZ hashing flag updates from Ville
- ppgtt setup cleanup and enabling of full 4G range on bdw (Ben)
- fixes from Jesse for the inherited intial config code
- gpu reset code improvements from Mika
- per-pipe num_planes refactoring from Damien
- stability fixes around bdw forcewake handling and other bdw w/a from Mika and
  Ken
- and as usual a pile of smaller fixes all over

The big thing here is the disabling of the full ppgtt code. I've figured
I'll need to pull the plug a bit earlier to have enough time to test thing
throughroughly before the 3.15 merge window opens.

Cheers, Daniel


The following changes since commit 4c0e552882114d1edb588242d45035246ab078a0:

  drm/i915: fix NULL deref in the load detect code (2014-02-14 17:23:12 +0100)

are available in the git repository at:

  git://anongit.freedesktop.org/drm-intel tags/drm-intel-next-2014-03-07

for you to fetch changes up to 2fae6a860ca9adb0c881f6dcd633df775c2520e9:

  drm/i915: Go OCD on the Makefile (2014-03-07 22:37:00 +0100)

----------------------------------------------------------------
- fine-grained display power domains for byt (Imre)
- runtime pm prep patches for !hsw from Paulo
- WiZ hashing flag updates from Ville
- ppgtt setup cleanup and enabling of full 4G range on bdw (Ben)
- fixes from Jesse for the inherited intial config code
- gpu reset code improvements from Mika
- per-pipe num_planes refactoring from Damien
- stability fixes around bdw forcewake handling and other bdw w/a from Mika and
  Ken
- and as usual a pile of smaller fixes all over

----------------------------------------------------------------
Ben Widawsky (12):
      drm/i915: Move ppgtt_release out of the header
      drm/i915/bdw: Free PPGTT struct
      drm/i915/bdw: Reorganize PPGTT init
      drm/i915/bdw: Split ppgtt initialization up
      drm/i915: Make clear/insert vfuncs args absolute
      drm/i915/bdw: Reorganize PT allocations
      Revert "drm/i915/bdw: Limit GTT to 2GB"
      drm/i915: Update i915_gem_gtt.c copyright
      drm/i915: Split GEN6 PPGTT cleanup
      drm/i915: Split GEN6 PPGTT initialization up
      drm/i915/bdw: Kill ppgtt->num_pt_pages
      drm/i915/bdw: Add FBC support

Brad Volkin (2):
      drm/i915: Refactor shmem pread setup
      drm/i915: Implement command buffer parsing logic

Chris Wilson (9):
      drm/i915: Revert workaround for disabling L3 cache aging on IVB
      Revert "drm/i915: enable HiZ Raw Stall Optimization on IVB"
      drm/i915: Reject changes of fb base when we have a flip pending
      drm/i915: Accurately track when we mark the hardware as idle/busy
      drm/i915: Convert the forcewake worker into a timer func
      drm/i915: Perform pageflip using mmio if the GPU is terminally wedged
      drm/i915: Reset vma->mm_list after unbinding
      drm/i915: Rely on accurate request tracking for finding hung batches
      drm/i915: Record pid/comm of hanging task

Damien Lespiau (8):
      drm/i915: Use a pipe variable to cycle through the pipes
      drm/i915: Don't declare unnecessary shadowing variable
      drm/i915: Replace a few for_each_pipe(i) by for_each_pipe(pipe)
      drm/i915: Add a for_each_sprite() macro
      drm/i915: Make num_sprites a per-pipe value
      drm/i915: Make i915_gem_retire_requests_ring() static
      drm/i915: Remove unused to_gem_object() macro
      drm/i915: Fix i915_switch_context() argument name in kerneldoc

Daniel Vetter (7):
      drm/i915: tune down user-triggerable dmesg noise in the cursor/overlay code
      drm/i915: sprinkle static
      drm/i915: s/any_enabled/!fallback/ in fbdev_initial_config
      drm/i915: ignore bios output config if not all outputs are on
      drm/i915: reverse dp link param selection, prefer fast over wide again
      drm/i915: Disable full ppgtt by default
      drm/i915: Go OCD on the Makefile

Imre Deak (21):
      drm/i915: use drm_i915_private everywhere in the power domain api
      drm/i915: switch order of power domain init wrt. irq install
      drm/i915: use power domain api to check vga power state
      drm/i915: move hsw power domain comment to its right place
      drm/i915: fold in __intel_power_well_get/put functions
      drm/i915: move modeset_update_power_wells earlier
      drm/i915: move power domain macros to intel_pm.c
      drm/i915: add init power domain to always-on power wells
      drm/i915: split power well 'set' handler to separate enable/disable/sync_hw
      drm/i915: add noop power well handlers instead of NULL checking them
      drm/i915: add port power domains
      drm/i915: get port power domain in connector detect handlers
      drm/i915: check port power domain when reading the encoder hw state
      drm/i915: check pipe power domain when reading its hw state
      drm/i915: vlv: keep first level vblank IRQs masked
      drm/i915: sanitize PUNIT register macro definitions
      drm/i915: factor out reset_vblank_counter
      drm/i915: sanity check power well sw state against hw state
      drm/i915: vlv: factor out valleyview_display_irq_install
      drm/i915: factor out intel_set_cpu_fifo_underrun_reporting_nolock
      drm/i915: power domains: add vlv power wells

Jani Nikula (1):
      drm/i915: don't flood the logs about bdw semaphores

Jesse Barnes (3):
      drm/i915: honor forced connector modes v2
      drm/i915: re-add locking around hw state readout
      drm/i915: print connector mode list in display_info

Kenneth Graunke (2):
      drm/i915: Add a partial instruction shootdown workaround on Broadwell.
      drm/i915: Add thread stall DOP clock gating workaround on Broadwell.

Mika Kuoppala (8):
      drm/i915: Fix forcewake counts for gen8
      drm/i915: Add error code into error state
      drm/i915: Add reason for capture in error state
      drm/i915: Add reset count to error state
      drm/i915: Add suspend count to error state
      drm/i915: Do forcewake reset on gen8
      drm/i915: Don't access fifodbg registers on gen8
      drm/i915: No need to put forcewake after a reset

Patrik Jakobsson (1):
      drm/i915: Don't just say it, actually force edp vdd

Paulo Zanoni (10):
      drm/i915: rename modeset_update_power_wells
      drm/i915: get/put runtime PM without holding rps.hw_lock
      drm/i915: put runtime PM only at the end of intel_mark_idle
      drm/i915: put runtime PM only when we actually release force_wake
      drm/i915: get runtime PM while trying to detect CRT
      drm/i915: get/put runtime PM in more places at i915_debugfs.c
      drm/i915: kill dev_priv->pc8.gpu_idle
      drm/i915: call assert_device_not_suspended at gen6_force_wake_work
      drm/i915: assert force wake is disabled when we runtime suspend
      drm/i915: assert we're not runtime suspended when accessing registers

Shobhit Kumar (1):
      drm/i915: Update VBT data structures to have MIPI block enhancements

Sinclair Yeh (1):
      drm/i915: Revert workaround for disabling L3 cache aging on BYT

Thierry Reding (1):
      drm/i915: Remove dead code

Ville Syrjälä (20):
      drm/i915: Fix SNB GT_MODE register setup
      drm/i915: Assume we implement WaStripsFansDisableFastClipPerformanceFix:snb
      drm/i915: There's no need to mask all 3D_CHICKEN bits on SNB
      drm/i915: Change IVB WIZ hashing mode to 16x4
      drm/i915: Change HSW WIZ hashing mode to 16x4
      drm/i915: Change BDW WIZ hashing mode to 16x4
      drm/i915: Add a comment about WIZ hashing vs. thread counts
      drm/i915: Don't ban default context when stop_rings!=0
      drm/i915: Fix VLV forcewake after reset
      drm/i915: Drop the forcewake count inc/dec around register read on VLV
      drm/i915: Streamline VLV forcewake handling
      drm/i915: Fix DDI port_clock for VGA output
      drm/i915: Use DIV_ROUND_UP() when calculating number of required FDI lanes
      drm/i915: Disable semaphore wait event idle message on BDW
      drm/i915: Implement WaDisableSDEUnitClockGating:bdw
      drm/i915: We implement WaDisableAsyncFlipPerfMode:bdw
      drm/i915: Don't clobber CHICKEN_PIPESL_1 on BDW
      drm/i915: Use RMW to update chicken bits in gen7_enable_fbc()
      drm/i915: Unify CHICKEN_PIPESL_1 register definitions
      drm/i915: Avoid div by zero when pixel clock is large

 drivers/gpu/drm/i915/Makefile              |  83 ++--
 drivers/gpu/drm/i915/i915_cmd_parser.c     | 485 ++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_debugfs.c        |  79 +++-
 drivers/gpu/drm/i915/i915_dma.c            |  24 +-
 drivers/gpu/drm/i915/i915_drv.c            |  19 +-
 drivers/gpu/drm/i915/i915_drv.h            | 282 ++++++++-----
 drivers/gpu/drm/i915/i915_gem.c            |  92 +++--
 drivers/gpu/drm/i915/i915_gem_context.c    |  52 ++-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  18 +
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 621 ++++++++++++++++++++---------
 drivers/gpu/drm/i915/i915_gpu_error.c      | 272 +++++++------
 drivers/gpu/drm/i915/i915_irq.c            | 208 +++++++---
 drivers/gpu/drm/i915/i915_params.c         |   5 +
 drivers/gpu/drm/i915/i915_reg.h            |  69 +++-
 drivers/gpu/drm/i915/intel_bios.c          |   4 +-
 drivers/gpu/drm/i915/intel_bios.h          | 174 ++++++--
 drivers/gpu/drm/i915/intel_crt.c           |  57 ++-
 drivers/gpu/drm/i915/intel_ddi.c           |   5 +
 drivers/gpu/drm/i915/intel_display.c       | 363 ++++++++++-------
 drivers/gpu/drm/i915/intel_dp.c            |  43 +-
 drivers/gpu/drm/i915/intel_drv.h           |  21 +-
 drivers/gpu/drm/i915/intel_dsi.c           |  18 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |  49 ++-
 drivers/gpu/drm/i915/intel_hdmi.c          |  34 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   2 +-
 drivers/gpu/drm/i915/intel_pm.c            | 575 +++++++++++++++++++++-----
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   4 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  32 ++
 drivers/gpu/drm/i915/intel_uncore.c        | 227 ++++++-----
 29 files changed, 2897 insertions(+), 1020 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_cmd_parser.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-03-03 17:39 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-03-03 17:39 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

drm-intel-next-2014-02-14:
- Fix the execbuf rebind performance regression due to topic/ppgtt (Chris).
- Fix up the connector cleanup ordering for sdvod i2c and dp aux devices (Imre).
- Try to preserve the firmware modeset config on driver load. And a bit of prep
  work for smooth takeover of the fb contents (Jesse).
- Prep cleanup for larger gtt address spaces on bdw (Ben).
- Improve our vblank_wait code to make hsw modesets faster (Paulo).
- Display debugfs file (Jesse).
- DRRS prep work from Vandana Kannan.
- pipestat interrupt handler to fix a few races around vblank/pageflip handling
  on byt (Imre).
- Improve display fuse handling for display-less SKUs (Damien).
- Drop locks while stalling for the gpu when serving pagefaults to improve
  interactivity (Chris).
- And as usual piles of other improvements and small fixes all over.

Note that full ppgtt isn't yet in a shape I'm really happy with - I'll go
with the fallback option of disabling it for 3.15 for the next pull
request if that doesn't improve. But first I need to dig through the patch
flood from my vacations ;-)

Cheers, Daniel


The following changes since commit b8a5ff8d7c676a04e0da5ec16bb068dd39459042:

  drm/i915: Update rps interrupt limits (2014-02-07 10:26:17 +0100)

are available in the git repository at:

  ssh://git.freedesktop.org/git/drm-intel tags/drm-intel-next-2014-02-14

for you to fetch changes up to 4c0e552882114d1edb588242d45035246ab078a0:

  drm/i915: fix NULL deref in the load detect code (2014-02-14 17:23:12 +0100)

----------------------------------------------------------------
- Fix the execbuf rebind performance regression due to topic/ppgtt (Chris).
- Fix up the connector cleanup ordering for sdvod i2c and dp aux devices (Imre).
- Try to preserve the firmware modeset config on driver load. And a bit of prep
  work for smooth takeover of the fb contents (Jesse).
- Prep cleanup for larger gtt address spaces on bdw (Ben).
- Improve our vblank_wait code to make hsw modesets faster (Paulo).
- Display debugfs file (Jesse).
- DRRS prep work from Vandana Kannan.
- pipestat interrupt handler to fix a few races around vblank/pageflip handling
  on byt (Imre).
- Improve display fuse handling for display-less SKUs (Damien).
- Drop locks while stalling for the gpu when serving pagefaults to improve
  interactivity (Chris).
- And as usual piles of other improvements and small fixes all over.

----------------------------------------------------------------
Ben Widawsky (5):
      drm/i915: Clarify RC6 enabling
      drm/i915: Stop pretending VLV has rc6+
      drm/i915: Just print rc6 facts
      drm/i915/bdw: Use centralized rc6 info print
      drm/i915/bdw: Split up PPGTT cleanup

Chris Wilson (4):
      drm/i915: Downgrade *ERROR* message for invalid user input
      drm/i915: Propagate PCI read/write errors during vga_set_state()
      drm/i915: Short-circuit no-op vga_set_state()
      drm/i915: Flush GPU rendering with a lockless wait during a pagefault

Damien Lespiau (9):
      drm/i915: Always use INTEL_INFO() to access the device_info structure
      drm/i915: Make the intel_device_info structure kept in dev_priv writable
      drm/i915: Move num_plane to the intel_device_info structure
      drm/i915: Consolidate FUSE_STRAP in one set of defines
      drm/i915: Use I915_MAX_PIPES in the pipe/plane_to_crtc_mapping definitions
      drm/i915: Reorder i915_params fields to not create holes
      drm/i915: Disable display when fused off
      drm/i915: Provide a command line option to disable display
      drm/i915/lvds: Remove dead code from failing case

Daniel Vetter (19):
      drm/i915: Use normal fb deref for the fbcon framebuffer
      drm/i915: Fix error path leak in fbdev fb allocation
      drm/i915: Pass explicit mode into mode_from_pipe_config v3
      drm/i915: Some polish for the new pipestat_irq_handler
      drm/i915: kill intel_crtc_update_sarea_pos
      drm/i915: protect ringbuffer sarea update behind !MODESET
      drm/i915: delay master/sarea deref for legacy ioctls
      drm/i915: Consolidate binding parameters into flags
      drm/i915: split PIN_GLOBAL out from PIN_MAPPABLE
      drm/i915: Handle set_cache_level errors in the pipe control scratch setup
      drm/i915: Don't set PIN_MAPPABLE for legacy ringbuffers
      drm/i915: Don't pin the status page as mappable
      drm/i915: Handle set_cache_level errors in the status page setup
      drm/i915: Don't allocate context pages as mappable
      drm/i915: Allow blocking in the PDE alloc when running low on gtt space
      drm/i915: Simplify i915_gem_object_ggtt_unpin
      drm/i915: Directly return the vma from bind_to_vm
      drm/i915: Only bind each object rather than for every execbuffer
      drm/i915: fix NULL deref in the load detect code

Imre Deak (8):
      drm/i915: pass status instead of enable flags to i915_enable_pipestat
      drm/i915: vlv: fix mapping of pipestat enable to status bits
      drm/i915: vlv: handle only enabled pipestat interrupt events
      drm/i915: unbind fbs from crtcs during driver unload
      drm/i915: add unregister callback to connector
      drm/i915: dp: fix order of dp aux i2c device cleanup
      drm/i915: sdvo: fix error path in sdvo_connector_init
      drm/i915: sdvo: add i2c sysfs symlink to the connector's directory

Jesse Barnes (8):
      drm/i915: alloc intel_fb in the intel_fbdev struct
      drm/i915: split aligned height calculation out v2
      drm: expose subpixel order name routine v3
      drm/i915: add a display info file to debugfs v2
      drm/i915: read out hw state earlier v2
      drm: export cmdline and preferred mode functions from fb helper
      drm/i915: allow re-use BIOS connector config for initial fbdev config v3
      drm/i915: don't preserve inherited configs with nothing on v2

Paulo Zanoni (9):
      drm/i915: add wait_for_vblank argument to intel_enable_pipe
      drm/i915: don't wait for vblank after enabling pipe on HSW
      drm/i915: remove the vblank_wait hack from HSW+
      drm/i915: pass intel_crtc as argument for intel_enable_pipe
      drm/i915: remove pch_port argument form intel_enable_pipe
      drm/i915: remove "dsi" argument form intel_enable_pipe
      drm/i915: remove wait_for_vblank argument form intel_enable_pipe
      drm/i915: WARN in case we're enabling the pipe and it's enabled
      drm/i915: don't reference null pointer at i915_sink_crc

Vandana Kannan (1):
      drm/i915: Initialize downclock mode in panel init

Ville Syrjälä (2):
      drm/i915: Disable SF pipelined attribute fetch for SNB
      drm/i915: Convert DIP port switch cases to a simple macro

 drivers/gpu/drm/drm_crtc.c                 |  23 +++
 drivers/gpu/drm/drm_fb_helper.c            |   6 +-
 drivers/gpu/drm/i915/i915_debugfs.c        | 162 ++++++++++++++++++
 drivers/gpu/drm/i915/i915_dma.c            |  81 +++++++--
 drivers/gpu/drm/i915/i915_drv.h            |  58 ++++---
 drivers/gpu/drm/i915/i915_gem.c            | 155 ++++++++---------
 drivers/gpu/drm/i915/i915_gem_context.c    |   9 +-
 drivers/gpu/drm/i915/i915_gem_evict.c      |  10 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  18 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  61 ++++---
 drivers/gpu/drm/i915/i915_irq.c            | 168 +++++++++++++++----
 drivers/gpu/drm/i915/i915_params.c         |   4 +
 drivers/gpu/drm/i915/i915_reg.h            | 181 ++++++++++----------
 drivers/gpu/drm/i915/i915_trace.h          |  20 +--
 drivers/gpu/drm/i915/intel_crt.c           |   1 +
 drivers/gpu/drm/i915/intel_ddi.c           |   2 +-
 drivers/gpu/drm/i915/intel_display.c       | 257 +++++++++++++++--------------
 drivers/gpu/drm/i915/intel_dp.c            |  25 ++-
 drivers/gpu/drm/i915/intel_drv.h           |  20 ++-
 drivers/gpu/drm/i915/intel_dsi.c           |   3 +-
 drivers/gpu/drm/i915/intel_dvo.c           |   1 +
 drivers/gpu/drm/i915/intel_fbdev.c         | 171 +++++++++++++++++--
 drivers/gpu/drm/i915/intel_hdmi.c          |  32 +---
 drivers/gpu/drm/i915/intel_lvds.c          |  13 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   2 +-
 drivers/gpu/drm/i915/intel_panel.c         |   4 +-
 drivers/gpu/drm/i915/intel_pm.c            |  51 +++---
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  22 ++-
 drivers/gpu/drm/i915/intel_sdvo.c          |  70 +++++++-
 drivers/gpu/drm/i915/intel_tv.c            |   9 +-
 include/drm/drm_crtc.h                     |   1 +
 include/drm/drm_fb_helper.h                |   6 +
 32 files changed, 1124 insertions(+), 522 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-02-14 13:30 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-02-14 13:30 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Daniel Vetter, intel-gfx, dri-devel

Hi Dave,

First pull request for 3.15! One week later than usual since our QA was
celbrating Chines New Year ;-)

drm-intel-next-2014-02-07:
- Yet more steps towards atomic modeset from Ville.
- DP panel power sequencing improvements from Paulo.
- irq code cleanups from Ville.
- 5.4 GHz dp lane clock support for bdw/hsw from Todd.
- Clock readout support for hsw/bdw (aka fastboot) from Jesse.
- Make pipe underruns report at ERROR level (Ville). This is to check our
  improved watermarks code.
- Full ppgtt support from Ben for gen7.
- More fbc fixes and improvements from Ville all over the place, unfortunately
  not yet enabled by default on more platforms.
- w/a cleanups from Ville.
- HiZ stall optimization settings (Chia-I Wu).
- Display register mmio offset refactor patch from Antti.
- RPS improvements for corner-cases from Jeff McGee.

And a few notes:
- 2 weeks of vacation for me, so this is a "send pull request and
  disappear" thing ;-)
- Full ppgtt has some rather gross regressions still, especially in some
  corner-cases where we recourse into our code (e.g. when waiting for ring
  space or low mem) and then get a bit surprised. The (imo likely)
  contingency plan for 3.15 is to disable it. Note that the old code is
  still being tested in -nightly with the full QA suite on snb (since the
  tlbs are busted there, so no full ppgtt support). There's 1-2 issues
  still even in that mode due to the topic/ppgtt merge, but nothing truly
  dramatic.
- I have a big pile of drm doc patches that I wanted to polish a bit more
  and flush out before vacation. I guess that'll happen in 2 weeks ...

Cheers, Daniel


The following changes since commit ef64cf9d06049e4e9df661f3be60b217e476bee1:

  Merge branch 'drm-nouveau-next' of git://anongit.freedesktop.org/git/nouveau/linux-2.6 into drm-next (2014-01-30 10:46:06 +1000)

are available in the git repository at:


  ssh://git.freedesktop.org/git/drm-intel tags/drm-intel-next-2014-02-07

for you to fetch changes up to b8a5ff8d7c676a04e0da5ec16bb068dd39459042:

  drm/i915: Update rps interrupt limits (2014-02-07 10:26:17 +0100)

----------------------------------------------------------------
- Yet more steps towards atomic modeset from Ville.
- DP panel power sequencing improvements from Paulo.
- irq code cleanups from Ville.
- 5.4 GHz dp lane clock support for bdw/hsw from Todd.
- Clock readout support for hsw/bdw (aka fastboot) from Jesse.
- Make pipe underruns report at ERROR level (Ville). This is to check our
  improved watermarks code.
- Full ppgtt support from Ben for gen7.
- More fbc fixes and improvements from Ville all over the place, unfortunately
  not yet enabled by default on more platforms.
- w/a cleanups from Ville.
- HiZ stall optimization settings (Chia-I Wu).
- Display register mmio offset refactor patch from Antti.
- RPS improvements for corner-cases from Jeff McGee.

----------------------------------------------------------------
Antti Koskipaa (1):
      drm/i915: Reorganize display pipe register accesses

Ben Widawsky (54):
      drm/i915: Provide PDP updates via MMIO
      drm/i915: Don't unconditionally try to deref aliasing ppgtt
      drm/i915: Allow ggtt lookups to not WARN
      drm/i915: Takedown drm_mm on failed gtt setup
      drm/i915: Handle inactivating objects for all VMAs
      drm/i915: Add vm to error BO capture
      drm/i915: Don't use gtt mapping for !gtt error objects
      drm/i915: Identify active VM for batchbuffer capture
      drm/i915: Make pin count per VMA
      drm/i915: Create bind/unbind abstraction for VMAs
      drm/i915: Remove vm arg from relocate entry
      drm/i915: Add a context open function
      drm/i915: relax context alignment
      drm/i915: Simplify ring handling in execbuf
      drm/i915: Permit contexts on all rings
      drm/i915: Track which ring a context ran on
      drm/i915: Better reset handling for contexts
      drm/i915: Split context enabling from init
      drm/i915: Generalize default context setup
      drm/i915: PPGTT vfuncs should take a ppgtt argument
      drm/i915: Use drm_mm for PPGTT PDEs
      drm/i915: One hopeful eviction on PPGTT alloc
      drm/i915: Use platform specific ppgtt enable
      drm/i915: Extract mm switching to function
      drm/i915: Use LRI for switching PP_DIR_BASE
      drm/i915: Flush TLBs after !RCS PP_DIR_BASE
      drm/i915: Generalize PPGTT init
      drm/i915: Reorganize intel_enable_ppgtt
      drm/i915: Add VM to context
      drm/i915: Write PDEs at init instead of enable
      drm/i915: Restore PDEs for all VMs
      drm/i915: Do aliasing PPGTT init with contexts
      drm/i915: Create a per file_priv default context
      drm/i915: Piggy back hangstats off of contexts
      drm/i915: Get context early in execbuf
      drm/i915: Defer request freeing
      drm/i915: Clean up VMAs before freeing
      drm/i915: Do not allow buffers at offset 0
      drm/i915: Use multiple VMs -- the point of no return
      drm/i915: Remove extraneous mm_switch in ppgtt enable
      drm/i915: Add PPGTT dumper
      drm/i915: Dump all ppgtt
      drm/i915/ppgtt: Fix ioctl errno for "no such context"
      drm/i915/bdw: Return -ENONENT on default ctx destroy
      drm/i915: set ctx->initialized only after RCS
      drm/i915: Remove incorrect comment about struct mutex
      drm/i915: Create a USES_PPGTT macro
      drm/i915: Extract register state error capture
      drm/i915: Logically reorder error register capture
      drm/i915: Reorder struct members
      drm/i915: Move per ring error state to ring_error
      drm/i915: Add some more registers to error state
      drm/i915: Capture PPGTT info on error capture
      drm/i915: Generate a hang error code

Chia-I Wu (2):
      drm/i915: enable HiZ Raw Stall Optimization on HSW
      drm/i915: enable HiZ Raw Stall Optimization on IVB

Chris Wilson (10):
      drm/i915: Free requests after object release when retiring requests
      drm/i915: Place the Global GTT VM first in the list of VM
      drm/i915: Always pin the default context
      drm/i915: Include HW status page in error capture
      drm/i915: VM eviction only targets address space not physical pages
      drm/i915: Only print information for filing bug reports once
      drm/i915: Don't access snooped pages through the GTT (even for error capture)
      drm/i915: Convert EFAULT into a silent SIGBUS
      drm/i915: Treat using a purged buffer as a source of EFAULT
      drm/i915: Prevent recursion by retiring requests when the ring is full

Damien Lespiau (6):
      drm/i915: Don't use i915_preliminary_hw_support to mean pre-production
      drm/i915: Turn get_aux_clock_divider() into per-platform vfuncs
      drm/i915: Factor out a function returning the AUX_CTL value to start a send
      drm/i915: Reorder the AUX_CTL bits in descending order
      drm/i915: Introduce a get_aux_send_ctl() vfunc
      drm/i915: Constify the drm_i915_private pointer a bit more

Daniel Vetter (15):
      Merge commit drm-intel-fixes into topic/ppgtt
      drm/i915: Reject the pin ioctl on gen6+
      drm/i915: Drop I915_PARAM_HAS_FULL_PPGTT again
      drm/i915: Reject non-default contexts on non-render again
      Revert "drm/i915: Do not allow buffers at offset 0"
      drm/i915: Reject NEEDS_GTT relocations with full ppgtt
      drm/i915: Don't check for NEEDS_GTT when deciding the address space
      drm/i915: fix ppgtt dump code for DEBUG_FS=n
      drm/i915: Only restore backlight combination mode reg for ums
      drm/i915: drop ironlake_ prefix from edp panel/backlight functions
      drm/i915: Shuffle modeset reset handling around
      Merge branch 'topic/ppgtt' into drm-intel-next-queued
      drm/i915: GEN7_MSG_CONTROL is ivb-only
      drm/i915: Kerneldoc for i915_gem_evict.c
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next-queued

Deepak S (2):
      drm/i915: Disable/Enable PM Intrrupts based on the current freq.
      drm/i915/vlv: WA to fix Voltage not getting dropped to Vmin when Gfx is power gated.

Imre Deak (7):
      drm/i915: clean up HPD IRQ debug printing
      drm/i915: fix wait_remaining_ms_from_jiffies
      drm/i915: fix initial timestamps for PP sequencing logic
      drm/i915: vlv: don't unmask IIR[DISPLAY_PIPE_A/B_VBLANK] interrupt
      drm/i915: factor out valleyview_pipestat_irq_handler
      drm/i915: vlv: s/spin_lock_irqsave/spin_lock/ in irq handler
      drm/i915: unify FLIP_DONE macro names

Jani Nikula (4):
      drm/i915: drop the i915.fbpercrtc module parameter
      drm/i915: quirk invert brightness for Acer Aspire 5336
      drm/i915: move module parameters into a struct, in a new file
      drm/i915: drop i915_ prefix from enable_rc6, enable_fbc, enable_ppgtt parameters

Jeff McGee (2):
      drm/i915: Restore rps/rc6 on reset
      drm/i915: Update rps interrupt limits

Jesse Barnes (3):
      drm/i915: clock readout support for DDI v3
      drm/i915: always check clocks when comparing pipe configs
      drm/i915: fix WRPLL clock calculation

Mika Kuoppala (6):
      drm/i915: Use i915_hw_context to set reset stats
      drm/i915: Tune down debug output when context is banned
      drm/i915: Use hangcheck score to find guilty context
      drm/i915: Get rid of acthd based guilty batch search
      drm/i915: check for oom when allocating private_default_ctx
      drm/i915: release mutex in i915_gem_init()'s error path

Paulo Zanoni (5):
      drm/i915: init the DP panel power seq variables earlier
      drm/i915: save some time when waiting the eDP timings
      drm/i915: remove a column of zeros from the eDP wait definitions
      drm/i915: don't wait for power cycle when waiting for power off
      drm/i915: set the backlight panel delays registers to 1

Rodrigo Vivi (2):
      drm: dp helper: Add DP test sink CRC definition.
      drm/i915: debugfs: Add support for probing DP sink CRC.

Todd Previte (1):
      drm/i915: Enable 5.4Ghz (HBR2) link rate for Displayport 1.2-capable devices

Ville Syrjälä (49):
      drm/i915: Pre-compute pipe enabled state
      drm/i915: Prepare to track new pipe config per pipe
      drm/i915: Use new_config and new_enabled to simplify the VLV cdclk code
      drm/i915: Don't oops if the initial modeset fails
      drm/i915: Set crtc->new_config to NULL for pipes that are about to be disabled
      drm/i915: Add intel_hpd_irq_uninstall()
      drm/i915: Make irq_received bool
      drm/i915: Kill dev_priv->irq_received
      drm/i915: Fix new_config and new_enabled for load detect
      drm/i915: Shuffle sprite register writes into a tighter group
      drm/i915: Limit FIFO underrun reports on GMCH platforms
      drm/i915: Make underruns DRM_ERROR
      drm/i915: Don't write IVB_FBC_RT_BASE
      drm/i915: Don't set persistent FBC mode on ILK/SNB
      drm/i915: Don't set DPFC_HT_MODIFY bit on CTG/ILK/SNB
      drm/i915: Improve FBC plane defines a bit
      drm/i915: Use 1/2 compression ratio limit for 16bpp on FBC2
      drm/i915: Actually write the correct bits to DPFC_CONTROL on CTG
      drm/i915: Kill most of the FBC register save/restore
      drm/i915: Don't preserve DPFC_CONTROL bits ILK/SNB
      drm/i915: Fix FBC1 enable message
      drm/i915: Fix FBC_FENCE_OFF
      drm/i915: We implement WaDisableL3Bank2xClockGate:vlv
      drm/i915: We implement WaEnableVGAAccessThroughIOPort:ctg, elk, ilk, snb, ivb, vlv, hsw
      drm/i915: WaPsdDispatchEnable seems to be another name for WaDisablePSDDualDispatchEnable
      drm/i915: We implement WaDisableL3CacheAging:vlv
      drm/i915: WaApplyL3ControlAndL3ChickenMode isn't applicable for VLV
      drm/i915: We implement WaDisableRCCUnitClockGating:snb
      drm/i915: We implement WaMiSetContext_Hang
      drm/i915: Implement WaIncreaseL3CreditsForVLVB0:vlv
      drm/i915: WaDisableVDSUnitClockGating isn't applicable to SNB
      drm/i915: WaDisableRCCUnitClockGating isn't applicable to IVB
      drm/i915: WaDisableRCCUnitClockGating isn't applicaple to VLV
      drm/i915: WaDisableRHWOOptimizationForRenderHang isn't applicable to HSW
      drm/i915: WaDisableRHWOOptimizationForRenderHang isn't applicable to VLV
      drm/i915: Drop bogus comment about RCPB unit clock gating on IVB
      drm/i915: Drop WaDisableRCZUnitClockGating:hsw
      drm/i915: Drop WaApplyL3ControlAndL3ChickenMode:hsw
      drm/i915: Drop WaDisableRCPBUnitClockGating:vlv
      drm/i915: Add debugfs hooks for messign with watermark latencies
      drm/i915: Drop WaDisableVDSUtnitClockGating:vlv
      drm/i915: Drop WaDisableTDLUnitClockGating:vlv
      drm/i915: gen7_setup_fixed_func_scheduler() actually implements WaVSThreadDispatchOverride
      drm/i915: Don't apply WaVSThreadDispatchOverride on HSW
      drm/i915: VLV wants WaVSThreadDispatchOverride too
      drm/i915: Clarify WaDisable4x2SubspanOptimization situation for VLV
      Revert "drm/i915: set conservative clock gating values on VLV v2"
      drm/i915: Fix IVB GT2 WaDisableDopClockGating and WaDisablePSDDualDispatchEnable
      drm/i915: Drop WaDisablePSDDualDispatchEnable:ivb for IVB GT2

 drivers/gpu/drm/i915/Makefile              |   1 +
 drivers/gpu/drm/i915/i915_debugfs.c        | 301 ++++++++++++-
 drivers/gpu/drm/i915/i915_dma.c            |   6 +-
 drivers/gpu/drm/i915/i915_drv.c            | 182 +++-----
 drivers/gpu/drm/i915/i915_drv.h            | 415 ++++++++++++------
 drivers/gpu/drm/i915/i915_gem.c            | 412 +++++++-----------
 drivers/gpu/drm/i915/i915_gem_context.c    | 435 ++++++++++++++-----
 drivers/gpu/drm/i915/i915_gem_evict.c      |  49 ++-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c | 164 +++----
 drivers/gpu/drm/i915/i915_gem_gtt.c        | 675 ++++++++++++++++++++++-------
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      | 442 +++++++++++++------
 drivers/gpu/drm/i915/i915_irq.c            | 259 +++++------
 drivers/gpu/drm/i915/i915_params.c         | 155 +++++++
 drivers/gpu/drm/i915/i915_reg.h            | 336 ++++++++------
 drivers/gpu/drm/i915/i915_suspend.c        |  40 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |  10 +
 drivers/gpu/drm/i915/i915_ums.c            |   8 +
 drivers/gpu/drm/i915/intel_bios.c          |   4 +-
 drivers/gpu/drm/i915/intel_crt.c           |   2 +
 drivers/gpu/drm/i915/intel_ddi.c           | 101 ++++-
 drivers/gpu/drm/i915/intel_display.c       | 216 ++++++---
 drivers/gpu/drm/i915/intel_dp.c            | 365 +++++++++++-----
 drivers/gpu/drm/i915/intel_drv.h           |  28 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |   4 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |   6 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   6 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   8 +-
 drivers/gpu/drm/i915/intel_panel.c         |  17 +-
 drivers/gpu/drm/i915/intel_pm.c            | 294 +++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  37 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   2 +
 drivers/gpu/drm/i915/intel_sprite.c        |  18 +-
 drivers/gpu/drm/i915/intel_uncore.c        |   8 +-
 include/drm/drm_dp_helper.h                |  10 +
 35 files changed, 3342 insertions(+), 1676 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_params.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2014-01-17 16:57 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2014-01-17 16:57 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

As promised the final feature pull request for 3.14.

drm-intel-next-2014-01-10:
- final bits for runtime D3 on Haswell from Paul (now enabled fully)
- parse the backlight modulation freq information in the VBT from Jani
  (but not yet used)
- more watermark improvements from Ville for ilk-ivb and bdw
- bugfixes for fastboot from Jesse
- watermark fix for i830M (but not yet everything)
- vlv vga hotplug w/a (Imre)
- piles of other small improvements, cleanups and fixes all over

Note that the pull request includes a backmerge of the last drm-fixes
pulled into Linus' tree - things where getting a bit too messy. So the
shortlog also contains a bunch of patches from Linus tree. Please yell if
you want me to frob it for you a bit.

Cheers, Daniel


The following changes since commit 319e2e3f63c348a9b66db4667efa73178e18b17d:

  Linux 3.13-rc4 (2013-12-15 12:31:33 -0800)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel drm-intel-next

for you to fetch changes up to 0d9d349d8788d30f3fc3bb39279c370f94d9dbec:

  Merge commit origin/master into drm-intel-next (2014-01-16 22:06:30 +0100)

----------------------------------------------------------------

Abhilash Kesavan (4):
      clk: samsung: exynos5250: Fix ACP gate register offset
      clk: samsung: exynos5250: Add MDMA0 clocks
      ARM: dts: exynos5250: Fix MDMA0 clock number
      clk: samsung: exynos5250: Add CLK_IGNORE_UNUSED flag for the sysreg clock

Al Viro (1):
      ext4: fix del_timer() misuse for ->s_err_report

Alan (1):
      sata_sis: missing PM support

Alex Deucher (10):
      drm/radeon: Fix sideport problems on certain RS690 boards
      drm/radeon/cik: plug in missing blit callback
      drm/radeon: add missing display tiling setup for oland
      Revert "drm/radeon: Implement radeon_pci_shutdown"
      drm/radeon/dce6: set correct number of audio pins
      drm/radeon/dpm: disable ss on Cayman
      drm/radeon: check for 0 count in speaker allocation and SAD code
      drm/radeon: fix asic gfx values for scrapper asics
      drm/radeon: 0x9649 is SUMO2 not SUMO
      drm/radeon: Bump version for CIK DCE tiling fix

Alexander Graf (4):
      KVM: PPC: Book3S: PR: Don't clobber our exit handler id
      KVM: PPC: Book3S: PR: Export kvmppc_copy_to|from_svcpu
      KVM: PPC: Book3S: PR: Make svcpu -> vcpu store preempt savvy
      KVM: PPC: Book3S: PR: Enable interrupts earlier

Alexander Mezin (1):
      ACPI / AC: change notification handler type to ACPI_ALL_NOTIFY

Alexander Shishkin (1):
      perf: Disable all pmus on unthrottling and rescheduling

Alexander van Heukelum (1):
      Revert "drm/i915: assume all GM45 Acer laptops use inverted backlight PWM"

Alexey Khoroshilov (1):
      can: ems_usb: fix urb leaks on failure paths

Andre Przywara (1):
      ARM/cpuidle: remove __init tag from Calxeda cpuidle probe function

Andrew Bresticker (1):
      clk: exynos5250: fix sysmmu_mfc{l,r} gate clocks

Andrey Vagin (1):
      block: fix memory leaks on unplugging block device

Andy Grover (1):
      target: Remove extra percpu_ref_init

Aneesh Kumar K.V (1):
      powerpc: book3s: kvm: Don't abuse host r2 in exit path

Anton Blanchard (9):
      powerpc: Fix endian issue in setup-common.c
      powerpc: Fix topology core_id endian issue on LE builds
      powerpc/pseries: Fix endian issues in /proc/ppc64/lparcfg
      powerpc/pseries: Fix endian issues in nvram code
      powerpc/pseries: Fix PCIE link speed endian issue
      powerpc/pseries: Fix endian issues in MSI code
      powerpc: Fix endian issues in crash dump code
      powerpc/powernv: Fix endian issue in opal_xscom_read
      powerpc: Align p_end

Antonio Quartulli (4):
      batman-adv: fix size of batadv_icmp_header
      batman-adv: fix alignment for batadv_tvlv_tt_change
      batman-adv: clean nf state when removing protocol header
      batman-adv: fix vlan header access

Ard Biesheuvel (1):
      auxvec.h: account for AT_HWCAP2 in AT_VECTOR_SIZE_BASE

Arron Wang (1):
      NFC: Fix target mode p2p link establishment

Austin Boyle (1):
      max17042_battery: Fix build errors caused by missing REGMAP_I2C config

Axel Lin (1):
      clocksource: time-efm32: Select CLKSRC_MMIO

Ben Dooks (1):
      ARM: shmobile: r8a7790: fix shdi resource sizes

Ben Skeggs (2):
      drm/nouveau: populate master subdev pointer only when fully constructed
      drm/nouveau: fix null ptr dereferences on some boards

Ben Widawsky (3):
      drm/i915: Reorder/respace MI instruction definition
      drm/i915: Don't emit mbox updates without semaphores
      drm/i915/bdw: Flush system agent on gen8 also

Benjamin Herrenschmidt (3):
      powerpc/powernv: Fix OPAL LPC access in Little Endian
      Merge remote-tracking branch 'agust/merge' into merge
      powerpc: Check return value of instance-to-package OF call

Benjamin LaHaise (2):
      aio: fix kioctx leak introduced by "aio: Fix a trinity splat"
      aio/migratepages: make aio migrate pages sane

Beomho Seo (1):
      iio: cm36651: Changed return value of read function

Betty Dall (1):
      atl1c: Check return from pci_find_ext_capability() in atl1c_reset_pcie()

Bjørn Mork (1):
      usb: cdc-wdm: manage_power should always set needs_remote_wakeup

Bo Shen (3):
      ASoC: atmel_ssc_dai: add dai trigger ops
      ASoC: sam9x5_wm8731: change to work in DSP A mode
      ASoC: wm8904: fix DSP mode B configuration

Bob Gilligan (1):
      neigh: Netlink notification for administrative NUD state change

Bob Gleitsmann (1):
      drm/nouveau: return offset of allocated notifier

Bob Peterson (2):
      GFS2: Fix use-after-free race when calling gfs2_remove_from_ail
      GFS2: Fix slab memory leak in gfs2_bufdata

Boris BREZILLON (1):
      usb: ohci-at91: fix irq and iomem resource retrieval

Brian W Hart (2):
      powernv/eeh: Fix possible buffer overrun in ioda_eeh_phb_diag()
      powernv/eeh: Add buffer for P7IOC hub error data

Chad Hanson (1):
      selinux: fix broken peer recv check

Charles Keepax (2):
      ASoC: wm5110: Correct HPOUT3 DAPM route typo
      ASoC: wm_adsp: Add small delay while polling DSP RAM start

Chris Ruehl (1):
      usb: phy-tegra-usb.c: wrong pointer check for remap UTMI

Chris Wilson (13):
      drm/i915: Do not clobber config status after a forced restore of hw state
      drm/i915: Hold mutex across i915_gem_release
      drm/i915: Repeat eviction search after idling the GPU
      drm/i915: Prevent double unref following alloc failure during execbuffer
      drm/i915: Fix erroneous dereference of batch_obj inside reset_status
      drm/i915: Use the correct GMCH_CTRL register for Sandybridge+
      drm/i915: Mention when we enable the Ironlake iommu workarounds
      drm/i915: Avoid dereference past end of page array in gen6_ppgtt_insert_entries()
      drm/i915: Avoid dereference past end of page array in gen8_ppgtt_insert_entries()
      drm/i915: Flush outstanding requests before allocating new seqno
      drm/i915: Only WARN about a stuck hotplug irq ONCE
      drm/i915: Only complain about a rogue hotplug IRQ after disabling
      drm/i915: Include more information in disabled hotplug interrupt warning

Christian Engelmayer (1):
      drm/nouveau/nouveau: fix memory leak in nouveau_crtc_page_flip()

Christian König (2):
      drm/radeon: fix typo in cik_copy_dma
      drm/radeon: fix UVD 256MB check

Christoph Hellwig (1):
      xfs: remove xfsbdstrat error

Chuansheng Liu (1):
      xfs: Calling destroy_work_on_stack() to pair with INIT_WORK_ONSTACK()

Curt Brune (1):
      bridge: use spin_lock_bh() in br_multicast_set_hash_max

Cédric Le Goater (1):
      of/irq: Fix device_node refcount in of_irq_parse_raw()

Damien Lespiau (2):
      drm/i915: Use IS_VALLEYVIEW() to test the is_valleyview flag
      drm/i915: Introduce new intel_output_name()

Dan Carpenter (2):
      usb: phy: twl6030-usb: signedness bug in twl6030_readb()
      drivers: phy: tweaks to phy_create()

Dan Williams (8):
      dma: fix build warnings in ppc4xx
      dma: fix fsldma build warnings
      dmatest: fix build warning on mips
      dma: fix build warnings in txx9
      dmaengine: fix enable for high order unmap pools
      dmaengine: fix sleep in atomic
      net_dma: mark broken
      hso: fix handling of modem port SERIAL_STATE notifications

Daniel Borkmann (6):
      net: inet_diag: zero out uninitialized idiag_{src,dst} fields
      netfilter: nft_exthdr: call ipv6_find_hdr() with explicitly initialized offset
      net: llc: fix order of evaluation in llc_conn_ac_inc_vr_by_1
      net: llc: fix use after free in llc_ui_recvmsg
      net: 6lowpan: fix lowpan_header_create non-compression memcpy call
      netfilter: nf_nat: fix access to uninitialized buffer in IRC NAT helper

Daniel Vetter (11):
      drm/i915: fix pm init ordering
      drm/i915: Fix use-after-free in do_switch
      drm/i915: don't update the dri1 breadcrumb with modesetting
      drm/i915: Use symbolic names for booleans in i915_semaphore_is_enabled
      drm/i915: kick firmware fbs even when i915 fbdev is disabled
      drm/i915: grab a pages pin count for preallocate stolen
      MAINTAINERS: Updates for drm/i915
      drm/i915: Drop I915_ prefix from HAS_FBC
      drm/i915: i830M has watermarks like i855
      drm/i915: s/hotplugt_status_gen4/hotplug_status_g4x/
      Merge commit origin/master into drm-intel-next

Dave Airlie (14):
      Merge branch 'bdw-fixes' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes
      Merge tag 'drm-intel-fixes-2013-12-11' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes
      Merge branch 'drm-tda998x-3.12-fixes' of git://ftp.arm.linux.org.uk/~rmk/linux-cubox into drm-fixes
      Merge branch 'drm-fixes-3.13' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge branch 'vmwgfx-fixes-3.13' of git://people.freedesktop.org/~thomash/linux into drm-fixes
      Merge branch 'ttm-fixes-3.13' of git://people.freedesktop.org/~thomash/linux into drm-next
      Merge branch 'drm-fixes-3.13' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2013-12-18' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes
      Merge branch 'drm-fixes-3.13' of git://people.freedesktop.org/~agd5f/linux into drm-fixes
      Merge tag 'drm-intel-fixes-2014-01-08' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes
      Merge branch 'drm-nouveau-next' of git://anongit.freedesktop.org/nouveau/linux-2.6 into drm-fixes
      Merge tag 'drm-intel-fixes-2014-01-13' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes
      Revert "drm: copy mode type in drm_mode_connector_list_update()"
      Merge branch 'drm-nouveau-next' of git://git.freedesktop.org/git/nouveau/linux-2.6 into drm-fixes

Dave Chinner (3):
      xfs: align initial file allocations correctly
      xfs: swalloc doesn't align allocations properly
      xfs: abort metadata writeback on permanent errors

Dave Kleikamp (1):
      Revert "sparc64: Fix __copy_{to,from}_user_inatomic defines."

David Ertman (3):
      e1000e: fix compiler warnings
      e1000e: fix compiler warning (maybe-unitialized variable)
      e1000e: Fix a compile flag mis-match for suspend/resume

David Gibson (1):
      netxen: Correct off-by-one errors in bounds checks

David Henningsson (1):
      ALSA: hda - Add enable_msi=0 workaround for four HP machines

David Howells (1):
      X.509: Fix certificate gathering

David S. Miller (16):
      Merge branch 'master' of git://git.kernel.org/.../pablo/nf
      Merge branch 'qlcnic'
      Merge branch 'fixes-for-3.13' of git://gitorious.org/linux-can/linux-can
      Merge branch 'bond_locking'
      Merge branch 'master' of git://git.kernel.org/.../jkirsher/net
      Merge branch 'for-davem' of git://git.kernel.org/.../linville/wireless
      Merge branch 'cxgb4'
      net: Add some clarification to skb_tx_timestamp() comment.
      Merge branch 'master' of git://git.kernel.org/.../pablo/nf
      Merge tag 'batman-adv-fix-for-davem' of git://git.open-mesh.org/linux-merge
      vlan: Fix header ops passthru when doing TX VLAN offload.
      netpoll: Fix missing TXQ unlock and and OOPS.
      Merge branch 'bnx2x'
      Merge branch 'be2net'
      Merge branch 'master' of git://git.kernel.org/.../pablo/nf
      Merge branch 'for-davem' of git://git.kernel.org/.../linville/wireless

Davidlohr Bueso (1):
      MAINTAINERS: add Davidlohr as GPT maintainer

Deepak S (1):
      drm/i915/vlv: Add drpc debugfs support for valleyview

Dinh Nguyen (2):
      clocksource: dw_apb_timer_of: Fix read_sched_clock
      clocksource: dw_apb_timer_of: Fix support for dts binding "snps,dw-apb-timer"

Dirk Brandewie (1):
      intel_pstate: Add X86_FEATURE_APERFMPERF to cpu match parameters.

Dmitry Kravkov (1):
      bnx2x: limit number of interrupt vectors for 57711

Dmitry Kunilov (1):
      usb: serial: zte_ev: move support for ZTE AC2726 from zte_ev back to option

Dmitry Monakhov (1):
      jbd2: rename obsoleted msg JBD->JBD2

Dmitry Torokhov (1):
      Input: allocate absinfo data when setting ABS capability

Don Skidmore (1):
      ixgbe: fix for unused variable warning with certain config

Eric Dumazet (4):
      udp: ipv4: do not use sk_dst_lock from softirq context
      ipv6: sit: update mtu check to take care of gso packets
      net: fec: fix potential use after free
      arc_emac: fix potential use after free

Eric Leblond (1):
      netfilter: nft_reject: fix endianness in dump function

Eric Seppanen (1):
      iscsi-target: return -EINVAL on oversized configfs parameter

Eric Trudeau (1):
      XEN: Grant table address, xen_hvm_resume_frames, is a phys_addr not a pfn

Eric Whitney (1):
      ext4: fix bigalloc regression

Erik Hugne (1):
      tipc: correctly unlink packets from deferred packet queue

Eryu Guan (1):
      ext4: check for overlapping extents in ext4_valid_extent_entries()

Ezequiel Garcia (2):
      clocksource: armada-370-xp: Register sched_clock after the counter reset
      dma: mv_xor: Use dmaengine_unmap_data for the self-tests

Fabio Estevam (3):
      usb: chipidea: host: Only disable the vbus regulator if it is not NULL
      fec: Do not assume that PHY reset is active low
      fec: Revert "fec: Do not assume that PHY reset is active low"

Felipe Balbi (1):
      usb: phy: fix driver dependencies

Felix Fietkau (1):
      mac80211: move "bufferable MMPDU" check to fix AP mode scan

Florian Westphal (2):
      macvlan: fix netdev feature propagation from lower device
      net: rose: restore old recvmsg behavior

Gao feng (1):
      netfilter: nfnetlink_log: unset nf_loggers for netns when unloading module

Geert Uytterhoeven (2):
      sh: always link in helper functions extracted from libgcc
      of/Kconfig: Spelling s/one/once/

Gerhard Sittig (1):
      powerpc/512x: dts: remove misplaced IRQ spec from 'soc' node (5125)

Greg Kroah-Hartman (3):
      Merge tag 'fixes-for-v3.13-rc4' of git://git.kernel.org/.../balbi/usb into usb-linus
      Merge tag 'for-usb-linus-2013-12-10' of git://git.kernel.org/.../sarah/xhci into usb-linus
      Merge tag 'iio-fixes-for-3.13c' of git://git.kernel.org/.../jic23/iio into staging-linus

Guenter Roeck (1):
      isdn: Drop big endian cpp checks from telespci and hfc_pci drivers

H Hartley Sweeten (1):
      staging: comedi: drivers: fix return value of comedi_load_firmware()

Haiyang Zhang (1):
      hyperv: Fix race between probe and open calls

Hangbin Liu (1):
      infiniband: make sure the src net is infiniband when create new link

Hannes Frederic Sowa (5):
      ipv4: improve documentation of ip_no_pmtu_disc
      ipv6: pmtudisc setting not respected with UFO/CORK
      ipv4: consistent reporting of pmtu data in case of corking
      ipv6: don't install anycast address for /128 addresses on routers
      ipv6: add link-local, sit and loopback address with INFINITY_LIFE_TIME

Heiko Carstens (1):
      s390/smp: improve setup of possible cpu mask

Heiko Stübner (1):
      Input: zforce - fix possible driver hang during suspend

Helmut Schaa (1):
      netfilter: nf_ct_timestamp: Fix BUG_ON after netns deletion

Himanshu Madhani (4):
      qlcnic: Fix usage of netif_tx_{wake, stop} api during link change.
      qlcnic: Fix diagnostic test for all adapters.
      qlcnic: Fix TSS/RSS ring validation logic.
      qlcnic: Fix TSS/RSS validation for 83xx/84xx series adapter.

Hugh Dickins (1):
      thp: fix copy_page_rep GPF by testing is_huge_zero_pmd once only

Hui Wang (2):
      ALSA: hda - Add Dell headset detection quirk for three laptop models
      ALSA: hda - Add Dell headset detection quirk for one more laptop model

Ian Abbott (1):
      staging: comedi: 8255_pci: fix for newer PCI-DIO48H

Ian Campbell (2):
      xen: privcmd: do not return pages which we have failed to unmap
      arm: xen: foreign mapping PTEs are special.

Ilia Mirkin (4):
      drm: don't double-free on driver load error
      drm/nouveau: only runtime suspend by default in optimus configuration
      drm/nouveau/bios: make jump conditional
      drm/nouveau/bios: fix offset calculation for BMPv1 bioses

Imre Deak (5):
      drm/i915: simplify platform specific code in hsw_write_wm_values
      drm/i915: remove unused WM defines
      drm/i915: s/haswell_update_wm/ilk_update_wm/
      drm/i915: vlv: make CRI clock enabling explicit during resume
      drm/i915: vlv: W/a for hotplug/manual VGA detection

Ingo Molnar (1):
      Merge branch 'clockevents/fixes' of git://git.linaro.org/people/daniel.lezcano/linux into timers/urgent

Jacob Pan (1):
      powercap / RAPL: add support for ValleyView Soc

Jakob Bornecrantz (1):
      drm/vmwgfx: Add max surface memory param

Jamal Hadi Salim (1):
      net_sched: act: Dont increment refcnt on replace

James Hogan (3):
      of: Fix NULL dereference in unflatten_and_copy()
      serial: 8250_dw: Fix LCR workaround regression
      clk: clk-divider: fix divisor > 255 bug

James Solner (1):
      Add Documentation/module-signing.txt file

Jan Beulich (2):
      fix build with make 3.80
      x86/efi: Don't select EFI from certain special ACPI drivers

Jan Kara (4):
      ext2: Fix oops in ext2_get_block() called from ext2_quota_write()
      ext4: Do not reserve clusters when fs doesn't support extents
      jbd2: revise KERN_EMERG error messages
      ext4: fix deadlock when writing in ENOSPC conditions

Jan Kiszka (2):
      KVM: x86: Fix APIC map calculation after re-enabling
      KVM: nVMX: Unconditionally uninit the MMU on nested vmexit

Jani Nikula (4):
      drm/i915: parse backlight modulation frequency from the BIOS VBT
      drm/i915: only build i915_debugfs.c when CONFIG_DEBUG_FS is enabled
      drm/i915: remove duplicate MODULE_LICENSE definition
      drm/i915: add braces around KHz/MHz macro parameters

Jason Baron (2):
      cpufreq: Use CONFIG_CPU_FREQ_DEFAULT_* to set initial policy for setpolicy drivers
      epoll: do not take the nested ep->mtx on EPOLL_CTL_DEL

Jason Cooper (1):
      dma: mv_xor: remove mv_desc_get_dest_addr()

Jason Wang (4):
      netvsc: don't flush peers notifying work during setting mtu
      virtio-net: fix refill races during restore
      macvlan: forbid L2 fowarding offload for macvtap
      net: core: explicitly select a txq before doing l2 forwarding

Javier Lopez (1):
      mac80211_hwsim: Fix NULL pointer dereference

Jean-Francois Moine (1):
      ASoC: kirkwood: Fix the CPU DAI rates

Jens Axboe (1):
      Merge branch 'bcache-for-3.13' of git://evilpiepirate.org/~kent/linux-bcache into for-linus

Jesper Dangaard Brouer (3):
      netfilter: WARN about wrong usage of sequence number adjustments
      ipvs: correct usage/allocation of seqadj ext in ipvs
      netfilter: only warn once on wrong seqadj usage

Jesse Barnes (7):
      drm/i915/vlv: add early DPIO init v3
      drm/i915/vlv: split DPIO init and reset
      drm/i915: check modeset state after a pipe_set_base if using fastboot
      drm/i915: fix fastboot pfit disable hack to update pipe w/h
      drm/i915: use crtc_htotal when calculating ilk watermarks
      drm/i915/bdw: don't try to check IPS state on BDW v2
      drm/i915/bdw: make sure south port interrupts are enabled properly v2

Jiang Liu (4):
      drivers/dma/ioat/dma.c: check DMA mapping error in ioat_dma_self_test()
      ACPI / TPM: fix memory leak when walking ACPI namespace
      Revert "intel_idle: mark states tables with __initdata tag"
      intel_idle: close avn_cstates array with correct marker

Jianguo Wu (2):
      mm/memory-failure.c: recheck PageHuge() after hugetlb page migrate successfully
      mm/hugetlb: check for pte NULL pointer in __page_check_address()

Jie Liu (4):
      xfs: fix false assertion at xfs_qm_vop_create_dqattach
      xfs: fix assertion failure at xfs_setattr_nonsize
      xfs: fix infinite loop by detaching the group/project hints from user dquot
      xfs: fix off-by-one error in xfs_attr3_rmt_verify

Johannes Berg (1):
      radiotap: fix bitmap-end-finding buffer overrun

Johannes Weiner (2):
      mm: page_alloc: exclude unreclaimable allocations from zone fairness policy
      mm: page_alloc: revert NUMA aspect of fair allocation policy

John David Anglin (1):
      parisc: Ensure full cache coherency for kmap/kunmap

John Fastabend (1):
      net: allow netdev_all_upper_get_next_dev_rcu with rtnl lock held

John W. Linville (7):
      Merge branch 'for-john' of git://git.kernel.org/.../jberg/mac80211
      Merge branch 'for-upstream' of git://git.kernel.org/.../bluetooth/bluetooth
      Merge branch 'master' of git://git.kernel.org/.../linville/wireless into for-davem
      Merge branch 'for-john' of git://git.kernel.org/.../jberg/mac80211
      Merge branch 'for-john' of git://git.kernel.org/.../iwlwifi/iwlwifi-fixes
      Merge tag 'nfc-fixes-3.13-1' of git://git.kernel.org/.../sameo/nfc-fixes
      Merge branch 'master' of git://git.kernel.org/.../linville/wireless into for-davem

Jonathan Cameron (3):
      staging:iio:mag:hmc5843 fix incorrect endianness of channel as a result of missuse of the IIO_ST macro.
      iio:imu:adis16400 fix pressure channel scan type
      iio:adc:ad7887 Fix channel reported endianness from cpu to big endian

JongHo Kim (1):
      ALSA: Add SNDRV_PCM_STATE_PAUSED case in wait_for_avail function

Joonsoo Kim (2):
      mm/mempolicy: correct putback method for isolate pages if failed
      mm/compaction: respect ignore_skip_hint in update_pageblock_skip

Josh Boyer (2):
      cpupower: Fix segfault due to incorrect getopt_long arugments
      xen-netback: Include header for vmalloc

Julien Grall (1):
      xen/block: Correctly define structures in public headers on ARM32 and ARM64

Junho Ryu (1):
      ext4: fix use-after-free in ext4_mb_new_blocks

Kelly Doran (1):
      drm/nvc0/gr: fix mthd data submission

Kent Overstreet (4):
      bcache: Use uninterruptible sleep in writeback
      bcache: Fix dirty_data accounting
      bcache: bugfix for race between moving_gc and bucket_invalidate
      bcache: New writeback PD controller

Kevin Hilman (3):
      Merge tag 'keystone/maintainer-file' of git://git.kernel.org/.../ssantosh/linux-keystone into fixes
      Merge tag 'omap-for-v3.13/display-fix' of git://git.kernel.org/.../tmlind/linux-omap into fixes
      Merge tag 'renesas-fixes-for-v3.13' of git://git.kernel.org/.../horms/renesas into fixes

Kirill A. Shutemov (2):
      mm: Fix NULL pointer dereference in madvise(MADV_WILLNEED) support
      mm: do not allocate page->ptl dynamically, if spinlock_t fits to long

Kirill Tkhai (4):
      sparc64: Fix build regression
      KEYS: Remove files generated when SYSTEM_TRUSTED_KEYRING=y
      sched/rt: Fix rq's cpupri leak while enqueue/dequeue child RT entities
      sparc64: smp_callin: Enable irqs after preemption is disabled

Kishon Vijay Abraham I (3):
      usb: dwc3: invoke phy_resume after phy_init
      usb: dwc3: power off usb phy in error path
      phy: kconfig: add depends on "USB_PHY" to OMAP_USB2 and TWL4030_USB

Krzysztof Hałasa (1):
      crypto: ixp4xx - Fix kernel compile error

Krzysztof Kozlowski (2):
      mfd: s2mps11: Fix build after regmap field rename in sec-core.c
      clk: exynos: File scope reg_save array should depend on PM_SLEEP

Kumar Sanghvi (8):
      cxgb4: Reserve stid 0 for T4/T5 adapters
      cxgb4: Include TCP as protocol when creating server filters
      cxgb4: Assign filter server TIDs properly
      cxgb4: Account for stid entries properly in case of IPv6
      cxgb4: Add API to correctly calculate tuple fields
      RDMA/cxgb4: Calculate the filter server TID properly
      RDMA/cxgb4: Server filters are supported only for IPv4
      RDMA/cxgb4: Use cxgb4_select_ntuple to correctly calculate ntuple fields

Kuninori Morimoto (1):
      ARM: shmobile: bockw: fixup DMA mask

Lan Tianyu (1):
      ACPI / Battery: Add a _BIX quirk for NEC LZ750/LS

Larry Finger (1):
      rtlwifi: pci: Fix oops on driver unload

Laura Abbott (1):
      ARM: 7931/1: Correct virt_addr_valid

Laurent Pinchart (6):
      irqchip: renesas-intc-irqpin: Fix register bitfield shift calculation
      sh-pfc: Fix PINMUX_GPIO macro
      ARM: shmobile: armadillo: Add PWM backlight power supply
      ARM: shmobile: armadillo: Fix coherent DMA mask
      ARM: shmobile: kzm9g: Fix coherent DMA mask
      ARM: shmobile: mackerel: Fix coherent DMA mask

Leigh Brown (1):
      net: mvmdio: fix interrupt timeout handling

Len Brown (1):
      x86 idle: Repair large-server 50-watt idle-power regression

Li RongQing (6):
      ipv6: always set the new created dst's from in ip6_rt_copy
      ipv6: release dst properly in ipip6_tunnel_xmit
      ipv6: fix the use of pcpu_tstats in sit
      ipv6: fix the use of pcpu_tstats in ip6_tunnel
      ipv6: fix the use of pcpu_tstats in ip6_vti.c
      ipv6: pcpu_tstats.syncp should be initialised in ip6_vti.c

Li Wang (1):
      ceph: Avoid data inconsistency due to d-cache aliasing in readpage()

Li Zefan (1):
      cgroup: don't recycle cgroup id until all csses' have been destroyed

Linus Torvalds (78):
      Merge branch 'merge' of git://git.kernel.org/.../benh/powerpc
      Merge branch 'for-linus' of git://git.kernel.org/.../sage/ceph-client
      Merge tag 'gpio-v3.13-4' of git://git.kernel.org/.../linusw/linux-gpio
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'timers-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 's2mps11-build' of git://git.kernel.org/.../broonie/regulator
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge branch 'keys-devel' of git://git.kernel.org/.../dhowells/linux-fs
      Merge tag 'driver-core-3.13-rc5' of git://git.kernel.org/.../gregkh/driver-core
      Merge tag 'staging-3.13-rc5' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'tty-3.13-rc5' of git://git.kernel.org/.../gregkh/tty
      Merge tag 'usb-3.13-rc5' of git://git.kernel.org/.../gregkh/usb
      Merge branch 'akpm' (incoming from Andrew)
      Merge tag 'sound-3.13-rc5' of git://git.kernel.org/.../tiwai/sound
      Merge branch 'perf-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge branch 'sched-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 'trace-fixes-v3.13-rc2' of git://git.kernel.org/.../rostedt/linux-trace
      Merge tag 'stable/for-linus-3.13-rc4-tag' of git://git.kernel.org/.../xen/tip
      Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'dmaengine-fixes-3.13-rc4' of git://git.kernel.org/.../djbw/dmaengine
      Merge tag 'arm64-fixes' of git://git.kernel.org/.../arm64/linux
      Merge tag 'arc-fixes-for-3.13-rc5' of git://git.kernel.org/.../vgupta/arc
      Merge tag 'xfs-for-linus-v3.13-rc5' of git://oss.sgi.com/xfs/xfs
      Don't set the INITRD_COMPRESS environment variable automatically
      aio: clean up and fix aio_setup_ring page mapping
      Merge git://git.kvack.org/~bcrl/aio-next
      Merge git://git.kernel.org/.../nab/target-pending
      Merge tag 'firewire-fix' of git://git.kernel.org/.../ieee1394/linux1394
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../arm/arm-soc
      Linux 3.13-rc5
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../rusty/linux
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge tag 'rdma-for-linus' of git://git.kernel.org/.../roland/infiniband
      Merge branch 'for_linus' of git://git.kernel.org/.../jack/linux-fs
      Merge branch 'for-linus' of git://git.kernel.org/.../jmorris/linux-security
      Merge branch 'for-3.13-fixes' of git://git.kernel.org/.../tj/libata
      Merge branch 'for-3.13-fixes' of git://git.kernel.org/.../tj/percpu
      Merge branch 'for-3.13-fixes' of git://git.kernel.org/.../tj/cgroup
      Merge branch 'for-linus' of git://git.kernel.dk/linux-block
      Merge tag 'ext4_for_linus' of git://git.kernel.org/.../tytso/ext4
      Merge tag 'pm+acpi-3.13-rc6' of git://git.kernel.org/.../rafael/linux-pm
      Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/.../tip/tip
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../arm/arm-soc
      Linux 3.13-rc6
      Merge git://git.kernel.org/.../davem/net
      Merge branch 'merge' of git://git.kernel.org/.../benh/powerpc
      Merge tag 'dt-fixes-for-3.13' of git://git.kernel.org/.../robh/linux
      Merge branch 'for-linus' of git://git.samba.org/sfrench/cifs-2.6
      Merge branch 'for-linus' of git://git.kernel.org/.../dtor/input
      Merge git://git.kernel.org/.../herbert/crypto-2.6
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge tag 'gfs2-fixes' of git://git.kernel.org/.../steve/gfs2-3.0-fixes
      Merge branch 'akpm' (incoming from Andrew)
      Merge git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge tag 'pm+acpi-3.13-rc7' of git://git.kernel.org/.../rafael/linux-pm
      Merge tag 'for-v3.13-fixes' of git://git.infradead.org/battery-2.6
      Linux 3.13-rc7
      Merge git://git.kernel.org/.../davem/sparc
      Merge branch 'fixes' of git://ftp.arm.linux.org.uk/~rmk/linux-arm
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'ext4_for_linus_stable' of git://git.kernel.org/.../tytso/ext4
      Merge branch 'for-3.13-fixes' of git://git.kernel.org/.../tj/libata
      Merge branch 'parisc-3.13' of git://git.kernel.org/.../deller/parisc-linux
      Merge tag 'fixes-for-linus' of git://git.kernel.org/.../arm/arm-soc
      Merge tag 'clk-fixes-for-linus' of git://git.linaro.org/people/mike.turquette/linux
      Merge tag 'mfd-fixes-3.13-2' of git://git.kernel.org/.../sameo/mfd-fixes
      Merge tag 'pm+acpi-3.13-rc8' of git://git.kernel.org/.../rafael/linux-pm
      Merge branch 'leds-fixes-for-3.13' of git://git.kernel.org/.../cooloney/linux-leds
      Merge tag 'xfs-for-linus-v3.13-rc8' of git://oss.sgi.com/xfs/xfs
      Merge git://git.kernel.org/.../davem/net
      x86, fpu, amd: Clear exceptions in AMD FXSAVE workaround
      Linux 3.13-rc8
      Merge branch 'x86/urgent' of git://git.kernel.org/.../tip/tip
      Merge branch 'merge' of git://git.kernel.org/.../benh/powerpc
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux

Linus Walleij (2):
      MAINTAINERS: update GPIO maintainers entry
      ARM: pxa: fix USB gadget driver compilation regression

Luiz Capitulino (1):
      virtio_balloon: update_balloon_size(): update correct field

Magnus Damm (1):
      ARM: shmobile: r8a7790: Fix GPIO resources in DTS

Manish Chopra (5):
      qlcnic: Fix memory allocation
      qlcnic: Allow firmware dump collection when auto firmware recovery is disabled
      qlcnic: Fix loopback diagnostic test
      qlcnic: Fix resource allocation for TX queues
      qlcnic: Fix bug in TX statistics

Manish chopra (2):
      qlcnic: Fix mailbox processing during diagnostic test
      qlcnic: Dump mailbox registers when mailbox command times out.

Marc Carino (1):
      libata: implement ATA_HORKAGE_NO_NCQ_TRIM and apply it to Micro M500 SSDs

Marc Kleine-Budde (1):
      can: peak_usb: fix mem leak in pcan_usb_pro_init()

Marc Zyngier (1):
      clocksource: sunxi: Stop timer from ticking before enabling interrupts

Marcel Holtmann (1):
      Bluetooth: Fix HCI User Channel permission check in hci_sock_sendmsg

Marco Piazza (1):
      Bluetooth: Add support for Toshiba Bluetooth device [0930:0220]

Marek Olšák (4):
      drm/radeon: fix render backend setup for SI and CIK
      drm/radeon: expose render backend mask to the userspace
      drm/radeon: set correct pipe config for Hawaii in DCE
      drm/radeon: set correct number of banks for CIK chips in DCE

Marek Vasut (1):
      ahci: imx: Explicitly clear IMX6Q_GPR13_SATA_MPLL_CLK_EN

Maria Dimakopoulou (1):
      perf/x86: Fix constraint table end marker bug

Mark Brown (6):
      Merge remote-tracking branch 'asoc/fix/core' into asoc-linus
      Merge remote-tracking branch 'asoc/fix/dma' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/atmel', 'asoc/fix/fsl', 'asoc/fix/tegra' and 'asoc/fix/wm8962' into asoc-linus
      Merge remote-tracking branch 'asoc/fix/core' into asoc-linus
      Merge remote-tracking branch 'asoc/fix/dma' into asoc-linus
      Merge remote-tracking branches 'asoc/fix/adsp', 'asoc/fix/arizona', 'asoc/fix/atmel', 'asoc/fix/fsl', 'asoc/fix/kirkwood', 'asoc/fix/tegra', 'asoc/fix/wm8904' and 'asoc/fix/wm8962' into asoc-linus

Mark Tinguely (1):
      xfs: fix memory leak in xfs_dir2_node_removename

Martin Andersson (1):
      drm/radeon/dpm: Fix hwmon crash

Martin Schwidefsky (1):
      s390/3270: fix allocation of tty3270_screen structure

Masami Ichikawa (1):
      PM / sleep: Fix memory leak in pm_vt_switch_unregister().

Mathy Vanhoef (1):
      ath9k_htc: properly set MAC address and BSSID mask

Matias Bjorling (4):
      null_blk: mem garbage on NUMA systems during init
      null_blk: documentation
      null_blk: refactor init and init errors code paths
      null_blk: warning on ignored submit_queues param

Matias Bjørling (3):
      null_blk: corrections to documentation
      null_blk: set use_per_node_hctx param to false
      null_blk: support submit_queues on use_per_node_hctx

Matteo Facchinetti (1):
      powerpc/512x: dts: disable MPC5125 usb module

Mel Gorman (15):
      sched: Assign correct scheduling domain to 'sd_llc'
      mm: numa: serialise parallel get_user_page against THP migration
      mm: numa: call MMU notifiers on THP migration
      mm: clear pmd_numa before invalidating
      mm: numa: do not clear PMD during PTE update scan
      mm: numa: do not clear PTE for pte_numa update
      mm: numa: ensure anon_vma is locked to prevent parallel THP splits
      mm: numa: avoid unnecessary work on the failure path
      sched: numa: skip inaccessible VMAs
      mm: numa: clear numa hinting information on mprotect
      mm: numa: avoid unnecessary disruption of NUMA hinting during migration
      mm: numa: guarantee that tlb_flush_pending updates are visible before page table updates
      mm: numa: defer TLB flush for THP migration as long as possible
      Revert "mm: page_alloc: exclude unreclaimable allocations from zone fairness policy"
      mm: remove bogus warning in copy_huge_pmd()

Miao Xie (1):
      ftrace: Initialize the ftrace profiler for each possible cpu

Michael Neuling (1):
      powerpc: Fix bad stack check in exception entry

Michal Kalderon (2):
      bnx2x: Correct number of MSI-X vectors for VFs
      bnx2x: Clean before update RSS arrives

Michal Schmidt (2):
      bnx2x: downgrade "valid ME register value" message level
      bnx2x: fix DMA unmapping of TSO split BDs

Michal Simek (1):
      MAINTAINERS: set up proper record for Xilinx Zynq

Michele Baldessari (1):
      libata: add ATA_HORKAGE_BROKEN_FPDMA_AA quirk for Seagate Momentus SpinPoint M8

Mika Westerberg (1):
      serial: 8250_dw: add new ACPI IDs

Mike Turquette (1):
      Merge tag 'samsung-clk-fixes' of git://git.kernel.org/.../tfiga/samsung-clk into clk-fixes

Milo Kim (1):
      leds: lp5521/5523: Remove duplicate mutex

Ming Lei (2):
      scripts/link-vmlinux.sh: only filter kernel symbols for arm
      block: null_blk: fix queue leak inside removing device

Mugunthan V N (2):
      drivers: net cpsw: Enable In Band mode in cpsw for 10 mbps
      drivers: net : cpsw: pass proper device name while requesting irq

Namjae Jeon (1):
      MAINTAINERS: fix incorrect mail address of XFS maintainer

Naoya Horiguchi (1):
      mm/memory-failure.c: transfer page count from head page to tail page after split thp

Nicholas Bellinger (5):
      iscsi-target: Fix-up all zero data-length CDBs with R/W_BIT set
      iscsi-target: Fix incorrect np->np_thread NULL assignment
      iser-target: Move INIT_WORK setup into isert_create_device_ib_res
      target/file: Update hw_max_sectors based on current block_size
      qla2xxx: Fix scsi_host leak on qlt_lport_register callback failure

Nicholas Swenson (5):
      bcache: Fix for can_attach_cache()
      bcache: Fix heap_peek() macro
      bcache: fix for gc crashing when no sectors are used
      bcache: bugfix - moving_gc now moves only correct buckets
      bcache: fix for gc and writeback race

Nicolin Chen (3):
      ASoC: soc-pcm: Use valid condition for snd_soc_dai_digital_mute() in hw_free()
      ASoC: wm8962: Enable SYSCLK provisonally before fetching generated DSPCLK_DIV
      ASoC: fsl: imx-wm8962: Don't update bias_level in machine driver

Nithin Sujir (1):
      tg3: Expand 4g_overflow_test workaround to skb fragments of any size.

Nobuhiro Iwamatsu (1):
      sh: add EXPORT_SYMBOL(min_low_pfn) and EXPORT_SYMBOL(max_low_pfn) to sh_ksyms_32.c

Octavian Purdila (1):
      usbnet: mcs7830: rework link state detection

Oleg Nesterov (1):
      selinux: selinux_setprocattr()->ptrace_parent() needs rcu_read_lock()

Olof Johansson (6):
      dmaengine: at_hdmac: remove unused function
      mm: fix build of split ptlock code
      Merge tag 'renesas-fixes2-for-v3.13' of git://git.kernel.org/.../horms/renesas into fixes
      Merge tag 'omap-for-v3.13/intc-ldp-fix' of git://git.kernel.org/.../tmlind/linux-omap into fixes
      powerpc: Fix alignment of secondary cpu spin vars
      Merge tag 'renesas-fixes3-for-v3.13' of git://git.kernel.org/.../horms/renesas into fixes

Oren Givon (1):
      iwlwifi: add new devices for 7265 series

Pablo Neira Ayuso (3):
      netfilter: nf_tables: fix dumping with large number of sets
      netfilter: nf_tables: fix oops when updating table with user chains
      netfilter: nf_tables: fix wrong datatype in nft_validate_data_load()

Paolo Bonzini (1):
      Merge tag 'signed-for-3.13' of git://github.com/agraf/linux-2.6 into kvm-master

Patrick McHardy (1):
      netfilter: SYNPROXY target: restrict to INPUT/FORWARD

Paul Bolle (1):
      ahci: bail out on ICH6 before using AHCI BAR

Paul Drews (1):
      ACPI: Add BayTrail SoC GPIO and LPSS ACPI IDs

Paul Durrant (1):
      xen-netback: fix guest-receive-side array sizes

Paul E. McKenney (1):
      powerpc: Make 64-bit non-VMX __copy_tofrom_user bi-endian

Paul Mackerras (5):
      KVM: PPC: Book3S HV: Fix physical address calculations
      KVM: PPC: Book3S HV: Refine barriers in guest entry/exit
      KVM: PPC: Book3S HV: Make tbacct_lock irq-safe
      KVM: PPC: Book3S HV: Take SRCU read lock around kvm_read_guest() call
      KVM: PPC: Book3S HV: Don't drop low-order page address bits

Paulo Zanoni (10):
      drm/i915: change CRTC assertion on LCPLL disable
      drm/i915: get a PC8 reference when enabling the power well
      drm/i915: cancel the hangcheck before runtime suspend
      drm/i915: release the GTT mmaps when going into D3
      drm/i915: add runtime PM support on Haswell
      drm/i915: avoid unclaimed registers when capturing the error state
      drm/i915: fix DDI PLLs HW state readout code
      drm/i915: fix wrong PLL debug messages.
      drm/i915: don't set modes for 2 connectors on the same encoder
      drm/i915: only apply GAMMA_MODE IPS WA on HSW

Peter Chen (1):
      usb: chipidea: fix nobody cared IRQ when booting with host role

Peter Hurley (2):
      tty: Fix hang at ldsem_down_read()
      n_tty: Fix apparent order of echoed output

Peter Korsgaard (4):
      dm9601: add support for dm9621a based dongle
      dm9601: fix reception of full size ethernet frames on dm9620/dm9621a
      dm9601: make it clear that dm9620/dm9621a are also supported
      dm9601: work around tx fifo sync issue on dm962x

Peter Zijlstra (4):
      sched: Initialize power_orig for overlapping groups
      sched: Remove PREEMPT_NEED_RESCHED from generic code
      math64: Add mul_u64_u32_shr()
      sched/fair: Rework sched_fair time accounting

Rafael J. Wysocki (12):
      Merge branches 'pm-cpufreq' and 'pm-sleep' containing PM fixes
      Merge branches 'powercap' and 'acpi-lpss' with new device IDs
      cpufreq: Clean up after a failing light-weight initialization
      PCI / ACPI: Install wakeup notify handlers for all PCI devs with ACPI
      intel_pstate: Fail initialization if P-state information is missing
      ACPIPHP / radeon / nouveau: Fix VGA switcheroo problem related to hotplug
      Merge branches 'acpi-pci-pm' and 'acpi-pci-hotplug'
      Merge branch 'cpuidle/3.13-fixes' of git://git.linaro.org/people/daniel.lezcano/linux into pm-cpuidle
      Merge branches 'pm-cpufreq' and 'pm-cpuidle'
      Merge branches 'acpi-ac' and 'acpi-tpm'
      Merge branches 'acpi-battery' and 'pm-cpufreq'
      Merge branch 'pm-cpuidle'

Rafał Miłecki (2):
      Input: define KEY_WWAN for Wireless WAN
      drm/edid: add quirk for BPC in Samsung NP700G7A-S01PL notebook

Rajendra Nayak (1):
      ARM: DRA7: hwmod: Fix boot crash with DEBUG_LL

Rajesh B Prathipati (1):
      powerpc: Make unaligned accesses endian-safe for powerpc

Randy Dunlap (1):
      gpu: fix qxl missing crc32_le

Rashika (1):
      RDMA/cxgb4: Make _c4iw_write_mem_dma() static

Rashika Kheria (1):
      drivers: block: Mark the functions as static in skd_main.c

Rik van Riel (2):
      mm: fix TLB flush race between migration, and change_protection_range
      mm: fix use-after-free in sys_remap_file_pages

Rob Herring (4):
      Revert "of/address: Handle #address-cells > 2 specially"
      MAINTAINERS: Update Rob Herring's email address
      Merge remote-tracking branch 'grant/devicetree/merge' into dt-fixes
      ARM: 7933/1: rename ioremap_cached to ioremap_cache

Robin H. Johnson (1):
      libata: disable a disk via libata.force params

Roger Quadros (1):
      gpio: twl4030: Fix regression for twl gpio LED output

Roland Dreier (3):
      target: Remove write-only stats fields and lock from struct se_node_acl
      IB/uverbs: New macro to set pointers to NULL if length is 0 in INIT_UDATA()
      Merge branches 'cxgb4', 'flowsteer' and 'misc' into for-linus

Russell King (15):
      DRM: Armada: implement lastclose() for fbhelper
      DRM: Armada: destroy framebuffer after helper
      DRM: Armada: fix printing of phys_addr_t/dma_addr_t
      DRM: Armada: prime refcounting bug fix
      dmaengine: mv_xor: fix oops when channels fail to initialise
      imx-drm: imx-drm-core: fix error cleanup path for imx_drm_add_crtc()
      imx-drm: imx-drm-core: fix DRM cleanup paths
      imx-drm: ipu-v3: fix potential CRTC device registration race
      imx-drm: imx-tve: don't call sleeping functions beneath enable_lock spinlock
      imx-drm: imx-drm-core: use defined constant for number of CRTCs.
      imx-drm: imx-drm-core: make imx_drm_crtc_register() safer
      imx-drm: imx-drm-core: improve safety of imx_drm_add_crtc()
      ARM: fix footbridge clockevent device
      CRYPTO: Fix more AES build errors
      ARM: fix "bad mode in ... handler" message for undefined instructions

Sachin Kamat (1):
      drivers: phy: Fix memory leak

Sachin Prabhu (1):
      cifs: We do not drop reference to tlink in CIFSCheckMFSymlink()

Salva Peiró (1):
      hamradio/yam: fix info leak in ioctl

Santosh Shilimkar (2):
      MAINTAINERS: Add keystone git tree information
      MAINTAINERS: Add keystone clock drivers

Sasha Levin (2):
      net: unix: allow bind to fail on mutex lock
      rds: prevent dereference of a NULL device

Scott Wood (1):
      powerpc/kvm/booke: Fix build break due to stack frame size warning

Sebastian Ott (1):
      s390/pci: obtain function handle in hotplug notifier

Sergei Shtylyov (1):
      phy: IRQ cannot be shared

Seung-Woo Kim (1):
      clk: samsung: exynos4: Correct SRC_MFC register

Shahed Shaikh (2):
      qlcnic: Fix bug in Tx completion path
      qlcnic: Fix ethtool statistics length calculation

Shirish Pargaonkar (1):
      cifs: set FILE_CREATED

Shivaram Upadhyayula (1):
      qla2xxx: Fix schedule_delayed_work() for target timeout calculations

Shuah Khan (1):
      power_supply: Fix Oops from NULL pointer dereference from wakeup_source_activate

Sid Boyce (1):
      drm/nvce/mc: fix msi rearm on GF114

Sima Baymani (1):
      mm: add missing dependency in Kconfig

Simon Guinot (1):
      ahci: add PCI ID for Marvell 88SE9170 SATA controller

Simon Horman (1):
      ARM: shmobile: lager: phy fixup needs CONFIG_PHYLIB

Simon Wunderlich (3):
      batman-adv: fix alignment for batadv_coded_packet
      batman-adv: fix header alignment by unrolling batadv_header
      batman-adv: fix size of batadv_bla_claim_dst

Soren Brinkmann (1):
      tty: xuartps: Properly guard sysrq specific code

Stefan Priebe (1):
      bcache: kthread don't set writeback task to INTERUPTIBLE

Stefan Richter (1):
      firewire: sbp2: bring back WRITE SAME support

Stefano Stabellini (2):
      xen/arm64: do not call the swiotlb functions twice
      xen/balloon: Seperate the auto-translate logic properly (v2)

Steffen Klassert (1):
      MAINTAINERS: Update the IPsec maintainer entry

Stephen Boyd (1):
      gpio: msm: Fix irq mask/unmask by writing bits instead of numbers

Stephen Warren (1):
      ASoC: tegra: fix uninitialized variables in set_fmt

Steve French (1):
      Add missing end of line termination to some cifs messages

Steve Wise (1):
      RDMA/iwcm: Don't touch cm_id after deref in rem_ref

Steven Capper (1):
      ARM: 7923/1: mm: fix dcache flush logic for compound high pages

Steven Rostedt (2):
      ftrace/x86: Load ftrace_ops in parameter not the variable holding it
      SELinux: Fix possible NULL pointer dereference in selinux_inode_permission()

Steven Whitehouse (3):
      GFS2: don't hold s_umount over blkdev_put
      GFS2: Fix incorrect invalidation for DIO/buffered I/O
      GFS2: Wait for async DIO in glock state changes

Sujith Manoharan (1):
      ath9k: Fix interrupt handling for the AR9002 family

Suman Anna (1):
      ARM: OMAP2+: hwmod_data: fix missing OMAP_INTC_START in irq data

Suresh Reddy (2):
      be2net: increase the timeout value for loopback-test FW cmd
      be2net: fix max_evt_qs calculation for BE3 in SR-IOV config

Takashi Iwai (3):
      xhci: Limit the spurious wakeup fix only to HP machines
      Merge tag 'asoc-v3.13-rc3' of git://git.kernel.org/.../broonie/sound into for-linus
      Merge tag 'asoc-v3.13-rc4' of git://git.kernel.org/.../broonie/sound into for-linus

Tejun Heo (4):
      percpu: fix spurious sparse warnings from DEFINE_PER_CPU()
      cgroup: fix cgroup_create() error handling path
      sysfs: give different locking key to regular and bin files
      libata, freezer: avoid block device removal while system is frozen

Tetsuo Handa (2):
      GFS2: Fix unsafe dereference in dump_holder()
      drm/i915: Fix refcount leak and possible NULL pointerdereference.

Thadeu Lima de Souza Cascardo (1):
      cxgb4: allow large buffer size to have page size

Theodore Ts'o (3):
      ext4: call ext4_error_inode() if jbd2_journal_dirty_metadata() fails
      jbd2: don't BUG but return ENOSPC if a handle runs out of space
      ext4: add explicit casts when masking cluster sizes

Thierry Reding (1):
      clocksource: clksrc-of: Do not drop unheld reference on device node

Thomas Gleixner (1):
      mfd: rtsx_pcr: Disable interrupts before cancelling delayed works

Thomas Hellstrom (2):
      drm/ttm: Fix accesses through vmas with only partial coverage
      drm/ttm: Fix swapin regression

Timo Teräs (1):
      ip_gre: fix msg_name parsing for recvfrom/recvmsg

Tomasz Figa (1):
      ARM: s3c64xx: dt: Fix boot failure due to double clock initialization

Tomi Valkeinen (1):
      Revert "ARM: OMAP2+: Remove legacy mux code for display.c"

Tony Lindgren (2):
      ARM: OMAP2+: Fix LCD panel backlight regression for LDP legacy booting
      Merge tag 'for-v3.13-rc/hwmod-fixes-b' of git://git.kernel.org/.../pjw/omap-pending into debug-ll-and-ldp-backlight-fix

Tony Luck (1):
      pstore: Don't allow high traffic options on fragile devices

Toshi Kani (1):
      ACPI / PCI / hotplug: Avoid warning when _ADR not present

Ujjal Roy (1):
      cfg80211: fix WARN_ON for re-association to the expired BSS

Ulrich Weigand (1):
      powerpc: PTRACE_PEEKUSR always returns FPR0

Valentine Barshak (1):
      gpio: rcar: Fix level interrupt handling

Vasundhara Volam (1):
      be2net: disable RSS when number of RXQs is reduced to 1 via set-channels

Ville Syrjälä (24):
      drm/i915: Take modeset locks around intel_modeset_setup_hw_state()
      drm/i915: Skip clock checks on BDW
      drm/i915: Add IVB DDB partitioning control
      drm/i915: Add ILK/SNB/IVB WM latency field support
      drm/i915: Avoid computing invalid WM levels when sprites/scaling is enabled
      drm/i915: Fix LP1 sprite watermarks for ILK/SNB
      drm/i915: Fix LP1+ watermark disabling ILK
      drm/i915: Don't merge LP1+ watermarks on ILK/SNB/IVB when multiple pipes are enabled
      drm/i915: Disable FBC WM on ILK, and disable LP2+ when FBC is enabled
      drm/i915: Linetime watermarks are a HSW feature
      drm/i915: Disable LP1+ watermarks safely in init
      drm/i915: Move ILK/SNB/IVB over to the HSW WM code
      drm/i915: Try to fix the messy IVB sprite scaling workaround
      drm/i915: Don't disable primary when color keying is used
      drm/i915: Avoid underruns when disabling sprites
      Revert "drm/i915/sprite: Always enable the scaler on IronLake"
      drm/i915: Fix watermark code for BDW
      drm/i915: Enable watermarks for BDW
      drm/i915: Simplify watermark/init_clock_gating setup
      drm/i915: Don't grab crtc mutexes in intel_modeset_gem_init()
      drm/i915: Don't swap planes on 830M
      drm/i915: No panel fitter on 830M or non-mobile gen2/3 platforms
      drm/i915: 830M doesn't have an LVDS port
      drm/i915: Fix 915GM self-refresh enable/disable

Vince Bridgers (1):
      stmmac: Fix incorrect spinlock release and PTP cap detection.

Vince Weaver (1):
      perf: Document the new transaction sample type

Vineet Gupta (1):
      ARC: Allow conditional multiple inclusion of uapi/asm/unistd.h

Viresh Kumar (2):
      cpufreq: remove sysfs files for CPUs which failed to come back after resume
      cpufreq: preserve user_policy across suspend/resume

Vivek Goyal (1):
      kexec: migrate to reboot cpu

Vlad Yasevich (1):
      sctp: Remove outqueue empty state

Vladimir Davydov (1):
      memcg: fix memcg_size() calculation

Vlastimil Babka (2):
      mm: munlock: fix a bug where THP tail page is encountered
      mm: munlock: fix deadlock in __munlock_pagevec()

Wang Weidong (1):
      dccp: catch failed request_module call in dccp_probe init

Wanpeng Li (1):
      mm/mempolicy: fix !vma in new_vma_page()

Wei Yongjun (5):
      bcache: fix sparse non static symbol warning
      iser-target: fix error return code in isert_create_device_ib_res()
      drm/i915: fix return value check of debugfs_create_file()
      xen-netback: fix fragments error handling in checksum_setup_ip()
      xen-netback: fix some error return code

Wei-Chun Chao (1):
      ipv4: fix tunneled VM traffic over hw VXLAN/GRE GSO NIC

Wenliang Fan (1):
      drivers/net/hamradio: Integer overflow in hdlcdrv_ioctl()

Will Deacon (2):
      dma: pl330: ensure DMA descriptors are zero-initialised
      arm64: ptrace: avoid using HW_BREAKPOINT_EMPTY for disabled events

Xiao Guangrong (1):
      KEYS: fix uninitialized persistent_keyring_register_sem

Yan, Zheng (1):
      ceph: initialize inode before instantiating dentry

Yaniv Rosner (5):
      bnx2x: Fix 578xx-KR 1G link
      bnx2x: Fix passive DAC cable detection
      bnx2x: Fix Duplex setting for 54618se
      bnx2x: Fix incorrect link-up report
      bnx2x: Fix KR2 work-around detection of BCM8073

Yann Droneaud (7):
      IB/core: const'ify inbuf in struct ib_udata
      IB/uverbs: Check reserved field in extended command header
      IB/uverbs: Check comp_mask in destroy_flow
      IB/uverbs: Check reserved fields in create_flow
      IB/uverbs: Set error code when fail to consume all flow_spec items
      IB/uverbs: Check input length in flow steering uverbs
      IB/uverbs: Check access to userspace response buffer in extended command

Yasushi Asano (1):
      ipv6 addrconf: fix preferred lifetime state-changing behavior while valid_lft is infinity

Yijing Wang (1):
      sparc/PCI: Use dev_is_pci() to identify PCI devices

Ying Xue (1):
      tipc: fix deadlock during socket release

Yuval Mintz (3):
      bnx2x: fix AFEX memory overflow
      bnx2x: fix VLAN configuration for VFs.
      bnx2x: prevent WARN during driver unload

dingtianhong (3):
      bonding: protect port for bond_3ad_adapter_speed_changed()
      bonding: protect port for bond_3ad_adapter_duplex_changed()
      bonding: protect port for bond_3ad_handle_link_change()

fan.du (1):
      {vxlan, inet6} Mark vxlan_dev flags with VXLAN_F_IPV6 properly

pingfan liu (1):
      powerpc: kvm: fix rare but potential deadlock scene

wangweidong (1):
      sctp: loading sctp when load sctp_probe

 Documentation/block/null_blk.txt                   |   72 +
 .../devicetree/bindings/clock/exynos5250-clock.txt |    2 +
 Documentation/kernel-parameters.txt                |    2 +
 Documentation/module-signing.txt                   |  240 +++
 Documentation/networking/ip-sysctl.txt             |    8 +-
 MAINTAINERS                                        |   38 +-
 Makefile                                           |   24 +-
 arch/arc/include/uapi/asm/unistd.h                 |    8 +-
 arch/arm/boot/dts/exynos5250.dtsi                  |    2 +-
 arch/arm/boot/dts/r8a7790.dtsi                     |   28 +-
 arch/arm/crypto/aesbs-core.S_shipped               |    2 +-
 arch/arm/crypto/bsaes-armv7.pl                     |    2 +-
 arch/arm/include/asm/io.h                          |    2 +-
 arch/arm/include/asm/memory.h                      |    3 +-
 arch/arm/include/asm/xen/page.h                    |    2 +-
 arch/arm/kernel/traps.c                            |    8 +-
 arch/arm/mach-footbridge/dc21285-timer.c           |    5 +-
 arch/arm/mach-omap2/board-ldp.c                    |    7 +-
 arch/arm/mach-omap2/display.c                      |   38 +
 arch/arm/mach-omap2/omap_hwmod_2xxx_ipblock_data.c |    4 +-
 arch/arm/mach-omap2/omap_hwmod_3xxx_data.c         |    6 +-
 arch/arm/mach-omap2/omap_hwmod_7xx_data.c          |    2 +-
 arch/arm/mach-pxa/include/mach/lubbock.h           |    2 +
 arch/arm/mach-s3c64xx/mach-s3c64xx-dt.c            |   11 +-
 arch/arm/mach-shmobile/board-armadillo800eva.c     |   11 +-
 arch/arm/mach-shmobile/board-bockw.c               |    2 +-
 arch/arm/mach-shmobile/board-kzm9g.c               |    2 +-
 arch/arm/mach-shmobile/board-lager.c               |    4 +-
 arch/arm/mach-shmobile/board-mackerel.c            |    4 +-
 arch/arm/mm/flush.c                                |    6 +-
 arch/arm/xen/enlighten.c                           |    6 +-
 arch/arm64/include/asm/xen/page-coherent.h         |    4 -
 arch/arm64/kernel/ptrace.c                         |   38 +-
 arch/parisc/include/asm/cacheflush.h               |   12 +-
 arch/parisc/include/asm/page.h                     |    5 +-
 arch/parisc/kernel/cache.c                         |   35 -
 arch/powerpc/boot/dts/mpc5125twr.dts               |    6 +-
 arch/powerpc/include/asm/exception-64s.h           |    2 +-
 arch/powerpc/include/asm/kvm_book3s.h              |    4 +
 arch/powerpc/include/asm/kvm_book3s_asm.h          |    2 +
 arch/powerpc/include/asm/opal.h                    |    4 +-
 arch/powerpc/include/asm/switch_to.h               |    2 +-
 arch/powerpc/include/asm/unaligned.h               |    7 +-
 arch/powerpc/kernel/asm-offsets.c                  |    1 +
 arch/powerpc/kernel/crash_dump.c                   |    6 +-
 arch/powerpc/kernel/head_64.S                      |    2 +
 arch/powerpc/kernel/process.c                      |   32 +-
 arch/powerpc/kernel/prom_init.c                    |   22 +-
 arch/powerpc/kernel/ptrace.c                       |    4 +-
 arch/powerpc/kernel/setup-common.c                 |    4 +-
 arch/powerpc/kernel/smp.c                          |    4 +-
 arch/powerpc/kvm/book3s_64_mmu_hv.c                |   18 +-
 arch/powerpc/kvm/book3s_hv.c                       |   24 +-
 arch/powerpc/kvm/book3s_hv_rm_mmu.c                |    9 +-
 arch/powerpc/kvm/book3s_hv_rmhandlers.S            |   23 +-
 arch/powerpc/kvm/book3s_interrupts.S               |   19 +-
 arch/powerpc/kvm/book3s_pr.c                       |   22 +
 arch/powerpc/kvm/book3s_rmhandlers.S               |    6 +-
 arch/powerpc/kvm/booke.c                           |   12 +-
 arch/powerpc/lib/copyuser_64.S                     |   53 +-
 arch/powerpc/platforms/powernv/eeh-ioda.c          |   20 +-
 arch/powerpc/platforms/powernv/opal-lpc.c          |   12 +-
 arch/powerpc/platforms/powernv/opal-xscom.c        |    4 +-
 arch/powerpc/platforms/powernv/pci.h               |    4 +-
 arch/powerpc/platforms/pseries/lparcfg.c           |   12 +-
 arch/powerpc/platforms/pseries/msi.c               |   28 +-
 arch/powerpc/platforms/pseries/nvram.c             |   46 +-
 arch/powerpc/platforms/pseries/pci.c               |    8 +-
 arch/s390/Kconfig                                  |    1 -
 arch/s390/include/asm/smp.h                        |    2 +
 arch/s390/kernel/setup.c                           |    1 +
 arch/s390/kernel/smp.c                             |   25 +-
 arch/s390/pci/pci_event.c                          |    2 +
 arch/sh/kernel/sh_ksyms_32.c                       |    5 +
 arch/sh/lib/Makefile                               |    2 +-
 arch/sparc/include/asm/pgtable_64.h                |    4 +-
 arch/sparc/include/asm/uaccess_64.h                |    4 +-
 arch/sparc/kernel/iommu.c                          |    2 +-
 arch/sparc/kernel/ioport.c                         |    5 +-
 arch/sparc/kernel/kgdb_64.c                        |    1 +
 arch/sparc/kernel/smp_64.c                         |    3 +-
 arch/x86/Kconfig                                   |    1 +
 arch/x86/include/asm/fpu-internal.h                |   13 +-
 arch/x86/include/asm/pgtable.h                     |   11 +-
 arch/x86/include/asm/preempt.h                     |   11 +
 arch/x86/kernel/cpu/intel.c                        |    3 +-
 arch/x86/kernel/cpu/perf_event.h                   |   15 +-
 arch/x86/kernel/entry_32.S                         |    4 +-
 arch/x86/kernel/entry_64.S                         |    2 +-
 arch/x86/kvm/lapic.c                               |    8 +-
 arch/x86/kvm/vmx.c                                 |    3 +-
 arch/x86/mm/gup.c                                  |   13 +
 block/blk-mq-sysfs.c                               |   13 +
 drivers/acpi/Kconfig                               |    1 -
 drivers/acpi/ac.c                                  |    4 +-
 drivers/acpi/acpi_lpss.c                           |    1 +
 drivers/acpi/apei/Kconfig                          |    1 -
 drivers/acpi/apei/erst.c                           |    1 +
 drivers/acpi/battery.c                             |   22 +-
 drivers/acpi/bus.c                                 |   10 +
 drivers/ata/ahci.c                                 |   21 +-
 drivers/ata/ahci_imx.c                             |    3 +-
 drivers/ata/libata-core.c                          |   19 +-
 drivers/ata/libata-scsi.c                          |   21 +
 drivers/ata/sata_sis.c                             |    4 +
 drivers/block/null_blk.c                           |  112 +-
 drivers/block/skd_main.c                           |    4 +-
 drivers/bluetooth/ath3k.c                          |    2 +
 drivers/bluetooth/btusb.c                          |    1 +
 drivers/char/Makefile                              |    2 +-
 drivers/char/agp/Kconfig                           |    5 +
 drivers/char/agp/Makefile                          |    2 +-
 drivers/char/agp/intel-agp.c                       |    5 -
 drivers/char/agp/intel-gtt.c                       |   18 +
 drivers/char/tpm/tpm_ppi.c                         |   15 +-
 drivers/clk/clk-divider.c                          |    2 +-
 drivers/clk/clk-s2mps11.c                          |    6 +-
 drivers/clk/samsung/clk-exynos-audss.c             |   10 +-
 drivers/clk/samsung/clk-exynos4.c                  |    2 +-
 drivers/clk/samsung/clk-exynos5250.c               |   14 +-
 drivers/clocksource/Kconfig                        |    1 +
 drivers/clocksource/clksrc-of.c                    |    1 -
 drivers/clocksource/dw_apb_timer_of.c              |    7 +-
 drivers/clocksource/sun4i_timer.c                  |    3 +
 drivers/clocksource/time-armada-370-xp.c           |   10 +-
 drivers/cpufreq/cpufreq.c                          |  104 +-
 drivers/cpufreq/intel_pstate.c                     |    8 +-
 drivers/cpuidle/cpuidle-calxeda.c                  |    2 +-
 drivers/crypto/ixp4xx_crypto.c                     |    4 +-
 drivers/dma/Kconfig                                |    7 +
 drivers/dma/at_hdmac_regs.h                        |    4 -
 drivers/dma/dmaengine.c                            |    4 +-
 drivers/dma/dmatest.c                              |    8 +-
 drivers/dma/fsldma.c                               |   31 +-
 drivers/dma/ioat/dma.c                             |   11 +-
 drivers/dma/mv_xor.c                               |  101 +-
 drivers/dma/pl330.c                                |    5 +-
 drivers/dma/ppc4xx/adma.c                          |   27 +-
 drivers/dma/txx9dmac.c                             |    1 -
 drivers/firewire/sbp2.c                            |    1 -
 drivers/firmware/Makefile                          |    1 +
 drivers/firmware/efi/Kconfig                       |    6 +-
 drivers/firmware/efi/Makefile                      |    2 +-
 drivers/firmware/efi/efi-pstore.c                  |    1 +
 drivers/gpio/gpio-msm-v2.c                         |    4 +-
 drivers/gpio/gpio-rcar.c                           |    3 +-
 drivers/gpio/gpio-twl4030.c                        |   15 +-
 drivers/gpu/drm/armada/armada_drm.h                |    1 +
 drivers/gpu/drm/armada/armada_drv.c                |    7 +-
 drivers/gpu/drm/armada/armada_fbdev.c              |   20 +-
 drivers/gpu/drm/armada/armada_gem.c                |    7 +-
 drivers/gpu/drm/drm_edid.c                         |    8 +
 drivers/gpu/drm/drm_modes.c                        |    2 +-
 drivers/gpu/drm/drm_stub.c                         |    6 +-
 drivers/gpu/drm/i915/Kconfig                       |   32 +-
 drivers/gpu/drm/i915/Makefile                      |    3 +-
 drivers/gpu/drm/i915/dvo_ns2501.c                  |   73 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  288 +++-
 drivers/gpu/drm/i915/i915_dma.c                    |   60 +-
 drivers/gpu/drm/i915/i915_drv.c                    |   93 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  172 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  115 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   61 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   14 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   83 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |   65 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |    1 +
 drivers/gpu/drm/i915/i915_gpu_error.c              |   12 +-
 drivers/gpu/drm/i915/i915_irq.c                    |   72 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  322 ++--
 drivers/gpu/drm/i915/i915_suspend.c                |   49 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   49 +-
 drivers/gpu/drm/i915/i915_ums.c                    |   27 +
 drivers/gpu/drm/i915/intel_bios.c                  |   37 +-
 drivers/gpu/drm/i915/intel_bios.h                  |   64 +-
 drivers/gpu/drm/i915/intel_crt.c                   |    5 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  157 +-
 drivers/gpu/drm/i915/intel_display.c               |  536 +++++--
 drivers/gpu/drm/i915/intel_dp.c                    |   84 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   47 +-
 drivers/gpu/drm/i915/intel_dsi.c                   |  193 ++-
 drivers/gpu/drm/i915/intel_dsi.h                   |   21 +
 drivers/gpu/drm/i915/intel_dsi_pll.c               |  119 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    5 +-
 drivers/gpu/drm/i915/intel_fbdev.c                 |   65 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |   87 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |   15 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |   90 +-
 drivers/gpu/drm/i915/intel_opregion.c              |   51 +-
 drivers/gpu/drm/i915/intel_overlay.c               |    2 +-
 drivers/gpu/drm/i915/intel_panel.c                 |  942 +++++++----
 drivers/gpu/drm/i915/intel_pm.c                    | 1674 ++++++++------------
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   45 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   62 +-
 drivers/gpu/drm/i915/intel_sdvo_regs.h             |   40 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   43 +-
 drivers/gpu/drm/i915/intel_sprite.c                |   68 +-
 drivers/gpu/drm/i915/intel_uncore.c                |  318 +++-
 drivers/gpu/drm/nouveau/core/core/subdev.c         |    3 -
 drivers/gpu/drm/nouveau/core/engine/device/base.c  |    2 +
 drivers/gpu/drm/nouveau/core/engine/device/nvc0.c  |    2 +-
 drivers/gpu/drm/nouveau/core/engine/graph/nvc0.c   |    2 +-
 drivers/gpu/drm/nouveau/core/include/subdev/fb.h   |    5 +
 drivers/gpu/drm/nouveau/core/include/subdev/i2c.h  |    2 +-
 .../gpu/drm/nouveau/core/include/subdev/instmem.h  |    7 +
 drivers/gpu/drm/nouveau/core/subdev/bios/init.c    |   14 +-
 drivers/gpu/drm/nouveau/core/subdev/i2c/base.c     |    4 +-
 drivers/gpu/drm/nouveau/core/subdev/therm/ic.c     |   10 +-
 drivers/gpu/drm/nouveau/dispnv04/dfp.c             |    2 +-
 drivers/gpu/drm/nouveau/dispnv04/tvnv04.c          |    2 +-
 drivers/gpu/drm/nouveau/nouveau_abi16.c            |    2 +
 drivers/gpu/drm/nouveau/nouveau_acpi.c             |   16 +-
 drivers/gpu/drm/nouveau/nouveau_display.c          |    2 +-
 drivers/gpu/drm/nouveau/nouveau_drm.c              |    6 +
 drivers/gpu/drm/qxl/Kconfig                        |    1 +
 drivers/gpu/drm/qxl/qxl_display.c                  |    2 +-
 drivers/gpu/drm/radeon/atombios_crtc.c             |   87 +-
 drivers/gpu/drm/radeon/cik.c                       |   12 +-
 drivers/gpu/drm/radeon/cik_sdma.c                  |    2 +-
 drivers/gpu/drm/radeon/dce6_afmt.c                 |    8 +-
 drivers/gpu/drm/radeon/evergreen_hdmi.c            |    4 +-
 drivers/gpu/drm/radeon/ni.c                        |   20 +-
 drivers/gpu/drm/radeon/radeon.h                    |    4 +-
 drivers/gpu/drm/radeon/radeon_asic.c               |    4 +-
 drivers/gpu/drm/radeon/radeon_atpx_handler.c       |   16 +-
 drivers/gpu/drm/radeon/radeon_drv.c                |   13 +-
 drivers/gpu/drm/radeon/radeon_kms.c                |    9 +
 drivers/gpu/drm/radeon/radeon_uvd.c                |    2 +-
 drivers/gpu/drm/radeon/rs690.c                     |   10 +
 drivers/gpu/drm/radeon/rv770_dpm.c                 |    6 +
 drivers/gpu/drm/radeon/si.c                        |   12 +-
 drivers/gpu/drm/ttm/ttm_bo_util.c                  |    3 +-
 drivers/gpu/drm/ttm/ttm_bo_vm.c                    |    6 +-
 drivers/gpu/drm/vmwgfx/vmwgfx_ioctl.c              |    3 +
 drivers/idle/intel_idle.c                          |   17 +-
 drivers/iio/adc/ad7887.c                           |   16 +-
 drivers/iio/imu/adis16400_core.c                   |    7 +-
 drivers/iio/light/cm36651.c                        |    2 +-
 drivers/infiniband/core/iwcm.c                     |   11 +-
 drivers/infiniband/core/uverbs.h                   |   10 +-
 drivers/infiniband/core/uverbs_cmd.c               |   17 +
 drivers/infiniband/core/uverbs_main.c              |   27 +-
 drivers/infiniband/hw/cxgb4/cm.c                   |   78 +-
 drivers/infiniband/hw/cxgb4/mem.c                  |    2 +-
 drivers/infiniband/ulp/ipoib/ipoib_netlink.c       |    3 +-
 drivers/infiniband/ulp/isert/ib_isert.c            |   22 +-
 drivers/input/input.c                              |    4 +
 drivers/input/touchscreen/zforce_ts.c              |   21 +-
 drivers/irqchip/irq-renesas-intc-irqpin.c          |    8 +-
 drivers/isdn/hisax/hfc_pci.c                       |    4 -
 drivers/isdn/hisax/telespci.c                      |    4 -
 drivers/leds/leds-lp5521.c                         |   12 +-
 drivers/leds/leds-lp5523.c                         |   12 +-
 drivers/md/bcache/alloc.c                          |    2 +
 drivers/md/bcache/bcache.h                         |   12 +-
 drivers/md/bcache/btree.c                          |   27 +-
 drivers/md/bcache/movinggc.c                       |   21 +-
 drivers/md/bcache/super.c                          |    2 +-
 drivers/md/bcache/sysfs.c                          |   50 +-
 drivers/md/bcache/util.c                           |    8 +-
 drivers/md/bcache/util.h                           |    2 +-
 drivers/md/bcache/writeback.c                      |   53 +-
 drivers/mfd/rtsx_pcr.c                             |   10 +-
 drivers/mtd/maps/pxa2xx-flash.c                    |    2 +-
 drivers/net/bonding/bond_3ad.c                     |   45 +-
 drivers/net/bonding/bond_main.c                    |    3 +-
 drivers/net/can/usb/ems_usb.c                      |    3 +-
 drivers/net/can/usb/peak_usb/pcan_usb_pro.c        |    3 +
 drivers/net/ethernet/arc/emac_main.c               |    4 +-
 drivers/net/ethernet/atheros/atl1c/atl1c_main.c    |    8 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x.h        |   51 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_cmn.c    |   28 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_cmn.h    |    3 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_link.c   |   94 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_main.c   |    6 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_reg.h    |    1 +
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_sp.c     |   15 +-
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_sp.h     |    7 +
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_sriov.c  |  254 +--
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_sriov.h  |    1 +
 drivers/net/ethernet/broadcom/bnx2x/bnx2x_vfpf.c   |   28 +-
 drivers/net/ethernet/broadcom/tg3.c                |    2 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4.h         |   21 +
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_main.c    |   59 +-
 drivers/net/ethernet/chelsio/cxgb4/cxgb4_uld.h     |    9 +-
 drivers/net/ethernet/chelsio/cxgb4/l2t.c           |   35 +
 drivers/net/ethernet/chelsio/cxgb4/l2t.h           |    3 +-
 drivers/net/ethernet/chelsio/cxgb4/sge.c           |    2 +-
 drivers/net/ethernet/chelsio/cxgb4/t4_hw.c         |  103 ++
 drivers/net/ethernet/chelsio/cxgb4/t4_regs.h       |   73 +
 drivers/net/ethernet/emulex/benet/be.h             |    3 +-
 drivers/net/ethernet/emulex/benet/be_cmds.c        |   33 +-
 drivers/net/ethernet/emulex/benet/be_main.c        |   29 +-
 drivers/net/ethernet/freescale/fec_main.c          |    4 +-
 drivers/net/ethernet/intel/e1000e/80003es2lan.c    |    7 +-
 drivers/net/ethernet/intel/e1000e/netdev.c         |    4 +-
 drivers/net/ethernet/intel/e1000e/phy.c            |   10 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_main.c      |   33 +-
 drivers/net/ethernet/intel/ixgbe/ixgbe_sriov.c     |    2 +
 drivers/net/ethernet/lantiq_etop.c                 |    3 +-
 drivers/net/ethernet/marvell/mvmdio.c              |    6 +
 drivers/net/ethernet/mellanox/mlx4/en_tx.c         |    3 +-
 drivers/net/ethernet/mellanox/mlx4/mlx4_en.h       |    3 +-
 .../net/ethernet/qlogic/netxen/netxen_nic_init.c   |    4 +-
 drivers/net/ethernet/qlogic/qlcnic/qlcnic.h        |    6 +-
 .../net/ethernet/qlogic/qlcnic/qlcnic_83xx_hw.c    |   54 +-
 .../net/ethernet/qlogic/qlcnic/qlcnic_83xx_hw.h    |    1 +
 .../net/ethernet/qlogic/qlcnic/qlcnic_83xx_init.c  |   65 +-
 .../net/ethernet/qlogic/qlcnic/qlcnic_ethtool.c    |   60 +-
 drivers/net/ethernet/qlogic/qlcnic/qlcnic_init.c   |    4 +
 drivers/net/ethernet/qlogic/qlcnic/qlcnic_io.c     |   22 +-
 drivers/net/ethernet/qlogic/qlcnic/qlcnic_main.c   |    9 +-
 .../net/ethernet/qlogic/qlcnic/qlcnic_sriov_pf.c   |    3 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac_main.c  |   20 +-
 drivers/net/ethernet/stmicro/stmmac/stmmac_ptp.c   |    4 +-
 drivers/net/ethernet/ti/cpsw.c                     |    4 +-
 drivers/net/ethernet/tile/tilegx.c                 |    3 +-
 drivers/net/hamradio/hdlcdrv.c                     |    2 +
 drivers/net/hamradio/yam.c                         |    1 +
 drivers/net/hyperv/netvsc_drv.c                    |   21 +-
 drivers/net/macvlan.c                              |   26 +-
 drivers/net/phy/phy.c                              |    6 +-
 drivers/net/team/team.c                            |    3 +-
 drivers/net/tun.c                                  |    3 +-
 drivers/net/usb/Kconfig                            |    6 +-
 drivers/net/usb/dm9601.c                           |   44 +-
 drivers/net/usb/hso.c                              |   13 +-
 drivers/net/usb/mcs7830.c                          |   19 +-
 drivers/net/virtio_net.c                           |   11 +-
 drivers/net/vxlan.c                                |    3 +-
 drivers/net/wireless/ath/ath9k/ar9002_mac.c        |   52 +-
 drivers/net/wireless/ath/ath9k/htc_drv_main.c      |   25 +-
 drivers/net/wireless/ath/ath9k/main.c              |    5 +-
 drivers/net/wireless/iwlwifi/pcie/drv.c            |   10 +-
 drivers/net/wireless/mac80211_hwsim.c              |    2 +-
 drivers/net/wireless/mwifiex/main.c                |    3 +-
 drivers/net/wireless/rtlwifi/pci.c                 |    4 +-
 drivers/net/xen-netback/common.h                   |   19 +-
 drivers/net/xen-netback/interface.c                |   11 +
 drivers/net/xen-netback/netback.c                  |   21 +-
 drivers/of/Kconfig                                 |    2 +-
 drivers/of/address.c                               |    8 -
 drivers/of/fdt.c                                   |   12 +-
 drivers/of/irq.c                                   |    5 +-
 drivers/pci/hotplug/acpiphp_glue.c                 |   30 +-
 drivers/pci/pci-acpi.c                             |   21 +-
 drivers/phy/Kconfig                                |    4 +-
 drivers/phy/phy-core.c                             |   26 +-
 drivers/pinctrl/pinctrl-baytrail.c                 |    1 +
 drivers/pinctrl/sh-pfc/sh_pfc.h                    |    2 +-
 drivers/power/Kconfig                              |    1 +
 drivers/power/power_supply_core.c                  |   12 +-
 drivers/powercap/intel_rapl.c                      |   13 +-
 drivers/regulator/s2mps11.c                        |    2 +-
 drivers/s390/char/tty3270.c                        |    2 +-
 drivers/scsi/qla2xxx/qla_target.c                  |   10 +-
 drivers/staging/bcm/Bcmnet.c                       |    3 +-
 drivers/staging/comedi/drivers.c                   |    2 +-
 drivers/staging/comedi/drivers/8255_pci.c          |   15 +-
 drivers/staging/iio/magnetometer/hmc5843.c         |    7 +-
 drivers/staging/imx-drm/imx-drm-core.c             |   39 +-
 drivers/staging/imx-drm/imx-tve.c                  |    9 -
 drivers/staging/imx-drm/ipu-v3/ipu-common.c        |   32 +-
 drivers/staging/netlogic/xlr_net.c                 |    3 +-
 drivers/staging/rtl8188eu/os_dep/os_intfs.c        |    3 +-
 drivers/target/iscsi/iscsi_target.c                |   27 +-
 drivers/target/iscsi/iscsi_target_configfs.c       |    3 +-
 drivers/target/iscsi/iscsi_target_login.c          |    6 -
 drivers/target/target_core_device.c                |    5 +
 drivers/target/target_core_file.c                  |    8 +-
 drivers/target/target_core_file.h                  |    5 +-
 drivers/target/target_core_tpg.c                   |   10 +-
 drivers/tty/n_tty.c                                |    7 +-
 drivers/tty/serial/8250/8250_dw.c                  |    8 +-
 drivers/tty/serial/xilinx_uartps.c                 |    2 +
 drivers/tty/tty_ldsem.c                            |   16 +-
 drivers/usb/chipidea/core.c                        |    4 +
 drivers/usb/chipidea/host.c                        |    3 +-
 drivers/usb/chipidea/udc.c                         |    3 -
 drivers/usb/class/cdc-wdm.c                        |    8 +-
 drivers/usb/dwc3/core.c                            |    8 +-
 drivers/usb/host/ohci-at91.c                       |   26 +-
 drivers/usb/host/xhci-pci.c                        |    7 +-
 drivers/usb/phy/Kconfig                            |    4 +-
 drivers/usb/phy/phy-tegra-usb.c                    |    2 +-
 drivers/usb/phy/phy-twl6030-usb.c                  |    3 +-
 drivers/usb/serial/option.c                        |    2 +
 drivers/usb/serial/zte_ev.c                        |    3 +-
 drivers/virtio/virtio_balloon.c                    |    2 +-
 drivers/xen/balloon.c                              |   63 +-
 drivers/xen/grant-table.c                          |    3 +-
 drivers/xen/privcmd.c                              |    9 +-
 fs/aio.c                                           |  113 +-
 fs/ceph/addr.c                                     |    8 +-
 fs/ceph/inode.c                                    |  136 +-
 fs/cifs/cifsproto.h                                |    7 +-
 fs/cifs/cifssmb.c                                  |    6 +-
 fs/cifs/dir.c                                      |   11 +-
 fs/cifs/inode.c                                    |    6 +-
 fs/cifs/link.c                                     |   26 +-
 fs/eventpoll.c                                     |    4 -
 fs/ext2/super.c                                    |    1 +
 fs/ext4/ext4.h                                     |   10 +
 fs/ext4/ext4_jbd2.c                                |    9 +
 fs/ext4/extents.c                                  |   45 +-
 fs/ext4/inode.c                                    |   12 -
 fs/ext4/mballoc.c                                  |   17 +-
 fs/ext4/super.c                                    |   21 +-
 fs/gfs2/aops.c                                     |   30 +
 fs/gfs2/glock.c                                    |    2 +
 fs/gfs2/glops.c                                    |   10 +-
 fs/gfs2/log.c                                      |    4 +-
 fs/gfs2/meta_io.c                                  |    5 +
 fs/gfs2/ops_fstype.c                               |   12 +-
 fs/jbd2/journal.c                                  |   18 +-
 fs/jbd2/recovery.c                                 |    2 +-
 fs/jbd2/transaction.c                              |   16 +-
 fs/pstore/platform.c                               |    7 +-
 fs/sysfs/file.c                                    |    8 +-
 fs/xfs/xfs_attr_remote.c                           |    2 +-
 fs/xfs/xfs_bmap.c                                  |   32 +-
 fs/xfs/xfs_bmap_util.c                             |   15 +-
 fs/xfs/xfs_buf.c                                   |   37 +-
 fs/xfs/xfs_buf.h                                   |   11 +-
 fs/xfs/xfs_buf_item.c                              |   21 +-
 fs/xfs/xfs_dir2_node.c                             |   26 +-
 fs/xfs/xfs_iops.c                                  |    3 +-
 fs/xfs/xfs_log_recover.c                           |   13 +-
 fs/xfs/xfs_qm.c                                    |   80 +-
 fs/xfs/xfs_trans_buf.c                             |   13 +-
 include/acpi/acpi_bus.h                            |    4 +-
 include/asm-generic/pgtable.h                      |    7 +-
 include/asm-generic/preempt.h                      |   35 +-
 include/drm/drm_pciids.h                           |    2 +-
 include/linux/auxvec.h                             |    2 +-
 include/linux/libata.h                             |    1 +
 include/linux/lockref.h                            |    2 +-
 include/linux/math64.h                             |   30 +
 include/linux/migrate.h                            |   12 +-
 include/linux/mm.h                                 |    6 +-
 include/linux/mm_types.h                           |   52 +-
 include/linux/netdevice.h                          |   34 +-
 include/linux/percpu-defs.h                        |    1 +
 include/linux/pstore.h                             |    3 +
 include/linux/reboot.h                             |    1 +
 include/linux/rtnetlink.h                          |    5 +
 include/linux/sched.h                              |    5 +-
 include/linux/skbuff.h                             |    9 +
 include/net/llc_pdu.h                              |    2 +-
 include/net/sctp/structs.h                         |    3 -
 include/rdma/ib_verbs.h                            |    2 +-
 include/target/target_core_base.h                  |    5 +-
 include/uapi/drm/i915_drm.h                        |   19 +
 include/uapi/drm/radeon_drm.h                      |    2 +
 include/uapi/drm/vmwgfx_drm.h                      |    1 +
 include/uapi/linux/input.h                         |    3 +-
 include/uapi/linux/perf_event.h                    |    1 +
 include/xen/interface/io/blkif.h                   |   10 +-
 init/Kconfig                                       |    6 +
 kernel/Makefile                                    |    7 +-
 kernel/bounds.c                                    |    2 +-
 kernel/cgroup.c                                    |   50 +-
 kernel/events/core.c                               |   21 +-
 kernel/fork.c                                      |    1 +
 kernel/freezer.c                                   |    6 +
 kernel/kexec.c                                     |    1 +
 kernel/power/console.c                             |    1 +
 kernel/reboot.c                                    |    2 +-
 kernel/sched/core.c                                |    6 +-
 kernel/sched/fair.c                                |  151 +-
 kernel/sched/rt.c                                  |   14 +
 kernel/trace/ftrace.c                              |    2 +-
 kernel/user.c                                      |    6 +-
 mm/Kconfig                                         |    2 +-
 mm/compaction.c                                    |    4 +
 mm/fremap.c                                        |    8 +-
 mm/huge_memory.c                                   |   48 +-
 mm/memcontrol.c                                    |    2 +-
 mm/memory-failure.c                                |   24 +-
 mm/memory.c                                        |    2 +-
 mm/mempolicy.c                                     |   16 +-
 mm/migrate.c                                       |   82 +-
 mm/mlock.c                                         |   44 +-
 mm/mprotect.c                                      |   13 +-
 mm/page_alloc.c                                    |   19 +-
 mm/pgtable-generic.c                               |    8 +-
 mm/rmap.c                                          |    4 +
 net/8021q/vlan_dev.c                               |   19 +-
 net/batman-adv/bat_iv_ogm.c                        |   36 +-
 net/batman-adv/distributed-arp-table.c             |    6 +-
 net/batman-adv/fragmentation.c                     |    8 +-
 net/batman-adv/icmp_socket.c                       |    6 +-
 net/batman-adv/main.c                              |   16 +-
 net/batman-adv/network-coding.c                    |   22 +-
 net/batman-adv/packet.h                            |  124 +-
 net/batman-adv/routing.c                           |   30 +-
 net/batman-adv/send.c                              |   10 +-
 net/batman-adv/soft-interface.c                    |   18 +-
 net/batman-adv/translation-table.c                 |    6 +-
 net/bluetooth/hci_sock.c                           |   26 +-
 net/bridge/br_multicast.c                          |    4 +-
 net/core/dev.c                                     |   31 +-
 net/core/flow_dissector.c                          |   10 +-
 net/core/neighbour.c                               |    3 +-
 net/core/netpoll.c                                 |   13 +-
 net/dccp/probe.c                                   |   19 +-
 net/ieee802154/6lowpan.c                           |    2 +-
 net/ipv4/gre_offload.c                             |   11 +-
 net/ipv4/inet_diag.c                               |   16 +
 net/ipv4/ip_gre.c                                  |    1 +
 net/ipv4/ip_output.c                               |    5 +-
 net/ipv4/netfilter/ipt_SYNPROXY.c                  |    1 +
 net/ipv4/netfilter/nft_reject_ipv4.c               |    2 +-
 net/ipv4/udp.c                                     |   19 +-
 net/ipv4/udp_offload.c                             |   37 +-
 net/ipv6/addrconf.c                                |   23 +-
 net/ipv6/ip6_output.c                              |   36 +-
 net/ipv6/ip6_tunnel.c                              |   21 +-
 net/ipv6/ip6_vti.c                                 |   30 +-
 net/ipv6/netfilter/ip6t_SYNPROXY.c                 |    1 +
 net/ipv6/route.c                                   |    4 +-
 net/ipv6/sit.c                                     |    8 +-
 net/llc/af_llc.c                                   |    5 +-
 net/mac80211/iface.c                               |    6 +-
 net/mac80211/tx.c                                  |   23 +-
 net/netfilter/ipvs/ip_vs_nfct.c                    |    6 +
 net/netfilter/nf_conntrack_seqadj.c                |    5 +
 net/netfilter/nf_conntrack_timestamp.c             |    1 -
 net/netfilter/nf_nat_irc.c                         |   32 +-
 net/netfilter/nf_tables_api.c                      |   26 +-
 net/netfilter/nfnetlink_log.c                      |    1 +
 net/netfilter/nft_exthdr.c                         |    2 +-
 net/nfc/core.c                                     |    2 +-
 net/rds/ib.c                                       |    3 +-
 net/rose/af_rose.c                                 |   16 +-
 net/sched/act_csum.c                               |   10 +-
 net/sched/act_gact.c                               |    7 +-
 net/sched/act_ipt.c                                |    8 +-
 net/sched/act_nat.c                                |   10 +-
 net/sched/act_pedit.c                              |    8 +-
 net/sched/act_police.c                             |    4 +-
 net/sched/act_simple.c                             |    9 +-
 net/sched/act_skbedit.c                            |    7 +-
 net/sched/sch_generic.c                            |    2 +-
 net/sctp/outqueue.c                                |   32 +-
 net/sctp/probe.c                                   |   17 +-
 net/tipc/link.c                                    |    1 +
 net/tipc/port.c                                    |   45 +-
 net/tipc/port.h                                    |    6 +-
 net/tipc/socket.c                                  |   46 +-
 net/unix/af_unix.c                                 |    8 +-
 net/wireless/radiotap.c                            |    4 +
 net/wireless/sme.c                                 |   22 +-
 scripts/link-vmlinux.sh                            |    4 +-
 security/selinux/hooks.c                           |   28 +-
 security/selinux/include/objsec.h                  |    5 +-
 sound/core/pcm_lib.c                               |    2 +
 sound/pci/hda/hda_intel.c                          |    4 +
 sound/pci/hda/patch_realtek.c                      |    4 +
 sound/soc/atmel/atmel_ssc_dai.c                    |   30 +-
 sound/soc/atmel/sam9x5_wm8731.c                    |    2 +-
 sound/soc/codecs/wm5110.c                          |    2 +-
 sound/soc/codecs/wm8904.c                          |    2 +-
 sound/soc/codecs/wm8962.c                          |   13 +
 sound/soc/codecs/wm_adsp.c                         |   10 +-
 sound/soc/fsl/imx-wm8962.c                         |    2 -
 sound/soc/kirkwood/kirkwood-i2s.c                  |   24 +-
 sound/soc/soc-generic-dmaengine-pcm.c              |   38 +-
 sound/soc/soc-pcm.c                                |    5 +-
 sound/soc/tegra/tegra20_i2s.c                      |    6 +-
 sound/soc/tegra/tegra20_spdif.c                    |   10 +-
 sound/soc/tegra/tegra30_i2s.c                      |    6 +-
 tools/power/cpupower/utils/cpupower-set.c          |    6 +-
 573 files changed, 8660 insertions(+), 5318 deletions(-)
 create mode 100644 Documentation/block/null_blk.txt
 create mode 100644 Documentation/module-signing.txt

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
Intel-gfx mailing list
Intel-gfx@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/intel-gfx

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2013-12-20 21:42 Daniel Vetter
@ 2013-12-22  6:04 ` Ben Widawsky
  0 siblings, 0 replies; 265+ messages in thread
From: Ben Widawsky @ 2013-12-22  6:04 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: Intel Graphics Development, Yang Guang, DRI Development

On Fri, Dec 20, 2013 at 10:42:34PM +0100, Daniel Vetter wrote:
> Hi Dave,
> 
> We're back to the regular -next updates. Somehow still not too much going
> on really, I guess everyone was thinking about vacation already ;-)
> 
> On that topic: I'll be offline for 2 weeks now. I don't really expect much
> to happen and 3.13 seems to be in good shape. But in case something is on
> fire Ben's not on vacation (mostly) and is signed up to shuffle patches if
> needed.
> 
> Cheers, Daniel
> 

Enjoy your vacation, Daniel.

I've pushed both a drm-intel-fixes, and a drm-intel-nightly branch to
simplify the way our QA works. I'll not be merging anything which
qualifies as dinq material.

Please don't hesitate to CC me on a patch which you feel needs urgent
attention/merging.

Thanks.

Fixes:
http://cgit.freedesktop.org/~bwidawsk/drm-intel/log/?h=drm-intel-fixes

Nightly:
http://cgit.freedesktop.org/~bwidawsk/drm-intel/log/?h=drm-intel-nightly

-- 
Ben Widawsky, Intel Open Source Technology Center

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-12-20 21:42 Daniel Vetter
  2013-12-22  6:04 ` Ben Widawsky
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2013-12-20 21:42 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

We're back to the regular -next updates. Somehow still not too much going
on really, I guess everyone was thinking about vacation already ;-)

On that topic: I'll be offline for 2 weeks now. I don't really expect much
to happen and 3.13 seems to be in good shape. But in case something is on
fire Ben's not on vacation (mostly) and is signed up to shuffle patches if
needed.

Cheers, Daniel


The following changes since commit 374b105797c3d4f29c685f3be535c35f5689b30e:

  Linux 3.13-rc3 (2013-12-06 09:34:04 -0800)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-12-13

for you to fetch changes up to ab57fff1302c485d74992d34df24ccb5efda244e:

  drm/i915/bdw: Implement ff workarounds (2013-12-13 17:55:54 +0100)

----------------------------------------------------------------
- fbc1 improvements from Ville (pre-gm45).
- vlv forcewake improvements from Deepak S.
- Some corner-cases fixes from Mika for the context hang stat code.
- pc8 improvements and prep work for runtime D3 from Paulo, almost ready for
  primetime.
- gen2 dpll fixes from Ville.
- DSI improvements from Shobhit Kumar.
- A few smaller fixes and improvements all over.

----------------------------------------------------------------
Ben Widawsky (2):
      drm/i915/bdw: Force all Data Cache Data Port access to be Non-Coherent
      drm/i915/bdw: Implement ff workarounds

Chris Wilson (3):
      drm/i915: Add a timing breadcrumb to panel waits
      drm/i915: Fix ordering of unbind vs unpin pages
      drm/i915: Do hw quiescing first during unload

Damien Lespiau (2):
      drm/i915: Fix copy/paste DP vs eDP error in comment
      drm/i915: Remove if 0'ed static arrays

Daniel Vetter (2):
      drm/i915/lvds: don't restore hw state in the lid notifier for pch platforms
      Merge tag 'v3.13-rc3' into drm-intel-next-queued

Deepak S (2):
      drm/i915/vlv: Update Wait for FIFO and wait for 20 free entries. v3
      drm/i915: Remove duplicate intel_uncore_forcewake_reset.

Jani Nikula (1):
      drm/i915: use __packed instead of __attribute__((packed))

Mika Kuoppala (3):
      drm/i915: check context reset stats before relocations
      drm/i915: Fix timeout with missed interrupts in __wait_seqno
      drm/i915: dont call irq_put when irq test is on

Paulo Zanoni (18):
      drm/i915: change CRTC assertion on LCPLL disable
      drm/i915: WARN if !HAS_PC8 when enabling/disabling PC8
      drm/i915: get a PC8 reference when enabling the power well
      drm/i915: add initial Runtime PM functions
      drm/i915: do adapter power state notification at runtime PM
      drm/i915: add runtime put/get calls at the basic places
      drm/i915: add some runtime PM get/put calls
      drm/i915: get a runtime PM reference when the panel VDD is on
      drm/i915: do not assert DE_PCH_EVENT_IVB enabled
      drm/i915: disable interrupts when enabling PC8
      drm/i915: add runtime PM support on Haswell
      drm/i915: don't enable VDD just to enable the panel
      drm/i915: don't touch the VDD when disabling the panel
      drm/i915: fix VDD override off wait
      drm/i915: remove i915_disable_vga_mem declaration
      drm/i915: extract hsw_power_well_post_{enable, disable}
      drm/i915: touch VGA MSR after we enable the power well
      drm/i915: split intel_ddi_pll_mode_set in 2 pieces

Shobhit Kumar (7):
      drm/i915: Add more dev ops for MIPI sub encoder
      drm/i915: Use FLISDSI interface for band gap reset
      drm/i915: Compute dsi_clk from pixel clock
      drm/i915: Try harder to get best m, n, p values with minimal error
      drm/i915: Reorganize the DSI enable/disable sequence
      drm/i915: Remove redundant DSI PLL enabling
      drm/i915: Parametrize the dphy and other spec specific parameters

Vandana Kannan (1):
      drm/i915: Make downclock deduction common for all panels

Ville Syrjälä (21):
      drm/i915: Avoid div-by-zero in clock calculation funcs
      drm/i915: Add REG_WRITE_FOOTER
      drm/i915: Fix bogus FBC1 defines
      drm/i915: Fix FBC1 plane checks for gen2
      drm/i915: Reorganize FBC function pointer initializaition
      drm/i915: Swap primary planes on gen2 for FBC
      drm/i915: Don't cast away const from infoframe buffer
      drm/i915: Move VLV PHY CRI clock enable into intel_init_dpio()
      drm/i915: Use 32bit read for BB_ADDR
      drm/i915: Record BB_ADDR for every ring
      drm/i915: Extract p2 divider correctly for gen2 LVDS dual channel
      drm/i915: Change N divider minimum from 3 to 2 for gen2
      drm/i915: Increase gen2 vco frequency limit to 1512 MHz
      drm/i915: Fix 66 MHz LVDS SSC freq for gen2
      drm/i915: Decrease gen2 vco frequency minimum to 908 MHz
      drm/i915: Gen2 FBC1 CFB pitch wants 32B units
      drm/i915: FBC_CONTROL2 is gen4 only
      drm/i915: Enable FBC for all mobile gen2 and gen3 platforms
      drm/i915: Rework the FBC interval/stall stuff a bit
      drm/i915: Clear out old GT FIFO errors in intel_uncore_early_sanitize()
      drm/i915/bdw: Don't use forcewake needlessly

 drivers/char/Makefile                      |   2 +-
 drivers/char/agp/Kconfig                   |   5 +
 drivers/char/agp/Makefile                  |   2 +-
 drivers/char/agp/intel-agp.c               |   5 -
 drivers/char/agp/intel-gtt.c               |  18 +
 drivers/gpu/drm/i915/Kconfig               |  32 +-
 drivers/gpu/drm/i915/dvo_ns2501.c          |  73 +--
 drivers/gpu/drm/i915/i915_debugfs.c        | 208 +++++--
 drivers/gpu/drm/i915/i915_dma.c            |  38 +-
 drivers/gpu/drm/i915/i915_drv.c            |  83 ++-
 drivers/gpu/drm/i915/i915_drv.h            | 157 +++--
 drivers/gpu/drm/i915/i915_gem.c            |  65 +-
 drivers/gpu/drm/i915/i915_gem_context.c    |  45 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  55 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  26 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |  12 +-
 drivers/gpu/drm/i915/i915_irq.c            |  57 +-
 drivers/gpu/drm/i915/i915_reg.h            | 231 +++----
 drivers/gpu/drm/i915/i915_suspend.c        |  45 --
 drivers/gpu/drm/i915/i915_sysfs.c          |  49 +-
 drivers/gpu/drm/i915/i915_ums.c            |  27 +
 drivers/gpu/drm/i915/intel_bios.c          |   8 +-
 drivers/gpu/drm/i915/intel_bios.h          |  48 +-
 drivers/gpu/drm/i915/intel_crt.c           |   5 +-
 drivers/gpu/drm/i915/intel_ddi.c           | 149 +++--
 drivers/gpu/drm/i915/intel_display.c       | 392 +++++++++---
 drivers/gpu/drm/i915/intel_dp.c            |  84 ++-
 drivers/gpu/drm/i915/intel_drv.h           |  41 +-
 drivers/gpu/drm/i915/intel_dsi.c           | 193 +++---
 drivers/gpu/drm/i915/intel_dsi.h           |  21 +
 drivers/gpu/drm/i915/intel_dsi_pll.c       | 119 ++--
 drivers/gpu/drm/i915/intel_dvo.c           |   5 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |  63 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  87 ++-
 drivers/gpu/drm/i915/intel_i2c.c           |  15 +-
 drivers/gpu/drm/i915/intel_lvds.c          |  90 +--
 drivers/gpu/drm/i915/intel_opregion.c      |  51 +-
 drivers/gpu/drm/i915/intel_panel.c         | 942 ++++++++++++++++++++---------
 drivers/gpu/drm/i915/intel_pm.c            | 619 ++++++++++++-------
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  22 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |  62 +-
 drivers/gpu/drm/i915/intel_sdvo_regs.h     |  40 +-
 drivers/gpu/drm/i915/intel_sideband.c      |  43 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  18 +
 drivers/gpu/drm/i915/intel_uncore.c        | 318 ++++++++--
 include/uapi/drm/i915_drm.h                |  19 +
 46 files changed, 3018 insertions(+), 1671 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-12-11 10:20 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-12-11 10:20 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

So first pull request for 3.14. A bit later than usual, but it's also been
a really quiet month for feature stuff somehow. Much fewer patches than
usual. Highlights:
- some more ppgtt prep patches from Ben
- a few fbc fixes from Ville
- power well rework from Imre
- vlv forcewake improvements from Deepak S, Ville and Jesse
- a few smaller things all over
- BDW support (by way of backmerging it) from Ben et al.
- Bbacklight rework from Jani.
- Deprecating UMS and AGP legacy support.
- reset_stats ioctl fro ARB robustness support (Mika).
- More VLV patche from Jesse, Ville and Chon Ming Lee.
- A few smaller things.

Please copy the above into the pull request since the tag only contains
the feature list of the last 2 week testing cycle. I haven't figured out
yet whether I could add a new tag with the aggrate changes ...

In my queue I already have a backmerge of -rc3, but that was to be able to
merge some new stuff, not due to excessive conflicts. My next -fixes pull
will have a real conflict with this stuff, but linux-next has seen this
one already.

Cheers, Daniel


The following changes since commit ad40f83f5a89f6d723fd4db424b531f8dd7d3b49:

  Merge branch 'drm-next-3.13' of git://people.freedesktop.org/~agd5f/linux into drm-next (2013-11-14 09:53:15 +1000)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-11-29

for you to fetch changes up to be46ffd48ba34189336c6fe420ff3370dcd36c60:

  drm/i915: Fix port name in vlv_wait_port_ready() timeout warning (2013-11-29 15:03:04 +0100)

----------------------------------------------------------------
- some more ppgtt prep patches from Ben
- a few fbc fixes from Ville
- power well rework from Imre
- vlv forcewake improvements from Deepak S, Ville and Jesse
- a few smaller things all over

----------------------------------------------------------------
Ben Widawsky (16):
      drm/i915: Require HW contexts (when possible)
      drm/i915/bdw: Add BDW to ULT macro
      drm/i915/bdw: GEN8 backlight support
      drm/i915/bdw: Do gen6 style reset for gen8
      drm/i915/bdw: Free correct number of ppgtt pages
      drm/i915/bdw: Add comment about gen8 HWS PGA
      drm/i915/bdw: Limit GTT to 2GB
      drm/i915/bdw: PIPE_[BC] I[ME]R moved to powerwell
      drm/i915: Fix BDW PPGTT error path
      drm/i915: Add a few missed bits to the mm
      drm/i915: Disallow dynamic ppgtt param modification
      drm/i915: Demote drop_caches_set print
      drm/i915: Removed unused vm args
      drm/i915: Remove defunct ctx switch comments
      drm/i915: Missed dropped VMA conversion
      drm/i915: Move the gtt mm takedown to cleanup

Borislav Petkov (2):
      i915, debugfs: Fix uninitialized warning
      i915, fbdev: Fix Kconfig typo

Chon Ming Lee (4):
      drm/i915/vlv: Workaround a punit issue in DDR data rate for 1333.
      drm/i915/vlv: For i915_cur_delayinfo, the max frequency reporting wrong value.
      drm/i915/vlv: Rename VLV DPIO register to be more structure to match configdb document.
      drm/i915/vlv: Make the vlv_dpio_read/vlv_dpio_write more PHY centric

Chris Wilson (4):
      drm/i915: Initialise min/max frequencies before updating RPS registers
      drm/i915: Hold pc8 lock around toggling pc8.gpu_idle
      drm/i915: Drop forcewake w/a for missed interrupts/seqno on Sandybridge
      drm/i915: Do not attempt to re-enable an unconnected primary plane

Damien Lespiau (1):
      drm/i915: Return a drm_mode_status enum in the mode_valid vfuncs

Daniel Vetter (15):
      drm/i915/ns2501: Rip out the reenable hack
      drm/i915: tune reset dmesg output a bit
      drm/i915: Make AGP=n work even on gen3
      drm/i915: Kill legeacy AGP for gen3 kms
      drm/i915: Deprecated UMS support
      drm/i915: Use for_each_pipe in intel_display_crc_init
      drm/i915: Reject opening of pipe crc files for invalid pipes
      Merge branch 'bdw-fixes' into backlight-rework
      Merge branch 'backlight-rework' into drm-intel-next-queued
      drm/i915: dp aux irq support for g4x/vlv
      drm/i915: remove intel_uncore_clear_errors
      drm/i915: Fix module unloading with DRM_I915_UMS=n
      drm/i915: drop the right force-wake engine in the vlv mmio funcs
      drm/i915: make sparse happy for the new vlv mmio read function
      drm/i915/sdvo: Fix up debug output to not split lines

Deepak S (3):
      drm/i915: Add power well arguments to force wake routines.
      drm/i915/vlv: Valleyview support for forcewake Individual power wells.
      drm/i915: Enabling DebugFS for valleyview forcewake counts

Imre Deak (8):
      drm/i915: add audio power domain
      drm/i915: support for multiple power wells
      drm/i915: add always-on power wells instead of special casing them
      drm/i915: use IS_HASWELL/BROADWELL instead of HAS_POWER_WELL
      drm/i915: don't do BDW/HSW specific powerdomains init on other platforms
      drm/i915: add a default always-on power well
      drm/i915: add a debugfs entry for power domain info
      drm/i915: add intel_display_power_enabled_sw() for use in atomic ctx

Jani Nikula (14):
      drm/i915: clean up backlight conditional build
      drm/i915: make backlight info per-connector
      drm/i915: make asle notifications update backlight on all connectors
      drm/i915: handle backlight through chip specific functions
      drm/i915: fix gen2-gen3 backlight set
      drm/i915: vlv does not have pipe field in backlight registers
      drm/i915: move backlight level setting in enable/disable to hooks
      drm/i915: use the initialized backlight max value instead of reading it
      drm/i915: debug print on backlight register
      drm/i915: gather backlight information at setup
      drm/i915: do full backlight setup at enable time
      drm/i915: remove QUIRK_NO_PCH_PWM_ENABLE
      drm/i915: nuke get max backlight functions
      drm/i915: do not save/restore backlight registers in KMS

Jesse Barnes (11):
      drm/i915: add bunit read/write routines
      drm/i915: move VLV DDR freq fetch into init_clock_gating
      drm/i915/vlv: modeset_global_* for VLV v7
      drm/i915/vlv: split CCK and DDR freq usage
      drm/i915/vlv: fixup DDR freq detection per Punit spec
      drm/i915: drop duplicate ggtt vma list add in setup_global_gtt
      drm/i915: split fb allocation and initialization v2
      drm/i915: protect HSW power well check with IS_HASWELL in redisable_vga
      drm/i915/vlv: use a lower RC6 timeout on VLV
      drm/i915/vlv: use parallel context restore when coming out of RC6
      drm/i915: drop DRM_ERROR in intel_fbdev init

Mika Kuoppala (3):
      drm/i915: add i915_reset_count
      drm/i915: add i915_get_reset_stats_ioctl
      drm/i915: check i915_get_reset_stats_ioctl args

Paulo Zanoni (1):
      drm/i915: reuse WRPLL when possible

Ville Syrjälä (17):
      drm/i915: Sanitize prepare_pipes after valleyview_modeset_global_pipes()
      drm/i915: Improve vlv_gpu_freq() and vlv_freq_opcode()
      drm/i915: Pass dev_priv to vlv_gpu_freq() and vlv_freq_opcode()
      drm/i915: Fix gen3/4 vblank counter wraparound
      drm/i915: Use frame counter for intel_wait_for_vblank() on CTG
      drm/i915: Kill vlv_update_rps_cur_delay()
      drm/i915: Use clamp_t() when limiting cur_delay
      drm/i915: Make AGP support optional
      drm/i915: Limit FBC flush to post batch flush
      drm/i915: Emit SRM after the MSG_FBC_REND_STATE LRI
      drm/i915: Set has_fbc=true for all SNB+, except VLV
      drm/i915: Use plane_name() in gen7_enable_fbc()
      drm/i915: Enable pipe gamma for sprites
      drm/i915: Don't set the fence number in DPFC_CTL on SNB
      drm/i915: Report all GTFIFODBG errors
      drm/i915: Fix GT wake FIFO free entries for VLV
      drm/i915: Fix port name in vlv_wait_port_ready() timeout warning

 drivers/char/Makefile                      |   2 +-
 drivers/char/agp/Kconfig                   |   5 +
 drivers/char/agp/Makefile                  |   2 +-
 drivers/char/agp/intel-agp.c               |   5 -
 drivers/char/agp/intel-gtt.c               |  18 +
 drivers/gpu/drm/i915/Kconfig               |  32 +-
 drivers/gpu/drm/i915/dvo_ns2501.c          |  73 +--
 drivers/gpu/drm/i915/i915_debugfs.c        | 163 ++++--
 drivers/gpu/drm/i915/i915_dma.c            |  22 +-
 drivers/gpu/drm/i915/i915_drv.c            |  42 +-
 drivers/gpu/drm/i915/i915_drv.h            | 143 +++--
 drivers/gpu/drm/i915/i915_gem.c            |   8 +-
 drivers/gpu/drm/i915/i915_gem_context.c    |  45 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  11 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  26 +-
 drivers/gpu/drm/i915/i915_irq.c            |  17 +-
 drivers/gpu/drm/i915/i915_reg.h            | 218 +++----
 drivers/gpu/drm/i915/i915_suspend.c        |  45 --
 drivers/gpu/drm/i915/i915_sysfs.c          |  35 +-
 drivers/gpu/drm/i915/i915_ums.c            |  27 +
 drivers/gpu/drm/i915/intel_crt.c           |   5 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  37 +-
 drivers/gpu/drm/i915/intel_display.c       | 327 ++++++++---
 drivers/gpu/drm/i915/intel_dp.c            |  44 +-
 drivers/gpu/drm/i915/intel_drv.h           |  25 +-
 drivers/gpu/drm/i915/intel_dsi.c           |   5 +-
 drivers/gpu/drm/i915/intel_dvo.c           |   5 +-
 drivers/gpu/drm/i915/intel_fbdev.c         |  63 ++-
 drivers/gpu/drm/i915/intel_hdmi.c          |  67 +--
 drivers/gpu/drm/i915/intel_i2c.c           |  15 +-
 drivers/gpu/drm/i915/intel_lvds.c          |   5 +-
 drivers/gpu/drm/i915/intel_opregion.c      |  43 +-
 drivers/gpu/drm/i915/intel_panel.c         | 882 +++++++++++++++++++----------
 drivers/gpu/drm/i915/intel_pm.c            | 396 +++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  22 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |  60 +-
 drivers/gpu/drm/i915/intel_sideband.c      |  29 +-
 drivers/gpu/drm/i915/intel_sprite.c        |  18 +
 drivers/gpu/drm/i915/intel_uncore.c        | 275 +++++++--
 include/uapi/drm/i915_drm.h                |  19 +
 40 files changed, 2095 insertions(+), 1186 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-10-24 14:56 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-10-24 14:56 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

drm-intel-next-2013-10-18:
- CRC support from Damien and He Shuang. Long term this should allow us to
  test an awful lot modesetting corner cases automatically. So for me as
  the maintainer this is really big.
- HDMI audio fix from Jani.
- VLV dpll computation code refactoring from Ville.
- Fixups for the gpu booster from last time around (Chris).
- Some cleanups in the context code from Ben.
- More watermark work from Ville (we'll be getting there ...).
- vblank timestamp improvements from Ville.
- CONFIG_FB=n support, including drm core changes to make the fbdev
  helpers optional.
- DP link training improvements (Jani).
- mmio vtable from Ben, prep work for future hw.

There shouldn't be a conflict with drm-next (but I haven't done an
explicit test-merge). But there's quite a bit of fun with -fixes going on.
Maybe we need some backmerge ...

Cheers, Daniel


The following changes since commit 967ad7f1489da7babbe0746f81c283458ecd3f84:

  Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next
(2013-10-10 12:44:43 +0200)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-10-18

for you to fetch changes up to 6da7f10d296f4ac625f96b39eef22c41398727e3:

  drm/i915/dp: don't mention eDP bpp clamping if it doesn't affect bpp
(2013-10-18 16:00:06 +0200)

----------------------------------------------------------------
Artem Bityutskiy (1):
      drm/i915: preserve dispaly init order on ByT

Ben Widawsky (12):
      drm/i915: Use the real cpu max frequency for ring scaling
      drm/i915: Prevent using uninitialized MMIO funcs
      drm/i915: Move edram detection early_sanitize
      drm/i915: Create MMIO virtual functions
      drm/i915: Extract common MMIO lines
      drm/i915: Create GEN specific read MMIO
      drm/i915: Create GEN specific write MMIO
      drm/i915: Remove gen specific checks in MMIO
      drm/i915: Do PCH and uncore init earlier
      drm/i915: Do a fuller init after reset
      drm/i915: cleanup context fini
      drm/i915: Replace has_bsd/blt/vebox with a mask

Chon Ming Lee (1):
      drm/i915: Move some hdmi enable function name to vlv specific.

Chris Wilson (7):
      drm/i915: Call io_schedule() whilst whilsting for the GPU
      drm/i915: Fix type mismatch and accounting in i915_gem_shrink
      drm/i915: Undo the PIPEA quirk for i845
      drm/i915: Capture the initial error-state when kicking stuck rings
      drm/i915: Avoid tweaking RPS before it is enabled
      drm/i915: Add breadcrumbs for why the backlight is being set
      drm/i915: Disable all GEM timers and work on unload

Damien Lespiau (16):
      drm/i915: Remove yet another unused define
      drm/i915: Keep the CRC values into a circular buffer
      drm/i915: Sample the frame counter instead of a timestamp for CRCs
      drm/i915: Make switching to the same CRC source a no-op
      drm/i915: Enforce going back to none before changing CRC source
      drm/i915: Empty the circular buffer when asked for a new source
      drm/i915: Dynamically allocate the CRC circular buffer
      drm/i915: Generalize the CRC command format for future work
      drm/i915: Rename i915_pipe_crc_ctl to i915_display_crc_ctl
      drm/i915: Warn if we receive an interrupt after freeing the buffer
      drm/i915: Add log messages when CRCs collection is started/stopped
      drm/i915: Move drm_add_fake_info_node() higher in the file
      drm/i915: Implement blocking read for pipe CRC files
      drm/i915: Only one open() allowed on pipe CRC result files
      drm/i915: Enable pipe CRCs
      drm/i915: Use pipe_name() instead of the pipe number

Daniel Vetter (24):
      drm/i915: check that the i965g/gm 4G limit is really obeyed
      drm/i915: rip out gen2 reset code
      drm/i915: Keep intel_drv.h tidy
      drm/i915: Educate users in dmesg about reporting gpu hangs
      drm: Add separate Kconfig option for fbdev helpers
      drm/i915: Kconfig option to disable the legacy fbdev support
      drm/i915: rename intel_fb.c to intel_fbdev.c
      drm/i915: Add a control file for pipe CRCs
      drm/i915: static inline for dummy crc functions
      drm/i915: constify harder
      drm/i915: grab dev->struct_mutex around framebuffer_init
      drm/i915: prevent tiling changes on framebuffer backing storage
      drm/i915: Use unsigned long for obj->user_pin_count
      drm/i915: check gem bo size when creating framebuffers
      cpufreq: Add dummy cpufreq_cpu_get/put for CONFIG_CPU_FREQ=n
      drm/i915: don't Oops in debugfs for I915_FBDEV=n
      drm/i915: extract display_pipe_crc_update
      drm/i915: add CRC #defines for ilk/snb
      drm/i915: wire up CRC interrupt for ilk/snb
      drm/i915: use ->get_vblank_counter for the crc frame counter
      drm/i915: wait one vblank when disabling CRCs
      drm/i915: fix CRC debugfs setup
      drm/i915: crc support for hsw
      drm/i915: remove dead code in ironlake_crtc_mode_set

Imre Deak (1):
      drm/i915: vlv: fix VGA hotplug after modeset

Jani Nikula (8):
      drm/i915/dp: use sizeof for memset instead of magic value
      drm/i915/dp: promote clock recovery failures to DRM_ERROR
      drm/i915/dp: update training set in a burst write with training
pattern set
      drm/i915: tell the user KMS is required for gen6+
      drm/i915/dp: constify link_status
      drm/i915: pass mode to ELD write vfuncs
      drm/i915: set HDMI pixel clock in audio configuration
      drm/i915/dp: don't mention eDP bpp clamping if it doesn't affect bpp

Jesse Barnes (2):
      drm/i915: don't save/restore CACHE_MODE_0 on gen7+
      drm/i915/vlv: add doc names to sideband file

Paulo Zanoni (3):
      drm/i915: wait for IPS_ENABLE when enabling IPS
      drm/i915: don't leak dp_connector at intel_ddi_init
      drm/i915: increase the SWSCI DSLP default timeout to 50ms

Shuang He (1):
      drm/i915: Expose latest 200 CRC value for pipe through debugfs

Thomas Wood (1):
      drm: add support for additional stereo 3D modes

Tom O'Rourke (1):
      drm/i915: Finish enabling rps before use by sysfs or debugfs

Ville Syrjälä (54):
      drm/i915: Make vlv_find_best_dpll() ppm calculation safe
      drm/i915: Don't underflow bestppm
      drm/i915: Rewrite vlv_find_best_dpll()
      drm/i915: De-magic the VLV p2 divider step size
      drm/i915: Make sure we respect n.max on VLV
      drm/i915: Clarify VLV PLL p1 limits
      drm/i915: Allow p1 divider 2 on VLV
      drm/i915: Respect p2 divider minimum limit on VLV
      drm/i915: Remove the unused p and m limits for VLV
      drm/i915: Remove unused dot_limit from VLV PLL limits
      drm/i915: intel_limits_vlv_dac and intel_limits_vlv_hdmi are the same
      drm/i915: Don't lie about findind suitable PLL settings on VLV
      drm/i915: Use intel_PLL_is_valid() in vlv_find_best_dpll()
      drm/i915: Fix VGA_DISP_DISABLE check
      drm/i915: Set primary_disabled in intel_{enable, disable}_plane
      drm/i915: Allow sprites to be configured on a disabled pipe
      drm/i915: Reduce the time we hold struct mutex in sprite update_plane code
      drm/i915: Kill a goto from sprite disable code
      drm/i915: Do a bit of cleanup in the sprite code
      drm/i915: Save user requested plane coordinates only on success
      drm/i915: Do the fbc vs. primary plane enable/disable in the right order
      drm/i915: Enable/disable IPS when primary is enabled/disabled
      drm/i915: Rename intel_flush_display_plane to intel_flush_primary_plane
      drm/i915: Rename intel_{enable, disable}_plane to intel_{enable,
disable}_primary_plane
      drm/i915: WARN if primary plane state doesn't match expectations
      drm/i915: Flush primary plane changes in sprite code
      drm/i915: Populate primary_disabled in intel_modeset_readout_hw_state()
      drm/i915: Rename primary_disabled to primary_enabled
      drm/i915: Fix pipe off timeout handling for pre-gen4
      drm/i915: Fix VLV frame counter registers
      drm/i915: Fix pre-CTG vblank counter
      drm/i915: Use DIV_ROUND_CLOSEST() to calculate dot/vco
      drm/i915: Use vlv_clock() in vlv_crtc_clock_get()
      drm/i915: Skip register reads in i915_get_crtc_scanoutpos()
      drm/i915: Fix scanoutpos calculations
      drm/i915: Improve the accuracy of get_scanout_pos on CTG+
      drm/i915: Fix gen2 scanout position readout
      drm/i915: Don't pretend that gen2 has a hardware frame counter
      drm/i915: Add intel_pipe_wm and prepare for watermark pre-compute
      drm/i915: Don't re-compute pipe watermarks except for the affected pipe
      drm/i915: Move LP1+ watermark merging out from hsw_compute_wm_results()
      drm/i915: Use intel_pipe_wm in hsw_find_best_results
      drm/i915: Move some computations out from hsw_compute_wm_parameters()
      drm/i915: Check 5/6 DDB split only when sprites are enabled
      drm/i915: Refactor wm_lp to level calculation
      drm/i915: Kill fbc_wm_enabled from intel_wm_config
      drm/i915: Store current watermark state in dev_priv->wm
      drm/i915: Improve watermark dirtyness checks
      drm/i915: Init HSW watermark tracking in intel_modeset_setup_hw_state()
      drm/i915: Remove a somewhat silly debug print from watermark code
      drm/i915: Adjust watermark register masks
      drm/i915: Rename ilk_wm_max to ilk_compute_wm_maximums
      drm/i915: Rename ilk_check_wm to ilk_validate_wm_level
      drm/i915: Check 5/6 DDB split only when sprites are enabled

 drivers/gpu/drm/Kconfig                            |  69 +--
 drivers/gpu/drm/Makefile                           |   3 +-
 drivers/gpu/drm/ast/Kconfig                        |   1 +
 drivers/gpu/drm/cirrus/Kconfig                     |   1 +
 drivers/gpu/drm/drm_crtc_helper.c                  |   4 +
 drivers/gpu/drm/drm_edid.c                         | 103 +++-
 drivers/gpu/drm/drm_fb_helper.c                    |   4 -
 drivers/gpu/drm/exynos/Kconfig                     |   1 +
 drivers/gpu/drm/gma500/Kconfig                     |   1 +
 drivers/gpu/drm/i915/Kconfig                       |  67 +++
 drivers/gpu/drm/i915/Makefile                      |   3 +-
 drivers/gpu/drm/i915/i915_debugfs.c                | 570 ++++++++++++++++++--
 drivers/gpu/drm/i915/i915_dma.c                    |  41 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  70 ++-
 drivers/gpu/drm/i915/i915_drv.h                    | 134 +++--
 drivers/gpu/drm/i915/i915_gem.c                    |  86 +--
 drivers/gpu/drm/i915/i915_gem_context.c            |  17 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |   8 +-
 drivers/gpu/drm/i915/i915_irq.c                    | 298 +++++++++--
 drivers/gpu/drm/i915/i915_reg.h                    | 101 +++-
 drivers/gpu/drm/i915/i915_suspend.c                |   7 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |  10 +
 drivers/gpu/drm/i915/intel_crt.c                   |   2 +-
 drivers/gpu/drm/i915/intel_ddi.c                   |  62 ++-
 drivers/gpu/drm/i915/intel_display.c               | 400 ++++++++------
 drivers/gpu/drm/i915/intel_dp.c                    |  44 +-
 drivers/gpu/drm/i915/intel_drv.h                   |  60 ++-
 drivers/gpu/drm/i915/intel_dsi.c                   |   2 +-
 drivers/gpu/drm/i915/{intel_fb.c => intel_fbdev.c} |   4 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  12 +-
 drivers/gpu/drm/i915/intel_opregion.c              |   5 +-
 drivers/gpu/drm/i915/intel_panel.c                 |   4 +
 drivers/gpu/drm/i915/intel_pm.c                    | 584 +++++++++++++--------
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  10 +-
 drivers/gpu/drm/i915/intel_sideband.c              |   5 +-
 drivers/gpu/drm/i915/intel_sprite.c                | 184 ++++---
 drivers/gpu/drm/i915/intel_tv.c                    |   4 +-
 drivers/gpu/drm/i915/intel_uncore.c                | 356 ++++++++-----
 drivers/gpu/drm/mgag200/Kconfig                    |   1 +
 drivers/gpu/drm/msm/Kconfig                        |   1 +
 drivers/gpu/drm/nouveau/Kconfig                    |   1 +
 drivers/gpu/drm/omapdrm/Kconfig                    |   1 +
 drivers/gpu/drm/qxl/Kconfig                        |   1 +
 drivers/gpu/drm/rcar-du/Kconfig                    |   1 +
 drivers/gpu/drm/shmobile/Kconfig                   |   1 +
 drivers/gpu/drm/tilcdc/Kconfig                     |   1 +
 drivers/gpu/drm/udl/Kconfig                        |   1 +
 drivers/gpu/host1x/drm/Kconfig                     |   1 +
 drivers/staging/imx-drm/Kconfig                    |   1 +
 include/linux/cpufreq.h                            |   8 +
 51 files changed, 2375 insertions(+), 983 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/Kconfig
 rename drivers/gpu/drm/i915/{intel_fb.c => intel_fbdev.c} (98%)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-10-14  6:50 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-10-14  6:50 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

New feature pile for 3.12! Highlights:
- Stereo/3d support for hdmi from Damien, both the drm core bits and
  the i915 integration.
- Manual boost/deboost logic for gpu turbo (Chris)
- Fixed up clock readout support for vlv (Chris).
- Tons of little fixes and improvements for vlv in general (Chon Minng
  Lee and Jesse Barnes).
- Power well support for the legacy vga plane (Ville).
- DP impromevents from Jani.
- Improvements to the Haswell modeset sequence (Ville+Paulo).
- Haswell DDI improvements, using the VBT for some tuning values and
  to check the configuration (Paulo).
- Tons of other small improvements and fixups.

Cheers, Daniel


The following changes since commit 6aba5b6cf098ba305fc31b23cc14114a16768d22:

  drm/i915/dp: get rid of intel_dp->link_configuration (2013-10-09 18:20:48 +1000)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel drm-intel-next

for you to fetch changes up to 967ad7f1489da7babbe0746f81c283458ecd3f84:

  Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next (2013-10-10 12:44:43 +0200)

----------------------------------------------------------------

Ben Widawsky (5):
      drm/i915: Calculate PSR register offsets from base + gen
      drm/i915: trace vm eviction instead of everything
      drm/i915: Provide a cheap ggtt vma lookup
      drm/i915: Convert active API to VMA
      drm/i915: Clean up the ring scaling calculations

Chon Ming Lee (3):
      drm/i915: Fix VLV eDP timing v2
      drm/i915: Program GMBUS Frequency based on the CDCLK for VLV.
      drm/i915/vlv: Turn off power gate for BIOS-less system.

Chris Wilson (11):
      drm/i915: Do not unlock upon error in i915_gem_idle()
      drm/i915: Delay the release of the forcewake by a jiffie
      drm/i915: Add some debug spam for intialising SDVO
      drm/i915: Move the conditional seqno query into the tracepoint
      drm/i915: Show WT caching in debugfs
      drm/i915: Add a tracepoint for using a semaphore
      drm/i915/vlv: fix up broken precision in vlv_crtc_clock_get
      drm/i915: Add some missing steps to i915_driver_load error path
      drm/i915: Fix __wait_seqno to use true infinite timeouts
      drm/i915: Boost RPS frequency for CPU stalls
      drm/i915: Tweak RPS thresholds to more aggressively downclock

Damien Lespiau (28):
      drm: Move the GET_CAP macros next to the corresponding ioctl structure
      drm: Add a SET_CLIENT_CAP ioctl
      drm: Add HDMI stereo 3D flags to struct drm_mode_modeinfo
      drm: Add a STEREO_3D capability to the SET_CLIENT_CAP ioctl
      drm/edid: Expose mandatory stereo modes for HDMI sinks
      drm: Extract add_hdmi_mode() out of do_hdmi_vsdb_modes()
      drm: Reject modes with more than 1 stereo flags set
      drm: Set the relevant infoframe field when scanning out a 3D mode
      drm: Make drm_match_cea_mode() return the underlying 2D VIC for 3d modes
      drm: Carry over the stereo flags when adding the alternate mode
      drm: Make exposing stereo modes a per-connector opt-in
      drm: Remove clock_index from struct drm_display_mode
      drm: Remove synth_clock from struct drm_display_mode
      drm: Introduce a crtc_clock for struct drm_display_mode
      drm: Implement timings adjustments for frame packing
      drm: Factor out common CRTC viewport checking code
      drm: Check the fb size against the adjusted v/hdisplay for stereo modes
      drm/i915: Use crtc_clock in intel_dump_crtc_timings()
      drm/i915: Use crtc_clock with the adjusted mode
      drm/i915: Ask the DRM core do make stereo timings adjustements
      drm/i915: Prefer crtc_{h|v}display for pipe src dimensions
      drm/i915: Allow stereo modes on HDMI
      drm: Code stereo layouts as an enum rather than a bit field
      drm: Revert "drm: Reject modes with more than 1 stereo flags set"
      drm: Reject stereo modes with an unknown layout
      drm/i915: Make intel_resume_power_well() static
      drm/i915: Add a more detailed comment about the set_base() fastboot hack
      drm/i915: Use adjusted_mode in the fastboot hack to disable pfit

Dan Carpenter (1):
      drm/i915: precendence bug in GT_PARITY_ERROR()

Daniel Vetter (6):
      drm/i915: use pointer = k[cmz...]alloc(sizeof(*pointer), ...) pattern
      drm/i915: Use kcalloc more
      drm/i915: Ditch INTELFB_CONN_LIMIT
      drm/i915: Use unsigned for overflow checks in execbuf
      drm/i915: Rip out SUPPORTS_EDP
      Merge remote-tracking branch 'airlied/drm-next' into drm-intel-next

Jani Nikula (6):
      drm/i915/dp: read DPCD PSR capability only on eDP
      drm/i915: clean up and simplify i9xx_crtc_mode_set wrt PLL handling
      drm/i915: backlight combination mode bit is gen4 only
      drm/i915/dp: retry i2c-over-aux seven times on AUX DEFER
      drm/i915/dp: do not write DP_TRAINING_PATTERN_SET all the time
      drm/i915: fix typo s/PatherPoint/PantherPoint/

Jesse Barnes (7):
      drm/i915/vlv: add VLV specific clock_get function v3
      drm/i915/vlv: hack to init backlight regs if BIOS fails to do so v2
      drm/i915/vlv: use lower precision RC6 counter
      drm/i915/vlv: use correct units for rc6 residency v2
      drm/i915/vlv: reduce GT FIFO error info to a debug message
      i915/vlv: untangle integrated clock source handling v4
      drm/i915/vlv: reset DPIO on load and resume v2

Paulo Zanoni (13):
      drm/i915: VBT's child_device_config changes over time
      drm/i915: use the HDMI DDI buffer translations from VBT
      drm/i915: check the DDC and AUX bits of the VBT on DDI machines
      drm/i915: add some assertions about VBT DDI port types
      drm/i915: don't init DP or HDMI when not supported by DDI port
      drm/i915: reorganize intel_drv.h
      drm/i915: make intel_pipe_has_type static
      drm/i915: make intel_crtc_load_lut static
      drm/i915: make intel_crtc_fb_gamma_{set, get} static
      drm/i915: make hsw_{disable, restore}_lcpll static
      drm/i915: remove "extern" keywords from intel_drv.h functions
      drm/i915: destroy connector sysfs files earlier
      drm/i915: implement the Haswell mode set sequence workaround

Rodrigo Vivi (1):
      drm/i915: Simplify PSR debugfs

Ville Syrjälä (12):
      drm/i915: Fix unclaimed register access due to delayed VGA memory disable
      drm/i915: Redisable VGA before the modeset on resume
      drm/i915: Move power well init earlier during driver load
      drm/i915: Move power well resume earlier
      drm/i915: Call intel_uncore_early_sanitize() during resume
      drm/i915: Drop explicit plane restoration during resume
      drm/i915: Fix intel_crtc_mode_get() mode clock
      drm/i915: Add HSW CRT output readout support
      drm/i915: Eliminate one indent leel from vlv_find_best_dpll
      drm/i915: Use DIV_ROUND_CLOSEST()
      drm/i915: Disable/enable planes as the first/last thing during modeset on HSW
      drm/i915: Don't populate pipe_src_{w,h} multiple times

 drivers/gpu/drm/drm_crtc.c                 | 100 ++++--
 drivers/gpu/drm/drm_crtc_helper.c          |   8 +-
 drivers/gpu/drm/drm_drv.c                  |   1 +
 drivers/gpu/drm/drm_edid.c                 | 176 +++++++--
 drivers/gpu/drm/drm_ioctl.c                |  21 ++
 drivers/gpu/drm/drm_modes.c                |  41 ++-
 drivers/gpu/drm/i915/i915_debugfs.c        | 198 +++++-----
 drivers/gpu/drm/i915/i915_dma.c            |  64 ++--
 drivers/gpu/drm/i915/i915_drv.c            |   8 +-
 drivers/gpu/drm/i915/i915_drv.h            |  74 ++--
 drivers/gpu/drm/i915/i915_gem.c            | 283 ++++++++++-----
 drivers/gpu/drm/i915/i915_gem_context.c    |   5 +-
 drivers/gpu/drm/i915/i915_gem_evict.c      |   2 +
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  18 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   4 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   6 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |   6 +-
 drivers/gpu/drm/i915/i915_irq.c            |  70 ++--
 drivers/gpu/drm/i915/i915_reg.h            |  51 ++-
 drivers/gpu/drm/i915/i915_sysfs.c          |  22 +-
 drivers/gpu/drm/i915/i915_trace.h          |  62 +++-
 drivers/gpu/drm/i915/intel_bios.c          | 179 +++++++--
 drivers/gpu/drm/i915/intel_bios.h          |  46 ++-
 drivers/gpu/drm/i915/intel_crt.c           |  39 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  54 ++-
 drivers/gpu/drm/i915/intel_display.c       | 557 ++++++++++++++++++-----------
 drivers/gpu/drm/i915/intel_dp.c            | 227 +++++++-----
 drivers/gpu/drm/i915/intel_drv.h           | 510 +++++++++++++-------------
 drivers/gpu/drm/i915/intel_dsi.c           |   1 -
 drivers/gpu/drm/i915/intel_dvo.c           |   7 +-
 drivers/gpu/drm/i915/intel_fb.c            |  25 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  12 +-
 drivers/gpu/drm/i915/intel_i2c.c           |  64 ++++
 drivers/gpu/drm/i915/intel_lvds.c          |  10 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   4 +-
 drivers/gpu/drm/i915/intel_panel.c         |  19 +-
 drivers/gpu/drm/i915/intel_pm.c            | 235 ++++++++----
 drivers/gpu/drm/i915/intel_sdvo.c          |  39 +-
 drivers/gpu/drm/i915/intel_sprite.c        |   2 +-
 drivers/gpu/drm/i915/intel_tv.c            |  15 +-
 drivers/gpu/drm/i915/intel_uncore.c        |  49 ++-
 drivers/gpu/drm/nouveau/dispnv04/crtc.c    |   2 -
 include/drm/drmP.h                         |   5 +
 include/drm/drm_crtc.h                     |  17 +-
 include/uapi/drm/drm.h                     |  37 +-
 include/uapi/drm/drm_mode.h                |  43 ++-
 46 files changed, 2268 insertions(+), 1150 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-09-27  9:02 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-09-27  9:02 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

First feature pull request for 3.13. Highlights:

drm-intel-next-2013-09-21:
- clock state handling rework from Ville
- l3 parity handling fixes for hsw from Ben
- some more watermark improvements from Ville
- ban badly behaved context from Mika
- a few vlv improvements from Jesse
- VGA power domain handling from Ville
drm-intel-next-2013-09-06:
- Basic mipi dsi support from Jani. Not yet converted over to drm_bridge
  since that was too fresh, but the porting is in progress already.
- More vma patches from Ben, this time the code to convert the execbuffer
  code. Now that the shrinker recursion bug is tracked down we can move
  ahead here again. Yay!
- Optimize hw context switching to not generate needless interrupts (Chris
  Wilson). Also some shuffling for the oustanding request allocation.
- Opregion support for SWSCI, although not yet fully wired up (we need a
  bit of runtime D3 support for that apparently, due to Windows design
  deficiencies), from Jani Nikula.
- A few smaller changes all over.

Plus a backmerge of -rc2 since things became unwielding.

Note that this contains the DSI connector/encoder #defines in drm core
that Thierry wants to use for tegar (or at least he poked me a while back
where they're stuck).

Cheers, Daniel


The following changes since commit 4a10c2ac2f368583138b774ca41fac4207911983:

  Linux 3.12-rc2 (2013-09-23 15:41:09 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-09-21-merged

for you to fetch changes up to b599c89e8c5cf0c37352e0871be240291f8ce922:

  Merge tag 'v3.12-rc2' into drm-intel-next (2013-09-24 09:32:53 +0200)

----------------------------------------------------------------

Ben Widawsky (14):
      drm/i915: Convert execbuf code to use vmas
      drm/i915: Restore the preliminary HW check.
      drm/i915: Synchronize pread/pwrite with wait_rendering
      drm/i915: Extract vm specific part of eviction
      drm/i915: evict VM instead of everything
      drm/i915: Remove extra "ring"
      drm/i915: Round l3 parity reads down
      drm/i915: Fix l3 parity user buffer offset
      drm/i915: Fix HSW parity test
      drm/i915: Add second slice l3 remapping
      drm/i915: Make l3 remapping use the ring
      drm/i915: Keep a list of all contexts
      drm/i915: Do remaps for all contexts
      drm/i915: s/HAS_L3_GPU_CACHE/HAS_L3_DPF

Chon Ming Lee (3):
      drm/i915: Modify DP set clock to accomodate more eDP timings v2
      drm/i915: Move Valleyview DP DPLL divisor calc to intel_dp_set_clock v2
      drm/i915: Add additional pipe parameter for vlv_dpio_read and vlv_dpio_write. v2

Chris Wilson (8):
      drm/i915: Don't destroy the vma placeholder during execbuffer reservation
      drm/i915: Always prefer CPU relocations with LLC
      drm/i915: Do not add an interrupt for a context switch
      drm/i915: Rearrange the comments in i915_add_request()
      drm/i915: Rename ring->outstanding_lazy_request
      drm/i915; Preallocate the lazy request
      drm/i915: Write RING_TAIL once per-request
      drm/i915: Remove the double-list iteration from bound_any()

Damien Lespiau (2):
      drm/i915: It's its!
      drm/i915: Remove unused mode_fixup() vfunc of struct intel_dvo_dev_ops

Dan Carpenter (1):
      drm/i915: cleanup a min_t() cast

Daniel Vetter (8):
      drm/i915: inline vma_create into lookup_or_create_vma
      drm/i915: More vma fixups around unbind/destroy
      drm/i915/dsi: s/size_t/int/
      drm/i915: Fix list corruption in vma_unbind
      drm/i915: re-layout intel_panel.c to obey 80 char limit
      drm/i915: garbage-collect vlv refclk function
      drm/i915: dump crtc timings from the pipe config
      Merge tag 'v3.12-rc2' into drm-intel-next

Jani Nikula (23):
      drm/i915: add more VLV IOSF sideband ports accessors
      drm/i915: add VLV pipeconf bit definition for DSI PLL lock
      drm/i915: add MIPI DSI register definitions
      drm/i915: add MIPI DSI output type and subtypes
      drm/i915: add structs for MIPI DSI output
      drm/i915: add MIPI DSI command sending routines
      drm/i915: add basic MIPI DSI output support
      drm/i915: fix PLL assertions for DSI PLL
      drm/i915: don't enable DPLL for DSI
      drm/i915: initialize DSI output on VLV
      drm/i915: add plumbing for SWSCI
      drm/i915: expose intel_ddi_get_encoder_port()
      drm/i915: add opregion function to notify bios of encoder enable/disable
      drm/i915: add opregion function to notify bios of adapter power state
      drm/i915: do display power state notification on crtc enable/disable
      drm/i915: name intel dp hooks per platform
      drm/i915: move backlight enable later in vlv enable sequence
      drm/i915: clean up power sequencing register port select definitions
      drm/i915: add support for per-pipe power sequencing on vlv
      drm/i915: add asserts for cursor disabled
      drm/i915: only report hpd connector status change when it actually changed
      drm/i915: register backlight device also when backlight class is a module
      drm/i915: assume all GM45 Acer laptops use inverted backlight PWM

Jesse Barnes (3):
      drm/i915/vlv: re-enable hotplug detect based probing on VLV/BYT
      drm/i915/vlv: honor i915_enable_rc6 boot param on VLV
      drm/i915/vlv: disable rc6p and rc6pp residency reporting on BYT

Mika Kuoppala (2):
      drm/i915: ban badly behaving contexts
      drm/i915: include hangcheck action and score in error_state

Paulo Zanoni (8):
      drm/i915: move more code to __i915_drm_thaw
      drm/i915: don't save/restore LBB on Gen5+
      drm/i915: clear opregon->lid_state after we unmap it
      drm/i915: WARN is the DP aux read or write is too big
      drm/i915: check for more ASLC interrupts
      drm/i915: write D_COMP using the mailbox
      drm/i915: don't disable ERR_INT on the IRQ handler
      drm/i915: POSTING_READ IPS_CTL before waiting for the vblank

Rodrigo Vivi (1):
      drm/i915: Report enabled slices on Haswell GT3

Shobhit Kumar (3):
      drm: add MIPI DSI encoder and connector types
      drm/i915: Band Gap WA
      drm/i915: Parse the MIPI related VBT Block and store relevant info

Ville Syrjälä (43):
      drm/i915: Kill IRONLAKE_FDI_FREQ check
      drm/i915: Pass crtc to intel_update_watermarks()
      drm/i915: Call intel_update_watermarks() in specific place during modeset
      drm/i915: Constify some watermark data
      drm/i915: Use ilk_compute_wm_level to compute WM_PIPE values
      drm/i915: Refactor max WM level
      drm/i915: Fix HSW sync flags to use pipe config adjusted_mode
      drm/i915: Don't factor in pixel multplier when deriving dotclock from link clock and M/N values
      drm/i915: Make adjusted_mode.clock non-pixel multiplied
      drm/i915: Add support for pipe_bpp readout
      drm/i915: Add state readout and checking for has_dp_encoder and dp_m_n
      drm/i915: Make intel_fuzzy_clock_check() take in arbitrary clocks
      drm/i915: Add intel_dotclock_calculate()
      drm/i915: Make i9xx_crtc_clock_get() use dpll_hw_state
      drm/i915: Make i9xx_crtc_clock_get() work for PCH DPLLs
      drm/i915: Fix port_clock and adjusted_mode.clock readout all over
      drm/i915: Add PIPE_CONF_CHECK_CLOCK_FUZZY()
      drm/i915: Add fuzzy clock check for port_clock
      drm/i915: Grab the pixel clock from adjusted_mode not requested_mode
      drm/i915: Use adjusted_mode->clock in lpt_program_iclkip
      drm/i915: Use adjusted_mode in HDMI 12bpc clock check
      drm/i915: Use adjusted_mode in intel_update_fbc()
      drm/i915: Use adjusted_mode appropriately when computing watermarks
      drm/i915: Check the clock from adjusted mode in intel_crtc_active()
      drm/i915: Use adjusted_mode when checking conditions for PSR
      drm/i915: Make intel_crtc_active() available outside intel_pm.c
      drm/i915: Use pipe config in sprite code
      drm/i915: Use adjusted_mode in DSI PLL calculations
      drm/i915: Add explicit pipe src size to pipe config
      drm/i915: Document the inteded use of requested_mode
      drm/i915: Fix cursor visibility check with negative coordinates
      drm/i915: Fix cursor visibility checks also for the right/bottom screen edges
      drm/i915: Move double wide mode handling into pipe_config
      drm/i915: Add double_wide readout and checking
      drm/i915: Check pixel clock limits on pre-gen4
      drm/i915: pipe_src_w must be even in LVDS dual channel, DVO ganged, and double wide mode
      drm/i915: Fix up pipe vs. double wide confusion
      drm/i915: Convert overlay double wide check over to pipe config
      drm/i915: Change i915_request power well handling
      drm/i915: Add intel_display_power_{get, put} to request power for specific domains
      drm/i915: Refactor power well refcount inc/dec operations
      drm/i915: Add POWER_DOMAIN_VGA
      drm/i915: Pull intel_init_power_well() out of intel_modeset_init_hw()

ymohanma (1):
      drm/i915: add VLV DSI PLL Calculations

 drivers/gpu/drm/drm_crtc.c                 |   2 +
 drivers/gpu/drm/i915/Makefile              |   3 +
 drivers/gpu/drm/i915/dvo.h                 |  11 -
 drivers/gpu/drm/i915/i915_debugfs.c        |  41 +-
 drivers/gpu/drm/i915/i915_dma.c            |   4 +-
 drivers/gpu/drm/i915/i915_drv.c            |  76 ++-
 drivers/gpu/drm/i915/i915_drv.h            |  88 +++-
 drivers/gpu/drm/i915/i915_gem.c            | 195 ++++----
 drivers/gpu/drm/i915/i915_gem_context.c    |  39 +-
 drivers/gpu/drm/i915/i915_gem_evict.c      |  48 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c | 350 ++++++++------
 drivers/gpu/drm/i915/i915_gem_stolen.c     |   2 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |  24 +
 drivers/gpu/drm/i915/i915_irq.c            | 131 +++---
 drivers/gpu/drm/i915/i915_reg.h            | 472 ++++++++++++++++++-
 drivers/gpu/drm/i915/i915_suspend.c        |   8 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |  68 ++-
 drivers/gpu/drm/i915/intel_bios.c          |  16 +
 drivers/gpu/drm/i915/intel_bios.h          |  41 ++
 drivers/gpu/drm/i915/intel_crt.c           |  11 +-
 drivers/gpu/drm/i915/intel_ddi.c           |  37 +-
 drivers/gpu/drm/i915/intel_display.c       | 715 ++++++++++++++++++-----------
 drivers/gpu/drm/i915/intel_dp.c            | 313 +++++++++----
 drivers/gpu/drm/i915/intel_drv.h           |  41 +-
 drivers/gpu/drm/i915/intel_dsi.c           | 621 +++++++++++++++++++++++++
 drivers/gpu/drm/i915/intel_dsi.h           | 102 ++++
 drivers/gpu/drm/i915/intel_dsi_cmd.c       | 427 +++++++++++++++++
 drivers/gpu/drm/i915/intel_dsi_cmd.h       | 109 +++++
 drivers/gpu/drm/i915/intel_dsi_pll.c       | 317 +++++++++++++
 drivers/gpu/drm/i915/intel_dvo.c           |   7 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  61 ++-
 drivers/gpu/drm/i915/intel_lvds.c          |   8 +
 drivers/gpu/drm/i915/intel_opregion.c      | 431 +++++++++++++++--
 drivers/gpu/drm/i915/intel_overlay.c       |   5 +-
 drivers/gpu/drm/i915/intel_panel.c         | 184 ++++----
 drivers/gpu/drm/i915/intel_pm.c            | 336 +++++++++-----
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  71 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  15 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |  15 +-
 drivers/gpu/drm/i915/intel_sideband.c      |  74 ++-
 drivers/gpu/drm/i915/intel_sprite.c        |  10 +-
 include/uapi/drm/drm_mode.h                |   2 +
 include/uapi/drm/i915_drm.h                |   8 +-
 43 files changed, 4422 insertions(+), 1117 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_dsi.c
 create mode 100644 drivers/gpu/drm/i915/intel_dsi.h
 create mode 100644 drivers/gpu/drm/i915/intel_dsi_cmd.c
 create mode 100644 drivers/gpu/drm/i915/intel_dsi_cmd.h
 create mode 100644 drivers/gpu/drm/i915/intel_dsi_pll.c

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-09-26  8:48 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-09-26  8:48 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

Just a few fixes for regressions and other serious stuff.

Two fix state tracking mismatches, together with an additional patch that
I've submitted to stable (somehow forgotten to tag it) we should have them
fixed now (I hope).

Cheers, Daniel


The following changes since commit 4a10c2ac2f368583138b774ca41fac4207911983:

  Linux 3.12-rc2 (2013-09-23 15:41:09 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-fixes-2013-09-26

for you to fetch changes up to d32270460fee83e22ee9e6b1bfd7b486263eeb1d:

  drm/i915: Fix up usage of SHRINK_STOP (2013-09-26 00:31:51 +0200)

----------------------------------------------------------------
Chris Wilson (1):
      drm/i915: Use a temporary va_list for two-pass string handling

Daniel Vetter (3):
      drm/i915/tv: clear adjusted_mode.flags
      drm/i915: preserve pipe A quirk in i9xx_set_pipeconf
      drm/i915: Fix up usage of SHRINK_STOP

Jani Nikula (1):
      drm/i915/dp: increase i2c-over-aux retry interval on AUX DEFER

 drivers/gpu/drm/i915/i915_gem.c       |  8 ++++----
 drivers/gpu/drm/i915/i915_gpu_error.c |  6 ++++--
 drivers/gpu/drm/i915/intel_display.c  |  4 ++++
 drivers/gpu/drm/i915/intel_dp.c       | 13 ++++++++++++-
 drivers/gpu/drm/i915/intel_tv.c       |  8 ++++++++
 5 files changed, 32 insertions(+), 7 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-08-29 23:18 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-08-29 23:18 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

Need to get my stuff out the door ;-) Highlights:
- pc8+ support from Paulo
- more vma patches from Ben.
- Kconfig option to enable preliminary support by default (Josh
  Triplett)
- Optimized cpu cache flush handling and support for write-through caching
  of display planes on Iris (Chris)
- rc6 tuning from Stéphane Marchesin for more stability
- VECS seqno wrap/semaphores fix (Ben)
- a pile of smaller cleanups and improvements all over

Note that I've ditched Ben's execbuf vma conversion for 3.12 since not yet
ready. But there's still other vma conversion stuff in here.

Cheers, Daniel

The following changes since commit 5c536613d8ebda3da0448550d0a997651a6048e2:

  drm/i915: Fix FB WM for HSW (2013-08-09 20:27:43 +0200)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-08-23

for you to fetch changes up to fb1ae911f4e58c2cf28fcd48b59f54d17283da07:

  drm/i915: Print seqnos as unsigned in debugfs (2013-08-23 14:52:37 +0200)

----------------------------------------------------------------
Ben Widawsky (10):
      drm/i915: WARN_ON failed map_and_fenceable
      drm/i915: Initialize seqno for VECS too
      drm/i915: Get VECS semaphore info on error
      drm/i915: Remove node only when allocated
      drm/i915: cleanup map&fence in bind
      drm: WARN when removing unallocated node
      drm/i915: s/obj->exec_list/obj->obj_exec_link in debugfs
      drm/i915: Switch eviction code to use vmas
      drm/i915: prepare bind_to_vm for preallocated vma
      drm/i915/vma: Correct use after free in eviction

Chris Wilson (9):
      drm/i915: Update rules for reading cache lines through the LLC
      drm/i915: Track when an object is pinned for use by the display engine
      drm/i915: Update rules for writing through the LLC with the cpu
      drm/i915: Allow the GPU to cache stolen memory
      drm/i915: Only do a chipset flush after a clflush
      drm/i915: Use Write-Through cacheing for the display plane on Iris
      drm/i915: Allow the user to set bo into the DISPLAY cache domain
      drm/i915: Print the changes required for modeset
      drm/i915: Drop the overzealous warning from i915_gem_set_cache_level

Damien Lespiau (4):
      drm/i915: Remove DSPARB_HWCONTROL()
      drm/i915: Remove HAS_PIPE_CONTROL()
      drm: Remove IS_IRONLAKE_D()
      drm/i915: Remove I915_READ_{NOPID, SYNC_0, SYNC_1})()

Daniel Vetter (6):
      drm/i915: reserve I915_CACHING_DISPLAY and document cache modes
      drm/i915: clarify error paths in create_stolen_for_preallocated
      drm/i915: use vma->node directly and rewrap map&fence in bind
      drm/i915: unpin backing storage in dmabuf_unmap
      drm/i915: explicit store base gem object in dma_buf->priv
      drm/i915: Use POSTING_READ in lcpll code

Guillaume Clement (1):
      i915: Fix SDVO potentially turning off randomly

Jani Nikula (3):
      drm/i915: remove unused leftover variable irq_received
      drm/i915: give more distinctive names to ring hangcheck action enums
      drm/i915: drop unnecessary local variable to suppress build warning

Jesse Barnes (3):
      drm/i915: make IVB FDI training match spec v3
      drm/i915: Expose energy counter on SNB+ through debugfs
      drm/i915: drop WaMbcDriverBootEnable workaround

Josh Triplett (1):
      i915: Add a Kconfig option to turn on i915.preliminary_hw_support by default

Paulo Zanoni (20):
      drm/i915: remove set but unused variables
      drm/i915: print a message when we detect an early Haswell SDV
      drm/i915: check the power well when redisabling VGA
      drm/i915: clarify Haswell power well bit names
      drm/i915: enable the power well before module unload
      drm/i915: add the FCLK case to intel_ddi_get_cdclk_freq
      drm/i915: wrap GTIMR changes
      drm/i915: wrap GEN6_PMIMR changes
      drm/i915: don't update GEN6_PMIMR when it's not needed
      drm/i915: add dev_priv->pm_irq_mask
      drm/i915: don't disable/reenable IVB error interrupts when not needed
      drm/i915: don't queue PM events we won't process
      drm/i915: fix how we mask PMIMR when adding work to the queue
      drm/i915: merge HSW and SNB PM irq handlers
      drm/i915: grab force_wake when restoring LCPLL
      drm/i915: fix SDEIMR assertion when disabling LCPLL
      drm/i915: allow package C8+ states on Haswell (disabled)
      drm/i915: add i915_pc8_status debugfs file
      drm/i915: add i915.pc8_timeout function
      drm/i915: enable Package C8+ by default

Rafael Barbalho (1):
      drm/i915: Cleaning up the relocate entry function

Stéphane Marchesin (1):
      drm/i915: tune the RC6 threshold for stability

Ville Syrjälä (2):
      drm/i915: Fix context size calculation on SNB/IVB/VLV
      drm/i915: Print seqnos as unsigned in debugfs

Vinit Azad (1):
      drm/i915: Only unmask required PM interrupts

 drivers/gpu/drm/Kconfig                    |  11 +
 drivers/gpu/drm/drm_mm.c                   |   3 +
 drivers/gpu/drm/i915/i915_debugfs.c        |  65 +++++-
 drivers/gpu/drm/i915/i915_dma.c            |  28 ++-
 drivers/gpu/drm/i915/i915_drv.c            |  19 +-
 drivers/gpu/drm/i915/i915_drv.h            |  98 +++++++-
 drivers/gpu/drm/i915/i915_gem.c            | 204 +++++++++++-----
 drivers/gpu/drm/i915/i915_gem_dmabuf.c     |  27 ++-
 drivers/gpu/drm/i915/i915_gem_evict.c      |  34 +--
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  93 +++++---
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  13 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |  10 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |  11 +
 drivers/gpu/drm/i915/i915_irq.c            | 279 ++++++++++++++++------
 drivers/gpu/drm/i915/i915_reg.h            |  29 ++-
 drivers/gpu/drm/i915/intel_ddi.c           |   9 +-
 drivers/gpu/drm/i915/intel_display.c       | 363 +++++++++++++++++++++--------
 drivers/gpu/drm/i915/intel_dp.c            |   6 +-
 drivers/gpu/drm/i915/intel_drv.h           |  14 ++
 drivers/gpu/drm/i915/intel_hdmi.c          |   2 -
 drivers/gpu/drm/i915/intel_i2c.c           |   2 +
 drivers/gpu/drm/i915/intel_pm.c            |  54 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  32 +--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |  11 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   3 +-
 include/uapi/drm/i915_drm.h                |  25 ++
 26 files changed, 1060 insertions(+), 385 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-08-20  5:21 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-08-20  5:21 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

New pile of stuff for -next:
- Cleanup of the old crtc helper callbacks, all encoders are now converted
  to the i915 modeset infrastructure.
- Massive amount of wm patches from Ville for ilk, snb, ivb, hsw, this is
  prep work to eventually get things going for nuclear pageflips where we
  need to adjust watermarks on the fly.
- More vm/vma patches from Ben. This refactoring isn't yet fully rolled
  out, we miss the execbuf conversion and some of the low-level
  bind/unbind support code.
- Convert our hdmi infoframe code to use the new common helper functions
  (Damien). This contains some bugfixes for the common infoframe helpers.
- Some cruft removal from Damien.
- Various smaller bits&pieces all over, as usual.

Cheers, Daniel


The following changes since commit cd234b0bfd5ab012e42274b24aae420fa1823d58:

  drm/i915: Do not dereference NULL crtc or fb until after checking (2013-08-04 21:13:43 +0200)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-08-09

for you to fetch changes up to 5c536613d8ebda3da0448550d0a997651a6048e2:

  drm/i915: Fix FB WM for HSW (2013-08-09 20:27:43 +0200)

----------------------------------------------------------------
Ben Widawsky (22):
      drm/i915/hsw: Change default LLC age to 3
      drm/i915: Create an init vm
      drm/i915: Rework drop caches for checkpatch
      drm/i915: Make proper functions for VMs
      drm/i915: Use bound list for inactive shrink
      drm/i915: Add VM to pin
      drm/i915: Use ggtt_vm to save some typing
      drm/i915: Update describe_obj
      drm/i915: thread address space through execbuf
      drm/i915: make caching operate on all address spaces
      drm/i915: BUG_ON put_pages later
      drm/i915: make reset&hangcheck code VM aware
      drm/i915: eliminate dead domain clearing on reset
      drm/i915: Improve VMA comments
      drm/i915: Rework __i915_gem_shrink
      drm/i915: plumb VM into bind/unbind code
      drm/i915: Use new bind/unbind in eviction code
      drm/i915: turn bound_ggtt checks to bound_any
      drm/i915: Fix up map and fenceable for VMA
      drm/i915: mm_list is per VMA
      drm/i915: Update error capture for VMs
      drm/i915: Add vma to list at creation

Chris Wilson (7):
      drm/i915: Squelch repeated reasoning for why FBC cannot be activated
      drm/i915: Use the same pte_encoding for ppgtt as for gtt
      drm/i915: Tidy the macro casting by using an inline function
      drm/i915: Acquire dpio_lock for VLV sideband programming in DP/HDMI
      drm/i915: Rename I915_CACHE_MLC_LLC to L3_LLC for Ivybridge
      drm/i915: Export intel_framebuffer_fini
      drm/i915: List objects allocated from stolen memory in debugfs

Damien Lespiau (19):
      video/hdmi: Replace the payload length by their defines
      video/hdmi: Introduce a generic hdmi_infoframe union
      video/hdmi: Add a macro to return the size of a full infoframe
      video/hmdi: Clear the whole incoming buffer, not just the infoframe size
      drm: Don't generate invalid AVI infoframes for CEA modes
      drm/i915/hdmi: Change the write_infoframe vfunc to take a buffer and a type
      drm/i915/hdmi: Port the infoframe code to the common hdmi helpers
      drm/i915/sdvo: Port the infoframe code to the shared infrastructure
      drm/i915: Remove the now obsolete infoframe definitions
      drm: Handle the DBLCLK flag in the common infoframe helper
      drm: Set aspect ratio fields in the AVI infoframe even for non CEA modes
      drm/i915/hmdi: Rename set_infoframe() to write_infoframe()
      drm/i915: Remove stale prototypes
      drm/i915: Remove i915_gem_object_check_coherency()
      drm/i915: Fix #endif comment
      drm/i915: Make i915_hangcheck_elapsed() static
      drm/i915: Make intel_encoder_dpms() static
      drm/i915: Remove intel_modeset_disable()
      drm/i915: Make intel_set_mode() static

Dan Carpenter (2):
      drm/i915: unbreak i915_gem_object_ggtt_unbind()
      drm/i915: fix a limit check in hsw_compute_wm_results()

Daniel Vetter (13):
      drm/i915/dvo: use intel_encoder to the upcast macro
      drm/i915/dvo: switch ->mode_fixup to ->compute_config
      drm/i915: rip out legacy encoder->mode_fixup logic
      drm/i915/dvo: use native encoder ->mode_set callback
      drm/i915/sdvo: use intel_encoder for upcast helper
      drm/i915/tv: Use native encoder->mode_set callback
      drm/i915/crt: use native encoder->mode_set callback
      drm/i915/hdmi: use native encoder mode_set callback
      drm/i915/dp: use native encoder ->mode_set callback
      drm/i915/lvds: use the native encoder ->mode_set callback
      drm/i915/ddi: use the native encoder ->mode_set callback
      drm/i915: rip out legacy encoder->mode_set callback
      drm/i915: clean up crtc timings computation

Imre Deak (1):
      drm/i915: make user mode sync polarity setting explicit

Jani Nikula (3):
      drm/i915: rearrange vlv dp enable and pre_enable callbacks
      drm/i915: rearrange vlv hdmi enable and pre_enable callbacks
      drm/i915: move encoder->enable callback later in VLV crtc enable

Jesse Barnes (2):
      drm/i915: enable IPS for bpp <= 24
      drm/i915: expose HDMI connectors on port C on BYT

Paulo Zanoni (2):
      drm/i915: silence useless messages about DDI buffer translation
      drm/i915: remove use_fdi_mode argument from intel_prepare_ddi_buffers

Peter Wu (1):
      i915: fix ACPI _DSM warning

Stéphane Marchesin (1):
      drm/i915: Remove useless define

Ville Syrjälä (32):
      drm/i915: Add scaled paramater to update_sprite_watermarks()
      drm/i915: Pass the actual sprite width to watermarks functions
      drm/i915: Calculate the sprite WM based on the source width instead of the destination width
      drm/i915: Rename hsw_wm_get_pixel_rate to ilk_pipe_pixel_rate
      drm/i915: Rename most wm compute functions to ilk_ prefix
      drm/i915: Don't pass "mem_value" to ilk_compute_fbc_wm
      drm/i915: Change the watermark latency type to uint16_t
      drm/i915: Split out reading of HSW watermark latency values
      drm/i915: Don't multiply the watermark latency values too early
      drm/i915: Add SNB/IVB support to intel_read_wm_latency
      drm/i915: Add ILK support to intel_read_wm_latency
      drm/i915: Store the watermark latency values in dev_priv
      drm/i915: Use the stored cursor and plane latencies properly
      drm/i915: Print the watermark latencies during init
      drm/i915: Disable specific watermark levels when latency is zero
      drm/i915: Use the watermark latency values from dev_priv for ILK/SNB/IVB too
      drm/i915: Add comments about units of latency values
      drm/i915: Use 'enabled' instead of 'enable' consistently in sprite WM code
      drm/i915: Split watermark level computation from the code
      drm/i915: Kill fbc_enable from hsw_lp_wm_results
      drm/i915: Rename hsw_data_buf_partitioning to intel_ddb_partitioning
      drm/i915: Silence a sparse warning
      drm/i915: Pull watermark level validity check out
      drm/i915: Rename hsw_lp_wm_result to intel_wm_level
      drm/i915: Calculate max watermark levels for ILK+
      drm/i915: Pull some watermarks state into a separate structure
      drm/i915: Split plane watermark parameters into a separate struct
      drm/i915: Pass crtc to our update/disable_plane hooks
      drm/i915: Don't try to disable plane if it's already disabled
      drm/i915: Pass plane and crtc to intel_update_sprite_watermarks
      drm/i915: Always call intel_update_sprite_watermarks() when disabling a plane
      drm/i915: Fix FB WM for HSW

 drivers/gpu/drm/drm_edid.c                 |    6 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  135 +++++-
 drivers/gpu/drm/i915/i915_dma.c            |    4 -
 drivers/gpu/drm/i915/i915_drv.h            |  183 +++++---
 drivers/gpu/drm/i915/i915_gem.c            |  354 ++++++++++----
 drivers/gpu/drm/i915/i915_gem_context.c    |    9 +-
 drivers/gpu/drm/i915/i915_gem_debug.c      |   71 +--
 drivers/gpu/drm/i915/i915_gem_evict.c      |   55 ++-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   81 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   67 +--
 drivers/gpu/drm/i915/i915_gem_stolen.c     |   10 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |    9 +-
 drivers/gpu/drm/i915/i915_gpu_error.c      |  115 +++--
 drivers/gpu/drm/i915/i915_irq.c            |    2 +-
 drivers/gpu/drm/i915/i915_reg.h            |    9 -
 drivers/gpu/drm/i915/i915_trace.h          |   37 +-
 drivers/gpu/drm/i915/intel_acpi.c          |   14 +-
 drivers/gpu/drm/i915/intel_crt.c           |   34 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   67 +--
 drivers/gpu/drm/i915/intel_display.c       |  104 ++---
 drivers/gpu/drm/i915/intel_dp.c            |   94 ++--
 drivers/gpu/drm/i915/intel_drv.h           |  100 +---
 drivers/gpu/drm/i915/intel_dvo.c           |   56 ++-
 drivers/gpu/drm/i915/intel_fb.c            |   15 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  253 +++++-----
 drivers/gpu/drm/i915/intel_lvds.c          |   16 +-
 drivers/gpu/drm/i915/intel_overlay.c       |    2 +-
 drivers/gpu/drm/i915/intel_panel.c         |    3 -
 drivers/gpu/drm/i915/intel_pm.c            |  692 +++++++++++++++++++---------
 drivers/gpu/drm/i915/intel_ringbuffer.c    |    8 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   61 +--
 drivers/gpu/drm/i915/intel_sprite.c        |   51 +-
 drivers/gpu/drm/i915/intel_tv.c            |   27 +-
 drivers/video/hdmi.c                       |   57 ++-
 include/linux/hdmi.h                       |   20 +
 35 files changed, 1679 insertions(+), 1142 deletions(-)
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2013-08-07  0:27 ` Dave Airlie
@ 2013-08-07  7:51   ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-08-07  7:51 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

On Wed, Aug 07, 2013 at 10:27:35AM +1000, Dave Airlie wrote:
> On Mon, Aug 5, 2013 at 5:35 AM, Daniel Vetter <daniel@ffwll.ch> wrote:
> > Hi Dave,
> 
> Okay since I missed this, then I merged patches from the list from
> David Herrmann
> fixing up drm_mm usage, then I merged this and it all fell to pieces.
> 
>   CC [M]  drivers/gpu/drm/i915/i915_gem_gtt.o
>   CC [M]  drivers/gpu/drm/i915/i915_gem_stolen.o
> /home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_gem_stolen.c:
> In function ‘i915_gem_object_create_stolen_for_preallocated’:
> /home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_gem_stolen.c:426:2:
> error: implicit declaration of function ‘drm_mm_put_block’
> [-Werror=implicit-function-declaration]
>   drm_mm_put_block(stolen);
>   ^
> cc1: some warnings being treated as errors
> 
> Smash a patch on top of this if you like or whatever you it is you want.

Indeed I've merged a little error path fix which added a new put_block
call, and I've missed that this will cause a new conflict. Below the fixup
I've squashed into the nightly tree, can you please squash that into your
merge commit?

Thanks, Daniel


diff --git a/drivers/gpu/drm/i915/i915_gem_stolen.c b/drivers/gpu/drm/i915/i915_gem_stolen.c
index 0d0a3b1..ba4dabc 100644
--- a/drivers/gpu/drm/i915/i915_gem_stolen.c
+++ b/drivers/gpu/drm/i915/i915_gem_stolen.c
@@ -423,7 +423,8 @@ i915_gem_object_create_stolen_for_preallocated(struct drm_device *dev,
 	return obj;
 
 err_out:
-	drm_mm_put_block(stolen);
+	drm_mm_remove_node(stolen);
+	kfree(stolen);
 	drm_gem_object_unreference(&obj->base);
 	return NULL;
 }
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch
_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply related	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2013-08-04 19:35 Daniel Vetter
@ 2013-08-07  0:27 ` Dave Airlie
  2013-08-07  7:51   ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Dave Airlie @ 2013-08-07  0:27 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: Intel Graphics Development, DRI Development

On Mon, Aug 5, 2013 at 5:35 AM, Daniel Vetter <daniel@ffwll.ch> wrote:
> Hi Dave,

Okay since I missed this, then I merged patches from the list from
David Herrmann
fixing up drm_mm usage, then I merged this and it all fell to pieces.

  CC [M]  drivers/gpu/drm/i915/i915_gem_gtt.o
  CC [M]  drivers/gpu/drm/i915/i915_gem_stolen.o
/home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_gem_stolen.c:
In function ‘i915_gem_object_create_stolen_for_preallocated’:
/home/airlied/devel/kernel/drm-next/drivers/gpu/drm/i915/i915_gem_stolen.c:426:2:
error: implicit declaration of function ‘drm_mm_put_block’
[-Werror=implicit-function-declaration]
  drm_mm_put_block(stolen);
  ^
cc1: some warnings being treated as errors

Smash a patch on top of this if you like or whatever you it is you want.

Dave.
>
> Neat that QA (and Ben) keeps on humming along while I'm on vacation, so
> you already get the next feature pull request:
> - proper eLLC support for HSW from Ben
> - more interrupt refactoring
> - add w/a tags where we implement them already (Damien)
> - hangcheck fixes (Chris) + hangcheck stats (Mika)
> - flesh out the new vm structs for ppgtt and ggtt (Ben)
> - PSR for Haswell, still disabled by default (Rodrigo et al.)
> - pc8+ refclock sequence code from Paulo
> - more interrupt refactoring from Paulo, unifying ilk/snb with the ivb/hsw
>   interrupt code
> - full solution for the Haswell concurrent reg access issues (Chris)
> - fix racy object accounting, used by some new leak tests
> - fix sync polarity settings on ch7xxx dvo encoder
> - random bits&pieces, little fixes and better debug output all over
>
> QA had a bit a regression-fest due to the PSR support - obviously not
> disabled-by-default hard enough ;-) Hence I've smashed the fix from Chris
> on top (which is thanks to Ben already confirmed by QA to make stuff work
> again).
>
> Note that I've had to backmerge from Linus tree to resolve a few issues,
> hence why the pull request is this large. I've added the shortlog of just
> the non-upstream changes.
>
> Cheers, Daniel
>
> The following changes since commit ee114b97e67b2a572f94982567a21ac4ee17c133:
>
>   Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/tip/tip (2013-07-18 17:39:05 -0700)
>
> are available in the git repository at:
>
>
>   git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-07-26-fixed
>
> for you to fetch changes up to cd234b0bfd5ab012e42274b24aae420fa1823d58:
>
>   drm/i915: Do not dereference NULL crtc or fb until after checking (2013-08-04 21:13:43 +0200)
>
> ----------------------------------------------------------------
> Shortlog of the non-upstream patches (real pull request log further
> down):
>
> Ben Widawsky (12):
>       drm/i915/hsw: Set correct Haswell PTE encodings.
>       drm/i915: Define some of the eLLC magic
>       drm/i915: store eLLC size
>       drm/i915: Use eLLC/LLC by default when available
>       drm/i915: debugfs entries for [e]LLC
>       drm/i915: Move gtt and ppgtt under address space umbrella
>       drm/i915: Put the mm in the parent address space
>       drm/i915: Create a global list of vms
>       drm/i915: Move active/inactive lists to new mm
>       drm/i915: Free stolen node on failed preallocation
>       drm/i915: Create VMAs
>       drm/i915: Make i915 events part of uapi
>
> Chris Wilson (12):
>       drm/i915: Fix retrieval of hangcheck stats
>       drm/i915: Replace open-coding of DEFAULT_CONTEXT_ID
>       drm/i915: Add some debug breadcrumbs to connector detection
>       drm/i915: Use Graphics Base of Stolen Memory on all gen3+
>       drm/i915: Colocate all GT access routines in the same file
>       drm/i915: Use a private interface for register access within GT
>       drm/i915: Use the common register access functions for NOTRACE variants
>       drm/i915: Squash gen lookup through multiple indirections inside GT access
>       drm/i915: Convert the register access tracepoint to be conditional
>       drm/i915: Retry DP aux_ch communications with a different clock after failure
>       drm/i915: Replace open-coded offset_in_page()
>       drm/i915: Do not dereference NULL crtc or fb until after checking
>
> Damien Lespiau (5):
>       drm/i915: We implement WaFbcWaitForVBlankBeforeEnable for ilk and snb
>       drm/i915: We implement WaFbcAsynchFlipDisableFbcQueue on ilk and snb
>       drm/i915: We implement WaFbcDisableDpfcClockGating on ilk
>       drm/i915: We implement WaMPhyProgramming on Haswell
>       drm/i915: Don't try to calculate RC6 residency on GEN4 and before
>
> Dan Carpenter (2):
>       drm/i915: checking for NULL instead of IS_ERR()
>       drm/i915: use after free on error path
>
> Daniel Vetter (10):
>       drm/i915: unify PM interrupt preinstall sequence
>       drm/i915: unify GT/PM irq postinstall code
>       drm/i915: extract rps interrupt enable/disable helpers
>       drm/i915: simplify rps interrupt enabling/disabling sequence
>       drm/i915: fix up error cleanup in i915_gem_object_bind_to_gtt
>       drm/i915: disable stolen mem for OVERLAY_NEEDS_PHYSICAL
>       drm/i915: fix reference counting in i915_gem_create
>       Merge commit 'Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux'
>       drm/i915: fix the racy object accounting
>       drm/i915: fix pnv display core clock readout out
>
> Egbert Eich (1):
>       drm/i915: Add messages useful for HPD storm detection debugging (v2)
>
> Imre Deak (2):
>       drm/i915: restore debug message lost in merge resolution
>       drm/i915: dvo_ch7xxx: fix vsync polarity setting
>
> Mika Kuoppala (1):
>       drm/i915: introduce i915_queue_hangcheck
>
> Paulo Zanoni (16):
>       drm/i915: remove SDV support from lpt_pch_init_refclk
>       drm/i915: extract FDI mPHY functions from lpt_init_pch_refclk
>       drm/i915: extract lpt_enable_clkout_dp from lpt_init_pch_refclk
>       drm/i915: kill ivybridge_irq_preinstall
>       drm/i915: extract ilk_display_irq_handler
>       drm/i915: extract ivb_display_irq_handler
>       drm/i915: don't read or write GEN6_PMIIR on Gen 5
>       drm/i915: reorganize ironlake_irq_handler
>       drm/i915: POSTING_READ(DEIER) on ivybridge_irq_handler
>       drm/i915: add ILK/SNB support to ivybridge_irq_handler
>       drm/i915: kill Ivybridge vblank irq vfuncs
>       drm/i915: kill ivybridge_irq_postinstall
>       drm/i915: invert {ilk, snb}_gt_irq_handler check
>       drm/i915: extend lpt_enable_clkout_dp
>       drm/i915: disable CLKOUT_DP when it's not needed
>       drm/i915: add functions to disable and restore LCPLL
>
> Rodrigo Vivi (7):
>       drm/i915: split aux_clock_divider logic in a separated function for reuse.
>       drm/i915: Enable/Disable PSR
>       drm/i915: Added debugfs support for PSR Status
>       drm/i915: Match all PSR mode entry conditions before enabling it.
>       drm/intel: add enable_psr module option and disable psr by default
>       drm/i915: add update function to disable/enable-back PSR
>       drm/i915: Hook PSR functionality
>
> Shobhit Kumar (2):
>       drm: Added SDP and VSC structures for handling PSR for eDP
>       drm/i915: Read the EDP DPCD and PSR Capability
>
> Xiong Zhang (1):
>       drm/i915: add prefault_disable module option
>
> ----------------------------------------------------------------
> Aaro Koskinen (1):
>       MIPS: tlbex: fix broken build in v3.11-rc1
>
> Aaron Lu (2):
>       ACPICA: expose OSI version
>       ACPI / video: no automatic brightness changes by win8-compatible firmware
>
> Al Viro (2):
>       allow O_TMPFILE to work with O_WRONLY
>       livelock avoidance in sget()
>
> Alexander Z Lam (2):
>       tracing: Fix error handling to ensure instances can always be removed
>       tracing: Miscellaneous fixes for trace_array ref counting
>
> Alexandre Belloni (2):
>       iio: Fix iio_channel_has_info
>       iio: inkern: fix iio_convert_raw_to_processed_unlocked
>
> Andreas Gruenbacher (2):
>       drbd: Do not sleep inside rcu
>       drbd: Fix rcu_read_lock balance on error path
>
> Asias He (3):
>       vhost-net: Always access vq->private_data under vq mutex
>       vhost-scsi: Always access vq->private_data under vq mutex
>       vhost: Remove custom vhost rcu usage
>
> Ben Skeggs (4):
>       Merge remote-tracking branch 'airlied/drm-next' into drm-nouveau-next
>       drm/nouveau: fix locking issues in page flipping paths
>       drm/nv50/kms: fix pin refcnt leaks
>       drm/nouveau: use dedicated channel for async moves on GT/GF chipsets.
>
> Ben Widawsky (12):
>       drm/i915/hsw: Set correct Haswell PTE encodings.
>       drm/i915: Define some of the eLLC magic
>       drm/i915: store eLLC size
>       drm/i915: Use eLLC/LLC by default when available
>       drm/i915: debugfs entries for [e]LLC
>       drm/i915: Move gtt and ppgtt under address space umbrella
>       drm/i915: Put the mm in the parent address space
>       drm/i915: Create a global list of vms
>       drm/i915: Move active/inactive lists to new mm
>       drm/i915: Free stolen node on failed preallocation
>       drm/i915: Create VMAs
>       drm/i915: Make i915 events part of uapi
>
> Catalin Marinas (1):
>       arm64: Only enable local interrupts after the CPU is marked online
>
> Chanwoo Choi (1):
>       PM / Sleep: Fix comment typo in pm_wakeup.h
>
> Chen Gang (3):
>       arm64: add '#ifdef CONFIG_COMPAT' for aarch32_break_handler()
>       alpha: kernel: using memcpy() instead of strcpy()
>       alpha: kernel: typo issue, using '1' instead of '11'
>
> Chris Wilson (14):
>       drm/i915: Fix retrieval of hangcheck stats
>       drm/i915: Replace open-coding of DEFAULT_CONTEXT_ID
>       drm/i915: Fix dereferencing invalid connectors in is_crtc_connector_off()
>       drm/i915: Serialize almost all register access
>       drm/i915: Add some debug breadcrumbs to connector detection
>       drm/i915: Use Graphics Base of Stolen Memory on all gen3+
>       drm/i915: Colocate all GT access routines in the same file
>       drm/i915: Use a private interface for register access within GT
>       drm/i915: Use the common register access functions for NOTRACE variants
>       drm/i915: Squash gen lookup through multiple indirections inside GT access
>       drm/i915: Convert the register access tracepoint to be conditional
>       drm/i915: Retry DP aux_ch communications with a different clock after failure
>       drm/i915: Replace open-coded offset_in_page()
>       drm/i915: Do not dereference NULL crtc or fb until after checking
>
> Damien Lespiau (5):
>       drm/i915: We implement WaFbcWaitForVBlankBeforeEnable for ilk and snb
>       drm/i915: We implement WaFbcAsynchFlipDisableFbcQueue on ilk and snb
>       drm/i915: We implement WaFbcDisableDpfcClockGating on ilk
>       drm/i915: We implement WaMPhyProgramming on Haswell
>       drm/i915: Don't try to calculate RC6 residency on GEN4 and before
>
> Dan Carpenter (3):
>       bcache: check for allocation failures
>       drm/i915: checking for NULL instead of IS_ERR()
>       drm/i915: use after free on error path
>
> Daniel Baluta (1):
>       ndisc: bool initializations should use true and false
>
> Daniel Vetter (17):
>       drm/i915: fix up readout of the lvds dither bit on gen2/3
>       drm/i915: fix pfit regression for non-autoscaled resolutions
>       drm/i915: unify PM interrupt preinstall sequence
>       drm/i915: unify GT/PM irq postinstall code
>       drm/i915: extract rps interrupt enable/disable helpers
>       drm/i915: simplify rps interrupt enabling/disabling sequence
>       drm/i915: Sanitize shared dpll state
>       Merge tag 'v3.10' into drm-intel-fixes
>       drm/i915: correctly restore fences with objects attached
>       drm/i915: fix up gt init sequence fallout
>       drm/crtc-helper: explicit DPMS on after modeset
>       drm/i915: fix up error cleanup in i915_gem_object_bind_to_gtt
>       drm/i915: disable stolen mem for OVERLAY_NEEDS_PHYSICAL
>       drm/i915: fix reference counting in i915_gem_create
>       Merge commit 'Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux'
>       drm/i915: fix the racy object accounting
>       drm/i915: fix pnv display core clock readout out
>
> Dave Airlie (2):
>       Merge branch 'drm-nouveau-next' of git://anongit.freedesktop.org/git/nouveau/linux-2.6
>       Merge tag 'drm-intel-fixes-2013-07-22' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes
>
> David Howells (1):
>       Fix __wait_on_atomic_t() to call the action func if the counter != 0
>
> David S. Miller (1):
>       net: Fix sysfs_format_mac() code duplication.
>
> Dragos Foianu (2):
>       ethtool: fixed trailing statements in ethtool
>       net/irda: fixed style issues in irlan_eth
>
> Egbert Eich (1):
>       drm/i915: Add messages useful for HPD storm detection debugging (v2)
>
> Eric Dumazet (3):
>       ipv4: set transport header earlier
>       vlan: mask vlan prio bits
>       vlan: fix a race in egress prio management
>
> Faidon Liambotis (1):
>       MIPS: Octeon: Fix DT pruning bug with pip ports
>
> Florian Fainelli (1):
>       MIPS: BMIPS: Fix thinko to release slave TP from reset
>
> Gabriel de Perthuis (4):
>       bcache: Warn when a device is already registered.
>       bcache: Send a uevent with a cached device's UUID
>       bcache: Send label uevents
>       bcache: Refresh usage docs
>
> Ganesan Ramalingam (1):
>       MIPS: Netlogic: Fix USB block's coherent DMA mask
>
> Greg Kroah-Hartman (2):
>       staging: csr: remove driver
>       Merge tag 'iio-fixes-for-3.11a' of git://git.kernel.org/.../jic23/iio into staging-linus
>
> Haiyang Zhang (1):
>       hyperv: Fix the NETIF_F_SG flag setting in netvsc
>
> Hauke Mehrtens (1):
>       bgmac: add dependency to phylib
>
> Heiko Carstens (4):
>       s390/bpf,jit: call module_free() from any context
>       s390/bpf,jit: use generic jit dumper
>       s390/bpf,jit: address randomize and write protect jit code
>       s390/bpf,jit: add pkt_type support
>
> Imre Deak (2):
>       drm/i915: restore debug message lost in merge resolution
>       drm/i915: dvo_ch7xxx: fix vsync polarity setting
>
> Ingo Tuchscherer (1):
>       s390/zcrypt: Alias for new zcrypt device driver base module
>
> Jacek Anaszewski (1):
>       iio: lps331ap: Fix wrong in_pressure_scale output value
>
> James Hogan (1):
>       MIPS: KVM: Mark KVM_GUEST (T&E KVM) as BROKEN_ON_SMP
>
> Jan Beulich (2):
>       xen/io/ring.h: new macro to detect whether there are too many requests on the ring
>       xen-netfront: pull on receive skb may need to happen earlier
>
> Jason Wang (4):
>       macvtap: fix the missing ret value of TUNSETQUEUE
>       macvtap: do not assume 802.1Q when send vlan packets
>       tuntap: do not zerocopy if iov needs more pages than MAX_SKB_FRAGS
>       macvtap: do not zerocopy if iov needs more pages than MAX_SKB_FRAGS
>
> Javier Martinez Canillas (3):
>       gpio/omap: don't create an IRQ mapping for every GPIO on DT
>       gpio/omap: auto request GPIO as input if used as IRQ via DT
>       gpio/omap: fix build error when OF_GPIO is not defined.
>
> Jayachandran C (1):
>       MIPS: Netlogic: Add XLP PIC irqdomain
>
> Jens Axboe (4):
>       Merge branch 'stable/for-jens-3.10' of git://git.kernel.org/.../konrad/xen into for-3.11/drivers
>       Merge tag 'v3.10-rc7' into for-3.11/drivers
>       Merge branch 'bcache-for-3.11' of git://evilpiepirate.org/~kent/linux-bcache into for-3.11/drivers
>       Merge branch 'bcache-for-3.11' of git://evilpiepirate.org/~kent/linux-bcache into for-3.11/drivers
>
> Joe Perches (1):
>       alpha: Convert print_symbol to %pSR
>
> Jonathan Cameron (1):
>       iio:trigger: device_unregister->device_del to avoid double free
>
> Josef Bacik (3):
>       Btrfs: update drop progress before stopping snapshot dropping
>       Btrfs: fix lock leak when resuming snapshot deletion
>       Btrfs: re-add root to dead root list if we stop dropping it
>
> Kamal Mostafa (1):
>       drm/i915: quirk no PCH_PWM_ENABLE for Dell XPS13 backlight
>
> Kent Overstreet (21):
>       bcache: fix a spurious gcc complaint, use scnprintf
>       bcache: Convert allocator thread to kthread
>       bcache: Refactor btree io
>       bcache: Fix/revamp tracepoints
>       bcache: Rip out pkey()/pbtree()
>       bcache: Improve lazy sorting
>       bcache: Initialize sectors_dirty when attaching
>       bcache: Track dirty data by stripe
>       bcache: Write out full stripes
>       bcache: FUA fixes
>       bcache: Document shrinker reserve better
>       bcache: Delete fuzz tester
>       bcache: Update email address
>       bcache: Use standard utility code
>       bcache: Fix a dumb race
>       bcache: Advertise that flushes are supported
>       bcache: Fix a sysfs splat on shutdown
>       bcache: Shutdown fix
>       bcache: Journal replay fix
>       bcache: Fix GC_SECTORS_USED() calculation
>       bcache: Allocation kthread fixes
>
> Konrad Rzeszutek Wilk (4):
>       xen-blkfront: Introduce a 'max' module parameter to alter the amount of indirect segments.
>       xen-blkback/sysfs: Move the parameters for the persistent grant features
>       xen/blkback: Check device permissions before allowing OP_DISCARD
>       xen/blkback: Check for insane amounts of request on the ring (v6).
>
> Konstantin Khlebnikov (1):
>       drm/i915: fix long-standing SNB regression in power consumption after resume v2
>
> Kumar Amit Mehta (1):
>       md: bcache: io.c: fix a potential NULL pointer dereference
>
> Lan Tianyu (1):
>       ACPI / video: ignore BIOS initial backlight value for Fujitsu E753
>
> Linus Torvalds (23):
>       Merge git://git.kernel.org/.../davem/net
>       Merge tag 'pm+acpi-3.11-rc2' of git://git.kernel.org/.../rafael/linux-pm
>       Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
>       Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
>       Merge tag 'arm64-stable' of git://git.kernel.org/.../cmarinas/linux-aarch64
>       Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
>       Merge branch 'for-linus' of git://git.kernel.org/.../rw/uml
>       Merge branch 'for-linus' of git://git.kernel.org/.../josef/btrfs-next
>       Merge tag 'nfs-for-3.11-3' of git://git.linux-nfs.org/projects/trondmy/linux-nfs
>       Merge tag 'ext4_for_linus' of git://git.kernel.org/.../tytso/ext4
>       Merge branch 'for-linus' of git://git.kernel.org/.../viro/vfs
>       Merge tag 'staging-3.11-rc2' of git://git.kernel.org/.../gregkh/staging
>       Merge tag 'ext4_for_linus' of git://git.kernel.org/.../tytso/ext4
>       Merge tag 'acpi-video-3.11' of git://git.kernel.org/.../rafael/linux-pm
>       Linux 3.11-rc2
>       Merge branch 'for-3.11/drivers' of git://git.kernel.dk/linux-block
>       Merge tag 'gpio-for-v3.11-2' of git://git.kernel.org/.../linusw/linux-gpio
>       Merge branch 'next' of git://git.kernel.org/.../rzhang/linux
>       Merge tag 'trace-3.11-rc2' of git://git.kernel.org/.../rostedt/linux-trace
>       Merge branch 'for-linus' of git://git.kernel.org/.../mszeredi/fuse
>       Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
>       Merge branch 'for-linus' of git://git.kernel.org/.../mattst88/alpha
>       Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux
>
> Liu ShuoX (2):
>       PM / Sleep: avoid 'autosleep' in shutdown progress
>       PNP / ACPI: avoid garbage in resource name
>
> Maarten Lankhorst (5):
>       drm/nouveau: fix some error-path leaks in fbcon handling code
>       drm/nouveau: do not unpin in nouveau_gem_object_del
>       drm/nouveau: bump fence timeout to 15 seconds
>       drm/nouveau: add falcon interrupt handler
>       drm/nouveau: do not allow negative sizes for now
>
> Marc Zyngier (1):
>       arm64: use common reboot infrastructure
>
> Marek Vasut (2):
>       iio: mxs-lradc: Fix misuse of iio->trig
>       iio: mxs-lradc: Remove useless check in read_raw
>
> Markos Chandras (1):
>       MIPS: kvm: Kconfig: Drop HAVE_KVM dependency from VIRTUALIZATION
>
> Masami Hiramatsu (1):
>       tracing/kprobe: Wait for disabling all running kprobe handlers
>
> Masanari Iida (1):
>       doc: Fix typo in documentation/bcache.txt
>
> Matthew Garrett (1):
>       ACPI / video: Always call acpi_video_init_brightness() on init
>
> Michael Holzheu (2):
>       s390/kdump: Disable mmap for s390
>       s390/kdump: Allow copy_oldmem_page() copy to virtual memory
>
> Michael Mueller (1):
>       s390/ptrace: PTRACE_TE_ABORT_RAND
>
> Mika Kuoppala (1):
>       drm/i915: introduce i915_queue_hangcheck
>
> Miklos Szeredi (4):
>       fuse: readdirplus: sanity checks
>       fuse: readdirplus: fix instantiate
>       fuse: readdirplus: change attributes once
>       fuse: readdirplus: cleanup
>
> Neil Horman (1):
>       atl1e: unmap partially mapped skb on dma error and free skb
>
> Niels de Vos (1):
>       fuse: readdirplus: fix dentry leak
>
> Oleg Nesterov (7):
>       tracing/function: Avoid perf_trace_buf_*() if event_function.perf_events is empty
>       tracing/syscall: Avoid perf_trace_buf_*() if sys_data->perf_events is empty
>       tracing/perf: Move the PERF_MAX_TRACE_SIZE check into perf_trace_buf_prepare()
>       tracing: Simplify the iteration logic in f_start/f_next
>       tracing: Do not (ab)use trace_seq in event_id_read()
>       tracing: Kill trace_array->waiter
>       tracing: Kill the unbalanced tr->ref++ in tracing_buffers_open()
>
> Paolo Valente (1):
>       pkt_sched: sch_qfq: remove a source of high packet delay/jitter
>
> Paul Bolle (2):
>       cpufreq: s3c24xx: rename CONFIG_CPU_FREQ_S3C24XX_DEBUGFS
>       cpufreq: s3c24xx: fix "depends on ARM_S3C24XX" in Kconfig
>
> Paulo Zanoni (16):
>       drm/i915: remove SDV support from lpt_pch_init_refclk
>       drm/i915: extract FDI mPHY functions from lpt_init_pch_refclk
>       drm/i915: extract lpt_enable_clkout_dp from lpt_init_pch_refclk
>       drm/i915: kill ivybridge_irq_preinstall
>       drm/i915: extract ilk_display_irq_handler
>       drm/i915: extract ivb_display_irq_handler
>       drm/i915: don't read or write GEN6_PMIIR on Gen 5
>       drm/i915: reorganize ironlake_irq_handler
>       drm/i915: POSTING_READ(DEIER) on ivybridge_irq_handler
>       drm/i915: add ILK/SNB support to ivybridge_irq_handler
>       drm/i915: kill Ivybridge vblank irq vfuncs
>       drm/i915: kill ivybridge_irq_postinstall
>       drm/i915: invert {ilk, snb}_gt_irq_handler check
>       drm/i915: extend lpt_enable_clkout_dp
>       drm/i915: disable CLKOUT_DP when it's not needed
>       drm/i915: add functions to disable and restore LCPLL
>
> Peng Tao (1):
>       vfs: constify dentry parameter in d_count()
>
> Peter Meerwald (1):
>       iio staging: fix lis3l02dq, read error handling
>
> Philip J Kelleher (11):
>       rsxx: Individual workqueues for interruptible events.
>       rsxx: Restructured DMA cancel scheme.
>       rsxx: Fixes soft-lockup issues during DMAs.
>       rsxx: Allow block size to be determined by configuration.
>       rsxx: Adding in sync_start module paramenter.
>       rsxx: Changing the adapter name to the official name.
>       rsxx: Fixes DLPAR add kernel panic if partition still mounted.
>       rsxx: Adapter address space sanity check.
>       rsxx: Adding EEH check inside cregs timeout.
>       rsxx: Fixes incorrect stats calculation.
>       rsxx: Adding in debugfs entries.
>
> Philipp Reisner (3):
>       drbd: Ignore the exit code of a fence-peer handler if it returns too late
>       drbd: Constants should be UPPERCASE
>       drbd: Allow online change of al-stripes and al-stripe-size
>
> Rafael J. Wysocki (5):
>       ACPI / scan: Do not try to attach scan handlers to devices having them
>       ACPI / scan: Always call acpi_bus_scan() for bus check notifications
>       ACPI / video / i915: No ACPI backlight if firmware expects Windows 8
>       Merge branch 'acpi-fixes'
>       Merge branch 'pm-fixes'
>
> Ralf Baechle (1):
>       MIPS: Delete dead invocation of exception_exit().
>
> Richard Henderson (10):
>       alpha: Add kcmp and finit_module syscalls
>       alpha: Modernize lib/mpi/longlong.h
>       alpha: Improve atomic_add_unless
>       alpha: Implement atomic64_dec_if_positive
>       alpha: Generate dwarf2 unwind info for various kernel entry points.
>       alpha: Fix type compatibility warning for marvel_map_irq
>       alpha: Use __builtin_alpha_rpcc
>       alpha: Don't if-out dp264_device_interrupt.
>       alpha: Force the user-visible HZ to a constant 1024.
>       alpha: Use handle_percpu_irq for the timer interrupt
>
> Richard Weinberger (5):
>       um: Fix return value of strnlen_user()
>       um: Mark stub pages mapping with VM_PFNMAP
>       um: Fix wait_stub_done() error handling
>       um: siginfo cleanup
>       um: remove dead code
>
> Rodrigo Vivi (7):
>       drm/i915: split aux_clock_divider logic in a separated function for reuse.
>       drm/i915: Enable/Disable PSR
>       drm/i915: Added debugfs support for PSR Status
>       drm/i915: Match all PSR mode entry conditions before enabling it.
>       drm/intel: add enable_psr module option and disable psr by default
>       drm/i915: add update function to disable/enable-back PSR
>       drm/i915: Hook PSR functionality
>
> Roger Pau Monne (12):
>       xen-blkback: print stats about persistent grants
>       xen-blkback: use balloon pages for all mappings
>       xen-blkback: implement LRU mechanism for persistent grants
>       xen-blkback: move pending handles list from blkbk to pending_req
>       xen-blkback: make the queue of free requests per backend
>       xen-blkback: expand map/unmap functions
>       xen-block: implement indirect descriptors
>       xen-blkback: allocate list of pending reqs in small chunks
>       xen-blkfront: use a different scatterlist for each request
>       xen-blkback: workaround compiler bug in gcc 4.1
>       xen-blkfront: set blk_queue_max_hw_sectors correctly
>       xen-blkback: check the number of iovecs before allocating a bios
>
> Rohit Vaswani (1):
>       drivers: gpio: msm: Fix the error condition for reading ngpio
>
> Sarveshwar Bandi (1):
>       be2net: Fix to avoid hardware workaround when not needed
>
> Sebastian Ott (1):
>       s390/qdio: remove unused variable
>
> Shobhit Kumar (2):
>       drm: Added SDP and VSC structures for handling PSR for eDP
>       drm/i915: Read the EDP DPCD and PSR Capability
>
> Srinivas Pandruvada (2):
>       Thermal: x86 package temp thermal crash
>       Thermal: x86_pkg_temp: Limit number of pkg temp zones
>
> Srivatsa S. Bhat (2):
>       cpufreq: Revert commit a66b2e to fix suspend/resume regression
>       cpufreq: Revert commit 2f7021a8 to fix CPU hotplug regression
>
> Stefan Bader (1):
>       xen/blkback: Use physical sector size for setup
>
> Stefan Behrens (1):
>       Btrfs: fix wrong write offset when replacing a device
>
> Steven Rostedt (1):
>       Thermal: Fix lockup of cpu_down()
>
> Steven Rostedt (Red Hat) (1):
>       tracing: Add ref_data to function and fgraph tracer structs
>
> Stéphane Marchesin (1):
>       drm/i915: Preserve the DDI_A_4_LANES bit from the bios
>
> Theodore Ts'o (5):
>       ext4: fix error handling in ext4_ext_truncate()
>       ext4: simplify calculation of blocks to free on error
>       ext4: make the extent_status code more robust against ENOMEM failures
>       ext4: yield during large unlinks
>       ext4: call ext4_es_lru_add() after handling cache miss
>
> Tim Gardner (1):
>       mlx5 core: Fix __udivdi3 when compiling for 32 bit arches
>
> Tony Wu (1):
>       MIPS: tlbex: Fix typo in r3000 tlb store handler
>
> Toshi Kani (1):
>       ACPI / memhotplug: Fix a stale pointer in error path
>
> Tristan Schmelcher (1):
>       uml: Fix which_tmpdir failure when /dev/shm is a symlink, and in other edge cases
>
> Trond Myklebust (3):
>       SUNRPC: Fix another issue with rpc_client_register()
>       NFSv4: Fix a regression against the FreeBSD server
>       NFSv4: Fix brainfart in attribute length calculation
>
> Wei Yongjun (5):
>       drbd: fix error return code in drbd_init()
>       iio: dac: ad7303: fix error return code in ad7303_probe()
>       iio: ti_am335x_adc: add missing .driver_module to struct iio_info
>       staging:iio:ad7291: add missing .driver_module to struct iio_info
>       Thermal: x86_pkg_temp: fix krealloc() misuse in in pkg_temp_thermal_device_add()
>
> Will Deacon (2):
>       arm64: mm: don't treat user cache maintenance faults as writes
>       alpha: locks: remove unused arch_*_relax operations
>
> Xiao Guangrong (1):
>       KVM: MMU: avoid fast page fault fixing mmio page fault
>
> Xiong Zhang (1):
>       drm/i915: add prefault_disable module option
>
> Zheng Liu (2):
>       ext4: fix a BUG when opening a file with O_TMPFILE flag
>       ext3: fix a BUG when opening a file with O_TMPFILE flag
>
> stephen hemminger (1):
>       vxlan: add necessary locking on device removal
>
> zhangwei(Jovi) (3):
>       tracing: Use correct config guard CONFIG_STACK_TRACER
>       tracing: Use trace_seq_puts()/trace_seq_putc() where possible
>       tracing: Typo fix on ring buffer comments
>
>  Documentation/ABI/testing/sysfs-driver-xen-blkback |   17 +
>  .../ABI/testing/sysfs-driver-xen-blkfront          |   10 +
>  Documentation/bcache.txt                           |   37 +-
>  MAINTAINERS                                        |    4 +-
>  Makefile                                           |    2 +-
>  arch/alpha/Kconfig                                 |    1 +
>  arch/alpha/include/asm/atomic.h                    |   88 +-
>  arch/alpha/include/asm/param.h                     |    8 +-
>  arch/alpha/include/asm/spinlock.h                  |    4 -
>  arch/alpha/include/asm/unistd.h                    |    3 +-
>  arch/alpha/include/uapi/asm/param.h                |    7 -
>  arch/alpha/include/uapi/asm/unistd.h               |    2 +
>  arch/alpha/kernel/entry.S                          |  399 +-
>  arch/alpha/kernel/irq_alpha.c                      |    2 +-
>  arch/alpha/kernel/smp.c                            |    5 +-
>  arch/alpha/kernel/sys_dp264.c                      |    8 -
>  arch/alpha/kernel/sys_marvel.c                     |    3 +-
>  arch/alpha/kernel/systbls.S                        |    2 +
>  arch/alpha/kernel/time.c                           |    4 +-
>  arch/alpha/kernel/traps.c                          |    8 +-
>  arch/arm/mach-s3c24xx/Kconfig                      |    2 +-
>  arch/arm64/include/asm/debug-monitors.h            |    7 -
>  arch/arm64/include/asm/system_misc.h               |    3 +-
>  arch/arm64/kernel/process.c                        |    2 +-
>  arch/arm64/kernel/smp.c                            |   15 +-
>  arch/arm64/mm/fault.c                              |   46 +-
>  arch/mips/Kconfig                                  |    1 +
>  arch/mips/cavium-octeon/octeon-platform.c          |    5 +-
>  arch/mips/kernel/smp-bmips.c                       |    2 +-
>  arch/mips/kernel/traps.c                           |    1 -
>  arch/mips/kvm/Kconfig                              |    1 -
>  arch/mips/mm/tlbex.c                               |    4 +-
>  arch/mips/netlogic/common/irq.c                    |   68 +-
>  arch/mips/netlogic/dts/xlp_evp.dts                 |    3 +-
>  arch/mips/netlogic/dts/xlp_svp.dts                 |    3 +-
>  arch/mips/netlogic/xlp/usb-init.c                  |    2 +-
>  arch/s390/include/asm/processor.h                  |   10 +-
>  arch/s390/include/asm/switch_to.h                  |    4 +-
>  arch/s390/include/uapi/asm/ptrace.h                |    1 +
>  arch/s390/kernel/crash_dump.c                      |   51 +-
>  arch/s390/kernel/ptrace.c                          |   50 +-
>  arch/s390/net/bpf_jit_comp.c                       |  113 +-
>  arch/um/include/shared/frame_kern.h                |    8 +-
>  arch/um/kernel/signal.c                            |    4 +-
>  arch/um/kernel/skas/mmu.c                          |    2 +-
>  arch/um/kernel/skas/uaccess.c                      |    2 +-
>  arch/um/os-Linux/mem.c                             |  230 +-
>  arch/um/os-Linux/signal.c                          |    8 +-
>  arch/um/os-Linux/skas/process.c                    |   19 +-
>  arch/x86/kvm/mmu.c                                 |    7 +
>  arch/x86/um/signal.c                               |    1 -
>  drivers/acpi/acpi_memhotplug.c                     |    1 +
>  drivers/acpi/acpica/aclocal.h                      |   13 -
>  drivers/acpi/internal.h                            |   11 +
>  drivers/acpi/scan.c                                |   13 +-
>  drivers/acpi/video.c                               |   98 +-
>  drivers/acpi/video_detect.c                        |   21 +
>  drivers/block/Kconfig                              |    4 +-
>  drivers/block/drbd/drbd_actlog.c                   |   21 +
>  drivers/block/drbd/drbd_int.h                      |   15 +-
>  drivers/block/drbd/drbd_main.c                     |   61 +-
>  drivers/block/drbd/drbd_nl.c                       |  185 +-
>  drivers/block/drbd/drbd_receiver.c                 |   12 +-
>  drivers/block/drbd/drbd_state.c                    |    4 +-
>  drivers/block/rsxx/core.c                          |  359 +-
>  drivers/block/rsxx/cregs.c                         |   14 +
>  drivers/block/rsxx/dev.c                           |   33 +-
>  drivers/block/rsxx/dma.c                           |  185 +-
>  drivers/block/rsxx/rsxx_priv.h                     |   10 +-
>  drivers/block/xen-blkback/blkback.c                |  872 ++-
>  drivers/block/xen-blkback/common.h                 |  147 +-
>  drivers/block/xen-blkback/xenbus.c                 |   85 +
>  drivers/block/xen-blkfront.c                       |  532 +-
>  drivers/cpufreq/cpufreq.c                          |    4 +-
>  drivers/cpufreq/cpufreq_governor.c                 |    3 -
>  drivers/cpufreq/cpufreq_stats.c                    |    6 +-
>  drivers/cpufreq/s3c24xx-cpufreq.c                  |    4 +-
>  drivers/gpio/gpio-msm-v2.c                         |    2 +-
>  drivers/gpio/gpio-omap.c                           |   84 +-
>  drivers/gpu/drm/drm_crtc_helper.c                  |   27 +-
>  drivers/gpu/drm/drm_mm.c                           |   31 +-
>  drivers/gpu/drm/i915/Makefile                      |    2 +
>  drivers/gpu/drm/i915/dvo_ch7xxx.c                  |    2 +-
>  drivers/gpu/drm/i915/i915_debugfs.c                |  836 +--
>  drivers/gpu/drm/i915/i915_dma.c                    |   87 +-
>  drivers/gpu/drm/i915/i915_drv.c                    |  292 +-
>  drivers/gpu/drm/i915/i915_drv.h                    |  413 +-
>  drivers/gpu/drm/i915/i915_gem.c                    |  317 +-
>  drivers/gpu/drm/i915/i915_gem_context.c            |   25 +-
>  drivers/gpu/drm/i915/i915_gem_debug.c              |    2 +-
>  drivers/gpu/drm/i915/i915_gem_evict.c              |   34 +-
>  drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   37 +-
>  drivers/gpu/drm/i915/i915_gem_gtt.c                |  265 +-
>  drivers/gpu/drm/i915/i915_gem_stolen.c             |  131 +-
>  drivers/gpu/drm/i915/i915_gem_tiling.c             |   14 +-
>  drivers/gpu/drm/i915/i915_gpu_error.c              |  973 +++
>  drivers/gpu/drm/i915/i915_irq.c                    | 1354 ++--
>  drivers/gpu/drm/i915/i915_reg.h                    |  110 +-
>  drivers/gpu/drm/i915/i915_sysfs.c                  |   71 +
>  drivers/gpu/drm/i915/i915_trace.h                  |   16 +-
>  drivers/gpu/drm/i915/intel_crt.c                   |    4 +
>  drivers/gpu/drm/i915/intel_ddi.c                   |   12 +-
>  drivers/gpu/drm/i915/intel_display.c               | 1094 ++--
>  drivers/gpu/drm/i915/intel_dp.c                    |  418 +-
>  drivers/gpu/drm/i915/intel_drv.h                   |   36 +-
>  drivers/gpu/drm/i915/intel_dvo.c                   |    5 +-
>  drivers/gpu/drm/i915/intel_fb.c                    |    8 +-
>  drivers/gpu/drm/i915/intel_hdmi.c                  |    3 +
>  drivers/gpu/drm/i915/intel_lvds.c                  |   36 +-
>  drivers/gpu/drm/i915/intel_overlay.c               |   22 +-
>  drivers/gpu/drm/i915/intel_panel.c                 |    6 +-
>  drivers/gpu/drm/i915/intel_pm.c                    |  431 +-
>  drivers/gpu/drm/i915/intel_ringbuffer.c            |   43 +-
>  drivers/gpu/drm/i915/intel_ringbuffer.h            |    5 +-
>  drivers/gpu/drm/i915/intel_sdvo.c                  |   30 +-
>  drivers/gpu/drm/i915/intel_sprite.c                |    8 +-
>  drivers/gpu/drm/i915/intel_tv.c                    |    4 +
>  drivers/gpu/drm/i915/intel_uncore.c                |  595 ++
>  drivers/gpu/drm/nouveau/core/engine/bsp/nvc0.c     |    1 +
>  drivers/gpu/drm/nouveau/core/engine/bsp/nve0.c     |    1 +
>  drivers/gpu/drm/nouveau/core/engine/falcon.c       |   19 +
>  drivers/gpu/drm/nouveau/core/engine/ppp/nvc0.c     |    1 +
>  drivers/gpu/drm/nouveau/core/engine/vp/nvc0.c      |    1 +
>  drivers/gpu/drm/nouveau/core/engine/vp/nve0.c      |    1 +
>  .../gpu/drm/nouveau/core/include/engine/falcon.h   |    2 +
>  drivers/gpu/drm/nouveau/nouveau_bo.c               |   21 +-
>  drivers/gpu/drm/nouveau/nouveau_display.c          |   69 +-
>  drivers/gpu/drm/nouveau/nouveau_drm.c              |   15 +-
>  drivers/gpu/drm/nouveau/nouveau_fbcon.c            |    1 +
>  drivers/gpu/drm/nouveau/nouveau_fence.c            |    2 +-
>  drivers/gpu/drm/nouveau/nouveau_gem.c              |    6 -
>  drivers/gpu/drm/nouveau/nv50_display.c             |   46 +-
>  drivers/iio/adc/ti_am335x_adc.c                    |    1 +
>  drivers/iio/dac/ad7303.c                           |    4 +-
>  drivers/iio/industrialio-trigger.c                 |    2 +-
>  drivers/iio/inkern.c                               |    2 +-
>  drivers/iio/pressure/st_pressure_core.c            |    6 +-
>  drivers/md/bcache/alloc.c                          |   46 +-
>  drivers/md/bcache/bcache.h                         |   61 +-
>  drivers/md/bcache/bset.c                           |   56 +-
>  drivers/md/bcache/bset.h                           |    4 +
>  drivers/md/bcache/btree.c                          |  451 +-
>  drivers/md/bcache/btree.h                          |   35 +-
>  drivers/md/bcache/closure.c                        |    6 +-
>  drivers/md/bcache/debug.c                          |  178 +-
>  drivers/md/bcache/debug.h                          |   11 +-
>  drivers/md/bcache/io.c                             |   68 +-
>  drivers/md/bcache/journal.c                        |   25 +-
>  drivers/md/bcache/movinggc.c                       |   24 +-
>  drivers/md/bcache/request.c                        |  197 +-
>  drivers/md/bcache/request.h                        |    2 +-
>  drivers/md/bcache/super.c                          |  171 +-
>  drivers/md/bcache/sysfs.c                          |   68 +-
>  drivers/md/bcache/trace.c                          |   47 +-
>  drivers/md/bcache/util.c                           |   17 -
>  drivers/md/bcache/util.h                           |    6 -
>  drivers/md/bcache/writeback.c                      |  133 +-
>  drivers/md/bcache/writeback.h                      |   64 +
>  drivers/net/ethernet/atheros/atl1e/atl1e_main.c    |   24 +-
>  drivers/net/ethernet/broadcom/Kconfig              |    1 +
>  drivers/net/ethernet/emulex/benet/be_main.c        |   14 +-
>  drivers/net/ethernet/mellanox/mlx5/core/debugfs.c  |    2 +-
>  drivers/net/hyperv/netvsc_drv.c                    |    4 +-
>  drivers/net/macvtap.c                              |   65 +-
>  drivers/net/tun.c                                  |   62 +-
>  drivers/net/vxlan.c                                |    6 +
>  drivers/net/xen-netfront.c                         |   31 +-
>  drivers/pnp/pnpacpi/rsparser.c                     |    2 +-
>  drivers/pnp/resource.c                             |    1 +
>  drivers/s390/cio/qdio_main.c                       |    4 +-
>  drivers/s390/crypto/ap_bus.c                       |    1 +
>  drivers/staging/Kconfig                            |    2 -
>  drivers/staging/Makefile                           |    1 -
>  drivers/staging/csr/Kconfig                        |    9 -
>  drivers/staging/csr/LICENSE.txt                    |   39 -
>  drivers/staging/csr/Makefile                       |   73 -
>  drivers/staging/csr/bh.c                           |  404 --
>  drivers/staging/csr/csr_framework_ext.c            |   40 -
>  drivers/staging/csr/csr_framework_ext.h            |   35 -
>  drivers/staging/csr/csr_framework_ext_types.h      |   30 -
>  drivers/staging/csr/csr_log.h                      |  223 -
>  drivers/staging/csr/csr_log_configure.h            |   39 -
>  drivers/staging/csr/csr_log_text.h                 |  124 -
>  drivers/staging/csr/csr_macro.h                    |   39 -
>  drivers/staging/csr/csr_msg_transport.h            |   17 -
>  drivers/staging/csr/csr_msgconv.c                  |  291 -
>  drivers/staging/csr/csr_msgconv.h                  |   78 -
>  drivers/staging/csr/csr_prim_defs.h                |   55 -
>  drivers/staging/csr/csr_result.h                   |   17 -
>  drivers/staging/csr/csr_sched.h                    |   85 -
>  drivers/staging/csr/csr_sdio.h                     |  723 ---
>  .../staging/csr/csr_serialize_primitive_types.c    |  100 -
>  drivers/staging/csr/csr_time.c                     |   33 -
>  drivers/staging/csr/csr_time.h                     |   76 -
>  drivers/staging/csr/csr_util.c                     |   15 -
>  drivers/staging/csr/csr_wifi_common.h              |  101 -
>  drivers/staging/csr/csr_wifi_fsm.h                 |  240 -
>  drivers/staging/csr/csr_wifi_fsm_event.h           |   42 -
>  drivers/staging/csr/csr_wifi_fsm_types.h           |  430 --
>  drivers/staging/csr/csr_wifi_hip_card.h            |  114 -
>  drivers/staging/csr/csr_wifi_hip_card_sdio.c       | 4001 ------------
>  drivers/staging/csr/csr_wifi_hip_card_sdio.h       |  694 ---
>  drivers/staging/csr/csr_wifi_hip_card_sdio_intr.c  | 2595 --------
>  drivers/staging/csr/csr_wifi_hip_card_sdio_mem.c   | 1713 -----
>  drivers/staging/csr/csr_wifi_hip_chiphelper.c      |  793 ---
>  drivers/staging/csr/csr_wifi_hip_chiphelper.h      |  407 --
>  .../staging/csr/csr_wifi_hip_chiphelper_private.h  |  200 -
>  drivers/staging/csr/csr_wifi_hip_conversions.h     |   73 -
>  drivers/staging/csr/csr_wifi_hip_download.c        |  819 ---
>  drivers/staging/csr/csr_wifi_hip_dump.c            |  837 ---
>  drivers/staging/csr/csr_wifi_hip_packing.c         | 4804 ---------------
>  drivers/staging/csr/csr_wifi_hip_send.c            |  415 --
>  drivers/staging/csr/csr_wifi_hip_signals.c         | 1313 ----
>  drivers/staging/csr/csr_wifi_hip_signals.h         |  128 -
>  drivers/staging/csr/csr_wifi_hip_sigs.h            | 1417 -----
>  drivers/staging/csr/csr_wifi_hip_ta_sampling.c     |  541 --
>  drivers/staging/csr/csr_wifi_hip_ta_sampling.h     |   66 -
>  drivers/staging/csr/csr_wifi_hip_udi.c             |  173 -
>  drivers/staging/csr/csr_wifi_hip_unifi.h           |  871 ---
>  .../staging/csr/csr_wifi_hip_unifi_signal_names.c  |   41 -
>  drivers/staging/csr/csr_wifi_hip_unifi_udi.h       |   52 -
>  drivers/staging/csr/csr_wifi_hip_unifihw.h         |   59 -
>  drivers/staging/csr/csr_wifi_hip_unifiversion.h    |   30 -
>  drivers/staging/csr/csr_wifi_hip_xbv.c             | 1076 ----
>  drivers/staging/csr/csr_wifi_hip_xbv.h             |  119 -
>  drivers/staging/csr/csr_wifi_hostio_prim.h         |   18 -
>  drivers/staging/csr/csr_wifi_lib.h                 |  103 -
>  drivers/staging/csr/csr_wifi_msgconv.h             |   49 -
>  .../staging/csr/csr_wifi_nme_ap_converter_init.c   |   90 -
>  .../staging/csr/csr_wifi_nme_ap_converter_init.h   |   41 -
>  .../csr/csr_wifi_nme_ap_free_downstream_contents.c |   84 -
>  .../csr/csr_wifi_nme_ap_free_upstream_contents.c   |   39 -
>  drivers/staging/csr/csr_wifi_nme_ap_lib.h          |  495 --
>  drivers/staging/csr/csr_wifi_nme_ap_prim.h         |  494 --
>  drivers/staging/csr/csr_wifi_nme_ap_sef.c          |   30 -
>  drivers/staging/csr/csr_wifi_nme_ap_sef.h          |   21 -
>  drivers/staging/csr/csr_wifi_nme_ap_serialize.c    |  909 ---
>  drivers/staging/csr/csr_wifi_nme_ap_serialize.h    |   94 -
>  drivers/staging/csr/csr_wifi_nme_converter_init.h  |   38 -
>  drivers/staging/csr/csr_wifi_nme_lib.h             |  991 ---
>  drivers/staging/csr/csr_wifi_nme_prim.h            | 1657 -----
>  drivers/staging/csr/csr_wifi_nme_serialize.h       |  166 -
>  drivers/staging/csr/csr_wifi_nme_task.h            |   27 -
>  drivers/staging/csr/csr_wifi_private_common.h      |   81 -
>  drivers/staging/csr/csr_wifi_result.h              |   27 -
>  .../staging/csr/csr_wifi_router_converter_init.c   |   82 -
>  .../staging/csr/csr_wifi_router_converter_init.h   |   34 -
>  .../csr/csr_wifi_router_ctrl_converter_init.c      |  134 -
>  .../csr/csr_wifi_router_ctrl_converter_init.h      |   34 -
>  ...csr_wifi_router_ctrl_free_downstream_contents.c |  108 -
>  .../csr_wifi_router_ctrl_free_upstream_contents.c  |   87 -
>  drivers/staging/csr/csr_wifi_router_ctrl_lib.h     | 2082 -------
>  drivers/staging/csr/csr_wifi_router_ctrl_prim.h    | 2113 -------
>  drivers/staging/csr/csr_wifi_router_ctrl_sef.c     |   46 -
>  drivers/staging/csr/csr_wifi_router_ctrl_sef.h     |   51 -
>  .../staging/csr/csr_wifi_router_ctrl_serialize.c   | 2591 --------
>  .../staging/csr/csr_wifi_router_ctrl_serialize.h   |  333 -
>  .../csr/csr_wifi_router_free_downstream_contents.c |   53 -
>  .../csr/csr_wifi_router_free_upstream_contents.c   |   47 -
>  drivers/staging/csr/csr_wifi_router_lib.h          |  417 --
>  drivers/staging/csr/csr_wifi_router_prim.h         |  421 --
>  drivers/staging/csr/csr_wifi_router_sef.c          |   19 -
>  drivers/staging/csr/csr_wifi_router_sef.h          |   25 -
>  drivers/staging/csr/csr_wifi_router_serialize.c    |  418 --
>  drivers/staging/csr/csr_wifi_router_serialize.h    |   67 -
>  drivers/staging/csr/csr_wifi_router_task.h         |   25 -
>  drivers/staging/csr/csr_wifi_router_transport.c    |  199 -
>  .../csr/csr_wifi_serialize_primitive_types.c       |  256 -
>  drivers/staging/csr/csr_wifi_sme_ap_lib.h          |  774 ---
>  drivers/staging/csr/csr_wifi_sme_ap_prim.h         | 1030 ----
>  drivers/staging/csr/csr_wifi_sme_converter_init.c  |  201 -
>  drivers/staging/csr/csr_wifi_sme_converter_init.h  |   34 -
>  .../csr/csr_wifi_sme_free_downstream_contents.c    |  187 -
>  .../csr/csr_wifi_sme_free_upstream_contents.c      |  275 -
>  drivers/staging/csr/csr_wifi_sme_lib.h             | 4303 -------------
>  drivers/staging/csr/csr_wifi_sme_prim.h            | 6510 --------------------
>  drivers/staging/csr/csr_wifi_sme_sef.c             |   85 -
>  drivers/staging/csr/csr_wifi_sme_sef.h             |  142 -
>  drivers/staging/csr/csr_wifi_sme_serialize.c       | 5809 -----------------
>  drivers/staging/csr/csr_wifi_sme_serialize.h       |  666 --
>  drivers/staging/csr/csr_wifi_sme_task.h            |   25 -
>  drivers/staging/csr/csr_wifi_vif_utils.h           |   27 -
>  drivers/staging/csr/data_tx.c                      |   54 -
>  drivers/staging/csr/drv.c                          | 2193 -------
>  drivers/staging/csr/firmware.c                     |  396 --
>  drivers/staging/csr/inet.c                         |  104 -
>  drivers/staging/csr/init_hw.c                      |  108 -
>  drivers/staging/csr/io.c                           | 1098 ----
>  drivers/staging/csr/mlme.c                         |  433 --
>  drivers/staging/csr/monitor.c                      |  384 --
>  drivers/staging/csr/netdev.c                       | 3307 ----------
>  drivers/staging/csr/os.c                           |  477 --
>  drivers/staging/csr/putest.c                       |  685 --
>  drivers/staging/csr/sdio_events.c                  |  134 -
>  drivers/staging/csr/sdio_mmc.c                     | 1288 ----
>  drivers/staging/csr/sdio_stubs.c                   |   82 -
>  drivers/staging/csr/sme_blocking.c                 | 1466 -----
>  drivers/staging/csr/sme_mgt.c                      | 1012 ---
>  drivers/staging/csr/sme_native.c                   |  566 --
>  drivers/staging/csr/sme_sys.c                      | 3260 ----------
>  drivers/staging/csr/sme_userspace.c                |  315 -
>  drivers/staging/csr/sme_userspace.h                |   38 -
>  drivers/staging/csr/sme_wext.c                     | 3327 ----------
>  drivers/staging/csr/ul_int.c                       |  528 --
>  drivers/staging/csr/unifi_clients.h                |  129 -
>  drivers/staging/csr/unifi_config.h                 |   34 -
>  drivers/staging/csr/unifi_dbg.c                    |  110 -
>  drivers/staging/csr/unifi_event.c                  |  692 ---
>  drivers/staging/csr/unifi_native.h                 |  257 -
>  drivers/staging/csr/unifi_os.h                     |  122 -
>  drivers/staging/csr/unifi_pdu_processing.c         | 3729 -----------
>  drivers/staging/csr/unifi_priv.h                   | 1136 ----
>  drivers/staging/csr/unifi_sme.c                    | 1225 ----
>  drivers/staging/csr/unifi_sme.h                    |  245 -
>  drivers/staging/csr/unifi_wext.h                   |  108 -
>  drivers/staging/csr/unifiio.h                      |  398 --
>  drivers/staging/csr/wext_events.c                  |  283 -
>  drivers/staging/iio/accel/lis3l02dq_core.c         |    2 +
>  drivers/staging/iio/adc/ad7291.c                   |    1 +
>  drivers/staging/iio/adc/mxs-lradc.c                |   18 +-
>  drivers/thermal/x86_pkg_temp_thermal.c             |   18 +-
>  drivers/vhost/net.c                                |   37 +-
>  drivers/vhost/scsi.c                               |   17 +-
>  drivers/vhost/test.c                               |    6 +-
>  drivers/vhost/vhost.h                              |   10 +-
>  fs/btrfs/extent-tree.c                             |   27 +-
>  fs/btrfs/scrub.c                                   |    2 +-
>  fs/ext3/namei.c                                    |    2 +-
>  fs/ext4/extents.c                                  |   23 +-
>  fs/ext4/extents_status.c                           |   51 +-
>  fs/ext4/inode.c                                    |    7 +-
>  fs/ext4/namei.c                                    |    2 +-
>  fs/fuse/dir.c                                      |   51 +-
>  fs/nfs/nfs4xdr.c                                   |   21 +-
>  fs/open.c                                          |    2 +
>  fs/proc/vmcore.c                                   |    2 +-
>  fs/super.c                                         |   25 +-
>  include/acpi/acpixf.h                              |    1 +
>  include/acpi/actypes.h                             |   15 +
>  include/acpi/video.h                               |   11 +-
>  include/drm/drm_dp_helper.h                        |   31 +-
>  include/drm/drm_mm.h                               |    6 +-
>  include/linux/acpi.h                               |    1 +
>  include/linux/dcache.h                             |    2 +-
>  include/linux/drbd.h                               |    6 +-
>  include/linux/drbd_genl.h                          |    2 +
>  include/linux/drbd_limits.h                        |    9 +
>  include/linux/if_vlan.h                            |    3 +-
>  include/linux/iio/iio.h                            |    4 +-
>  include/linux/pm_wakeup.h                          |    4 +-
>  include/trace/events/bcache.h                      |  381 +-
>  include/trace/ftrace.h                             |    4 -
>  include/uapi/asm-generic/fcntl.h                   |    4 +-
>  include/uapi/drm/i915_drm.h                        |   24 +
>  include/xen/interface/io/blkif.h                   |   53 +
>  include/xen/interface/io/ring.h                    |    5 +
>  kernel/power/autosleep.c                           |    3 +-
>  kernel/trace/ring_buffer.c                         |   26 +-
>  kernel/trace/trace.c                               |   34 +-
>  kernel/trace/trace.h                               |   10 +-
>  kernel/trace/trace_event_perf.c                    |   10 +-
>  kernel/trace/trace_events.c                        |   98 +-
>  kernel/trace/trace_events_filter.c                 |    4 +-
>  kernel/trace/trace_functions.c                     |    2 +-
>  kernel/trace/trace_functions_graph.c               |   54 +-
>  kernel/trace/trace_kprobe.c                        |   29 +-
>  kernel/trace/trace_mmiotrace.c                     |    8 +-
>  kernel/trace/trace_output.c                        |   14 +-
>  kernel/trace/trace_syscalls.c                      |   26 +-
>  kernel/trace/trace_uprobe.c                        |    2 -
>  kernel/wait.c                                      |    3 +-
>  lib/mpi/longlong.h                                 |   17 +-
>  net/8021q/vlan_core.c                              |    2 +-
>  net/8021q/vlan_dev.c                               |    7 +
>  net/core/dev.c                                     |   11 +-
>  net/core/ethtool.c                                 |   30 +-
>  net/ethernet/eth.c                                 |   21 +-
>  net/ipv4/ip_input.c                                |    7 +-
>  net/ipv6/ndisc.c                                   |    6 +-
>  net/irda/irlan/irlan_eth.c                         |   31 +-
>  net/sched/sch_qfq.c                                |   85 +-
>  net/sunrpc/clnt.c                                  |    1 +
>  382 files changed, 9673 insertions(+), 97332 deletions(-)
>  create mode 100644 Documentation/ABI/testing/sysfs-driver-xen-blkback
>  create mode 100644 Documentation/ABI/testing/sysfs-driver-xen-blkfront
>  create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.c
>  create mode 100644 drivers/gpu/drm/i915/intel_uncore.c
>  create mode 100644 drivers/md/bcache/writeback.h
>  delete mode 100644 drivers/staging/csr/Kconfig
>  delete mode 100644 drivers/staging/csr/LICENSE.txt
>  delete mode 100644 drivers/staging/csr/Makefile
>  delete mode 100644 drivers/staging/csr/bh.c
>  delete mode 100644 drivers/staging/csr/csr_framework_ext.c
>  delete mode 100644 drivers/staging/csr/csr_framework_ext.h
>  delete mode 100644 drivers/staging/csr/csr_framework_ext_types.h
>  delete mode 100644 drivers/staging/csr/csr_log.h
>  delete mode 100644 drivers/staging/csr/csr_log_configure.h
>  delete mode 100644 drivers/staging/csr/csr_log_text.h
>  delete mode 100644 drivers/staging/csr/csr_macro.h
>  delete mode 100644 drivers/staging/csr/csr_msg_transport.h
>  delete mode 100644 drivers/staging/csr/csr_msgconv.c
>  delete mode 100644 drivers/staging/csr/csr_msgconv.h
>  delete mode 100644 drivers/staging/csr/csr_prim_defs.h
>  delete mode 100644 drivers/staging/csr/csr_result.h
>  delete mode 100644 drivers/staging/csr/csr_sched.h
>  delete mode 100644 drivers/staging/csr/csr_sdio.h
>  delete mode 100644 drivers/staging/csr/csr_serialize_primitive_types.c
>  delete mode 100644 drivers/staging/csr/csr_time.c
>  delete mode 100644 drivers/staging/csr/csr_time.h
>  delete mode 100644 drivers/staging/csr/csr_util.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_common.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_fsm.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_fsm_event.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_fsm_types.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_card.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio_intr.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio_mem.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_chiphelper.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_chiphelper.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_chiphelper_private.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_conversions.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_download.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_dump.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_packing.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_send.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_signals.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_signals.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_sigs.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_ta_sampling.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_ta_sampling.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_udi.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifi.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifi_signal_names.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifi_udi.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifihw.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifiversion.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_xbv.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_hip_xbv.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_hostio_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_msgconv.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_converter_init.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_converter_init.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_free_downstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_free_upstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_sef.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_sef.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_serialize.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_serialize.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_converter_init.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_serialize.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_nme_task.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_private_common.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_result.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_converter_init.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_converter_init.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_converter_init.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_converter_init.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_free_downstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_free_upstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_sef.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_sef.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_serialize.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_serialize.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_free_downstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_free_upstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_sef.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_sef.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_serialize.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_serialize.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_task.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_router_transport.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_serialize_primitive_types.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_ap_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_ap_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_converter_init.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_converter_init.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_free_downstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_free_upstream_contents.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_lib.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_prim.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_sef.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_sef.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_serialize.c
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_serialize.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_sme_task.h
>  delete mode 100644 drivers/staging/csr/csr_wifi_vif_utils.h
>  delete mode 100644 drivers/staging/csr/data_tx.c
>  delete mode 100644 drivers/staging/csr/drv.c
>  delete mode 100644 drivers/staging/csr/firmware.c
>  delete mode 100644 drivers/staging/csr/inet.c
>  delete mode 100644 drivers/staging/csr/init_hw.c
>  delete mode 100644 drivers/staging/csr/io.c
>  delete mode 100644 drivers/staging/csr/mlme.c
>  delete mode 100644 drivers/staging/csr/monitor.c
>  delete mode 100644 drivers/staging/csr/netdev.c
>  delete mode 100644 drivers/staging/csr/os.c
>  delete mode 100644 drivers/staging/csr/putest.c
>  delete mode 100644 drivers/staging/csr/sdio_events.c
>  delete mode 100644 drivers/staging/csr/sdio_mmc.c
>  delete mode 100644 drivers/staging/csr/sdio_stubs.c
>  delete mode 100644 drivers/staging/csr/sme_blocking.c
>  delete mode 100644 drivers/staging/csr/sme_mgt.c
>  delete mode 100644 drivers/staging/csr/sme_native.c
>  delete mode 100644 drivers/staging/csr/sme_sys.c
>  delete mode 100644 drivers/staging/csr/sme_userspace.c
>  delete mode 100644 drivers/staging/csr/sme_userspace.h
>  delete mode 100644 drivers/staging/csr/sme_wext.c
>  delete mode 100644 drivers/staging/csr/ul_int.c
>  delete mode 100644 drivers/staging/csr/unifi_clients.h
>  delete mode 100644 drivers/staging/csr/unifi_config.h
>  delete mode 100644 drivers/staging/csr/unifi_dbg.c
>  delete mode 100644 drivers/staging/csr/unifi_event.c
>  delete mode 100644 drivers/staging/csr/unifi_native.h
>  delete mode 100644 drivers/staging/csr/unifi_os.h
>  delete mode 100644 drivers/staging/csr/unifi_pdu_processing.c
>  delete mode 100644 drivers/staging/csr/unifi_priv.h
>  delete mode 100644 drivers/staging/csr/unifi_sme.c
>  delete mode 100644 drivers/staging/csr/unifi_sme.h
>  delete mode 100644 drivers/staging/csr/unifi_wext.h
>  delete mode 100644 drivers/staging/csr/unifiio.h
>  delete mode 100644 drivers/staging/csr/wext_events.c
> --
> Daniel Vetter
> Software Engineer, Intel Corporation
> +41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-08-04 19:35 Daniel Vetter
  2013-08-07  0:27 ` Dave Airlie
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2013-08-04 19:35 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

Neat that QA (and Ben) keeps on humming along while I'm on vacation, so
you already get the next feature pull request:
- proper eLLC support for HSW from Ben
- more interrupt refactoring
- add w/a tags where we implement them already (Damien)
- hangcheck fixes (Chris) + hangcheck stats (Mika)
- flesh out the new vm structs for ppgtt and ggtt (Ben)
- PSR for Haswell, still disabled by default (Rodrigo et al.)
- pc8+ refclock sequence code from Paulo
- more interrupt refactoring from Paulo, unifying ilk/snb with the ivb/hsw
  interrupt code
- full solution for the Haswell concurrent reg access issues (Chris)
- fix racy object accounting, used by some new leak tests
- fix sync polarity settings on ch7xxx dvo encoder
- random bits&pieces, little fixes and better debug output all over

QA had a bit a regression-fest due to the PSR support - obviously not
disabled-by-default hard enough ;-) Hence I've smashed the fix from Chris
on top (which is thanks to Ben already confirmed by QA to make stuff work
again).

Note that I've had to backmerge from Linus tree to resolve a few issues,
hence why the pull request is this large. I've added the shortlog of just
the non-upstream changes.

Cheers, Daniel

The following changes since commit ee114b97e67b2a572f94982567a21ac4ee17c133:

  Merge branch 'x86-urgent-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/tip/tip (2013-07-18 17:39:05 -0700)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-07-26-fixed

for you to fetch changes up to cd234b0bfd5ab012e42274b24aae420fa1823d58:

  drm/i915: Do not dereference NULL crtc or fb until after checking (2013-08-04 21:13:43 +0200)

----------------------------------------------------------------
Shortlog of the non-upstream patches (real pull request log further
down):

Ben Widawsky (12):
      drm/i915/hsw: Set correct Haswell PTE encodings.
      drm/i915: Define some of the eLLC magic
      drm/i915: store eLLC size
      drm/i915: Use eLLC/LLC by default when available
      drm/i915: debugfs entries for [e]LLC
      drm/i915: Move gtt and ppgtt under address space umbrella
      drm/i915: Put the mm in the parent address space
      drm/i915: Create a global list of vms
      drm/i915: Move active/inactive lists to new mm
      drm/i915: Free stolen node on failed preallocation
      drm/i915: Create VMAs
      drm/i915: Make i915 events part of uapi

Chris Wilson (12):
      drm/i915: Fix retrieval of hangcheck stats
      drm/i915: Replace open-coding of DEFAULT_CONTEXT_ID
      drm/i915: Add some debug breadcrumbs to connector detection
      drm/i915: Use Graphics Base of Stolen Memory on all gen3+
      drm/i915: Colocate all GT access routines in the same file
      drm/i915: Use a private interface for register access within GT
      drm/i915: Use the common register access functions for NOTRACE variants
      drm/i915: Squash gen lookup through multiple indirections inside GT access
      drm/i915: Convert the register access tracepoint to be conditional
      drm/i915: Retry DP aux_ch communications with a different clock after failure
      drm/i915: Replace open-coded offset_in_page()
      drm/i915: Do not dereference NULL crtc or fb until after checking

Damien Lespiau (5):
      drm/i915: We implement WaFbcWaitForVBlankBeforeEnable for ilk and snb
      drm/i915: We implement WaFbcAsynchFlipDisableFbcQueue on ilk and snb
      drm/i915: We implement WaFbcDisableDpfcClockGating on ilk
      drm/i915: We implement WaMPhyProgramming on Haswell
      drm/i915: Don't try to calculate RC6 residency on GEN4 and before

Dan Carpenter (2):
      drm/i915: checking for NULL instead of IS_ERR()
      drm/i915: use after free on error path

Daniel Vetter (10):
      drm/i915: unify PM interrupt preinstall sequence
      drm/i915: unify GT/PM irq postinstall code
      drm/i915: extract rps interrupt enable/disable helpers
      drm/i915: simplify rps interrupt enabling/disabling sequence
      drm/i915: fix up error cleanup in i915_gem_object_bind_to_gtt
      drm/i915: disable stolen mem for OVERLAY_NEEDS_PHYSICAL
      drm/i915: fix reference counting in i915_gem_create
      Merge commit 'Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux'
      drm/i915: fix the racy object accounting
      drm/i915: fix pnv display core clock readout out

Egbert Eich (1):
      drm/i915: Add messages useful for HPD storm detection debugging (v2)

Imre Deak (2):
      drm/i915: restore debug message lost in merge resolution
      drm/i915: dvo_ch7xxx: fix vsync polarity setting

Mika Kuoppala (1):
      drm/i915: introduce i915_queue_hangcheck

Paulo Zanoni (16):
      drm/i915: remove SDV support from lpt_pch_init_refclk
      drm/i915: extract FDI mPHY functions from lpt_init_pch_refclk
      drm/i915: extract lpt_enable_clkout_dp from lpt_init_pch_refclk
      drm/i915: kill ivybridge_irq_preinstall
      drm/i915: extract ilk_display_irq_handler
      drm/i915: extract ivb_display_irq_handler
      drm/i915: don't read or write GEN6_PMIIR on Gen 5
      drm/i915: reorganize ironlake_irq_handler
      drm/i915: POSTING_READ(DEIER) on ivybridge_irq_handler
      drm/i915: add ILK/SNB support to ivybridge_irq_handler
      drm/i915: kill Ivybridge vblank irq vfuncs
      drm/i915: kill ivybridge_irq_postinstall
      drm/i915: invert {ilk, snb}_gt_irq_handler check
      drm/i915: extend lpt_enable_clkout_dp
      drm/i915: disable CLKOUT_DP when it's not needed
      drm/i915: add functions to disable and restore LCPLL

Rodrigo Vivi (7):
      drm/i915: split aux_clock_divider logic in a separated function for reuse.
      drm/i915: Enable/Disable PSR
      drm/i915: Added debugfs support for PSR Status
      drm/i915: Match all PSR mode entry conditions before enabling it.
      drm/intel: add enable_psr module option and disable psr by default
      drm/i915: add update function to disable/enable-back PSR
      drm/i915: Hook PSR functionality

Shobhit Kumar (2):
      drm: Added SDP and VSC structures for handling PSR for eDP
      drm/i915: Read the EDP DPCD and PSR Capability

Xiong Zhang (1):
      drm/i915: add prefault_disable module option

----------------------------------------------------------------
Aaro Koskinen (1):
      MIPS: tlbex: fix broken build in v3.11-rc1

Aaron Lu (2):
      ACPICA: expose OSI version
      ACPI / video: no automatic brightness changes by win8-compatible firmware

Al Viro (2):
      allow O_TMPFILE to work with O_WRONLY
      livelock avoidance in sget()

Alexander Z Lam (2):
      tracing: Fix error handling to ensure instances can always be removed
      tracing: Miscellaneous fixes for trace_array ref counting

Alexandre Belloni (2):
      iio: Fix iio_channel_has_info
      iio: inkern: fix iio_convert_raw_to_processed_unlocked

Andreas Gruenbacher (2):
      drbd: Do not sleep inside rcu
      drbd: Fix rcu_read_lock balance on error path

Asias He (3):
      vhost-net: Always access vq->private_data under vq mutex
      vhost-scsi: Always access vq->private_data under vq mutex
      vhost: Remove custom vhost rcu usage

Ben Skeggs (4):
      Merge remote-tracking branch 'airlied/drm-next' into drm-nouveau-next
      drm/nouveau: fix locking issues in page flipping paths
      drm/nv50/kms: fix pin refcnt leaks
      drm/nouveau: use dedicated channel for async moves on GT/GF chipsets.

Ben Widawsky (12):
      drm/i915/hsw: Set correct Haswell PTE encodings.
      drm/i915: Define some of the eLLC magic
      drm/i915: store eLLC size
      drm/i915: Use eLLC/LLC by default when available
      drm/i915: debugfs entries for [e]LLC
      drm/i915: Move gtt and ppgtt under address space umbrella
      drm/i915: Put the mm in the parent address space
      drm/i915: Create a global list of vms
      drm/i915: Move active/inactive lists to new mm
      drm/i915: Free stolen node on failed preallocation
      drm/i915: Create VMAs
      drm/i915: Make i915 events part of uapi

Catalin Marinas (1):
      arm64: Only enable local interrupts after the CPU is marked online

Chanwoo Choi (1):
      PM / Sleep: Fix comment typo in pm_wakeup.h

Chen Gang (3):
      arm64: add '#ifdef CONFIG_COMPAT' for aarch32_break_handler()
      alpha: kernel: using memcpy() instead of strcpy()
      alpha: kernel: typo issue, using '1' instead of '11'

Chris Wilson (14):
      drm/i915: Fix retrieval of hangcheck stats
      drm/i915: Replace open-coding of DEFAULT_CONTEXT_ID
      drm/i915: Fix dereferencing invalid connectors in is_crtc_connector_off()
      drm/i915: Serialize almost all register access
      drm/i915: Add some debug breadcrumbs to connector detection
      drm/i915: Use Graphics Base of Stolen Memory on all gen3+
      drm/i915: Colocate all GT access routines in the same file
      drm/i915: Use a private interface for register access within GT
      drm/i915: Use the common register access functions for NOTRACE variants
      drm/i915: Squash gen lookup through multiple indirections inside GT access
      drm/i915: Convert the register access tracepoint to be conditional
      drm/i915: Retry DP aux_ch communications with a different clock after failure
      drm/i915: Replace open-coded offset_in_page()
      drm/i915: Do not dereference NULL crtc or fb until after checking

Damien Lespiau (5):
      drm/i915: We implement WaFbcWaitForVBlankBeforeEnable for ilk and snb
      drm/i915: We implement WaFbcAsynchFlipDisableFbcQueue on ilk and snb
      drm/i915: We implement WaFbcDisableDpfcClockGating on ilk
      drm/i915: We implement WaMPhyProgramming on Haswell
      drm/i915: Don't try to calculate RC6 residency on GEN4 and before

Dan Carpenter (3):
      bcache: check for allocation failures
      drm/i915: checking for NULL instead of IS_ERR()
      drm/i915: use after free on error path

Daniel Baluta (1):
      ndisc: bool initializations should use true and false

Daniel Vetter (17):
      drm/i915: fix up readout of the lvds dither bit on gen2/3
      drm/i915: fix pfit regression for non-autoscaled resolutions
      drm/i915: unify PM interrupt preinstall sequence
      drm/i915: unify GT/PM irq postinstall code
      drm/i915: extract rps interrupt enable/disable helpers
      drm/i915: simplify rps interrupt enabling/disabling sequence
      drm/i915: Sanitize shared dpll state
      Merge tag 'v3.10' into drm-intel-fixes
      drm/i915: correctly restore fences with objects attached
      drm/i915: fix up gt init sequence fallout
      drm/crtc-helper: explicit DPMS on after modeset
      drm/i915: fix up error cleanup in i915_gem_object_bind_to_gtt
      drm/i915: disable stolen mem for OVERLAY_NEEDS_PHYSICAL
      drm/i915: fix reference counting in i915_gem_create
      Merge commit 'Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux'
      drm/i915: fix the racy object accounting
      drm/i915: fix pnv display core clock readout out

Dave Airlie (2):
      Merge branch 'drm-nouveau-next' of git://anongit.freedesktop.org/git/nouveau/linux-2.6
      Merge tag 'drm-intel-fixes-2013-07-22' of git://people.freedesktop.org/~danvet/drm-intel into drm-fixes

David Howells (1):
      Fix __wait_on_atomic_t() to call the action func if the counter != 0

David S. Miller (1):
      net: Fix sysfs_format_mac() code duplication.

Dragos Foianu (2):
      ethtool: fixed trailing statements in ethtool
      net/irda: fixed style issues in irlan_eth

Egbert Eich (1):
      drm/i915: Add messages useful for HPD storm detection debugging (v2)

Eric Dumazet (3):
      ipv4: set transport header earlier
      vlan: mask vlan prio bits
      vlan: fix a race in egress prio management

Faidon Liambotis (1):
      MIPS: Octeon: Fix DT pruning bug with pip ports

Florian Fainelli (1):
      MIPS: BMIPS: Fix thinko to release slave TP from reset

Gabriel de Perthuis (4):
      bcache: Warn when a device is already registered.
      bcache: Send a uevent with a cached device's UUID
      bcache: Send label uevents
      bcache: Refresh usage docs

Ganesan Ramalingam (1):
      MIPS: Netlogic: Fix USB block's coherent DMA mask

Greg Kroah-Hartman (2):
      staging: csr: remove driver
      Merge tag 'iio-fixes-for-3.11a' of git://git.kernel.org/.../jic23/iio into staging-linus

Haiyang Zhang (1):
      hyperv: Fix the NETIF_F_SG flag setting in netvsc

Hauke Mehrtens (1):
      bgmac: add dependency to phylib

Heiko Carstens (4):
      s390/bpf,jit: call module_free() from any context
      s390/bpf,jit: use generic jit dumper
      s390/bpf,jit: address randomize and write protect jit code
      s390/bpf,jit: add pkt_type support

Imre Deak (2):
      drm/i915: restore debug message lost in merge resolution
      drm/i915: dvo_ch7xxx: fix vsync polarity setting

Ingo Tuchscherer (1):
      s390/zcrypt: Alias for new zcrypt device driver base module

Jacek Anaszewski (1):
      iio: lps331ap: Fix wrong in_pressure_scale output value

James Hogan (1):
      MIPS: KVM: Mark KVM_GUEST (T&E KVM) as BROKEN_ON_SMP

Jan Beulich (2):
      xen/io/ring.h: new macro to detect whether there are too many requests on the ring
      xen-netfront: pull on receive skb may need to happen earlier

Jason Wang (4):
      macvtap: fix the missing ret value of TUNSETQUEUE
      macvtap: do not assume 802.1Q when send vlan packets
      tuntap: do not zerocopy if iov needs more pages than MAX_SKB_FRAGS
      macvtap: do not zerocopy if iov needs more pages than MAX_SKB_FRAGS

Javier Martinez Canillas (3):
      gpio/omap: don't create an IRQ mapping for every GPIO on DT
      gpio/omap: auto request GPIO as input if used as IRQ via DT
      gpio/omap: fix build error when OF_GPIO is not defined.

Jayachandran C (1):
      MIPS: Netlogic: Add XLP PIC irqdomain

Jens Axboe (4):
      Merge branch 'stable/for-jens-3.10' of git://git.kernel.org/.../konrad/xen into for-3.11/drivers
      Merge tag 'v3.10-rc7' into for-3.11/drivers
      Merge branch 'bcache-for-3.11' of git://evilpiepirate.org/~kent/linux-bcache into for-3.11/drivers
      Merge branch 'bcache-for-3.11' of git://evilpiepirate.org/~kent/linux-bcache into for-3.11/drivers

Joe Perches (1):
      alpha: Convert print_symbol to %pSR

Jonathan Cameron (1):
      iio:trigger: device_unregister->device_del to avoid double free

Josef Bacik (3):
      Btrfs: update drop progress before stopping snapshot dropping
      Btrfs: fix lock leak when resuming snapshot deletion
      Btrfs: re-add root to dead root list if we stop dropping it

Kamal Mostafa (1):
      drm/i915: quirk no PCH_PWM_ENABLE for Dell XPS13 backlight

Kent Overstreet (21):
      bcache: fix a spurious gcc complaint, use scnprintf
      bcache: Convert allocator thread to kthread
      bcache: Refactor btree io
      bcache: Fix/revamp tracepoints
      bcache: Rip out pkey()/pbtree()
      bcache: Improve lazy sorting
      bcache: Initialize sectors_dirty when attaching
      bcache: Track dirty data by stripe
      bcache: Write out full stripes
      bcache: FUA fixes
      bcache: Document shrinker reserve better
      bcache: Delete fuzz tester
      bcache: Update email address
      bcache: Use standard utility code
      bcache: Fix a dumb race
      bcache: Advertise that flushes are supported
      bcache: Fix a sysfs splat on shutdown
      bcache: Shutdown fix
      bcache: Journal replay fix
      bcache: Fix GC_SECTORS_USED() calculation
      bcache: Allocation kthread fixes

Konrad Rzeszutek Wilk (4):
      xen-blkfront: Introduce a 'max' module parameter to alter the amount of indirect segments.
      xen-blkback/sysfs: Move the parameters for the persistent grant features
      xen/blkback: Check device permissions before allowing OP_DISCARD
      xen/blkback: Check for insane amounts of request on the ring (v6).

Konstantin Khlebnikov (1):
      drm/i915: fix long-standing SNB regression in power consumption after resume v2

Kumar Amit Mehta (1):
      md: bcache: io.c: fix a potential NULL pointer dereference

Lan Tianyu (1):
      ACPI / video: ignore BIOS initial backlight value for Fujitsu E753

Linus Torvalds (23):
      Merge git://git.kernel.org/.../davem/net
      Merge tag 'pm+acpi-3.11-rc2' of git://git.kernel.org/.../rafael/linux-pm
      Merge tag 'for-linus' of git://git.kernel.org/pub/scm/virt/kvm/kvm
      Merge branch 'for-linus' of git://git.kernel.org/.../s390/linux
      Merge tag 'arm64-stable' of git://git.kernel.org/.../cmarinas/linux-aarch64
      Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linus
      Merge branch 'for-linus' of git://git.kernel.org/.../rw/uml
      Merge branch 'for-linus' of git://git.kernel.org/.../josef/btrfs-next
      Merge tag 'nfs-for-3.11-3' of git://git.linux-nfs.org/projects/trondmy/linux-nfs
      Merge tag 'ext4_for_linus' of git://git.kernel.org/.../tytso/ext4
      Merge branch 'for-linus' of git://git.kernel.org/.../viro/vfs
      Merge tag 'staging-3.11-rc2' of git://git.kernel.org/.../gregkh/staging
      Merge tag 'ext4_for_linus' of git://git.kernel.org/.../tytso/ext4
      Merge tag 'acpi-video-3.11' of git://git.kernel.org/.../rafael/linux-pm
      Linux 3.11-rc2
      Merge branch 'for-3.11/drivers' of git://git.kernel.dk/linux-block
      Merge tag 'gpio-for-v3.11-2' of git://git.kernel.org/.../linusw/linux-gpio
      Merge branch 'next' of git://git.kernel.org/.../rzhang/linux
      Merge tag 'trace-3.11-rc2' of git://git.kernel.org/.../rostedt/linux-trace
      Merge branch 'for-linus' of git://git.kernel.org/.../mszeredi/fuse
      Merge tag 'for_linus' of git://git.kernel.org/.../mst/vhost
      Merge branch 'for-linus' of git://git.kernel.org/.../mattst88/alpha
      Merge branch 'drm-fixes' of git://people.freedesktop.org/~airlied/linux

Liu ShuoX (2):
      PM / Sleep: avoid 'autosleep' in shutdown progress
      PNP / ACPI: avoid garbage in resource name

Maarten Lankhorst (5):
      drm/nouveau: fix some error-path leaks in fbcon handling code
      drm/nouveau: do not unpin in nouveau_gem_object_del
      drm/nouveau: bump fence timeout to 15 seconds
      drm/nouveau: add falcon interrupt handler
      drm/nouveau: do not allow negative sizes for now

Marc Zyngier (1):
      arm64: use common reboot infrastructure

Marek Vasut (2):
      iio: mxs-lradc: Fix misuse of iio->trig
      iio: mxs-lradc: Remove useless check in read_raw

Markos Chandras (1):
      MIPS: kvm: Kconfig: Drop HAVE_KVM dependency from VIRTUALIZATION

Masami Hiramatsu (1):
      tracing/kprobe: Wait for disabling all running kprobe handlers

Masanari Iida (1):
      doc: Fix typo in documentation/bcache.txt

Matthew Garrett (1):
      ACPI / video: Always call acpi_video_init_brightness() on init

Michael Holzheu (2):
      s390/kdump: Disable mmap for s390
      s390/kdump: Allow copy_oldmem_page() copy to virtual memory

Michael Mueller (1):
      s390/ptrace: PTRACE_TE_ABORT_RAND

Mika Kuoppala (1):
      drm/i915: introduce i915_queue_hangcheck

Miklos Szeredi (4):
      fuse: readdirplus: sanity checks
      fuse: readdirplus: fix instantiate
      fuse: readdirplus: change attributes once
      fuse: readdirplus: cleanup

Neil Horman (1):
      atl1e: unmap partially mapped skb on dma error and free skb

Niels de Vos (1):
      fuse: readdirplus: fix dentry leak

Oleg Nesterov (7):
      tracing/function: Avoid perf_trace_buf_*() if event_function.perf_events is empty
      tracing/syscall: Avoid perf_trace_buf_*() if sys_data->perf_events is empty
      tracing/perf: Move the PERF_MAX_TRACE_SIZE check into perf_trace_buf_prepare()
      tracing: Simplify the iteration logic in f_start/f_next
      tracing: Do not (ab)use trace_seq in event_id_read()
      tracing: Kill trace_array->waiter
      tracing: Kill the unbalanced tr->ref++ in tracing_buffers_open()

Paolo Valente (1):
      pkt_sched: sch_qfq: remove a source of high packet delay/jitter

Paul Bolle (2):
      cpufreq: s3c24xx: rename CONFIG_CPU_FREQ_S3C24XX_DEBUGFS
      cpufreq: s3c24xx: fix "depends on ARM_S3C24XX" in Kconfig

Paulo Zanoni (16):
      drm/i915: remove SDV support from lpt_pch_init_refclk
      drm/i915: extract FDI mPHY functions from lpt_init_pch_refclk
      drm/i915: extract lpt_enable_clkout_dp from lpt_init_pch_refclk
      drm/i915: kill ivybridge_irq_preinstall
      drm/i915: extract ilk_display_irq_handler
      drm/i915: extract ivb_display_irq_handler
      drm/i915: don't read or write GEN6_PMIIR on Gen 5
      drm/i915: reorganize ironlake_irq_handler
      drm/i915: POSTING_READ(DEIER) on ivybridge_irq_handler
      drm/i915: add ILK/SNB support to ivybridge_irq_handler
      drm/i915: kill Ivybridge vblank irq vfuncs
      drm/i915: kill ivybridge_irq_postinstall
      drm/i915: invert {ilk, snb}_gt_irq_handler check
      drm/i915: extend lpt_enable_clkout_dp
      drm/i915: disable CLKOUT_DP when it's not needed
      drm/i915: add functions to disable and restore LCPLL

Peng Tao (1):
      vfs: constify dentry parameter in d_count()

Peter Meerwald (1):
      iio staging: fix lis3l02dq, read error handling

Philip J Kelleher (11):
      rsxx: Individual workqueues for interruptible events.
      rsxx: Restructured DMA cancel scheme.
      rsxx: Fixes soft-lockup issues during DMAs.
      rsxx: Allow block size to be determined by configuration.
      rsxx: Adding in sync_start module paramenter.
      rsxx: Changing the adapter name to the official name.
      rsxx: Fixes DLPAR add kernel panic if partition still mounted.
      rsxx: Adapter address space sanity check.
      rsxx: Adding EEH check inside cregs timeout.
      rsxx: Fixes incorrect stats calculation.
      rsxx: Adding in debugfs entries.

Philipp Reisner (3):
      drbd: Ignore the exit code of a fence-peer handler if it returns too late
      drbd: Constants should be UPPERCASE
      drbd: Allow online change of al-stripes and al-stripe-size

Rafael J. Wysocki (5):
      ACPI / scan: Do not try to attach scan handlers to devices having them
      ACPI / scan: Always call acpi_bus_scan() for bus check notifications
      ACPI / video / i915: No ACPI backlight if firmware expects Windows 8
      Merge branch 'acpi-fixes'
      Merge branch 'pm-fixes'

Ralf Baechle (1):
      MIPS: Delete dead invocation of exception_exit().

Richard Henderson (10):
      alpha: Add kcmp and finit_module syscalls
      alpha: Modernize lib/mpi/longlong.h
      alpha: Improve atomic_add_unless
      alpha: Implement atomic64_dec_if_positive
      alpha: Generate dwarf2 unwind info for various kernel entry points.
      alpha: Fix type compatibility warning for marvel_map_irq
      alpha: Use __builtin_alpha_rpcc
      alpha: Don't if-out dp264_device_interrupt.
      alpha: Force the user-visible HZ to a constant 1024.
      alpha: Use handle_percpu_irq for the timer interrupt

Richard Weinberger (5):
      um: Fix return value of strnlen_user()
      um: Mark stub pages mapping with VM_PFNMAP
      um: Fix wait_stub_done() error handling
      um: siginfo cleanup
      um: remove dead code

Rodrigo Vivi (7):
      drm/i915: split aux_clock_divider logic in a separated function for reuse.
      drm/i915: Enable/Disable PSR
      drm/i915: Added debugfs support for PSR Status
      drm/i915: Match all PSR mode entry conditions before enabling it.
      drm/intel: add enable_psr module option and disable psr by default
      drm/i915: add update function to disable/enable-back PSR
      drm/i915: Hook PSR functionality

Roger Pau Monne (12):
      xen-blkback: print stats about persistent grants
      xen-blkback: use balloon pages for all mappings
      xen-blkback: implement LRU mechanism for persistent grants
      xen-blkback: move pending handles list from blkbk to pending_req
      xen-blkback: make the queue of free requests per backend
      xen-blkback: expand map/unmap functions
      xen-block: implement indirect descriptors
      xen-blkback: allocate list of pending reqs in small chunks
      xen-blkfront: use a different scatterlist for each request
      xen-blkback: workaround compiler bug in gcc 4.1
      xen-blkfront: set blk_queue_max_hw_sectors correctly
      xen-blkback: check the number of iovecs before allocating a bios

Rohit Vaswani (1):
      drivers: gpio: msm: Fix the error condition for reading ngpio

Sarveshwar Bandi (1):
      be2net: Fix to avoid hardware workaround when not needed

Sebastian Ott (1):
      s390/qdio: remove unused variable

Shobhit Kumar (2):
      drm: Added SDP and VSC structures for handling PSR for eDP
      drm/i915: Read the EDP DPCD and PSR Capability

Srinivas Pandruvada (2):
      Thermal: x86 package temp thermal crash
      Thermal: x86_pkg_temp: Limit number of pkg temp zones

Srivatsa S. Bhat (2):
      cpufreq: Revert commit a66b2e to fix suspend/resume regression
      cpufreq: Revert commit 2f7021a8 to fix CPU hotplug regression

Stefan Bader (1):
      xen/blkback: Use physical sector size for setup

Stefan Behrens (1):
      Btrfs: fix wrong write offset when replacing a device

Steven Rostedt (1):
      Thermal: Fix lockup of cpu_down()

Steven Rostedt (Red Hat) (1):
      tracing: Add ref_data to function and fgraph tracer structs

Stéphane Marchesin (1):
      drm/i915: Preserve the DDI_A_4_LANES bit from the bios

Theodore Ts'o (5):
      ext4: fix error handling in ext4_ext_truncate()
      ext4: simplify calculation of blocks to free on error
      ext4: make the extent_status code more robust against ENOMEM failures
      ext4: yield during large unlinks
      ext4: call ext4_es_lru_add() after handling cache miss

Tim Gardner (1):
      mlx5 core: Fix __udivdi3 when compiling for 32 bit arches

Tony Wu (1):
      MIPS: tlbex: Fix typo in r3000 tlb store handler

Toshi Kani (1):
      ACPI / memhotplug: Fix a stale pointer in error path

Tristan Schmelcher (1):
      uml: Fix which_tmpdir failure when /dev/shm is a symlink, and in other edge cases

Trond Myklebust (3):
      SUNRPC: Fix another issue with rpc_client_register()
      NFSv4: Fix a regression against the FreeBSD server
      NFSv4: Fix brainfart in attribute length calculation

Wei Yongjun (5):
      drbd: fix error return code in drbd_init()
      iio: dac: ad7303: fix error return code in ad7303_probe()
      iio: ti_am335x_adc: add missing .driver_module to struct iio_info
      staging:iio:ad7291: add missing .driver_module to struct iio_info
      Thermal: x86_pkg_temp: fix krealloc() misuse in in pkg_temp_thermal_device_add()

Will Deacon (2):
      arm64: mm: don't treat user cache maintenance faults as writes
      alpha: locks: remove unused arch_*_relax operations

Xiao Guangrong (1):
      KVM: MMU: avoid fast page fault fixing mmio page fault

Xiong Zhang (1):
      drm/i915: add prefault_disable module option

Zheng Liu (2):
      ext4: fix a BUG when opening a file with O_TMPFILE flag
      ext3: fix a BUG when opening a file with O_TMPFILE flag

stephen hemminger (1):
      vxlan: add necessary locking on device removal

zhangwei(Jovi) (3):
      tracing: Use correct config guard CONFIG_STACK_TRACER
      tracing: Use trace_seq_puts()/trace_seq_putc() where possible
      tracing: Typo fix on ring buffer comments

 Documentation/ABI/testing/sysfs-driver-xen-blkback |   17 +
 .../ABI/testing/sysfs-driver-xen-blkfront          |   10 +
 Documentation/bcache.txt                           |   37 +-
 MAINTAINERS                                        |    4 +-
 Makefile                                           |    2 +-
 arch/alpha/Kconfig                                 |    1 +
 arch/alpha/include/asm/atomic.h                    |   88 +-
 arch/alpha/include/asm/param.h                     |    8 +-
 arch/alpha/include/asm/spinlock.h                  |    4 -
 arch/alpha/include/asm/unistd.h                    |    3 +-
 arch/alpha/include/uapi/asm/param.h                |    7 -
 arch/alpha/include/uapi/asm/unistd.h               |    2 +
 arch/alpha/kernel/entry.S                          |  399 +-
 arch/alpha/kernel/irq_alpha.c                      |    2 +-
 arch/alpha/kernel/smp.c                            |    5 +-
 arch/alpha/kernel/sys_dp264.c                      |    8 -
 arch/alpha/kernel/sys_marvel.c                     |    3 +-
 arch/alpha/kernel/systbls.S                        |    2 +
 arch/alpha/kernel/time.c                           |    4 +-
 arch/alpha/kernel/traps.c                          |    8 +-
 arch/arm/mach-s3c24xx/Kconfig                      |    2 +-
 arch/arm64/include/asm/debug-monitors.h            |    7 -
 arch/arm64/include/asm/system_misc.h               |    3 +-
 arch/arm64/kernel/process.c                        |    2 +-
 arch/arm64/kernel/smp.c                            |   15 +-
 arch/arm64/mm/fault.c                              |   46 +-
 arch/mips/Kconfig                                  |    1 +
 arch/mips/cavium-octeon/octeon-platform.c          |    5 +-
 arch/mips/kernel/smp-bmips.c                       |    2 +-
 arch/mips/kernel/traps.c                           |    1 -
 arch/mips/kvm/Kconfig                              |    1 -
 arch/mips/mm/tlbex.c                               |    4 +-
 arch/mips/netlogic/common/irq.c                    |   68 +-
 arch/mips/netlogic/dts/xlp_evp.dts                 |    3 +-
 arch/mips/netlogic/dts/xlp_svp.dts                 |    3 +-
 arch/mips/netlogic/xlp/usb-init.c                  |    2 +-
 arch/s390/include/asm/processor.h                  |   10 +-
 arch/s390/include/asm/switch_to.h                  |    4 +-
 arch/s390/include/uapi/asm/ptrace.h                |    1 +
 arch/s390/kernel/crash_dump.c                      |   51 +-
 arch/s390/kernel/ptrace.c                          |   50 +-
 arch/s390/net/bpf_jit_comp.c                       |  113 +-
 arch/um/include/shared/frame_kern.h                |    8 +-
 arch/um/kernel/signal.c                            |    4 +-
 arch/um/kernel/skas/mmu.c                          |    2 +-
 arch/um/kernel/skas/uaccess.c                      |    2 +-
 arch/um/os-Linux/mem.c                             |  230 +-
 arch/um/os-Linux/signal.c                          |    8 +-
 arch/um/os-Linux/skas/process.c                    |   19 +-
 arch/x86/kvm/mmu.c                                 |    7 +
 arch/x86/um/signal.c                               |    1 -
 drivers/acpi/acpi_memhotplug.c                     |    1 +
 drivers/acpi/acpica/aclocal.h                      |   13 -
 drivers/acpi/internal.h                            |   11 +
 drivers/acpi/scan.c                                |   13 +-
 drivers/acpi/video.c                               |   98 +-
 drivers/acpi/video_detect.c                        |   21 +
 drivers/block/Kconfig                              |    4 +-
 drivers/block/drbd/drbd_actlog.c                   |   21 +
 drivers/block/drbd/drbd_int.h                      |   15 +-
 drivers/block/drbd/drbd_main.c                     |   61 +-
 drivers/block/drbd/drbd_nl.c                       |  185 +-
 drivers/block/drbd/drbd_receiver.c                 |   12 +-
 drivers/block/drbd/drbd_state.c                    |    4 +-
 drivers/block/rsxx/core.c                          |  359 +-
 drivers/block/rsxx/cregs.c                         |   14 +
 drivers/block/rsxx/dev.c                           |   33 +-
 drivers/block/rsxx/dma.c                           |  185 +-
 drivers/block/rsxx/rsxx_priv.h                     |   10 +-
 drivers/block/xen-blkback/blkback.c                |  872 ++-
 drivers/block/xen-blkback/common.h                 |  147 +-
 drivers/block/xen-blkback/xenbus.c                 |   85 +
 drivers/block/xen-blkfront.c                       |  532 +-
 drivers/cpufreq/cpufreq.c                          |    4 +-
 drivers/cpufreq/cpufreq_governor.c                 |    3 -
 drivers/cpufreq/cpufreq_stats.c                    |    6 +-
 drivers/cpufreq/s3c24xx-cpufreq.c                  |    4 +-
 drivers/gpio/gpio-msm-v2.c                         |    2 +-
 drivers/gpio/gpio-omap.c                           |   84 +-
 drivers/gpu/drm/drm_crtc_helper.c                  |   27 +-
 drivers/gpu/drm/drm_mm.c                           |   31 +-
 drivers/gpu/drm/i915/Makefile                      |    2 +
 drivers/gpu/drm/i915/dvo_ch7xxx.c                  |    2 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |  836 +--
 drivers/gpu/drm/i915/i915_dma.c                    |   87 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  292 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  413 +-
 drivers/gpu/drm/i915/i915_gem.c                    |  317 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |   25 +-
 drivers/gpu/drm/i915/i915_gem_debug.c              |    2 +-
 drivers/gpu/drm/i915/i915_gem_evict.c              |   34 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   37 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  265 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c             |  131 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c             |   14 +-
 drivers/gpu/drm/i915/i915_gpu_error.c              |  973 +++
 drivers/gpu/drm/i915/i915_irq.c                    | 1354 ++--
 drivers/gpu/drm/i915/i915_reg.h                    |  110 +-
 drivers/gpu/drm/i915/i915_sysfs.c                  |   71 +
 drivers/gpu/drm/i915/i915_trace.h                  |   16 +-
 drivers/gpu/drm/i915/intel_crt.c                   |    4 +
 drivers/gpu/drm/i915/intel_ddi.c                   |   12 +-
 drivers/gpu/drm/i915/intel_display.c               | 1094 ++--
 drivers/gpu/drm/i915/intel_dp.c                    |  418 +-
 drivers/gpu/drm/i915/intel_drv.h                   |   36 +-
 drivers/gpu/drm/i915/intel_dvo.c                   |    5 +-
 drivers/gpu/drm/i915/intel_fb.c                    |    8 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |    3 +
 drivers/gpu/drm/i915/intel_lvds.c                  |   36 +-
 drivers/gpu/drm/i915/intel_overlay.c               |   22 +-
 drivers/gpu/drm/i915/intel_panel.c                 |    6 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  431 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c            |   43 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |    5 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   30 +-
 drivers/gpu/drm/i915/intel_sprite.c                |    8 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    4 +
 drivers/gpu/drm/i915/intel_uncore.c                |  595 ++
 drivers/gpu/drm/nouveau/core/engine/bsp/nvc0.c     |    1 +
 drivers/gpu/drm/nouveau/core/engine/bsp/nve0.c     |    1 +
 drivers/gpu/drm/nouveau/core/engine/falcon.c       |   19 +
 drivers/gpu/drm/nouveau/core/engine/ppp/nvc0.c     |    1 +
 drivers/gpu/drm/nouveau/core/engine/vp/nvc0.c      |    1 +
 drivers/gpu/drm/nouveau/core/engine/vp/nve0.c      |    1 +
 .../gpu/drm/nouveau/core/include/engine/falcon.h   |    2 +
 drivers/gpu/drm/nouveau/nouveau_bo.c               |   21 +-
 drivers/gpu/drm/nouveau/nouveau_display.c          |   69 +-
 drivers/gpu/drm/nouveau/nouveau_drm.c              |   15 +-
 drivers/gpu/drm/nouveau/nouveau_fbcon.c            |    1 +
 drivers/gpu/drm/nouveau/nouveau_fence.c            |    2 +-
 drivers/gpu/drm/nouveau/nouveau_gem.c              |    6 -
 drivers/gpu/drm/nouveau/nv50_display.c             |   46 +-
 drivers/iio/adc/ti_am335x_adc.c                    |    1 +
 drivers/iio/dac/ad7303.c                           |    4 +-
 drivers/iio/industrialio-trigger.c                 |    2 +-
 drivers/iio/inkern.c                               |    2 +-
 drivers/iio/pressure/st_pressure_core.c            |    6 +-
 drivers/md/bcache/alloc.c                          |   46 +-
 drivers/md/bcache/bcache.h                         |   61 +-
 drivers/md/bcache/bset.c                           |   56 +-
 drivers/md/bcache/bset.h                           |    4 +
 drivers/md/bcache/btree.c                          |  451 +-
 drivers/md/bcache/btree.h                          |   35 +-
 drivers/md/bcache/closure.c                        |    6 +-
 drivers/md/bcache/debug.c                          |  178 +-
 drivers/md/bcache/debug.h                          |   11 +-
 drivers/md/bcache/io.c                             |   68 +-
 drivers/md/bcache/journal.c                        |   25 +-
 drivers/md/bcache/movinggc.c                       |   24 +-
 drivers/md/bcache/request.c                        |  197 +-
 drivers/md/bcache/request.h                        |    2 +-
 drivers/md/bcache/super.c                          |  171 +-
 drivers/md/bcache/sysfs.c                          |   68 +-
 drivers/md/bcache/trace.c                          |   47 +-
 drivers/md/bcache/util.c                           |   17 -
 drivers/md/bcache/util.h                           |    6 -
 drivers/md/bcache/writeback.c                      |  133 +-
 drivers/md/bcache/writeback.h                      |   64 +
 drivers/net/ethernet/atheros/atl1e/atl1e_main.c    |   24 +-
 drivers/net/ethernet/broadcom/Kconfig              |    1 +
 drivers/net/ethernet/emulex/benet/be_main.c        |   14 +-
 drivers/net/ethernet/mellanox/mlx5/core/debugfs.c  |    2 +-
 drivers/net/hyperv/netvsc_drv.c                    |    4 +-
 drivers/net/macvtap.c                              |   65 +-
 drivers/net/tun.c                                  |   62 +-
 drivers/net/vxlan.c                                |    6 +
 drivers/net/xen-netfront.c                         |   31 +-
 drivers/pnp/pnpacpi/rsparser.c                     |    2 +-
 drivers/pnp/resource.c                             |    1 +
 drivers/s390/cio/qdio_main.c                       |    4 +-
 drivers/s390/crypto/ap_bus.c                       |    1 +
 drivers/staging/Kconfig                            |    2 -
 drivers/staging/Makefile                           |    1 -
 drivers/staging/csr/Kconfig                        |    9 -
 drivers/staging/csr/LICENSE.txt                    |   39 -
 drivers/staging/csr/Makefile                       |   73 -
 drivers/staging/csr/bh.c                           |  404 --
 drivers/staging/csr/csr_framework_ext.c            |   40 -
 drivers/staging/csr/csr_framework_ext.h            |   35 -
 drivers/staging/csr/csr_framework_ext_types.h      |   30 -
 drivers/staging/csr/csr_log.h                      |  223 -
 drivers/staging/csr/csr_log_configure.h            |   39 -
 drivers/staging/csr/csr_log_text.h                 |  124 -
 drivers/staging/csr/csr_macro.h                    |   39 -
 drivers/staging/csr/csr_msg_transport.h            |   17 -
 drivers/staging/csr/csr_msgconv.c                  |  291 -
 drivers/staging/csr/csr_msgconv.h                  |   78 -
 drivers/staging/csr/csr_prim_defs.h                |   55 -
 drivers/staging/csr/csr_result.h                   |   17 -
 drivers/staging/csr/csr_sched.h                    |   85 -
 drivers/staging/csr/csr_sdio.h                     |  723 ---
 .../staging/csr/csr_serialize_primitive_types.c    |  100 -
 drivers/staging/csr/csr_time.c                     |   33 -
 drivers/staging/csr/csr_time.h                     |   76 -
 drivers/staging/csr/csr_util.c                     |   15 -
 drivers/staging/csr/csr_wifi_common.h              |  101 -
 drivers/staging/csr/csr_wifi_fsm.h                 |  240 -
 drivers/staging/csr/csr_wifi_fsm_event.h           |   42 -
 drivers/staging/csr/csr_wifi_fsm_types.h           |  430 --
 drivers/staging/csr/csr_wifi_hip_card.h            |  114 -
 drivers/staging/csr/csr_wifi_hip_card_sdio.c       | 4001 ------------
 drivers/staging/csr/csr_wifi_hip_card_sdio.h       |  694 ---
 drivers/staging/csr/csr_wifi_hip_card_sdio_intr.c  | 2595 --------
 drivers/staging/csr/csr_wifi_hip_card_sdio_mem.c   | 1713 -----
 drivers/staging/csr/csr_wifi_hip_chiphelper.c      |  793 ---
 drivers/staging/csr/csr_wifi_hip_chiphelper.h      |  407 --
 .../staging/csr/csr_wifi_hip_chiphelper_private.h  |  200 -
 drivers/staging/csr/csr_wifi_hip_conversions.h     |   73 -
 drivers/staging/csr/csr_wifi_hip_download.c        |  819 ---
 drivers/staging/csr/csr_wifi_hip_dump.c            |  837 ---
 drivers/staging/csr/csr_wifi_hip_packing.c         | 4804 ---------------
 drivers/staging/csr/csr_wifi_hip_send.c            |  415 --
 drivers/staging/csr/csr_wifi_hip_signals.c         | 1313 ----
 drivers/staging/csr/csr_wifi_hip_signals.h         |  128 -
 drivers/staging/csr/csr_wifi_hip_sigs.h            | 1417 -----
 drivers/staging/csr/csr_wifi_hip_ta_sampling.c     |  541 --
 drivers/staging/csr/csr_wifi_hip_ta_sampling.h     |   66 -
 drivers/staging/csr/csr_wifi_hip_udi.c             |  173 -
 drivers/staging/csr/csr_wifi_hip_unifi.h           |  871 ---
 .../staging/csr/csr_wifi_hip_unifi_signal_names.c  |   41 -
 drivers/staging/csr/csr_wifi_hip_unifi_udi.h       |   52 -
 drivers/staging/csr/csr_wifi_hip_unifihw.h         |   59 -
 drivers/staging/csr/csr_wifi_hip_unifiversion.h    |   30 -
 drivers/staging/csr/csr_wifi_hip_xbv.c             | 1076 ----
 drivers/staging/csr/csr_wifi_hip_xbv.h             |  119 -
 drivers/staging/csr/csr_wifi_hostio_prim.h         |   18 -
 drivers/staging/csr/csr_wifi_lib.h                 |  103 -
 drivers/staging/csr/csr_wifi_msgconv.h             |   49 -
 .../staging/csr/csr_wifi_nme_ap_converter_init.c   |   90 -
 .../staging/csr/csr_wifi_nme_ap_converter_init.h   |   41 -
 .../csr/csr_wifi_nme_ap_free_downstream_contents.c |   84 -
 .../csr/csr_wifi_nme_ap_free_upstream_contents.c   |   39 -
 drivers/staging/csr/csr_wifi_nme_ap_lib.h          |  495 --
 drivers/staging/csr/csr_wifi_nme_ap_prim.h         |  494 --
 drivers/staging/csr/csr_wifi_nme_ap_sef.c          |   30 -
 drivers/staging/csr/csr_wifi_nme_ap_sef.h          |   21 -
 drivers/staging/csr/csr_wifi_nme_ap_serialize.c    |  909 ---
 drivers/staging/csr/csr_wifi_nme_ap_serialize.h    |   94 -
 drivers/staging/csr/csr_wifi_nme_converter_init.h  |   38 -
 drivers/staging/csr/csr_wifi_nme_lib.h             |  991 ---
 drivers/staging/csr/csr_wifi_nme_prim.h            | 1657 -----
 drivers/staging/csr/csr_wifi_nme_serialize.h       |  166 -
 drivers/staging/csr/csr_wifi_nme_task.h            |   27 -
 drivers/staging/csr/csr_wifi_private_common.h      |   81 -
 drivers/staging/csr/csr_wifi_result.h              |   27 -
 .../staging/csr/csr_wifi_router_converter_init.c   |   82 -
 .../staging/csr/csr_wifi_router_converter_init.h   |   34 -
 .../csr/csr_wifi_router_ctrl_converter_init.c      |  134 -
 .../csr/csr_wifi_router_ctrl_converter_init.h      |   34 -
 ...csr_wifi_router_ctrl_free_downstream_contents.c |  108 -
 .../csr_wifi_router_ctrl_free_upstream_contents.c  |   87 -
 drivers/staging/csr/csr_wifi_router_ctrl_lib.h     | 2082 -------
 drivers/staging/csr/csr_wifi_router_ctrl_prim.h    | 2113 -------
 drivers/staging/csr/csr_wifi_router_ctrl_sef.c     |   46 -
 drivers/staging/csr/csr_wifi_router_ctrl_sef.h     |   51 -
 .../staging/csr/csr_wifi_router_ctrl_serialize.c   | 2591 --------
 .../staging/csr/csr_wifi_router_ctrl_serialize.h   |  333 -
 .../csr/csr_wifi_router_free_downstream_contents.c |   53 -
 .../csr/csr_wifi_router_free_upstream_contents.c   |   47 -
 drivers/staging/csr/csr_wifi_router_lib.h          |  417 --
 drivers/staging/csr/csr_wifi_router_prim.h         |  421 --
 drivers/staging/csr/csr_wifi_router_sef.c          |   19 -
 drivers/staging/csr/csr_wifi_router_sef.h          |   25 -
 drivers/staging/csr/csr_wifi_router_serialize.c    |  418 --
 drivers/staging/csr/csr_wifi_router_serialize.h    |   67 -
 drivers/staging/csr/csr_wifi_router_task.h         |   25 -
 drivers/staging/csr/csr_wifi_router_transport.c    |  199 -
 .../csr/csr_wifi_serialize_primitive_types.c       |  256 -
 drivers/staging/csr/csr_wifi_sme_ap_lib.h          |  774 ---
 drivers/staging/csr/csr_wifi_sme_ap_prim.h         | 1030 ----
 drivers/staging/csr/csr_wifi_sme_converter_init.c  |  201 -
 drivers/staging/csr/csr_wifi_sme_converter_init.h  |   34 -
 .../csr/csr_wifi_sme_free_downstream_contents.c    |  187 -
 .../csr/csr_wifi_sme_free_upstream_contents.c      |  275 -
 drivers/staging/csr/csr_wifi_sme_lib.h             | 4303 -------------
 drivers/staging/csr/csr_wifi_sme_prim.h            | 6510 --------------------
 drivers/staging/csr/csr_wifi_sme_sef.c             |   85 -
 drivers/staging/csr/csr_wifi_sme_sef.h             |  142 -
 drivers/staging/csr/csr_wifi_sme_serialize.c       | 5809 -----------------
 drivers/staging/csr/csr_wifi_sme_serialize.h       |  666 --
 drivers/staging/csr/csr_wifi_sme_task.h            |   25 -
 drivers/staging/csr/csr_wifi_vif_utils.h           |   27 -
 drivers/staging/csr/data_tx.c                      |   54 -
 drivers/staging/csr/drv.c                          | 2193 -------
 drivers/staging/csr/firmware.c                     |  396 --
 drivers/staging/csr/inet.c                         |  104 -
 drivers/staging/csr/init_hw.c                      |  108 -
 drivers/staging/csr/io.c                           | 1098 ----
 drivers/staging/csr/mlme.c                         |  433 --
 drivers/staging/csr/monitor.c                      |  384 --
 drivers/staging/csr/netdev.c                       | 3307 ----------
 drivers/staging/csr/os.c                           |  477 --
 drivers/staging/csr/putest.c                       |  685 --
 drivers/staging/csr/sdio_events.c                  |  134 -
 drivers/staging/csr/sdio_mmc.c                     | 1288 ----
 drivers/staging/csr/sdio_stubs.c                   |   82 -
 drivers/staging/csr/sme_blocking.c                 | 1466 -----
 drivers/staging/csr/sme_mgt.c                      | 1012 ---
 drivers/staging/csr/sme_native.c                   |  566 --
 drivers/staging/csr/sme_sys.c                      | 3260 ----------
 drivers/staging/csr/sme_userspace.c                |  315 -
 drivers/staging/csr/sme_userspace.h                |   38 -
 drivers/staging/csr/sme_wext.c                     | 3327 ----------
 drivers/staging/csr/ul_int.c                       |  528 --
 drivers/staging/csr/unifi_clients.h                |  129 -
 drivers/staging/csr/unifi_config.h                 |   34 -
 drivers/staging/csr/unifi_dbg.c                    |  110 -
 drivers/staging/csr/unifi_event.c                  |  692 ---
 drivers/staging/csr/unifi_native.h                 |  257 -
 drivers/staging/csr/unifi_os.h                     |  122 -
 drivers/staging/csr/unifi_pdu_processing.c         | 3729 -----------
 drivers/staging/csr/unifi_priv.h                   | 1136 ----
 drivers/staging/csr/unifi_sme.c                    | 1225 ----
 drivers/staging/csr/unifi_sme.h                    |  245 -
 drivers/staging/csr/unifi_wext.h                   |  108 -
 drivers/staging/csr/unifiio.h                      |  398 --
 drivers/staging/csr/wext_events.c                  |  283 -
 drivers/staging/iio/accel/lis3l02dq_core.c         |    2 +
 drivers/staging/iio/adc/ad7291.c                   |    1 +
 drivers/staging/iio/adc/mxs-lradc.c                |   18 +-
 drivers/thermal/x86_pkg_temp_thermal.c             |   18 +-
 drivers/vhost/net.c                                |   37 +-
 drivers/vhost/scsi.c                               |   17 +-
 drivers/vhost/test.c                               |    6 +-
 drivers/vhost/vhost.h                              |   10 +-
 fs/btrfs/extent-tree.c                             |   27 +-
 fs/btrfs/scrub.c                                   |    2 +-
 fs/ext3/namei.c                                    |    2 +-
 fs/ext4/extents.c                                  |   23 +-
 fs/ext4/extents_status.c                           |   51 +-
 fs/ext4/inode.c                                    |    7 +-
 fs/ext4/namei.c                                    |    2 +-
 fs/fuse/dir.c                                      |   51 +-
 fs/nfs/nfs4xdr.c                                   |   21 +-
 fs/open.c                                          |    2 +
 fs/proc/vmcore.c                                   |    2 +-
 fs/super.c                                         |   25 +-
 include/acpi/acpixf.h                              |    1 +
 include/acpi/actypes.h                             |   15 +
 include/acpi/video.h                               |   11 +-
 include/drm/drm_dp_helper.h                        |   31 +-
 include/drm/drm_mm.h                               |    6 +-
 include/linux/acpi.h                               |    1 +
 include/linux/dcache.h                             |    2 +-
 include/linux/drbd.h                               |    6 +-
 include/linux/drbd_genl.h                          |    2 +
 include/linux/drbd_limits.h                        |    9 +
 include/linux/if_vlan.h                            |    3 +-
 include/linux/iio/iio.h                            |    4 +-
 include/linux/pm_wakeup.h                          |    4 +-
 include/trace/events/bcache.h                      |  381 +-
 include/trace/ftrace.h                             |    4 -
 include/uapi/asm-generic/fcntl.h                   |    4 +-
 include/uapi/drm/i915_drm.h                        |   24 +
 include/xen/interface/io/blkif.h                   |   53 +
 include/xen/interface/io/ring.h                    |    5 +
 kernel/power/autosleep.c                           |    3 +-
 kernel/trace/ring_buffer.c                         |   26 +-
 kernel/trace/trace.c                               |   34 +-
 kernel/trace/trace.h                               |   10 +-
 kernel/trace/trace_event_perf.c                    |   10 +-
 kernel/trace/trace_events.c                        |   98 +-
 kernel/trace/trace_events_filter.c                 |    4 +-
 kernel/trace/trace_functions.c                     |    2 +-
 kernel/trace/trace_functions_graph.c               |   54 +-
 kernel/trace/trace_kprobe.c                        |   29 +-
 kernel/trace/trace_mmiotrace.c                     |    8 +-
 kernel/trace/trace_output.c                        |   14 +-
 kernel/trace/trace_syscalls.c                      |   26 +-
 kernel/trace/trace_uprobe.c                        |    2 -
 kernel/wait.c                                      |    3 +-
 lib/mpi/longlong.h                                 |   17 +-
 net/8021q/vlan_core.c                              |    2 +-
 net/8021q/vlan_dev.c                               |    7 +
 net/core/dev.c                                     |   11 +-
 net/core/ethtool.c                                 |   30 +-
 net/ethernet/eth.c                                 |   21 +-
 net/ipv4/ip_input.c                                |    7 +-
 net/ipv6/ndisc.c                                   |    6 +-
 net/irda/irlan/irlan_eth.c                         |   31 +-
 net/sched/sch_qfq.c                                |   85 +-
 net/sunrpc/clnt.c                                  |    1 +
 382 files changed, 9673 insertions(+), 97332 deletions(-)
 create mode 100644 Documentation/ABI/testing/sysfs-driver-xen-blkback
 create mode 100644 Documentation/ABI/testing/sysfs-driver-xen-blkfront
 create mode 100644 drivers/gpu/drm/i915/i915_gpu_error.c
 create mode 100644 drivers/gpu/drm/i915/intel_uncore.c
 create mode 100644 drivers/md/bcache/writeback.h
 delete mode 100644 drivers/staging/csr/Kconfig
 delete mode 100644 drivers/staging/csr/LICENSE.txt
 delete mode 100644 drivers/staging/csr/Makefile
 delete mode 100644 drivers/staging/csr/bh.c
 delete mode 100644 drivers/staging/csr/csr_framework_ext.c
 delete mode 100644 drivers/staging/csr/csr_framework_ext.h
 delete mode 100644 drivers/staging/csr/csr_framework_ext_types.h
 delete mode 100644 drivers/staging/csr/csr_log.h
 delete mode 100644 drivers/staging/csr/csr_log_configure.h
 delete mode 100644 drivers/staging/csr/csr_log_text.h
 delete mode 100644 drivers/staging/csr/csr_macro.h
 delete mode 100644 drivers/staging/csr/csr_msg_transport.h
 delete mode 100644 drivers/staging/csr/csr_msgconv.c
 delete mode 100644 drivers/staging/csr/csr_msgconv.h
 delete mode 100644 drivers/staging/csr/csr_prim_defs.h
 delete mode 100644 drivers/staging/csr/csr_result.h
 delete mode 100644 drivers/staging/csr/csr_sched.h
 delete mode 100644 drivers/staging/csr/csr_sdio.h
 delete mode 100644 drivers/staging/csr/csr_serialize_primitive_types.c
 delete mode 100644 drivers/staging/csr/csr_time.c
 delete mode 100644 drivers/staging/csr/csr_time.h
 delete mode 100644 drivers/staging/csr/csr_util.c
 delete mode 100644 drivers/staging/csr/csr_wifi_common.h
 delete mode 100644 drivers/staging/csr/csr_wifi_fsm.h
 delete mode 100644 drivers/staging/csr/csr_wifi_fsm_event.h
 delete mode 100644 drivers/staging/csr/csr_wifi_fsm_types.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_card.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio_intr.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_card_sdio_mem.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_chiphelper.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_chiphelper.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_chiphelper_private.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_conversions.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_download.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_dump.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_packing.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_send.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_signals.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_signals.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_sigs.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_ta_sampling.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_ta_sampling.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_udi.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifi.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifi_signal_names.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifi_udi.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifihw.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_unifiversion.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_xbv.c
 delete mode 100644 drivers/staging/csr/csr_wifi_hip_xbv.h
 delete mode 100644 drivers/staging/csr/csr_wifi_hostio_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_msgconv.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_converter_init.c
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_converter_init.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_free_downstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_free_upstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_sef.c
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_sef.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_serialize.c
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_ap_serialize.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_converter_init.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_serialize.h
 delete mode 100644 drivers/staging/csr/csr_wifi_nme_task.h
 delete mode 100644 drivers/staging/csr/csr_wifi_private_common.h
 delete mode 100644 drivers/staging/csr/csr_wifi_result.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_converter_init.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_converter_init.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_converter_init.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_converter_init.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_free_downstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_free_upstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_sef.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_sef.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_serialize.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_ctrl_serialize.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_free_downstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_free_upstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_sef.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_sef.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_serialize.c
 delete mode 100644 drivers/staging/csr/csr_wifi_router_serialize.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_task.h
 delete mode 100644 drivers/staging/csr/csr_wifi_router_transport.c
 delete mode 100644 drivers/staging/csr/csr_wifi_serialize_primitive_types.c
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_ap_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_ap_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_converter_init.c
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_converter_init.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_free_downstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_free_upstream_contents.c
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_lib.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_prim.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_sef.c
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_sef.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_serialize.c
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_serialize.h
 delete mode 100644 drivers/staging/csr/csr_wifi_sme_task.h
 delete mode 100644 drivers/staging/csr/csr_wifi_vif_utils.h
 delete mode 100644 drivers/staging/csr/data_tx.c
 delete mode 100644 drivers/staging/csr/drv.c
 delete mode 100644 drivers/staging/csr/firmware.c
 delete mode 100644 drivers/staging/csr/inet.c
 delete mode 100644 drivers/staging/csr/init_hw.c
 delete mode 100644 drivers/staging/csr/io.c
 delete mode 100644 drivers/staging/csr/mlme.c
 delete mode 100644 drivers/staging/csr/monitor.c
 delete mode 100644 drivers/staging/csr/netdev.c
 delete mode 100644 drivers/staging/csr/os.c
 delete mode 100644 drivers/staging/csr/putest.c
 delete mode 100644 drivers/staging/csr/sdio_events.c
 delete mode 100644 drivers/staging/csr/sdio_mmc.c
 delete mode 100644 drivers/staging/csr/sdio_stubs.c
 delete mode 100644 drivers/staging/csr/sme_blocking.c
 delete mode 100644 drivers/staging/csr/sme_mgt.c
 delete mode 100644 drivers/staging/csr/sme_native.c
 delete mode 100644 drivers/staging/csr/sme_sys.c
 delete mode 100644 drivers/staging/csr/sme_userspace.c
 delete mode 100644 drivers/staging/csr/sme_userspace.h
 delete mode 100644 drivers/staging/csr/sme_wext.c
 delete mode 100644 drivers/staging/csr/ul_int.c
 delete mode 100644 drivers/staging/csr/unifi_clients.h
 delete mode 100644 drivers/staging/csr/unifi_config.h
 delete mode 100644 drivers/staging/csr/unifi_dbg.c
 delete mode 100644 drivers/staging/csr/unifi_event.c
 delete mode 100644 drivers/staging/csr/unifi_native.h
 delete mode 100644 drivers/staging/csr/unifi_os.h
 delete mode 100644 drivers/staging/csr/unifi_pdu_processing.c
 delete mode 100644 drivers/staging/csr/unifi_priv.h
 delete mode 100644 drivers/staging/csr/unifi_sme.c
 delete mode 100644 drivers/staging/csr/unifi_sme.h
 delete mode 100644 drivers/staging/csr/unifi_wext.h
 delete mode 100644 drivers/staging/csr/unifiio.h
 delete mode 100644 drivers/staging/csr/wext_events.c
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-06-08 15:14 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-06-08 15:14 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

Another round of drm-intel-next for 3.11. Highlights:
- Haswell IPS support (Paulo Zanoni)
- VECS support on Haswell (Ben Widawsky, Xiang Haihao, ...)
- Haswell watermark fixes (Paulo Zanoni)
- "Make the gun bigger again" multithread fence fix from Chris.
- i915_error_state finnally no longer fails with -ENOMEM! Big thanks to
  Mika for tackling this.
- vlv sideband locking fixes from Jani
- Hangcheck prep work for arb_robustness support (Mika&Chris)
- edp vs cpu port confusion clean-up from Imre
- pile of smaller fixes and cleanups all over.

Cheers, Daniel

The following changes since commit e1b73cba13a0cc68dd4f746eced15bd6bb24cda4:

  Merge tag 'v3.10-rc2' into drm-intel-next-queued (2013-05-21 09:52:16 +0200)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-06-01

for you to fetch changes up to 92d44621ad2d083bc03920c904ca0a5eb10d9ded:

  drm/i915: add i915_ips_status debugfs entry (2013-05-31 21:40:20 +0200)

----------------------------------------------------------------
Ben Widawsky (20):
      drm/i915: Fix error state memory leaks
      drm/i915: pre-fixes for checkpatch
      drm/i915: use mappable size for fb kickout
      drm/i915: use drm_mm_takedown
      drm/i915: context debug messages
      drm/i915: Call context fini at cleanup
      drm/i915: Comments for semaphore clarification
      drm/i915: Semaphore MBOX update generalization
      drm/i915: Introduce VECS: the 4th ring
      drm/i915: Add VECS semaphore bits
      drm/i915: Rename ring flush functions
      drm/i915: Vebox ringbuffer init
      drm/i915: Create a more generic pm handler for hsw+
      drm/i915: Create an ivybridge_irq_preinstall
      drm/i915: Add PM regs to pre/post install
      drm/i915: make PM interrupt writes non-destructive
      drm/i915: Convert irq_refounct to struct
      drm/i915: consolidate interrupt naming scheme
      drm/i915: vebox interrupt get/put
      drm/i915: Enable vebox interrupts

Chris Wilson (3):
      drm/i915: Be more informative when reporting "too large for aperture" error
      drm/i915: Workaround incoherence with fence updates on Valleyview
      drm/i915: Avoid promoting a simulated hang to 'wedged'

Daniel Vetter (6):
      drm/i915: fixup i915_pipe_enabled check in i915_irq.c
      drm/i915: hw state readout&check support for cpu_transcoder
      drm/i915: add basic pipe config dump support
      drm/i915: drop a few really redundant WARNs in hsw mode_set
      drm/i915: fix pch_nop support
      drm/i915: fix up the edp power well check

Imre Deak (5):
      drm/i915: release scratch page at module unload
      drm/i915: stop using is_cpu_edp() in intel_disable/post_disable_dp
      drm/i915: merge VLV eDP and DP AUX clock divider calculation
      drm/i915: replace is_cpu_edp() with a check for port A
      drm/i915: remove unused is_cpu_edp()

Jani Nikula (6):
      drm/i915: group sideband register accessors to a new file
      drm/i915: refactor VLV IOSF sideband accessors to use one helper
      drm/i915: drop redundant warnings on not holding dpio_lock
      drm/i915: rename VLV IOSF sideband functions logically
      drm/i915: change VLV IOSF sideband accessors to not return error code
      drm/i915: document why dvo/sdvo/crt need a special dpms function

Jesse Barnes (1):
      drm/i915: add encoder get_config function v5

Mika Kuoppala (5):
      drm/i915: avoid big kmallocs on reading error state
      drm/i915: pass seqno to i915_hangcheck_ring_idle
      drm/i915: track ring progression using seqnos
      drm/i915: introduce i915_hangcheck_ring_hung
      drm/i915: release cursor when crtc is destroyed

Paulo Zanoni (16):
      drm/i915: ILK, SNB and IVB don't have linetime watermarks
      drm/i915: remove intel_update_linetime_watermarks
      drm/i915: use the mode->htotal to calculate linetime watermarks
      drm/i915: fix haswell linetime watermarks calculation
      drm/i915: make intel_ddi_get_cdclk_freq return values in KHz
      drm/i915: set the IPS linetime watermark
      drm/i915: MCH_SSKPD is a 64 bit register on Haswell
      drm/i915: set FORCE_ARB_IDLE_PLANES workaround
      drm/i915: add "enable" argument to intel_update_sprite_watermarks
      drm/i915: add haswell_update_sprite_wm
      drm/i915: properly set HSW WM_PIPE registers
      drm/i915: properly set HSW WM_LP watermarks
      drm/i915: add support for 5/6 data buffer partitioning on Haswell
      drm/i915: implement IPS feature
      drm/i915: add enable_ips module option
      drm/i915: add i915_ips_status debugfs entry

Thomas Meyer (1):
      drm/i915: Cocci spatch "memdup.spatch"

Ville Syrjälä (2):
      drm/i915: Fix WARN_ON() on UP machines
      drm: Fix drm_rect documentation

Wei Yongjun (1):
      drm/i915: fix error return code in init_pipe_control()

Xiang, Haihao (4):
      drm/i915: add HAS_VEBOX
      drm/i915: add VEBOX into debugfs
      drm/i915: add I915_EXEC_VEBOX to i915_gem_do_execbuffer()
      drm/i915: add I915_PARAM_HAS_VEBOX to i915_getparam

 Documentation/DocBook/drm.tmpl             |    8 +-
 drivers/gpu/drm/i915/Makefile              |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c        |  289 +++++++++---
 drivers/gpu/drm/i915/i915_dma.c            |   10 +-
 drivers/gpu/drm/i915/i915_drv.c            |   61 ++-
 drivers/gpu/drm/i915/i915_drv.h            |   40 +-
 drivers/gpu/drm/i915/i915_gem.c            |   68 ++-
 drivers/gpu/drm/i915/i915_gem_context.c    |   14 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |    9 +
 drivers/gpu/drm/i915/i915_irq.c            |  248 +++++++---
 drivers/gpu/drm/i915/i915_reg.h            |  262 ++++++-----
 drivers/gpu/drm/i915/i915_sysfs.c          |    2 +-
 drivers/gpu/drm/i915/intel_crt.c           |   27 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   37 +-
 drivers/gpu/drm/i915/intel_display.c       |  498 +++++++++-----------
 drivers/gpu/drm/i915/intel_dp.c            |  145 +++---
 drivers/gpu/drm/i915/intel_drv.h           |   26 +-
 drivers/gpu/drm/i915/intel_dvo.c           |   24 +
 drivers/gpu/drm/i915/intel_hdmi.c          |   69 ++-
 drivers/gpu/drm/i915/intel_lvds.c          |   26 ++
 drivers/gpu/drm/i915/intel_overlay.c       |   13 +-
 drivers/gpu/drm/i915/intel_panel.c         |    2 +-
 drivers/gpu/drm/i915/intel_pm.c            |  698 +++++++++++++++++++++++-----
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  201 ++++++--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   22 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   45 ++
 drivers/gpu/drm/i915/intel_sideband.c      |  177 +++++++
 drivers/gpu/drm/i915/intel_sprite.c        |    8 +-
 include/drm/drm_rect.h                     |    9 +-
 include/uapi/drm/i915_drm.h                |    3 +-
 30 files changed, 2146 insertions(+), 896 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_sideband.c
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2013-04-15  7:56 [pull] drm-intel-next Daniel Vetter
@ 2013-04-15  9:43 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-04-15  9:43 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development, LKML

On Mon, Apr 15, 2013 at 09:56:29AM +0200, Daniel Vetter wrote:
> Hi Dave,
> 
> Since I expect Linus to open the merge window in about a week I guess this
> is the last i915 feature pull for 3.10. Highlights:
> Updated testing tree for -next. Highlights:
> - Corner case fixes discovered with static analyzers (Damien).
> - More fixes to combat unclaimed register errors on Haswell (Paulo).
> - Some small fixes to the gpu turbo code (Rodrigo+Ben), Ben has more
>   fixes for overclocking support pending.
> - More prep work for fastboot from Chris.
> - VT-switchless suspend/resume from Jesse.
> - The prep work of Egbert Eich's hpd irq storm handling. Hopefully we can
>   squeeze in the actual storm handling code for 3.10 ...
> - More convenience helpers for Imre's sg iterator. Core parts acked by
>   Andrew Morton.
> - A bit of backlight code cleanup from Jani.
> - Fixed ilk gpu reset (Jesse).
> - Reduced color range handling fixes for VLV (Ville).
> 
> The big item here is though the introduction of pipe_config to properly
> pre-compute the desired modeset state before touching the hw. Together
> with some very basic support to read out the current config from the hw
> and compare the state with the sw tracking. This is all prep work for more
> reliable fastboot, atomic modesets and other cool features. Stuff
> converted to the new world includes:
> - Most simple pipe attributes (reduce color range, pixel multiplier).
> - Pipe bpp/dither handling.
> - Some convenience flags like ->has_pch_encoder to simplify the code flow.
> - (Almost) DP clock handling, had to be reverted since part of a prep
>   patch was lost in rebasing ...
> Expect a lot of patches for this throughout 3.11, there's tons of work
> till we have all state properly tracked for fastbooting to woExpect a lot
> of patches for this throughout 3.11, there's tons of work till we have all
> state properly tracked for fastbooting to work.
> 
> For 3.10 I have a bunch of fixes queued up and I plan to send them all out
> at the end of this week. I need to shuffle patches in my -next queue a bit
> so that we don't but feature-y stuff in there, too. The main thing I'd
> like to sneak in is Egbert's hpd irq storm handling, which should be
> pretty low-risk since all the infrastructure work has landed already. I
> also have the oops fix pending, but that only mustered review before the
> w/e and giving how hairy that part of our modeset code is, I want to give
> it some more testing before forwarding.
> 
> Note: annarchy.fd.o seems to run out of disk space, so couldn't push the
> usual for-airlied branch. Tag should work though.
> 
> Note 2: I've had to do a backmerge since conflicts grew too ugly, but the
> upstream -rc I've backmerged is already in your drm-next.

Jani pointed out that the pull request below doesn't match my changelog
overview above. And indeed, since git.fd.o refused to cooperate my script
lost track of things. Bad me for not properly checking things. The below
pull should actually match what I want ...

Cheers, Daniel


The following changes since commit 07961ac7c0ee8b546658717034fe692fd12eefa9:

  Linux 3.9-rc5 (2013-03-31 15:12:43 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-04-06

for you to fetch changes up to bae3699182027525d92b97d904578a533264b242:

  drm/i915: info level for simulated gpu hang dmesg notice (2013-04-06 16:07:21 +0200)

----------------------------------------------------------------
Ben Widawsky (1):
      drm/i915: Don't overclock on Haswell

Chris Wilson (4):
      drm/i915: Always call fence-lost prior to removing the fence
      drm/i915: Introduce i915_gem_object_create_stolen_for_preallocated
      drm/i915: Apply alignment restrictions on scanout surfaces for VT-d
      drm/i915: Skip modifying PCH DREF if not changing clock sources

Christian Lamparter (1):
      drm/i915: Add no-lvds quirk for Fujitsu Esprimo Q900

Damien Lespiau (5):
      drm/i915: Error out if we are trying to use VGA with SPLL already in use
      drm/i915: Cleanup if the EDP transcoder has a bobug input value
      drm/i915: Rename intel_ddi_enable_pipe_func() to transcoder_func()
      drm/i915: Use BUG() in a case of a programming error
      drm/i915: Warn if a pipe is enabled with a bogus port

Daniel Vetter (33):
      drm/i915: implement ibx_hpd_irq_setup
      drm/i915: clear crt hotplug compare voltage field before setting
      drm/i915: wire up SDVO hpd support on cpt/ppt
      drm/i915: introduce struct intel_crtc_config
      drm/i915: compute pipe_config earlier
      drm/i915: add pipe_config->timings_set
      drm/i915: add pipe_config->pixel_multiplier
      drm/i915: drop helper vtable for sdvo encoder
      drm/i915: add pipe_config->has_pch_encoder
      drm/i915: add pipe_config->limited_color_range
      drm/i915: introduce pipe_config->dither|pipe_bpp
      drm/i915: precompute pipe bpp before touching the hw
      drm/i915: convert DP autodither code to new infrastructure
      drm/i915: clean up plane bpp confusion
      drm/i915: clean up pipe bpp confusion
      drm/i915: fold wait_for_atomic_us into wait_for_atomic
      drm/i915: fix up _wait_for macro
      drm/i915: check fb->pixel_format instead of bits_per_pixel
      drm/i915: fixup fb bpp computation in pipe_config_set_bpp
      drm/i915: Fix sdvo connector get_hw_state function
      Merge tag 'v3.9-rc5' into drm-intel-next-queued
      drm/i915: clear up the fdi/dp set_m_n confusion
      drm/i915: move dp_m_n computation to dp_encoder->compute_config
      drm/i915: track dp target_clock in pipe_config
      drm/i915: remove leaky eDP functions
      drm/i915: rip out superflous is_dp&is_cpu_edp tracking
      drm/i915: add hw state readout/checking for pipe_config
      drm/i915: hw readout support for ->has_pch_encoders
      drm/i915: create pipe_config->dpll for clock state
      drm/i915: extract i9xx_set_pipeconf
      Revert "drm/i915: fix DP get_hw_state return value"
      drm/i915: revert eDP bpp clamping code changes
      drm/i915: info level for simulated gpu hang dmesg notice

Egbert Eich (5):
      DRM/i915: Remove valleyview_hpd_irq_setup.
      DRM/I915: Add enum hpd_pin to intel_encoder.
      DRM/i915: Convert HPD interrupts to make use of HPD pin assignment in encoders (v2)
      DRM/i915: Remove i965_hpd_irq_setup.
      DRM/i915: Get rid if the 'hotplug_supported_mask' in struct drm_i915_private.

Imre Deak (2):
      lib/scatterlist: sg_page_iter: support sg lists w/o backing pages
      Revert "drm/i915: set dummy page for stolen objects"

Jani Nikula (3):
      drm/i915: keep backlight_level and backlight device brightness in sync
      drm/i915: return actual brightness to .get_brightness callback
      drm/i915: group backlight related stuff into a struct

Jesse Barnes (14):
      drm: add initial_config function to fb helper
      drm/i915: add sprite restore function v3
      drm/i915: restore cursor and sprite state when forcing a config restore v2
      drm/i915: enable VT switchless resume v3
      drm/i915: emit a hotplug event on resume
      drm/i915: fix ILK GPU reset for render
      drm/i915: fix DP get_hw_state return value
      drm/i915: fix DDI get_hw_state return value
      drm/i915: sprite support for ValleyView v4
      drm/i915: add sprite assertion function for VLV
      drm/i915/dp: fix up VLV DP handling v2
      drm/i915: panel power sequencing for VLV eDP v2
      drm/i915: add Punit read/write routines for VLV v2
      drm/i915: drop DPFLIPSTAT enables on VLV v3

Paulo Zanoni (4):
      drm/i915: fix DSPADDR Gen check
      drm/i915: there's no DSPPOS register on gen4+
      drm/i915: there's no PIPESTAT on HAS_PCH_SPLIT platforms
      drm/i915: remove "inline" keyword from ironlake_disable_display_irq

Rodrigo Vivi (1):
      drm/i915: HSW PM Frequency bits fix

Ville Syrjälä (3):
      drm/i915: Wait for vblank between disabling a sprite and unpinning the fb
      drm/i915: Set PIPECONF color range bit on Valleyview
      drm/i915: Don't use the HDMI port color range bit on Valleyview

 drivers/gpu/drm/drm_cache.c                |    7 +-
 drivers/gpu/drm/drm_fb_helper.c            |   23 +-
 drivers/gpu/drm/drm_prime.c                |    8 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  423 +++------
 drivers/gpu/drm/i915/i915_dma.c            |   29 +-
 drivers/gpu/drm/i915/i915_drv.c            |  170 ++--
 drivers/gpu/drm/i915/i915_drv.h            |   72 +-
 drivers/gpu/drm/i915/i915_gem.c            |   89 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c     |   13 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   36 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   77 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |   65 ++
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   18 +-
 drivers/gpu/drm/i915/i915_irq.c            |  457 ++++++----
 drivers/gpu/drm/i915/i915_reg.h            |  260 ++++--
 drivers/gpu/drm/i915/i915_suspend.c        |   15 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |   16 +-
 drivers/gpu/drm/i915/intel_crt.c           |   16 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   62 +-
 drivers/gpu/drm/i915/intel_display.c       | 1355 ++++++++++++++++------------
 drivers/gpu/drm/i915/intel_dp.c            |  504 +++++------
 drivers/gpu/drm/i915/intel_drv.h           |  145 +--
 drivers/gpu/drm/i915/intel_fb.c            |    5 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  157 ++--
 drivers/gpu/drm/i915/intel_lvds.c          |   48 +-
 drivers/gpu/drm/i915/intel_panel.c         |   44 +-
 drivers/gpu/drm/i915/intel_pm.c            |  164 +++-
 drivers/gpu/drm/i915/intel_sdvo.c          |  119 +--
 drivers/gpu/drm/i915/intel_sprite.c        |  243 ++++-
 drivers/gpu/drm/i915/intel_tv.c            |   14 +-
 drivers/video/fbmem.c                      |    7 +
 include/drm/drm_fb_helper.h                |    4 +
 include/linux/fb.h                         |    2 +
 include/linux/pm.h                         |   13 +
 include/linux/scatterlist.h                |   28 +-
 kernel/power/console.c                     |  116 +++
 lib/scatterlist.c                          |    4 +-
 37 files changed, 2853 insertions(+), 1975 deletions(-)
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [pull] drm-intel-next
@ 2013-04-15  7:56 Daniel Vetter
  2013-04-15  9:43 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2013-04-15  7:56 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, LKML, DRI Development

Hi Dave,

Since I expect Linus to open the merge window in about a week I guess this
is the last i915 feature pull for 3.10. Highlights:
Updated testing tree for -next. Highlights:
- Corner case fixes discovered with static analyzers (Damien).
- More fixes to combat unclaimed register errors on Haswell (Paulo).
- Some small fixes to the gpu turbo code (Rodrigo+Ben), Ben has more
  fixes for overclocking support pending.
- More prep work for fastboot from Chris.
- VT-switchless suspend/resume from Jesse.
- The prep work of Egbert Eich's hpd irq storm handling. Hopefully we can
  squeeze in the actual storm handling code for 3.10 ...
- More convenience helpers for Imre's sg iterator. Core parts acked by
  Andrew Morton.
- A bit of backlight code cleanup from Jani.
- Fixed ilk gpu reset (Jesse).
- Reduced color range handling fixes for VLV (Ville).

The big item here is though the introduction of pipe_config to properly
pre-compute the desired modeset state before touching the hw. Together
with some very basic support to read out the current config from the hw
and compare the state with the sw tracking. This is all prep work for more
reliable fastboot, atomic modesets and other cool features. Stuff
converted to the new world includes:
- Most simple pipe attributes (reduce color range, pixel multiplier).
- Pipe bpp/dither handling.
- Some convenience flags like ->has_pch_encoder to simplify the code flow.
- (Almost) DP clock handling, had to be reverted since part of a prep
  patch was lost in rebasing ...
Expect a lot of patches for this throughout 3.11, there's tons of work
till we have all state properly tracked for fastbooting to woExpect a lot
of patches for this throughout 3.11, there's tons of work till we have all
state properly tracked for fastbooting to work.

For 3.10 I have a bunch of fixes queued up and I plan to send them all out
at the end of this week. I need to shuffle patches in my -next queue a bit
so that we don't but feature-y stuff in there, too. The main thing I'd
like to sneak in is Egbert's hpd irq storm handling, which should be
pretty low-risk since all the infrastructure work has landed already. I
also have the oops fix pending, but that only mustered review before the
w/e and giving how hairy that part of our modeset code is, I want to give
it some more testing before forwarding.

Note: annarchy.fd.o seems to run out of disk space, so couldn't push the
usual for-airlied branch. Tag should work though.

Note 2: I've had to do a backmerge since conflicts grew too ugly, but the
upstream -rc I've backmerged is already in your drm-next.

Cheers, Daniel


The following changes since commit a937536b868b8369b98967929045f1df54234323:

  Linux 3.9-rc3 (2013-03-17 15:59:32 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-03-23

for you to fetch changes up to e3dff585508636c8d2915cc1595e04f16ccd66ba:

  drm/i915: Implement WaSwitchSolVfFArbitrationPriority (2013-03-23 12:18:06 +0100)

----------------------------------------------------------------
Ben Widawsky (9):
      drm/i915: Created a sized object error dump
      drm/i915: exclude CCID for platforms without it
      drm/i915: Capture current context on error
      drm/i915: Remove unused file arg from execbuf
      drm/i915: Remove unneeded dev argument
      drm/i915: Move num_pipes to intel info
      drm/i915: Introduce GEN7_FEATURES for device info
      drm/i915: Correct sandybrige overclocking
      drm/i915: Implement WaSwitchSolVfFArbitrationPriority

Chris Wilson (1):
      drm/i915: Resurrect ring kicking for semaphores, selectively

Damien Lespiau (1):
      drm/i915: Remove platforms in the preliminary_hw_support description

Daniel Vetter (4):
      drm/i915: gen2 has no tv out support
      Merge tag 'v3.9-rc3' into drm-intel-next-queued
      style nit: Align function parameter continuation properly.
      drm/i915: fixup pd vs pt confusion in gen6 ppgtt code

Imre Deak (5):
      drm: handle compact dma scatter lists in drm_clflush_sg()
      drm/i915: set dummy page for stolen objects
      drm/i915: handle walking compact dma scatter lists
      drm/i915: create compact dma scatter lists for gem objects
      drm/i915: use for_each_sg_page for setting up the gtt ptes

Jani Nikula (2):
      drm/i915: add \n to the end of sysfs attributes
      drm/i915: reduce power in the ilk rc6 enable error message

Jesse Barnes (18):
      PM: make VT switching to the suspend console optional v3
      fb: add support for drivers not needing VT switch at suspend/resume time
      drm/i915: don't restore LVDS enable state blindly v2
      drm/i915: remove disabled memset of framebuffer from intel_fb
      drm/i915: don't init LVDS on VLV
      drm/i915: VLV has force wake
      drm/i915/dp: don't use ILK paths on VLV
      drm/i915: use gen6 stolen check on VLV
      drm/i915/dp: add pre-PCH eDP checking to DP detect for VLV
      drm/i915: allow force wake at init time on VLV v2
      drm/i915: don't use plane pipe select on VLV
      drm/i915: add media well to VLV force wake routines v2
      drm/i915: use VLV DIP routines on VLV v2
      drm/i915: add more VLV IDs
      drm/i915: fix WaDisablePSDDualDispatchEnable on VLV v2
      drm/i915: set conservative clock gating values on VLV v2
      drm/i915: DSPFW and BLC regs are in the display offset range
      drm/i915: VLV doesn't have HDMI on port C

Kees Cook (2):
      drm/i915: use simple attribute in debugfs routines
      drm/i915: clarify reasoning for the access_ok call

Mihnea Dobrescu-Balaur (1):
      gpu: don't cast kzalloc() return value

Mika Kuoppala (1):
      drm/i915: remove obsolete obj assignment in page flip

Paulo Zanoni (19):
      drm/i915: use HAS_DDI on intel_hdmi.c and intel_display.c
      drm/i915: wait_event_timeout's timeout is in jiffies
      drm/i915: add aux_ch_ctl_reg to struct intel_dp
      drm/i915: rename sdvox_reg to hdmi_reg on HDMI context
      drm/i915: create functions for the "unclaimed register" checks
      drm/i915: use FPGA_DBG for the "unclaimed register" checks
      drm/i915: clear the FPGA_DBG_RM_NOCLAIM bit at driver init
      drm/i915: clarify confusion between SDVO and HDMI registers
      drm/i915: unify the definitions of the HDMI/SDVO register
      drm/i915: remove duplicated SDVO/HDMI bit definitions
      drm/i915: rename some HDMI bit definitions
      drm/i915: disable sound first on intel_disable_ddi
      drm/i915: capture the correct cursor registers on IVB
      drm/i915: there's no DSPSIZE register on gen4+
      drm/i915: there's no DSPADDR register on Haswell
      drm/i915: add HAS_POWER_WELL
      drm/i915: reorganize intel_lvds_supported
      drm/i915: don't save/restore PCH_LVDS on LPT
      drm/i915: add missing space in error message

Rahul Sharma (1):
      drm: modify pages_to_sg prime helper to create optimized SG table

Rodrigo Vivi (1):
      drm/i915: Use cpu_transcoder for HSW_TVIDEO_DIP_* instead of pipe

Ville Syrjälä (15):
      drm/i915: Really wait for pending flips when panning
      drm/i915: Finish page flips and update primary planes after a GPU reset
      drm/i915: Eliminate race from gen2/3 page flip interrupt handling
      drm/i915: Fix races in gen4 page flip interrupt handling
      drm/i915: Refactor gen2 to gen4 vblank interrupt handling
      drm/i915: Kill pipestat[] cache
      drm/i915: Add to_user_ptr()
      drm/i915: Document the find_pll() function
      drm/i915: Remove a stale and misplaced comment
      drm/i915: Kill a few pointless comments
      drm/i915: Use FORCEWAKE_KERNEL instead of hardcoded number in MT forcewake ACK
      drm/i915: Use '1' instead of FORCEWAKE_KERNEL for ST force wake
      drm/i915: Single thread force wake isn't used on HSW anymore
      drm/i915: Kill a strange comment about DPMS functions
      drm/i915: Set the VIC in AVI infoframe for SDVO

 drivers/gpu/drm/drm_cache.c                |    7 +-
 drivers/gpu/drm/drm_prime.c                |    8 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  423 ++++++++--------------------
 drivers/gpu/drm/i915/i915_dma.c            |   27 +-
 drivers/gpu/drm/i915/i915_drv.c            |  132 ++++-----
 drivers/gpu/drm/i915/i915_drv.h            |   30 +-
 drivers/gpu/drm/i915/i915_gem.c            |   78 ++---
 drivers/gpu/drm/i915/i915_gem_dmabuf.c     |   13 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   36 +--
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   79 ++----
 drivers/gpu/drm/i915/i915_gem_stolen.c     |    4 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   18 +-
 drivers/gpu/drm/i915/i915_irq.c            |  249 +++++++++++-----
 drivers/gpu/drm/i915/i915_reg.h            |  142 +++++-----
 drivers/gpu/drm/i915/i915_suspend.c        |   15 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |   16 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   12 +-
 drivers/gpu/drm/i915/intel_display.c       |  194 ++++++++-----
 drivers/gpu/drm/i915/intel_dp.c            |   88 +++---
 drivers/gpu/drm/i915/intel_drv.h           |    7 +-
 drivers/gpu/drm/i915/intel_fb.c            |    4 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  114 ++++----
 drivers/gpu/drm/i915/intel_lvds.c          |    7 +-
 drivers/gpu/drm/i915/intel_panel.c         |    2 +-
 drivers/gpu/drm/i915/intel_pm.c            |   65 +++--
 drivers/gpu/drm/i915/intel_sdvo.c          |   50 ++--
 drivers/video/fbmem.c                      |    7 +
 include/linux/fb.h                         |    2 +
 include/linux/pm.h                         |   13 +
 kernel/power/console.c                     |  116 ++++++++
 30 files changed, 1037 insertions(+), 921 deletions(-)
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-04-02  9:34 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-04-02  9:34 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, LKML, DRI Development

Hi Dave,

So I've figured we should get drm-next for 3.10 started ;-)

Highlights:
- Imre's for_each_sg_pages rework (now also with the stolen mem backed
  case fixed with a hack) plus the drm prime sg list coalescing patch from
  Rahul Sharma. I have some follow-up cleanups pending, already acked by
  Andrew Morton.
- Some prep-work for the crazy no-pch/display-less platform by Ben.
- Some vlv patches, by far not all (Jesse et al).
- Clean up the HDMI/SDVO #define confusion (Paulo)
- gen2-4 vblank fixes from Ville.
- Unclaimed register warning fixes for hsw (Paulo). More still to come ...
- Complete pageflips which have been stuck in a gpu hang, should prevent
  stuck gl compositors (Ville).
- pm patches for vt-switchless resume (Jesse). Note that the i915 enabling
  is not (yet) included, that took a bit longer to settle. PM patches are
  acked by Rafael Wysocki.
- Minor fixlets all over from various people.

All together it's been pretty quiet thus far.

Cheers, Daniel


The following changes since commit a937536b868b8369b98967929045f1df54234323:

  Linux 3.9-rc3 (2013-03-17 15:59:32 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-03-23

for you to fetch changes up to e3dff585508636c8d2915cc1595e04f16ccd66ba:

  drm/i915: Implement WaSwitchSolVfFArbitrationPriority (2013-03-23 12:18:06 +0100)

----------------------------------------------------------------
Ben Widawsky (9):
      drm/i915: Created a sized object error dump
      drm/i915: exclude CCID for platforms without it
      drm/i915: Capture current context on error
      drm/i915: Remove unused file arg from execbuf
      drm/i915: Remove unneeded dev argument
      drm/i915: Move num_pipes to intel info
      drm/i915: Introduce GEN7_FEATURES for device info
      drm/i915: Correct sandybrige overclocking
      drm/i915: Implement WaSwitchSolVfFArbitrationPriority

Chris Wilson (1):
      drm/i915: Resurrect ring kicking for semaphores, selectively

Damien Lespiau (1):
      drm/i915: Remove platforms in the preliminary_hw_support description

Daniel Vetter (4):
      drm/i915: gen2 has no tv out support
      Merge tag 'v3.9-rc3' into drm-intel-next-queued
      style nit: Align function parameter continuation properly.
      drm/i915: fixup pd vs pt confusion in gen6 ppgtt code

Imre Deak (5):
      drm: handle compact dma scatter lists in drm_clflush_sg()
      drm/i915: set dummy page for stolen objects
      drm/i915: handle walking compact dma scatter lists
      drm/i915: create compact dma scatter lists for gem objects
      drm/i915: use for_each_sg_page for setting up the gtt ptes

Jani Nikula (2):
      drm/i915: add \n to the end of sysfs attributes
      drm/i915: reduce power in the ilk rc6 enable error message

Jesse Barnes (18):
      PM: make VT switching to the suspend console optional v3
      fb: add support for drivers not needing VT switch at suspend/resume time
      drm/i915: don't restore LVDS enable state blindly v2
      drm/i915: remove disabled memset of framebuffer from intel_fb
      drm/i915: don't init LVDS on VLV
      drm/i915: VLV has force wake
      drm/i915/dp: don't use ILK paths on VLV
      drm/i915: use gen6 stolen check on VLV
      drm/i915/dp: add pre-PCH eDP checking to DP detect for VLV
      drm/i915: allow force wake at init time on VLV v2
      drm/i915: don't use plane pipe select on VLV
      drm/i915: add media well to VLV force wake routines v2
      drm/i915: use VLV DIP routines on VLV v2
      drm/i915: add more VLV IDs
      drm/i915: fix WaDisablePSDDualDispatchEnable on VLV v2
      drm/i915: set conservative clock gating values on VLV v2
      drm/i915: DSPFW and BLC regs are in the display offset range
      drm/i915: VLV doesn't have HDMI on port C

Kees Cook (2):
      drm/i915: use simple attribute in debugfs routines
      drm/i915: clarify reasoning for the access_ok call

Mihnea Dobrescu-Balaur (1):
      gpu: don't cast kzalloc() return value

Mika Kuoppala (1):
      drm/i915: remove obsolete obj assignment in page flip

Paulo Zanoni (19):
      drm/i915: use HAS_DDI on intel_hdmi.c and intel_display.c
      drm/i915: wait_event_timeout's timeout is in jiffies
      drm/i915: add aux_ch_ctl_reg to struct intel_dp
      drm/i915: rename sdvox_reg to hdmi_reg on HDMI context
      drm/i915: create functions for the "unclaimed register" checks
      drm/i915: use FPGA_DBG for the "unclaimed register" checks
      drm/i915: clear the FPGA_DBG_RM_NOCLAIM bit at driver init
      drm/i915: clarify confusion between SDVO and HDMI registers
      drm/i915: unify the definitions of the HDMI/SDVO register
      drm/i915: remove duplicated SDVO/HDMI bit definitions
      drm/i915: rename some HDMI bit definitions
      drm/i915: disable sound first on intel_disable_ddi
      drm/i915: capture the correct cursor registers on IVB
      drm/i915: there's no DSPSIZE register on gen4+
      drm/i915: there's no DSPADDR register on Haswell
      drm/i915: add HAS_POWER_WELL
      drm/i915: reorganize intel_lvds_supported
      drm/i915: don't save/restore PCH_LVDS on LPT
      drm/i915: add missing space in error message

Rahul Sharma (1):
      drm: modify pages_to_sg prime helper to create optimized SG table

Rodrigo Vivi (1):
      drm/i915: Use cpu_transcoder for HSW_TVIDEO_DIP_* instead of pipe

Ville Syrjälä (15):
      drm/i915: Really wait for pending flips when panning
      drm/i915: Finish page flips and update primary planes after a GPU reset
      drm/i915: Eliminate race from gen2/3 page flip interrupt handling
      drm/i915: Fix races in gen4 page flip interrupt handling
      drm/i915: Refactor gen2 to gen4 vblank interrupt handling
      drm/i915: Kill pipestat[] cache
      drm/i915: Add to_user_ptr()
      drm/i915: Document the find_pll() function
      drm/i915: Remove a stale and misplaced comment
      drm/i915: Kill a few pointless comments
      drm/i915: Use FORCEWAKE_KERNEL instead of hardcoded number in MT forcewake ACK
      drm/i915: Use '1' instead of FORCEWAKE_KERNEL for ST force wake
      drm/i915: Single thread force wake isn't used on HSW anymore
      drm/i915: Kill a strange comment about DPMS functions
      drm/i915: Set the VIC in AVI infoframe for SDVO

 drivers/gpu/drm/drm_cache.c                |    7 +-
 drivers/gpu/drm/drm_prime.c                |    8 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |  423 ++++++++--------------------
 drivers/gpu/drm/i915/i915_dma.c            |   27 +-
 drivers/gpu/drm/i915/i915_drv.c            |  132 ++++-----
 drivers/gpu/drm/i915/i915_drv.h            |   30 +-
 drivers/gpu/drm/i915/i915_gem.c            |   78 ++---
 drivers/gpu/drm/i915/i915_gem_dmabuf.c     |   13 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   36 +--
 drivers/gpu/drm/i915/i915_gem_gtt.c        |   79 ++----
 drivers/gpu/drm/i915/i915_gem_stolen.c     |    4 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   18 +-
 drivers/gpu/drm/i915/i915_irq.c            |  249 +++++++++++-----
 drivers/gpu/drm/i915/i915_reg.h            |  142 +++++-----
 drivers/gpu/drm/i915/i915_suspend.c        |   15 +-
 drivers/gpu/drm/i915/i915_sysfs.c          |   16 +-
 drivers/gpu/drm/i915/intel_ddi.c           |   12 +-
 drivers/gpu/drm/i915/intel_display.c       |  194 ++++++++-----
 drivers/gpu/drm/i915/intel_dp.c            |   88 +++---
 drivers/gpu/drm/i915/intel_drv.h           |    7 +-
 drivers/gpu/drm/i915/intel_fb.c            |    4 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |  114 ++++----
 drivers/gpu/drm/i915/intel_lvds.c          |    7 +-
 drivers/gpu/drm/i915/intel_panel.c         |    2 +-
 drivers/gpu/drm/i915/intel_pm.c            |   65 +++--
 drivers/gpu/drm/i915/intel_sdvo.c          |   50 ++--
 drivers/video/fbmem.c                      |    7 +
 include/linux/fb.h                         |    2 +
 include/linux/pm.h                         |   13 +
 kernel/power/console.c                     |  116 ++++++++
 30 files changed, 1037 insertions(+), 921 deletions(-)

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2013-03-18 20:59       ` Daniel Vetter
@ 2013-03-18 21:00         ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-03-18 21:00 UTC (permalink / raw)
  To: Stéphane Marchesin; +Cc: Intel Graphics Development, DRI Development

On Mon, Mar 18, 2013 at 9:59 PM, Daniel Vetter <daniel@ffwll.ch> wrote:
> On Mon, Mar 18, 2013 at 8:35 PM, Stéphane Marchesin
> <stephane.marchesin@gmail.com> wrote:
>>
>>> For starters I guess we need:
>>> - drm.debug=0xe dmesg from just before that commit
>>> - same for latest 3.9-rc kernels, presuming it's not broken there
>>>
>>> Latest upstream has a minor chance to work better I think since we've
>>> improved the pfit handling in the setup and teardown sequence a bit.
>>>
>>> Generally lvds has been hit&miss on way too many machines
>>> unfortunately with things randomly breaking and getting fixed again
>>> (e.g. one of Chris' machines works again with the new code ...). And
>>> the commit above doesn't really change much in the code itself but it
>>> does change the order (and timing) of the different enable/disable
>>> codepaths.
>>
>> So I did look at the thing a bit, and it triggers the workaround
>> if (INTEL_INFO(dev)->gen < 4 && !intel_check_plane_mapping(crtc)) {
>> which seems to be part of the problem (but not the whole problem as
>> removing that gets me a corrupted display, looks like the second pipe
>> stays enabled then).
>
> Well, that particular piece of lore took a few trials to get right.
> Can you please attach a drm.debug=0xe dmesg of the entire boot on
> latest kernels?

Also, anything in particular standing out in intel_reg_dump output on
working/broken kernels?
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2013-03-18 19:35     ` Stéphane Marchesin
@ 2013-03-18 20:59       ` Daniel Vetter
  2013-03-18 21:00         ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2013-03-18 20:59 UTC (permalink / raw)
  To: Stéphane Marchesin; +Cc: Intel Graphics Development, DRI Development

On Mon, Mar 18, 2013 at 8:35 PM, Stéphane Marchesin
<stephane.marchesin@gmail.com> wrote:
>
>> For starters I guess we need:
>> - drm.debug=0xe dmesg from just before that commit
>> - same for latest 3.9-rc kernels, presuming it's not broken there
>>
>> Latest upstream has a minor chance to work better I think since we've
>> improved the pfit handling in the setup and teardown sequence a bit.
>>
>> Generally lvds has been hit&miss on way too many machines
>> unfortunately with things randomly breaking and getting fixed again
>> (e.g. one of Chris' machines works again with the new code ...). And
>> the commit above doesn't really change much in the code itself but it
>> does change the order (and timing) of the different enable/disable
>> codepaths.
>
> So I did look at the thing a bit, and it triggers the workaround
> if (INTEL_INFO(dev)->gen < 4 && !intel_check_plane_mapping(crtc)) {
> which seems to be part of the problem (but not the whole problem as
> removing that gets me a corrupted display, looks like the second pipe
> stays enabled then).

Well, that particular piece of lore took a few trials to get right.
Can you please attach a drm.debug=0xe dmesg of the entire boot on
latest kernels?

Thanks, Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2013-03-17 19:46   ` Daniel Vetter
@ 2013-03-18 19:35     ` Stéphane Marchesin
  2013-03-18 20:59       ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Stéphane Marchesin @ 2013-03-18 19:35 UTC (permalink / raw)
  To: Daniel Vetter; +Cc: Intel Graphics Development, DRI Development

-lkml

On Sun, Mar 17, 2013 at 12:46 PM, Daniel Vetter <daniel@ffwll.ch> wrote:
> On Fri, Mar 15, 2013 at 3:11 AM, Stéphane Marchesin
> <stephane.marchesin@gmail.com> wrote:
>>>       drm/i915: read out the modeset hw state at load and resume time
>> This commit regresses modeset on the samsung series 5 chromebook (it
>> is basically a pineview machine with an lvds panel). I don't seem to
>> be able to set any mode on it any longer.
>
> Does that mean the kernel refuses to set the mode, or that you get a
> black screen?
>

I get a black screen.

> For starters I guess we need:
> - drm.debug=0xe dmesg from just before that commit
> - same for latest 3.9-rc kernels, presuming it's not broken there
>
> Latest upstream has a minor chance to work better I think since we've
> improved the pfit handling in the setup and teardown sequence a bit.
>
> Generally lvds has been hit&miss on way too many machines
> unfortunately with things randomly breaking and getting fixed again
> (e.g. one of Chris' machines works again with the new code ...). And
> the commit above doesn't really change much in the code itself but it
> does change the order (and timing) of the different enable/disable
> codepaths.

So I did look at the thing a bit, and it triggers the workaround
if (INTEL_INFO(dev)->gen < 4 && !intel_check_plane_mapping(crtc)) {
which seems to be part of the problem (but not the whole problem as
removing that gets me a corrupted display, looks like the second pipe
stays enabled then).

Stéphane

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2013-03-15  2:11 ` Stéphane Marchesin
@ 2013-03-17 19:46   ` Daniel Vetter
  2013-03-18 19:35     ` Stéphane Marchesin
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2013-03-17 19:46 UTC (permalink / raw)
  To: Stéphane Marchesin
  Cc: Dave Airlie, Intel Graphics Development, DRI Development, LKML

On Fri, Mar 15, 2013 at 3:11 AM, Stéphane Marchesin
<stephane.marchesin@gmail.com> wrote:
>>       drm/i915: read out the modeset hw state at load and resume time
> This commit regresses modeset on the samsung series 5 chromebook (it
> is basically a pineview machine with an lvds panel). I don't seem to
> be able to set any mode on it any longer.

Does that mean the kernel refuses to set the mode, or that you get a
black screen?

For starters I guess we need:
- drm.debug=0xe dmesg from just before that commit
- same for latest 3.9-rc kernels, presuming it's not broken there

Latest upstream has a minor chance to work better I think since we've
improved the pfit handling in the setup and teardown sequence a bit.

Generally lvds has been hit&miss on way too many machines
unfortunately with things randomly breaking and getting fixed again
(e.g. one of Chris' machines works again with the new code ...). And
the commit above doesn't really change much in the code itself but it
does change the order (and timing) of the different enable/disable
codepaths.

Thanks, Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2012-09-13 14:18 Daniel Vetter
  2012-09-17 23:09 ` Daniel Vetter
@ 2013-03-15  2:11 ` Stéphane Marchesin
  2013-03-17 19:46   ` Daniel Vetter
  1 sibling, 1 reply; 265+ messages in thread
From: Stéphane Marchesin @ 2013-03-15  2:11 UTC (permalink / raw)
  To: Daniel Vetter, Dave Airlie, Intel Graphics Development,
	DRI Development, LKML

On Thu, Sep 13, 2012 at 7:18 AM, Daniel Vetter <daniel@ffwll.ch> wrote:
> Hi Dave,
>
> The big ticket item here is the new i915 modeset infrastructure.
> Shockingly it didn't not blow up all over the place (i.e. I've managed to
> fix the ugly issues before merging). 1-2 smaller corner cases broke, but
> we have patches. Also, there's tons of patches on top of this that clean
> out cruft and fix a few bugs that couldn't be fixed with the crtc helper
> based stuff. So more stuff to come ;-)
>
> Also a few other things:
> - Tiny fix in the fb helper to go through the official dpms interface
>   instead of calling the crtc helper code.
> - forcewake code frobbery from Ben, code should be more in-line with
>   what Windows does now.
> - fixes for the render ring flush on hsw (Paulo)
> - gpu frequency tracepoint
> - vlv forcewake changes to better align it with our understanding of the
>   forcewake magic.
> - a few smaller cleanups
>
> Cheers, Daniel
>
>
> The following changes since commit d7c3b937bdf45f0b844400b7bf6fd3ed50bac604:
>
>   drm/i915: Remove __GFP_NO_KSWAPD (2012-08-27 17:11:38 +0200)
>
> are available in the git repository at:
>
>   git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-09-09
>
> for you to fetch changes up to e04190e0ecb236c51af181c18c545ea076fb9cca:
>
>   drm/fb helper: don't call drm_helper_connector_dpms directly (2012-09-08 00:51:15 +0200)
>
> ----------------------------------------------------------------
>
> Ben Widawsky (5):
>       drm/i915: Extract forcewake ack timeout
>       drm/i915: use cpu_relax() in wait_for_atomic
>       drm/i915: Change forcewake timeout to 2ms
>       drm/i915: Never read FORCEWAKE
>       drm/i915: Enable some sysfs stuff without CONFIG_PM
>
> Chris Wilson (1):
>       drm/i915: Convert remaining debugfs iterators over rings to for_each_ring()
>
> Daniel Vetter (66):
>       drm/ips: move drps/ips/ilk related variables into dev_priv->ips
>       drm/i915: add a tracepoint for gpu frequency changes
>       drm/i915: align vlv forcewake with common lore
>       drm/i915: differ error message between forcwake timeouts
>       drm/i915: add crtc->enable/disable vfuncs insted of dpms
>       drm/i915: rip out crtc prepare/commit indirection
>       drm/i915: add direct encoder disable/enable infrastructure
>       drm/i915/hdmi: convert to encoder->disable/enable
>       drm/i915/tv: convert to encoder enable/disable
>       drm/i915/lvds: convert to encoder disable/enable
>       drm/i915/dp: convert to encoder disable/enable
>       drm/i915/crt: convert to encoder disable/enable
>       drm/i915/sdvo: convert to encoder disable/enable
>       drm/i915/dvo: convert to encoder disable/enable
>       drm/i915: convert dpms functions of dvo/sdvo/crt
>       drm/i915: rip out encoder->disable/enable checks
>       drm/i915: clean up encoder_prepare/commit
>       drm/i915: copy&paste drm_crtc_helper_set_config
>       drm/i915: call set_base directly
>       drm/i915: inline intel_best_encoder
>       drm/i915: copy&paste drm_crtc_helper_set_mode
>       drm/i915: simplify intel_crtc_prepare_encoders
>       drm/i915: rip out encoder->prepare/commit
>       drm/i915: call crtc functions directly
>       drm/i915: WARN when trying to enabled an unused crtc
>       drm/i915: Add interfaces to read out encoder/connector hw state
>       drm/i915/dp: implement get_hw_state
>       drm/i915/hdmi: implement get_hw_state
>       drm/i915/tv: implement get_hw_state
>       drm/i915/lvds: implement get_hw_state
>       drm/i915/crt: implement get_hw_state
>       drm/i915/sdvo: implement get_hw_state
>       drm/i915/dvo: implement get_hw_state
>       drm/i915: read out the modeset hw state at load and resume time

Hi Daniel,

This commit regresses modeset on the samsung series 5 chromebook (it
is basically a pineview machine with an lvds panel). I don't seem to
be able to set any mode on it any longer.

Any idea?

Stéphane

>       drm/i915: check connector hw/sw state
>       drm/i915: rip out intel_crtc->dpms_mode
>       drm/i915: rip out intel_dp->dpms_mode
>       drm/i915: ensure the force pipe A quirk is actually followed
>       drm/i915: introduce struct intel_set_config
>       drm/i915: extract modeset config save/restore code
>       drm/i915: extract intel_set_config_compute_mode_changes
>       drm/i915: extract intel_set_config_update_output_state
>       drm/i915: implement crtc helper semantics relied upon by the fb helper
>       drm/i915: don't update the fb base if there is no fb
>       drm/i915: convert pointless error checks in set_config to BUGs
>       drm/i915: don't save all the encoder/crtc state in set_config
>       drm/i915: stage modeset output changes
>       drm/i915: push crtc->fb update into pipe_set_base
>       drm/i915: remove crtc disabling special case
>       drm/i915: move output commit and crtc disabling into set_mode
>       drm/i915: extract adjusted mode computation
>       drm/i915: use staged outuput config in tv->mode_fixup
>       drm/i915: use staged outuput config in lvds->mode_fixup
>       drm/i915: compute masks of crtcs affected in set_mode
>       drm/i915: implement new set_mode code flow
>       drm/i915: push commit_output_state past crtc disabling
>       drm/i915: s/intel_encoder_disable/intel_encoder_noop
>       drm/i915: WARN if the pipe won't turn off
>       drm/i915: switch the load detect code to the staged modeset config
>       drm/i915: push commit_output_state past the crtc/encoder preparing
>       drm/i915: disable all crtcs at suspend time
>       drm/i915: no longer call drm_helper_resume_force_mode
>       drm/i915: add tons of modeset state checks
>       drm/i915: improve modeset state checking after dpms calls
>       Merge the modeset-rework, basic conversion into drm-intel-next
>       drm/fb helper: don't call drm_helper_connector_dpms directly
>
> Jani Nikula (2):
>       drm/i915: only enable sdvo hotplug irq if needed
>       drm/i915: fix sdvo hotplug support check and activation
>
> Paulo Zanoni (3):
>       drm/i915: add gen7_render_ring_flush
>       drm/i915: add workarounds directly to gen6_render_ring_flush
>       drm/i915: add workarounds to gen7_render_ring_flush
>
> --
> Daniel Vetter
> Software Engineer, Intel Corporation
> +41 (0) 79 365 57 48 - http://blog.ffwll.ch
> _______________________________________________
> dri-devel mailing list
> dri-devel@lists.freedesktop.org
> http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2013-01-29 14:19 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2013-01-29 14:19 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

The holiday pull fresh from QA. Not much in in, everyone was on vacation.
Highlights:
- Broadcast RBG improvements and reduced color range fixes from Ville
- Ben is on a "kill legacy gtt code for good" spree, first pile of patches
  included.
- no relocs and lut improvements for faster execbuf from Chris.
- some refactorings from Imre

Big regression caugh by QA was the inbalanced unlock in one of the
load-detect paths - you've merged that one already. Otherwise nothing to
report about.

Cheers, Daniel


The following changes since commit b5cc6c0387b2f8d269c1df1e68c97c958dd22fed:

  Merge tag 'drm-intel-next-2012-12-21' of git://people.freedesktop.org/~danvet/drm-intel into drm-next (2013-01-17 20:34:08 +1000)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2013-01-20

for you to fetch changes up to e5c653777986b40e2986d2c918847fddbcba3a34:

  agp/intel: Add gma_bus_addr (2013-01-20 13:11:12 +0100)

----------------------------------------------------------------
Ben Widawsky (10):
      drm/i915: Kill gtt_end
      drm/i915: Mappable_end can't ever be > end
      drm/i915: Remove gtt_mappable_total
      drm/i915: Create a gtt structure
      drm/i915: Remove use on gma_bus_addr on gen6+
      drm/i915: Remove use of gtt_mappable_entries
      drm/i915: Cut out the infamous ILK w/a from AGP layer
      drm/i915: Remove scratch page from shared
      drm/i915: Needs_dmar, not
      agp/intel: Add gma_bus_addr

Chris Wilson (6):
      drm/i915: Add a debug interface to forcibly evict and shrink our object caches
      drm/i915: Bail if we attempt to allocate pages for a purged object
      drm/i915: Mark a temporary allocation for copy-from-user as such
      drm/i915: Take the handle idr spinlock once for looking up the exec objects
      drm/i915: Move the execbuffer objects list from the stack into the tracker
      drm/i915: Use the reloc.handle as an index into the execbuffer array

Daniel Vetter (2):
      drm/i915: wake up all pageflip waiters
      drm/i915: Allow userspace to hint that the relocations were known

Egbert Eich (1):
      drm/i915: Remove pch_rq_mask from struct drm_i915_private.

Imre Deak (3):
      drm/i915: merge get_gtt_alignment/get_unfenced_gtt_alignment()
      drm/i915: merge {i965, sandybridge}_write_fence_reg()
      drm/i915: use gtt_get_size() instead of open coding it

Ville Syrjälä (5):
      drm/i915: Fix SPRITE0_FLIP_DONE_INT_EN_VLV and SPRITE0_FLIPDONE_INT_STATUS_VLV
      drm/i915: Fix RGB color range property for PCH platforms
      drm/i915: Add "Automatic" mode for the "Broadcast RGB" property
      drm/edid: Add drm_rgb_quant_range_selectable()
      drm/i915: Provide the quantization range in the AVI infoframe

 drivers/char/agp/intel-gtt.c               |   51 ++---
 drivers/gpu/drm/drm_edid.c                 |   33 ++++
 drivers/gpu/drm/i915/i915_debugfs.c        |  109 ++++++++++-
 drivers/gpu/drm/i915/i915_dma.c            |   35 ++--
 drivers/gpu/drm/i915/i915_drv.h            |   48 +++--
 drivers/gpu/drm/i915/i915_gem.c            |  120 ++++--------
 drivers/gpu/drm/i915/i915_gem_evict.c      |    2 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  280 ++++++++++++++++------------
 drivers/gpu/drm/i915/i915_gem_gtt.c        |  129 +++++++------
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   21 +--
 drivers/gpu/drm/i915/i915_irq.c            |   14 +-
 drivers/gpu/drm/i915/i915_reg.h            |    5 +-
 drivers/gpu/drm/i915/intel_display.c       |   13 +-
 drivers/gpu/drm/i915/intel_dp.c            |   39 +++-
 drivers/gpu/drm/i915/intel_drv.h           |   11 ++
 drivers/gpu/drm/i915/intel_fb.c            |    5 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |   45 ++++-
 drivers/gpu/drm/i915/intel_modes.c         |    5 +-
 drivers/gpu/drm/i915/intel_overlay.c       |    4 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |    2 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   59 +++++-
 include/drm/drm_crtc.h                     |    1 +
 include/drm/intel-gtt.h                    |    9 -
 include/uapi/drm/i915_drm.h                |   20 ++
 24 files changed, 669 insertions(+), 391 deletions(-)
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2012-11-16 17:47 ` Alex Deucher
@ 2012-11-16 17:49   ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-11-16 17:49 UTC (permalink / raw)
  To: Alex Deucher; +Cc: Intel Graphics Development, LKML, DRI Development

On Fri, Nov 16, 2012 at 6:47 PM, Alex Deucher <alexdeucher@gmail.com> wrote:
> Hey, I don't see the drm HPD fixes.  Are you planning to put them in
> another pull request?  It would be nice to get them upstream for 3.8

Since they only refine the drm helpers in the core, I've figured
there's no need to merge them through the intel tree.
-Daniel
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2012-11-16 17:17 [pull] drm-intel-next Daniel Vetter
@ 2012-11-16 17:47 ` Alex Deucher
  2012-11-16 17:49   ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Alex Deucher @ 2012-11-16 17:47 UTC (permalink / raw)
  To: Dave Airlie, Intel Graphics Development, DRI Development, LKML

On Fri, Nov 16, 2012 at 12:17 PM, Daniel Vetter <daniel@ffwll.ch> wrote:
> Hi Dave,
>
> Highlights of this -next round:
> - ivb fdi B/C fixes
> - hsw sprite/plane offset fixes from Damien
> - unified dp/hdmi encoder for hsw, finally external dp support on hsw
>   (Paulo)
> - kill-agp and some other prep work in the gtt code from Ben
> - some fb handling fixes from Ville
> - massive pile of patches to align hsw VGA with the spec and make it
>   actually work (Paulo)
> - pile of workarounds from Jesse, mostly for vlv, but also some other
>   related platforms
> - start of a dev_priv reorg, that thing grew out of bounds and chaotic
> - small bits&pieces all over the place, down to better error handling for
>   load-detect on gen2 (Chris, Jani, Mika, Zhenyu, ...)
>
> On top of the previous pile (just copypasta):
> - tons of hsw dp prep patches form Paulo
> - round scheduled work items and timers to nearest second (Chris)
> - some hw workarounds (Jesse&Damien)
> - vlv dp support and related fixups (Vijay et al.)
> - basic haswell dp support, not yet wired up for external ports (Paulo)
> - edp support (Paulo)
> - tons of refactorings to prepare for the above (Paulo)
> - panel rework, unifiying code between lvds and edp panels (Jani)
> - panel fitter scaling modes (Jani + Yuly Novikov)
> - panel power improvements, should now work without the BIOS setting it up
> - extracting some dp helpers from radeon/i915 and move them to
>   drm_dp_helper.c
> - randome pile of workarounds (Damien, Ben, ...)
> - some cleanups for the register restore code for suspend/resume
> - secure batchbuffer support, should enable tear-free blits on gen6+
>   Chris)
> - random smaller fixlets and cleanups.
>
> Note that I've done a tiny bit of history rectifying on this -next pull
> (just to make a debug dmesg output correct), and applied a bugfix for a
> regression that Chris caught (introduced much earlier in this patch-pile).
>
> Cheers, Daniel

Hey, I don't see the drm HPD fixes.  Are you planning to put them in
another pull request?  It would be nice to get them upstream for 3.8

Alex

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [pull] drm-intel-next
@ 2012-11-16 17:17 Daniel Vetter
  2012-11-16 17:47 ` Alex Deucher
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2012-11-16 17:17 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development, LKML

Hi Dave,

Highlights of this -next round:
- ivb fdi B/C fixes
- hsw sprite/plane offset fixes from Damien
- unified dp/hdmi encoder for hsw, finally external dp support on hsw
  (Paulo)
- kill-agp and some other prep work in the gtt code from Ben
- some fb handling fixes from Ville
- massive pile of patches to align hsw VGA with the spec and make it
  actually work (Paulo)
- pile of workarounds from Jesse, mostly for vlv, but also some other
  related platforms
- start of a dev_priv reorg, that thing grew out of bounds and chaotic
- small bits&pieces all over the place, down to better error handling for
  load-detect on gen2 (Chris, Jani, Mika, Zhenyu, ...)

On top of the previous pile (just copypasta):
- tons of hsw dp prep patches form Paulo
- round scheduled work items and timers to nearest second (Chris)
- some hw workarounds (Jesse&Damien)
- vlv dp support and related fixups (Vijay et al.)
- basic haswell dp support, not yet wired up for external ports (Paulo)
- edp support (Paulo)
- tons of refactorings to prepare for the above (Paulo)
- panel rework, unifiying code between lvds and edp panels (Jani)
- panel fitter scaling modes (Jani + Yuly Novikov)
- panel power improvements, should now work without the BIOS setting it up
- extracting some dp helpers from radeon/i915 and move them to
  drm_dp_helper.c
- randome pile of workarounds (Damien, Ben, ...)
- some cleanups for the register restore code for suspend/resume
- secure batchbuffer support, should enable tear-free blits on gen6+
  Chris)
- random smaller fixlets and cleanups.

Note that I've done a tiny bit of history rectifying on this -next pull
(just to make a debug dmesg output correct), and applied a bugfix for a
regression that Chris caught (introduced much earlier in this patch-pile).

Cheers, Daniel


The following changes since commit 6f0c0580b70c89094b3422ba81118c7b959c7556:

  Linux 3.7-rc2 (2012-10-20 12:11:32 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel for-airlied

for you to fetch changes up to 6b8294a4d392c2c9f8867e8505511f3fc9419ba7:

  drm/i915: Restore physical HWS_PGA after resume (2012-11-16 13:47:40 +0100)

----------------------------------------------------------------
Adam Jackson (6):
      drm: Export drm_probe_ddc()
      drm/dp: Update DPCD defines
      drm/i915/dp: Fetch downstream port info if needed during DPCD fetch
      drm/i915/dp: Be smarter about connection sense for branch devices
      drm/dp: Document DP spec versions for various DPCD registers
      drm/dp: Make sink count DP 1.2 aware

Ben Widawsky (16):
      drm/i915: Extract PCU communication
      drm/i915: Workaround to bump rc6 voltage to 450
      drm/i915: Add rc6vids to debugfs
      drm/i915: No LLC_MLC for HSW.
      drm/i915: Add dev to ppgtt
      drm/i915: introduce gtt_pte_t
      drm/i915: Extract PPGTT pte encoding
      drm/i915: move more pte encoding to pte encode
      drm/i915: Stop using AGP layer for GEN6+
      drm/i915: Calculate correct stolen size for GEN7+
      drm/i915: Kill off now unused gen6+ AGP code
      drm/i915: flush system agent TLBs on SNB
      drm/i915: Move the remaining gtt code
      drm/i915: Missed lock change with rps lock
      drm/i915: Fix sparse warnings in from AGP kill code
      drm/i915: Allocate the proper size for contexts.

Chris Wilson (11):
      drm/i915: Align the hangcheck wakeup to the nearest second
      drm/i915: Align the retire_requests worker to the nearest second
      drm/i915: Allow DRM_ROOT_ONLY|DRM_MASTER to submit privileged batchbuffers
      drm/i915: Document the multi-threaded FORCEWAKE bits
      drm/i915: Clear FORCEWAKE when taking over from BIOS
      drm/i915: Always calculate 8xx WM values based on a 32-bpp framebuffer
      drm/i915: Clear unused fields of mode for framebuffer creation
      drm/i915: Update load-detect failure paths for modeset-rework
      drm/i915/i2c: Track users of GMBUS force-bit
      drm/i915: Report amount of usable graphics memory in MiB
      drm/i915: Restore physical HWS_PGA after resume

Damien Lespiau (15):
      drm/i915: Remove the disabling of VHR unit clock gating for HSW
      drm/i915: Document that we are implementing WaDisableBackToBackFlipFix
      drm/i915: Remove the WaDisableBackToBackFlipFix w/a for Haswell
      drm/i915: Fix the SCC/SSC typo in the SPLL bits definition
      drm/i915: Consolidate ILK_DSPCLK_GATE and PCH_DSPCLK_GATE
      drm/i915: Program DSPCLK_GATE_D only once on Ironlake
      drm/i915: Don't program DSPCLK_GATE_D twice on IVB and VLV
      drm/i915: Don't try to use SPR_SCALE when we don't have a sprite scaler
      drm/i915: VLV does not have a sprite scaler
      drm/i915/tv: Use intel_flush_display_plane() to flush the primary plane
      drm/i915: Error out when trying to set a y-tiled as a sprite
      drm/i915: Fix primary plane offset on HSW
      drm/i915: Fix sprite offset on HSW
      drm/i915: adjust sprite base address
      drm/i915: Flush using only the correct base address register

Daniel Vetter (48):
      drm/i915: s/DRM_IRQ_ARGS/int irq, void *arg
      drm/i915: move hpd handling to (ibx|cpt)_irq_handler
      drm/i915: don't save/restore DP regs for kms
      drm/i915: don't save/restore irq regs for kms
      drm/i915: don't save/restore HWS_PGA reg for kms
      drm/i915/crt: don't set HOTPLUG bits on !PCH
      drm/i915/crt: explicitly set up HOTPLUG_BITS on resume
      drm/i915: don't save/restor ADPA for kms
      drm/i915: unconditionally use mt forcewake on hsw/ivb
      Merge tag 'v3.7-rc2' into drm-intel-next-queued
      drm: rename drm_dp_i2c_helper.c to drm_dp_helper.c
      drm: dp helper: extract drm_dp_channel_eq_ok
      drm: dp helper: extract drm_dp_clock_recovery_ok
      drm: extract helpers to compute new training values from sink request
      drm: extract dp link train delay functions from radeon
      drm/i915: use the new dp train delay helpers
      drm: extract dp link bw helpers
      drm: extract drm_dp_max_lane_count helper
      drm/i915/dp: actually nack test request
      drm/i915: make edp panel power sequence setup more robust
      drm/i915: enable/disable backlight for eDP
      drm/i915/eDP: compute the panel power clock divisor from the pch rawclock
      drm/i915/dp: compute the pch dp aux divider from the rawclk
      drm/i915: extract intel_dp_init_panel_power_sequencer
      drm/i915: shut up spurious message in intel_dp_get_hw_state
      drm/i915: Write the FDI RX TU size reg at the right time
      drm/i915: clarify why we need to enable fdi plls so early
      drm/i915: set FDI_RX_MISC to recommended values on CPT/PPT
      drm/i915: add comment about pch pll enabling rules
      drm/i915: BUG on impossible pch dp port
      drm/i915: add ->display.modeset_global_resources callback
      drm/i915: check fdi B/C lane sharing constraint
      drm/i915: implement WaIssueDummyWriteToWakeupFromRC6
      drm/i915: implement WaDisableRenderCachePipelinedFlush
      drm/i915: move encoder->mode_set calls to crtc_mode_set
      drm: add helper to sort panels to the head of the connector list
      drm/i915: move panel connectors to the front
      drm/i915: check whether the pch is the soulmate of the cpu
      drm/i915: drop unnecessary check from fdi_link_train code
      drm/i915: CPT+ pch transcoder workaround
      drm/i915: implement WADP0ClockGatingDisable
      drm/i915: kill pch_init_clock_gating indirection
      drm/i915: move the suspend/resume register file out of dev_priv
      drm/i915: move dev_priv->(rps|ips) out of line
      drm/i915: move pwrctx/renderctx to the other ilk power state
      drm/i915: move dri1 dungeon out of dev_priv
      drm/i915: extract l3_parity substruct from dev_priv
      drm/i915: drop the double-OP_STOREDW usage in blt_ring_flush

Gajanan Bhat (1):
      drm/i915: Add eDP support for Valleyview

Jani Nikula (18):
      drm/i915: add debug logging to ASLE backlight set requests
      drm/i915/lvds: Rename intel_lvds to intel_lvds_encoder
      drm/i915/lvds: Introduce intel_lvds_connector
      drm/i915/lvds: Move the acpi_lid_notifier from drm_i915_private to the connector
      drm/i915: Backlight setup requires connector so pass it as parameter
      drm/i915/lvds: Move some connector specific info across from the encoder
      drm/i915/dp: Initialize eDP fixed mode in intel_dp_init
      drm/i915: Create generic intel_panel for LVDS and eDP
      drm/i915: Move the fixed mode to intel_panel
      drm/i915: Do not free the passed EDID in intel_connector_update_modes()
      drm/i915: Move cached EDID to intel_connector
      drm/i915: remove an extra #define for DP_RECEIVER_CAP_SIZE
      drm/i915/sdvo: force GPIO bit-banging also on default pin
      drm/i915/sdvo: restore i2c adapter config on intel_sdvo_init() failures
      drm/i915: debug print all of the DPCD we have
      drm/i915/lvds: move fitting mode from intel_lvds_connector to intel_panel
      drm/i915: pass adjusted_mode to intel_choose_pipe_bpp_dither(), again
      drm/i915: remove HAS_eDP as unnecessary and inconsistent indirection

Jesse Barnes (15):
      drm/i915: limit VLV IRQ enables to those we use
      drm/i915: implement WaForceL3Serialization on VLV and IVB
      drm/i915: implement WaDisableEarlyCull for VLV and IVB
      drm/i915: implement WaDisableL3CacheAging on VLV
      drm/i915: implement WaDisableDopClockGatingisable on VLV and IVB
      drm/i915: implement WaForceL3Serialization on VLV and IVB
      drm/i915: implement WaDisableVLVClockGating_VBIIssue on VLV
      drm/i915: implement WaDisablePSDDualDispatchEnable on IVB & VLV
      drm/i915: TLB invalidation with MI_FLUSH_DW requires a post-sync op v3
      drm/i915: PIPE_CONTROL TLB invalidate requires CS stall
      drm/i915: add clock gating regs to VLV offset check function
      drm/i915: don't block resume on fb console resume v2
      drm/i915: put ring frequency and turbo setup into a work queue v5
      drm/i915: protect RPS/RC6 related accesses (including PCU) with a new mutex
      drm/i915: don't rewrite the GTT on resume v4

Mika Kuoppala (2):
      drm/i915: remove unused mem_block struct definition
      drm/i915/ringbuffer: exclude last 2 cachelines on 845g on all callpaths

Paulo Zanoni (83):
      drm/i915: don't recheck for invalid pipe bpp
      drm/i915: extract set_m_n from ironlake_crtc_mode_set
      drm/i915: extract compute_dpll from ironlake_crtc_mode_set
      drm/i915: remove unused variables from ironlake_crtc_mode_set
      drm/i915: extract intel_set_pipe_timings from crtc_mode_set
      drm/i915: rewrite the LCPLL code
      drm/i915: enable and disable DDI_FUNC_CTL at the right time
      drm/i915: enable and disable PIPE_CLK_SEL at the right time
      drm/i915: add haswell_crtc_mode_set
      drm/i915: add proper CPU/PCH checks to crtc_mode_set functions
      drm/i915: add haswell_set_pipeconf
      drm/i915: completely rewrite the Haswell PLL handling code
      drm/i915: don't rely on previous values set on DDI_BUF_CTL
      drm/i915: don't implement WaDisableEarlyCull for Haswell
      drm/i915: disable DDI_BUF_CTL at the correct time
      drm/i915: pipe and planes should be disabled on haswell_crtc_mode_set
      drm/i915: add DP support to intel_ddi_enable_pipe_func
      drm/i915: add intel_ddi_set_pipe_settings
      drm/i915: add DP support to intel_ddi_pll_mode_set
      drm/i915: add basic Haswell DP link train bits
      drm/i915: use TU_SIZE macro at intel_dp_set_m_n
      drm/i915: fix DP AUX register definitions on Haswell
      drm/i915: add DP support to intel_ddi_get_encoder_port
      drm/i915: add DP support to intel_ddi_get_hw_state
      drm/i915: add DP support to intel_enable_ddi
      drm/i915: add DP support to intel_ddi_mode_set
      drm/i915: add DP support to intel_ddi_disable_port
      drm/i915: fix Haswell DP M/N registers
      drm/i915: implement Haswell DP link train sequence
      drm/i915: set the correct function pointers for Haswell DP
      drm/i915: fork a Haswell version of ironlake_crtc_{enable, disable}
      drm/i915: fix checks inside ironlake_crtc_{enable, disable}
      drm/i915: fix checks inside haswell_crtc_{enable, disable}
      drm/i915: simplify intel_crtc_driving_pch
      drm/i915: don't call Haswell PCH code when we can't or don't need
      drm/i915: add TRANSCODER_EDP
      drm/i915: convert PIPE_CLK_SEL to transcoder
      drm/i915: convert DDI_FUNC_CTL to transcoder
      drm/i915: check TRANSCODER_EDP on intel_modeset_setup_hw_state
      drm/i915: convert PIPECONF to use transcoder instead of pipe
      drm/i915: convert PIPE_MSA_MISC to transcoder
      drm/i915: convert CPU M/N timings to transcoder
      drm/i915: convert pipe timing definitions to transcoder
      drm/i915: implement workaround for VTOTAL when using TRANSCODER_EDP
      drm/i915: select the correct pipe when using TRANSCODER_EDP
      drm/i915: set the correct eDP aux channel clock divider on DDI
      drm/i915: set/unset the DDI eDP backlight
      drm/i915: turn the eDP DDI panel on/off
      drm/i915: enable DDI eDP
      drm/i915: simplify assignments inside intel_dp.c
      drm/i915: add intel_dp_to_dev and intel_hdmi_to_dev
      drm/i915: create intel_digital_port and use it
      drm/i915: split intel_hdmi_init into encoder and connector pieces
      drm/i915: split intel_dp_init into encoder and connector pieces
      drm/i915: reset intel_encoder->type when DP or HDMI is detected
      drm/i915: add port field to intel_digital_port
      drm/i915: add intel_ddi_connector_get_hw_state
      drm/i915: create the DDI encoder
      drm/i915: don't set ADPA pipe select on LPT
      drm/i915: use intel_ddi_get_hw_state on CRT encoder too
      drm/i915: add lpt_pch_enable
      drm/i915: remove Haswell/LPT bits from ironlake_pch_enable
      drm/i915: remove ironlake bits from lpt_pch_enable
      drm/i915: rename intel_enable_pch_pll to ironlake_enable_pch_pll
      drm/i915: don't call ironlake_enable_pch_pll on lpt_pch_enable
      drm/i915: don't assert_panel_unlocked on LPT
      drm/i915: use the CPU and PCH transcoders on lpt_pch_enable
      drm/i915: rename intel_{en, dis}able_transcoder
      drm/i915: fork lpt version of ironlake_{en, dis}able_pch_transcoder
      drm/i915: remove Haswell code from ironlake_enable_pch_transcoder
      drm/i915: remove IBX code from lpt_enable_pch_transcoder
      drm/i915: don't assert_pch_pll_enabled on lpt_enable_pch_transcoder
      drm/i915: use CPU and PCH transcoders on lpt_enable_pch_transcoder
      drm/i915: don't rely on previous values when setting LPT TRANSCONF
      drm/i915: don't assert_pch_ports_disabled on LPT
      drm/i915: use PIPECONF_INTERLACE_MASK_HSW on lpt_enable_pch_transcoder
      drm/i915: use CPU and PCH transcoders on lpt_disable_pch_transcoder
      drm/i915: implement timing override workarounds on LPT
      drm/i915: don't call intel_disable_pch_pll on Haswell/LPT
      drm/i915: don't assert disabled FDI before disabling the FDI
      drm/i915: set the correct number of FDI lanes on Haswell
      drm/i915: fix Haswell FDI link training code
      drm/i915: fix Haswell FDI link disable path

Vijay Purushothaman (6):
      drm/i915: Set aux clk to 100MHz for Valleyview
      drm/i915: Fix SDVO IER and status bits for Valleyview
      drm/i915: Add Valleyview lane control definitions
      drm/i915: Program correct m n tu register for Valleyview
      drm/i915: Enable DisplayPort in Valleyview
      drm/i915: Fixup HDMI output on Valleyview

Ville Syrjälä (7):
      drm/i915: Fix display pixel format handling
      drm/i915: Check framebuffer stride more thoroughly
      drm/i915: Check the framebuffer offset
      drm/i915: pixel_size == cpp
      drm/i915: Bad pixel formats can't reach the sprite code
      drm/i915: Introduce intel_crtc_update_sarea_pos()
      drm/i915: Add SURFLIVE register definitions

Wei Yongjun (1):
      drm/i915: remove duplicated include from intel_modes.c

Yuly Novikov (2):
      drm/i915/dp: allow configuring eDP panel fitting scaling mode
      drm/i915/dp: change eDP default scaling mode to respect aspect ratio

Zhenyu Wang (1):
      drm/i915: Fix HSW power well control state read

 drivers/char/agp/intel-agp.h                       |   91 --
 drivers/char/agp/intel-gtt.c                       |  320 +---
 drivers/gpu/drm/Makefile                           |    2 +-
 drivers/gpu/drm/drm_crtc_helper.c                  |   18 +
 .../drm/{drm_dp_i2c_helper.c => drm_dp_helper.c}   |  125 +-
 drivers/gpu/drm/i915/i915_debugfs.c                |   52 +-
 drivers/gpu/drm/i915/i915_dma.c                    |   86 +-
 drivers/gpu/drm/i915/i915_drv.c                    |  105 +-
 drivers/gpu/drm/i915/i915_drv.h                    |  443 +++---
 drivers/gpu/drm/i915/i915_gem.c                    |   94 +-
 drivers/gpu/drm/i915/i915_gem_context.c            |    2 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c         |   27 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c                |  418 ++++-
 drivers/gpu/drm/i915/i915_irq.c                    |   80 +-
 drivers/gpu/drm/i915/i915_reg.h                    |  293 ++--
 drivers/gpu/drm/i915/i915_suspend.c                |  763 +++++-----
 drivers/gpu/drm/i915/i915_sysfs.c                  |   43 +-
 drivers/gpu/drm/i915/i915_trace.h                  |   10 +-
 drivers/gpu/drm/i915/intel_crt.c                   |   47 +-
 drivers/gpu/drm/i915/intel_ddi.c                   | 1063 ++++++++++---
 drivers/gpu/drm/i915/intel_display.c               | 1592 +++++++++++++++-----
 drivers/gpu/drm/i915/intel_dp.c                    |  959 +++++++-----
 drivers/gpu/drm/i915/intel_drv.h                   |  113 +-
 drivers/gpu/drm/i915/intel_hdmi.c                  |  131 +-
 drivers/gpu/drm/i915/intel_i2c.c                   |    9 +-
 drivers/gpu/drm/i915/intel_lvds.c                  |  215 +--
 drivers/gpu/drm/i915/intel_modes.c                 |    7 +-
 drivers/gpu/drm/i915/intel_opregion.c              |    2 +
 drivers/gpu/drm/i915/intel_panel.c                 |   52 +-
 drivers/gpu/drm/i915/intel_pm.c                    |  464 +++---
 drivers/gpu/drm/i915/intel_ringbuffer.c            |  119 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h            |    6 +-
 drivers/gpu/drm/i915/intel_sdvo.c                  |   33 +-
 drivers/gpu/drm/i915/intel_sprite.c                |  101 +-
 drivers/gpu/drm/i915/intel_tv.c                    |    7 +-
 drivers/gpu/drm/radeon/atombios_dp.c               |  149 +-
 drivers/gpu/drm/radeon/radeon_mode.h               |    2 +-
 include/drm/drm_crtc_helper.h                      |    2 +
 include/drm/drm_dp_helper.h                        |   31 +
 include/drm/intel-gtt.h                            |    7 +-
 include/uapi/drm/i915_drm.h                        |    6 +
 41 files changed, 5120 insertions(+), 2969 deletions(-)
 rename drivers/gpu/drm/{drm_dp_i2c_helper.c => drm_dp_helper.c} (64%)
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [pull] drm-intel-next
  2012-09-13 14:18 Daniel Vetter
@ 2012-09-17 23:09 ` Daniel Vetter
  2013-03-15  2:11 ` Stéphane Marchesin
  1 sibling, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-09-17 23:09 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development, LKML

On Thu, Sep 13, 2012 at 04:18:41PM +0200, Daniel Vetter wrote:
> Hi Dave,
> 
> The big ticket item here is the new i915 modeset infrastructure.
> Shockingly it didn't not blow up all over the place (i.e. I've managed to
> fix the ugly issues before merging). 1-2 smaller corner cases broke, but
> we have patches. Also, there's tons of patches on top of this that clean
> out cruft and fix a few bugs that couldn't be fixed with the crtc helper
> based stuff. So more stuff to come ;-)
> 
> Also a few other things:
> - Tiny fix in the fb helper to go through the official dpms interface
>   instead of calling the crtc helper code.
> - forcewake code frobbery from Ben, code should be more in-line with
>   what Windows does now.
> - fixes for the render ring flush on hsw (Paulo)
> - gpu frequency tracepoint
> - vlv forcewake changes to better align it with our understanding of the
>   forcewake magic.
> - a few smaller cleanups

Updated pull request with the 2 bugfixers cherry-picked from my -next
queue on top. Since I'll be travelling around for the next two weeks,
rebasing things around will take a bit longer.

Cheers, Daniel
The following changes since commit 85f9e50d815a70780699752c4f2dc1198c2c0b61:

  drm/i915: move i915_get_extra_insdone out of CONFIG_DEBUG_FS block (2012-09-03 18:06:37 +1000)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel for-airlied

for you to fetch changes up to 3b7a89fce3e3dc96b549d6d829387b4439044d0d:

  drm/i915: fix OOPS in lid_notify (2012-09-18 00:59:37 +0200)

----------------------------------------------------------------
Ben Widawsky (5):
      drm/i915: Extract forcewake ack timeout
      drm/i915: use cpu_relax() in wait_for_atomic
      drm/i915: Change forcewake timeout to 2ms
      drm/i915: Never read FORCEWAKE
      drm/i915: Enable some sysfs stuff without CONFIG_PM

Chris Wilson (1):
      drm/i915: Convert remaining debugfs iterators over rings to for_each_ring()

Daniel Vetter (68):
      drm/ips: move drps/ips/ilk related variables into dev_priv->ips
      drm/i915: add a tracepoint for gpu frequency changes
      drm/i915: align vlv forcewake with common lore
      drm/i915: differ error message between forcwake timeouts
      drm/i915: add crtc->enable/disable vfuncs insted of dpms
      drm/i915: rip out crtc prepare/commit indirection
      drm/i915: add direct encoder disable/enable infrastructure
      drm/i915/hdmi: convert to encoder->disable/enable
      drm/i915/tv: convert to encoder enable/disable
      drm/i915/lvds: convert to encoder disable/enable
      drm/i915/dp: convert to encoder disable/enable
      drm/i915/crt: convert to encoder disable/enable
      drm/i915/sdvo: convert to encoder disable/enable
      drm/i915/dvo: convert to encoder disable/enable
      drm/i915: convert dpms functions of dvo/sdvo/crt
      drm/i915: rip out encoder->disable/enable checks
      drm/i915: clean up encoder_prepare/commit
      drm/i915: copy&paste drm_crtc_helper_set_config
      drm/i915: call set_base directly
      drm/i915: inline intel_best_encoder
      drm/i915: copy&paste drm_crtc_helper_set_mode
      drm/i915: simplify intel_crtc_prepare_encoders
      drm/i915: rip out encoder->prepare/commit
      drm/i915: call crtc functions directly
      drm/i915: WARN when trying to enabled an unused crtc
      drm/i915: Add interfaces to read out encoder/connector hw state
      drm/i915/dp: implement get_hw_state
      drm/i915/hdmi: implement get_hw_state
      drm/i915/tv: implement get_hw_state
      drm/i915/lvds: implement get_hw_state
      drm/i915/crt: implement get_hw_state
      drm/i915/sdvo: implement get_hw_state
      drm/i915/dvo: implement get_hw_state
      drm/i915: read out the modeset hw state at load and resume time
      drm/i915: check connector hw/sw state
      drm/i915: rip out intel_crtc->dpms_mode
      drm/i915: rip out intel_dp->dpms_mode
      drm/i915: ensure the force pipe A quirk is actually followed
      drm/i915: introduce struct intel_set_config
      drm/i915: extract modeset config save/restore code
      drm/i915: extract intel_set_config_compute_mode_changes
      drm/i915: extract intel_set_config_update_output_state
      drm/i915: implement crtc helper semantics relied upon by the fb helper
      drm/i915: don't update the fb base if there is no fb
      drm/i915: convert pointless error checks in set_config to BUGs
      drm/i915: don't save all the encoder/crtc state in set_config
      drm/i915: stage modeset output changes
      drm/i915: push crtc->fb update into pipe_set_base
      drm/i915: remove crtc disabling special case
      drm/i915: move output commit and crtc disabling into set_mode
      drm/i915: extract adjusted mode computation
      drm/i915: use staged outuput config in tv->mode_fixup
      drm/i915: use staged outuput config in lvds->mode_fixup
      drm/i915: compute masks of crtcs affected in set_mode
      drm/i915: implement new set_mode code flow
      drm/i915: push commit_output_state past crtc disabling
      drm/i915: s/intel_encoder_disable/intel_encoder_noop
      drm/i915: WARN if the pipe won't turn off
      drm/i915: switch the load detect code to the staged modeset config
      drm/i915: push commit_output_state past the crtc/encoder preparing
      drm/i915: disable all crtcs at suspend time
      drm/i915: no longer call drm_helper_resume_force_mode
      drm/i915: add tons of modeset state checks
      drm/i915: improve modeset state checking after dpms calls
      Merge the modeset-rework, basic conversion into drm-intel-next
      drm/fb helper: don't call drm_helper_connector_dpms directly
      drm/i915: correctly update crtc->x/y in set_base
      drm/i915: fix OOPS in lid_notify

Jani Nikula (2):
      drm/i915: only enable sdvo hotplug irq if needed
      drm/i915: fix sdvo hotplug support check and activation

Paulo Zanoni (3):
      drm/i915: add gen7_render_ring_flush
      drm/i915: add workarounds directly to gen6_render_ring_flush
      drm/i915: add workarounds to gen7_render_ring_flush

 drivers/gpu/drm/drm_fb_helper.c         |    2 +-
 drivers/gpu/drm/i915/dvo.h              |    6 +
 drivers/gpu/drm/i915/dvo_ch7017.c       |   13 +
 drivers/gpu/drm/i915/dvo_ch7xxx.c       |   13 +
 drivers/gpu/drm/i915/dvo_ivch.c         |   15 +
 drivers/gpu/drm/i915/dvo_ns2501.c       |   15 +
 drivers/gpu/drm/i915/dvo_sil164.c       |   16 +
 drivers/gpu/drm/i915/dvo_tfp410.c       |   14 +
 drivers/gpu/drm/i915/i915_debugfs.c     |   54 +-
 drivers/gpu/drm/i915/i915_drv.c         |    9 +-
 drivers/gpu/drm/i915/i915_drv.h         |   36 +-
 drivers/gpu/drm/i915/i915_irq.c         |   20 +-
 drivers/gpu/drm/i915/i915_reg.h         |    2 +
 drivers/gpu/drm/i915/i915_sysfs.c       |   15 +-
 drivers/gpu/drm/i915/i915_trace.h       |   15 +
 drivers/gpu/drm/i915/intel_crt.c        |  136 ++-
 drivers/gpu/drm/i915/intel_ddi.c        |   59 +-
 drivers/gpu/drm/i915/intel_display.c    | 1535 ++++++++++++++++++++++++++-----
 drivers/gpu/drm/i915/intel_dp.c         |  144 ++-
 drivers/gpu/drm/i915/intel_drv.h        |   67 +-
 drivers/gpu/drm/i915/intel_dvo.c        |   94 +-
 drivers/gpu/drm/i915/intel_hdmi.c       |  151 ++-
 drivers/gpu/drm/i915/intel_lvds.c       |   97 +-
 drivers/gpu/drm/i915/intel_pm.c         |  138 +--
 drivers/gpu/drm/i915/intel_ringbuffer.c |   82 +-
 drivers/gpu/drm/i915/intel_sdvo.c       |  206 +++--
 drivers/gpu/drm/i915/intel_tv.c         |   61 +-
 27 files changed, 2315 insertions(+), 700 deletions(-)
-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [pull] drm-intel-next
@ 2012-09-13 14:18 Daniel Vetter
  2012-09-17 23:09 ` Daniel Vetter
  2013-03-15  2:11 ` Stéphane Marchesin
  0 siblings, 2 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-09-13 14:18 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development, LKML

Hi Dave,

The big ticket item here is the new i915 modeset infrastructure.
Shockingly it didn't not blow up all over the place (i.e. I've managed to
fix the ugly issues before merging). 1-2 smaller corner cases broke, but
we have patches. Also, there's tons of patches on top of this that clean
out cruft and fix a few bugs that couldn't be fixed with the crtc helper
based stuff. So more stuff to come ;-)

Also a few other things:
- Tiny fix in the fb helper to go through the official dpms interface
  instead of calling the crtc helper code.
- forcewake code frobbery from Ben, code should be more in-line with
  what Windows does now.
- fixes for the render ring flush on hsw (Paulo)
- gpu frequency tracepoint
- vlv forcewake changes to better align it with our understanding of the
  forcewake magic.
- a few smaller cleanups

Cheers, Daniel


The following changes since commit d7c3b937bdf45f0b844400b7bf6fd3ed50bac604:

  drm/i915: Remove __GFP_NO_KSWAPD (2012-08-27 17:11:38 +0200)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-09-09

for you to fetch changes up to e04190e0ecb236c51af181c18c545ea076fb9cca:

  drm/fb helper: don't call drm_helper_connector_dpms directly (2012-09-08 00:51:15 +0200)

----------------------------------------------------------------

Ben Widawsky (5):
      drm/i915: Extract forcewake ack timeout
      drm/i915: use cpu_relax() in wait_for_atomic
      drm/i915: Change forcewake timeout to 2ms
      drm/i915: Never read FORCEWAKE
      drm/i915: Enable some sysfs stuff without CONFIG_PM

Chris Wilson (1):
      drm/i915: Convert remaining debugfs iterators over rings to for_each_ring()

Daniel Vetter (66):
      drm/ips: move drps/ips/ilk related variables into dev_priv->ips
      drm/i915: add a tracepoint for gpu frequency changes
      drm/i915: align vlv forcewake with common lore
      drm/i915: differ error message between forcwake timeouts
      drm/i915: add crtc->enable/disable vfuncs insted of dpms
      drm/i915: rip out crtc prepare/commit indirection
      drm/i915: add direct encoder disable/enable infrastructure
      drm/i915/hdmi: convert to encoder->disable/enable
      drm/i915/tv: convert to encoder enable/disable
      drm/i915/lvds: convert to encoder disable/enable
      drm/i915/dp: convert to encoder disable/enable
      drm/i915/crt: convert to encoder disable/enable
      drm/i915/sdvo: convert to encoder disable/enable
      drm/i915/dvo: convert to encoder disable/enable
      drm/i915: convert dpms functions of dvo/sdvo/crt
      drm/i915: rip out encoder->disable/enable checks
      drm/i915: clean up encoder_prepare/commit
      drm/i915: copy&paste drm_crtc_helper_set_config
      drm/i915: call set_base directly
      drm/i915: inline intel_best_encoder
      drm/i915: copy&paste drm_crtc_helper_set_mode
      drm/i915: simplify intel_crtc_prepare_encoders
      drm/i915: rip out encoder->prepare/commit
      drm/i915: call crtc functions directly
      drm/i915: WARN when trying to enabled an unused crtc
      drm/i915: Add interfaces to read out encoder/connector hw state
      drm/i915/dp: implement get_hw_state
      drm/i915/hdmi: implement get_hw_state
      drm/i915/tv: implement get_hw_state
      drm/i915/lvds: implement get_hw_state
      drm/i915/crt: implement get_hw_state
      drm/i915/sdvo: implement get_hw_state
      drm/i915/dvo: implement get_hw_state
      drm/i915: read out the modeset hw state at load and resume time
      drm/i915: check connector hw/sw state
      drm/i915: rip out intel_crtc->dpms_mode
      drm/i915: rip out intel_dp->dpms_mode
      drm/i915: ensure the force pipe A quirk is actually followed
      drm/i915: introduce struct intel_set_config
      drm/i915: extract modeset config save/restore code
      drm/i915: extract intel_set_config_compute_mode_changes
      drm/i915: extract intel_set_config_update_output_state
      drm/i915: implement crtc helper semantics relied upon by the fb helper
      drm/i915: don't update the fb base if there is no fb
      drm/i915: convert pointless error checks in set_config to BUGs
      drm/i915: don't save all the encoder/crtc state in set_config
      drm/i915: stage modeset output changes
      drm/i915: push crtc->fb update into pipe_set_base
      drm/i915: remove crtc disabling special case
      drm/i915: move output commit and crtc disabling into set_mode
      drm/i915: extract adjusted mode computation
      drm/i915: use staged outuput config in tv->mode_fixup
      drm/i915: use staged outuput config in lvds->mode_fixup
      drm/i915: compute masks of crtcs affected in set_mode
      drm/i915: implement new set_mode code flow
      drm/i915: push commit_output_state past crtc disabling
      drm/i915: s/intel_encoder_disable/intel_encoder_noop
      drm/i915: WARN if the pipe won't turn off
      drm/i915: switch the load detect code to the staged modeset config
      drm/i915: push commit_output_state past the crtc/encoder preparing
      drm/i915: disable all crtcs at suspend time
      drm/i915: no longer call drm_helper_resume_force_mode
      drm/i915: add tons of modeset state checks
      drm/i915: improve modeset state checking after dpms calls
      Merge the modeset-rework, basic conversion into drm-intel-next
      drm/fb helper: don't call drm_helper_connector_dpms directly

Jani Nikula (2):
      drm/i915: only enable sdvo hotplug irq if needed
      drm/i915: fix sdvo hotplug support check and activation

Paulo Zanoni (3):
      drm/i915: add gen7_render_ring_flush
      drm/i915: add workarounds directly to gen6_render_ring_flush
      drm/i915: add workarounds to gen7_render_ring_flush

-- 
Daniel Vetter
Software Engineer, Intel Corporation
+41 (0) 79 365 57 48 - http://blog.ffwll.ch

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2012-08-31  9:03 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-08-31  9:03 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, LKML, DRI Development

Hi Dave,

New stuff for -next. Highlights:
- prep patches for the modeset rework. Note that one of those patches
  touches the fb helper in the common drm code.
- hasw hdmi audio support (Wang Xingchao)
- improved instdone dumping for gen7 (Ben)
- unbound tracking and a few follow-up patches from Chris
- dma_buf->begin/end_cpu_access plus fix for drm/udl (Dave)
- improve mmio error reporting for hsw
- prep patch for WQ_NON_REENTRANT removal (Tejun Heo)

I've expected a conflict with the raw_edid removal, but on second look
the conflicting patch went through -fixes and you've already resolved it.
I've also included a fixup on top of what QA tested to avoid a conflict
with linux-next (the NO_KSWAP removal).

Cheers, Daniel

The following changes since commit a22ddff8bedfe33eeb1330bbb7ef1fbe007a42c4:

  Merge tag 'v3.6-rc2' into drm-intel-next (2012-08-17 09:01:08 +0200)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel for-airlied

for you to fetch changes up to d7c3b937bdf45f0b844400b7bf6fd3ed50bac604:

  drm/i915: Remove __GFP_NO_KSWAPD (2012-08-27 17:11:38 +0200)

----------------------------------------------------------------
Ben Widawsky (5):
      drm/i915: Add ERR_INT to gen7 error state
      drm/i915: Find unclaimed MMIO writes.
      drm/i915: Extract reading INSTDONE
      drm/i915: Add new INSTDONE registers
      drm/i915: Use new INSTDONE registers (Gen7+)

Chris Wilson (13):
      drm/i915: Track unbound pages
      drm/i915: Add some sanity checks to unbound tracking
      drm/i915: Show (count, size) of purgeable objects in i915_gem_objects
      drm/i915: Show pin count in debugfs
      drm/i915: Try harder to allocate an mmap_offset
      drm/i915: Cantiga+ cannot handle a hsync front porch of 0
      drm/i915: Only pwrite through the GTT if there is space in the aperture
      drm/i915: Protect private gem objects from truncate (such as imported dmabuf)
      drm/i915: Extract general object init routine
      drm/i915: Use cpu relocations if the object is in the GTT but not mappable
      drm/i915: Juggle code order to ease flow of the next patch
      drm/i915: Use a non-blocking wait for set-to-domain ioctl
      drm/i915: Avoid unbinding due to an interrupted pin_and_fence during execbuffer

Damien Lespiau (1):
      drm/i915: Don't hardcode the number of pipes in the error state dump

Daniel Vetter (11):
      drm/i915: add missing gen2 pipe A quirk entries
      drm/i915/ns2501: kill pll A enabling hack
      drm/i915: rip out the overlay pipe A workaround
      drm/i915: prepare load-detect pipe code for dpms changes
      drm/i915: drop intel_encoder argument to load_detect_pipe functions
      drm/i915: simplify dvo dpms interface
      drm/i915: kill a few unused things in dev_priv
      drm/i915: extract ironlake_fdi_pll_disable
      drm/fb-helper: don't clobber output routing in setup_crtcs
      drm/i915: move functions around
      drm/i915: disable rc6 on ilk when vt-d is enabled

Dave Airlie (2):
      drm/i915: implement dma buf begin_cpu_access (v2)
      drm/udl: call begin/end cpu access at more appropriate time

Keith Packard (1):
      drm/i915: Allow VGA on CRTC 2

Sedat Dilek (1):
      drm/i915: Remove __GFP_NO_KSWAPD

Tejun Heo (1):
      i915: use alloc_ordered_workqueue() instead of explicit UNBOUND w/ max_active = 1

Wang Xingchao (4):
      drm/i915: HSW audio registers definition
      drm/i915: write eld info for HDMI audio
      drm/i915: ironlake_write_eld code cleanup
      drm/i915: Haswell HDMI audio initialization

Xu, Anhua (2):
      drm/i915: fix wrong order of parameters in port checking functions
      drm/i915: fix reassignment of variable "intel_dp->DP"

 drivers/gpu/drm/drm_fb_helper.c            |    6 -
 drivers/gpu/drm/i915/dvo.h                 |    9 +-
 drivers/gpu/drm/i915/dvo_ch7017.c          |    8 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c          |    4 +-
 drivers/gpu/drm/i915/dvo_ivch.c            |    8 +-
 drivers/gpu/drm/i915/dvo_ns2501.c          |   21 +-
 drivers/gpu/drm/i915/dvo_sil164.c          |    4 +-
 drivers/gpu/drm/i915/dvo_tfp410.c          |    4 +-
 drivers/gpu/drm/i915/i915_debugfs.c        |   40 +-
 drivers/gpu/drm/i915/i915_dma.c            |   31 +-
 drivers/gpu/drm/i915/i915_drv.c            |    4 +
 drivers/gpu/drm/i915/i915_drv.h            |   39 +-
 drivers/gpu/drm/i915/i915_gem.c            | 1100 +++++++++++++++-------------
 drivers/gpu/drm/i915/i915_gem_context.c    |    4 +-
 drivers/gpu/drm/i915/i915_gem_dmabuf.c     |   37 +-
 drivers/gpu/drm/i915/i915_gem_evict.c      |   19 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  126 ++--
 drivers/gpu/drm/i915/i915_gem_gtt.c        |    2 +-
 drivers/gpu/drm/i915/i915_irq.c            |   76 +-
 drivers/gpu/drm/i915/i915_reg.h            |   79 ++
 drivers/gpu/drm/i915/i915_trace.h          |   10 +-
 drivers/gpu/drm/i915/intel_crt.c           |    8 +-
 drivers/gpu/drm/i915/intel_ddi.c           |    6 +-
 drivers/gpu/drm/i915/intel_display.c       |  254 ++++---
 drivers/gpu/drm/i915/intel_dp.c            |    2 -
 drivers/gpu/drm/i915/intel_drv.h           |    6 +-
 drivers/gpu/drm/i915/intel_dvo.c           |    4 +-
 drivers/gpu/drm/i915/intel_overlay.c       |   60 +-
 drivers/gpu/drm/i915/intel_pm.c            |    7 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |    6 +-
 drivers/gpu/drm/i915/intel_tv.c            |    7 +-
 drivers/gpu/drm/udl/udl_fb.c               |   22 +-
 drivers/gpu/drm/udl/udl_gem.c              |    7 -
 include/drm/i915_drm.h                     |    1 +
 34 files changed, 1133 insertions(+), 888 deletions(-)
-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [pull] drm-intel-next
@ 2012-07-13 18:55 Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-07-13 18:55 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development, LKML

Hi Dave,

New pull for -next. Highlights:
- rc6/turbo support for hsw (Eugeni)
- improve corner-case of the reset handling code - gpu reset handling
  should be rock-solid now
- support for fb offset > 4096 pixels on gen4+ (yeah, you need some fairly
  big screens to hit that)
- the "Flush Me Harder" patch to fix the gen6+ fallout from disabling the
  flushing_list
- no more /dev/agpgart on gen6+!
- HAS_PCH_xxx improvements from Paulo
- a few minor bits&pieces all over, most of it in thew hsw code

QA reported 2 regression, one due a bad cable (fixed by a walk to the next
radioshack) and one due to the HPD v2 patch - I owe you one for refusing
to take v2 for -fixes after v1 blew up on Linus' machine I guess ;-) The
later has a confirmed fix already queued up in my tree.

Regressions from the last pull are all fixed and some really good news:
We've finally fixed the last DP regression from 3.2. Although I'm vary of
that blowing up elseplaces, hence I prefer that we soak it in 3.6 a bit
before submitting it to stable.

Otherwise Chris is hunting down an obscure bug that got recently
introduced due to a funny interaction between two seemingly unrelated
patches, one improving our gpu death handling, the other preparing the
removal of the flushing_list. But he has patches already, although I'm
still complaining a bit about the commit messages ...

Wrt further pulls for 3.6 I'll merge feature-y stuff only at the end of
the current drm-intel-next cycle so that if this will miss 3.6 I can just
send you a pull for the bugfixes that are currently merged (or in the case
of Chris' patches, hopefully merged soon).

Yours, Daniel

PS: This pull will make the already existing conflict with Linus' tree a
bit more fun, but I think it should be still doable (the important thing
is to keep the revert from -fixes, but don't kill any other changes from
-next).

The following changes since commit 7b0cfee1a24efdfe0235bac62e53f686fe8a8e24:

  Merge tag 'v3.5-rc4' into drm-intel-next-queued (2012-06-25 19:10:36 +0200)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-07-06

for you to fetch changes up to 4acf518626cdad5bbf7aac9869bd4accbbfb4ad3:

  drm/i915: program FDI_RX TP and FDI delays (2012-07-05 15:09:03 +0200)

----------------------------------------------------------------
Ben Widawsky (1):
      drm/i915: linuxify create_hw_context()

Chris Wilson (2):
      drm/i915: Group the GT routines together in both code and vtable
      drm/i915: Implement w/a for sporadic read failures on waking from rc6

Daniel Vetter (15):
      drm/i915: wrap up gt powersave enabling functions
      drm/i915: make enable/disable_gt_powersave locking consistent
      drm/i915: don't use dev->agp
      drm/i915: disable drm agp support for !gen3 with kms enabled
      agp/intel-agp: remove snb+ host bridge pciids
      drm/i915: "Flush Me Harder" required on gen6+
      drm/i915: fix up ilk rc6 disabling confusion
      drm/i915: don't trylock in the gpu reset code
      drm/i915: non-interruptible sleeps can't handle -EAGAIN
      drm/i915: don't hang userspace when the gpu reset is stuck
      drm/i915: properly SIGBUS on I/O errors
      drm/i915: don't return a spurious -EIO from intel_ring_begin
      drm/i915: introduce crtc->dspaddr_offset
      drm/i915: adjust framebuffer base address on gen4+
      drm/i915: introduce for_each_encoder_on_crtc

Eugeni Dodonov (11):
      drm/i915: support Haswell force waking
      drm/i915: add RPS configuration for Haswell
      drm/i915: slightly improve gt enable/disable routines
      drm/i915: enable RC6 by default on Haswell
      drm/i915: disable RC6 when disabling rps
      drm/i915: introduce haswell_init_clock_gating
      drm/i915: enable RC6 workaround on Haswell
      drm/i915: move force wake support into intel_pm
      drm/i915: re-initialize DDI buffer translations after resume
      drm/i915: prevent bogus intel_update_fbc notifications
      drm/i915: program FDI_RX TP and FDI delays

Jesper Juhl (1):
      drm/i915/sprite: Fix mem leak in intel_plane_init()

Jesse Barnes (3):
      drm/i915: mask tiled bit when updating IVB sprites
      drm/i915: correct IVB default sprite format
      drm/i915: prefer wide & slow to fast & narrow in DP configs

Paulo Zanoni (5):
      drm/i915: fix PIPE_WM_LINETIME definition
      drm/i915: add PCH_NONE to enum intel_pch
      drm/i915: get rid of dev_priv->info->has_pch_split
      drm/i915: don't ironlake_init_pch_refclk() on LPT
      drm/i915: fix PIPE_DDI_PORT_MASK

Ville Syrjälä (2):
      drm/i915: Zero initialize mode_cmd
      drm/i915: Reject page flips with changed format/offset/pitch

 drivers/char/agp/intel-agp.c            |   11 -
 drivers/gpu/drm/i915/i915_dma.c         |    9 +-
 drivers/gpu/drm/i915/i915_drv.c         |  172 ++------------
 drivers/gpu/drm/i915/i915_drv.h         |   28 ++-
 drivers/gpu/drm/i915/i915_gem.c         |   44 +++-
 drivers/gpu/drm/i915/i915_gem_context.c |   50 ++--
 drivers/gpu/drm/i915/i915_reg.h         |   21 +-
 drivers/gpu/drm/i915/i915_suspend.c     |    5 +-
 drivers/gpu/drm/i915/intel_ddi.c        |    9 +
 drivers/gpu/drm/i915/intel_display.c    |  162 ++++++-------
 drivers/gpu/drm/i915/intel_dp.c         |   26 +-
 drivers/gpu/drm/i915/intel_drv.h        |   16 +-
 drivers/gpu/drm/i915/intel_fb.c         |    2 +-
 drivers/gpu/drm/i915/intel_lvds.c       |    6 +-
 drivers/gpu/drm/i915/intel_pm.c         |  396 +++++++++++++++++++++++++------
 drivers/gpu/drm/i915/intel_ringbuffer.c |   34 +--
 drivers/gpu/drm/i915/intel_sprite.c     |    5 +-
 drivers/gpu/drm/i915/intel_tv.c         |   10 +-
 18 files changed, 579 insertions(+), 427 deletions(-)
-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2012-06-28 12:05 ` [PULL] drm-intel-next Daniel Vetter
@ 2012-06-28 12:30   ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-06-28 12:30 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

On Thu, Jun 28, 2012 at 02:05:16PM +0200, Daniel Vetter wrote:
> Hi Dave,
> 
> New -next pull request. Highlights:
> - Remaining vlv patches from Jesse et al.
> - Some hw workarounds from Jesse
> - hw context support from Ben
> - full uncore sharing on ivb
> - prep work to move the gtt code from intel-gtt.c to drm/i915 for gen6+
> - some backlight code improvements
> - leftovers for the timeout ioctl (we've forgotten the getparam)
> - ibx transcoder workarounds
> - some smaller fixlets and improvements
> - the new version of the "dont rely on HPD exclusively for VGA" patch
> 
> Wrt regressions QA reported quite a few this time around.
> - The piglit/kernel-test fallout all has patches that are just awaiting
>   review and merging into the next -next cycle.
> - Which just leaves a bunch of bugs about new modelines that don't work.
>   It looks like these are all due to the new 16:9/16:10 modes in 3.5
>   (yeah, only in this manual testing cycle did the git branch used by QA
>   contain a backmerge of mainline with these patches).  Although I haven't
>   yet confirmed this by letting our QA test the revert of that series.
> - Wrt bugs in general I'm trying to fight down some of our long-standing
>   backlight issues (not regressions), but this seems to be a game of
>   "you move, you lose" ... :(

Meh, I've botched up the test-merge with your -next, it already has a
conflict. As discussed on irc I'll send you the new pull for the -rc4
merge commit. Note that this also sneaks in another patch with a 'no lvds'
quirk.

Yours, Daniel

[Note that I've manually frobbed the pull request to exclude all the
mainline commits this brings in.]

The following changes since commit 1523c310b3ed964b71a8db16f70c3bc21cc0642e

  drm/i915: add min freq control to debugfs

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-06-21-merged

for you to fetch changes up to 7b0cfee1a24efdfe0235bac62e53f686fe8a8e24:

  Merge tag 'v3.5-rc4' into drm-intel-next-queued (2012-06-25 19:10:36 +0200)

----------------------------------------------------------------
Ben Widawsky (17):
      drm/i915: Inifite timeout for wait ioctl
      drm/i915: Add wait render timeout get param
      drm/i915: CXT_SIZE register offsets added
      drm/i915: preliminary context support
      drm/i915: context basic create & destroy
      drm/i915: context switch implementation
      drm/i915: Ivybridge MI_ARB_ON_OFF context w/a
      drm/i915: PIPE_CONTROL_TLB_INVALIDATE
      drm/i915: possibly invalidate TLB before context switch
      drm/i915: use the default context
      drm/i915: add ccid to error state
      drm/i915: switch to default context on idle
      drm/i915/context: create & destroy ioctls
      drm/i915/context: switch contexts with execbuf2
      drm/i915: reset the GPU on context fini
      drm/i915: disable contexts on old HW
      drm/i915: set IDICOS to medium uncore resources

Chris Wilson (1):
      drm/i915: Switch off FBC when disabling the primary plane when obscured

Daniel Vetter (26):
      drm/i915: implement IBX hdmi transcoder select workaround
      drm/i915: assert that the IBX port transcoder select w/a is implemented
      drm/i915: ensure HDMI port is disabled inside set_infoframes
      drm/i915: pnv has a backlight polarity control bit, too
      drm/i915: clear up backlight #define confusion on gen4+
      drm/i915: properly enable the blc controller on the right pipe
      drm/i915: allow pipe A for lvds on gen4
      agp/intel-gtt: remove dead code
      drm/i915: stop using dev->agp->base
      agp/intel-gtt: don't require the agp bridge on setup
      drm/i915 + agp/intel-gtt: prep work for direct setup
      agp/intel-gtt: move gart base addres setup
      drm/i915: call intel_enable_gtt
      drm/i915: kick any firmware framebuffers before claiming the gtt
      drm/i915: ensure context objects are bound to the global gtt
      drm/i915/crt: Do not rely upon the HPD presence pin
      drm/i915: fixup hangman rebase goof-up
      drm/i915: fix module unload after context merge
      drm/i915: initialize the context idr unconditionally
      drm/i915: return -ENOENT if the context doesn't exist
      drm/i915/context: shut up compiler
      drm/i915: simplify context_idr_cleanup
      drm/i915: return -ENODEV if hw context are not supported
      drm/i915: don't call modeset_init_hw in i915_reset
      drm/i915: disable flushing_list/gpu_write_list
      Merge tag 'v3.5-rc4' into drm-intel-next-queued

Eugeni Dodonov (1):
      drm/i915: properly wait for SBI status

Jesse Barnes (15):
      drm/i915: disable RCBP and VDS unit clock gating on SNB and VLV
      drm/i915: load boot context at driver init time
      drm/i915: add TDL unit clock gating disable for VLV
      drm/i915: add L3 bank clock gating disable on VLV
      drm/i915: ValleyView mode setting limits and PLL functions
      drm/i915: add ValleyView specific CRT detect function
      drm/i915: add HDMI and DP port enumeration on ValleyView
      drm/i915: access VLV regs through read/write switch
      drm/i915: VLV VGA port only handles on & off, like PCH VGA
      agp/intel: allow cacheable and GDFT PTEs on ValleyView
      agp/intel: use correct GTT offset on VLV
      drm/i915: don't enable PPGTT on VLV yet
      drm/i915: support page flipping on ValleyView
      drm/i915: enable display messages to GT on ValleyView
      drm/i915: bind driver to ValleyView chipsets

Seth Forshee (1):
      drm/i915: ignore pipe select bit when checking for LVDS register initialization

Shobhit Kumar (1):
      drm/i915: Enable DP panel power sequencing for ValleyView

Sjoerd Simons (1):
      drm/i915: no lvds quirk for Zotac ZDBOX SD ID12/ID13

-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
       [not found] <20120621082533.GB4704@phenom.ffwll.local>
@ 2012-06-28 12:05 ` Daniel Vetter
  2012-06-28 12:30   ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Daniel Vetter @ 2012-06-28 12:05 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel Graphics Development, DRI Development

Hi Dave,

New -next pull request. Highlights:
- Remaining vlv patches from Jesse et al.
- Some hw workarounds from Jesse
- hw context support from Ben
- full uncore sharing on ivb
- prep work to move the gtt code from intel-gtt.c to drm/i915 for gen6+
- some backlight code improvements
- leftovers for the timeout ioctl (we've forgotten the getparam)
- ibx transcoder workarounds
- some smaller fixlets and improvements
- the new version of the "dont rely on HPD exclusively for VGA" patch

Wrt regressions QA reported quite a few this time around.
- The piglit/kernel-test fallout all has patches that are just awaiting
  review and merging into the next -next cycle.
- Which just leaves a bunch of bugs about new modelines that don't work.
  It looks like these are all due to the new 16:9/16:10 modes in 3.5
  (yeah, only in this manual testing cycle did the git branch used by QA
  contain a backmerge of mainline with these patches).  Although I haven't
  yet confirmed this by letting our QA test the revert of that series.
- Wrt bugs in general I'm trying to fight down some of our long-standing
  backlight issues (not regressions), but this seems to be a game of
  "you move, you lose" ... :(

Yours, Daniel

The following changes since commit 1523c310b3ed964b71a8db16f70c3bc21cc0642e:

  drm/i915: add min freq control to debugfs (2012-06-04 21:34:47 +0200)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-06-21

for you to fetch changes up to ff049b6ce21d2814451afd4a116d001712e0116b:

  drm/i915: bind driver to ValleyView chipsets (2012-06-20 22:52:49 +0200)

----------------------------------------------------------------
Ben Widawsky (17):
      drm/i915: Inifite timeout for wait ioctl
      drm/i915: Add wait render timeout get param
      drm/i915: CXT_SIZE register offsets added
      drm/i915: preliminary context support
      drm/i915: context basic create & destroy
      drm/i915: context switch implementation
      drm/i915: Ivybridge MI_ARB_ON_OFF context w/a
      drm/i915: PIPE_CONTROL_TLB_INVALIDATE
      drm/i915: possibly invalidate TLB before context switch
      drm/i915: use the default context
      drm/i915: add ccid to error state
      drm/i915: switch to default context on idle
      drm/i915/context: create & destroy ioctls
      drm/i915/context: switch contexts with execbuf2
      drm/i915: reset the GPU on context fini
      drm/i915: disable contexts on old HW
      drm/i915: set IDICOS to medium uncore resources

Chris Wilson (1):
      drm/i915: Switch off FBC when disabling the primary plane when obscured

Daniel Vetter (25):
      drm/i915: implement IBX hdmi transcoder select workaround
      drm/i915: assert that the IBX port transcoder select w/a is implemented
      drm/i915: ensure HDMI port is disabled inside set_infoframes
      drm/i915: pnv has a backlight polarity control bit, too
      drm/i915: clear up backlight #define confusion on gen4+
      drm/i915: properly enable the blc controller on the right pipe
      drm/i915: allow pipe A for lvds on gen4
      agp/intel-gtt: remove dead code
      drm/i915: stop using dev->agp->base
      agp/intel-gtt: don't require the agp bridge on setup
      drm/i915 + agp/intel-gtt: prep work for direct setup
      agp/intel-gtt: move gart base addres setup
      drm/i915: call intel_enable_gtt
      drm/i915: kick any firmware framebuffers before claiming the gtt
      drm/i915: ensure context objects are bound to the global gtt
      drm/i915/crt: Do not rely upon the HPD presence pin
      drm/i915: fixup hangman rebase goof-up
      drm/i915: fix module unload after context merge
      drm/i915: initialize the context idr unconditionally
      drm/i915: return -ENOENT if the context doesn't exist
      drm/i915/context: shut up compiler
      drm/i915: simplify context_idr_cleanup
      drm/i915: return -ENODEV if hw context are not supported
      drm/i915: don't call modeset_init_hw in i915_reset
      drm/i915: disable flushing_list/gpu_write_list

Eugeni Dodonov (1):
      drm/i915: properly wait for SBI status

Jesse Barnes (15):
      drm/i915: disable RCBP and VDS unit clock gating on SNB and VLV
      drm/i915: load boot context at driver init time
      drm/i915: add TDL unit clock gating disable for VLV
      drm/i915: add L3 bank clock gating disable on VLV
      drm/i915: ValleyView mode setting limits and PLL functions
      drm/i915: add ValleyView specific CRT detect function
      drm/i915: add HDMI and DP port enumeration on ValleyView
      drm/i915: access VLV regs through read/write switch
      drm/i915: VLV VGA port only handles on & off, like PCH VGA
      agp/intel: allow cacheable and GDFT PTEs on ValleyView
      agp/intel: use correct GTT offset on VLV
      drm/i915: don't enable PPGTT on VLV yet
      drm/i915: support page flipping on ValleyView
      drm/i915: enable display messages to GT on ValleyView
      drm/i915: bind driver to ValleyView chipsets

Seth Forshee (1):
      drm/i915: ignore pipe select bit when checking for LVDS register initialization

Shobhit Kumar (1):
      drm/i915: Enable DP panel power sequencing for ValleyView

 drivers/char/agp/intel-agp.c               |    5 +-
 drivers/char/agp/intel-agp.h               |    3 -
 drivers/char/agp/intel-gtt.c               |   91 +++--
 drivers/gpu/drm/i915/Makefile              |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c        |    1 +
 drivers/gpu/drm/i915/i915_dma.c            |   78 +++-
 drivers/gpu/drm/i915/i915_drv.c            |  103 +++++-
 drivers/gpu/drm/i915/i915_drv.h            |   30 +-
 drivers/gpu/drm/i915/i915_gem.c            |   54 ++-
 drivers/gpu/drm/i915/i915_gem_context.c    |  535 ++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_gem_debug.c      |    3 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   68 ++--
 drivers/gpu/drm/i915/i915_irq.c            |   98 +++--
 drivers/gpu/drm/i915/i915_reg.h            |  102 ++++--
 drivers/gpu/drm/i915/intel_crt.c           |   57 ++-
 drivers/gpu/drm/i915/intel_display.c       |  281 ++++++++++++++-
 drivers/gpu/drm/i915/intel_drv.h           |    5 +-
 drivers/gpu/drm/i915/intel_fb.c            |    4 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |   55 ++-
 drivers/gpu/drm/i915/intel_lvds.c          |   34 +-
 drivers/gpu/drm/i915/intel_panel.c         |   38 +-
 drivers/gpu/drm/i915/intel_pm.c            |   89 ++++-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   14 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h    |    8 +
 drivers/gpu/drm/i915/intel_sprite.c        |   25 +-
 include/drm/i915_drm.h                     |   26 +-
 include/drm/intel-gtt.h                    |    8 +
 27 files changed, 1565 insertions(+), 251 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_context.c
-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
       [not found] <20120506190931.GD4756@phenom.ffwll.local>
@ 2012-05-10 13:55 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-05-10 13:55 UTC (permalink / raw)
  To: Intel Graphics Development, Dave Airlie; +Cc: LKML, DRI Development

Hi Dave,

New drm-intel-next pull request.
Highlights:
- sparse fixes from Ben.
- tons of little cleanups from Chris all over: tiling_changed
  clarification, deferred_free list removal, ...
- fix up irq handler on gen2 & gen3 + related cleanups from Chris
- prep work for wait_rendering_timeout from Ben with some nice
  refactorings
- first set of infoframe fixes from Paulo for doubleclocked CEA modes
- improve pch pll handling from Jesse and Chris
- gpu hangman, this also contains the reset fix for gen4
- rps sanity check from Chris - this papers over issues when the gpu fails
  to clock up on snb/ivb, and it is shockingly easy to hit. The code
  prints a big WARN backtrace and restores the hw to a sane state. The
  real fix is still in the works.

Atm I'm aware of 2 regressions in -next:
- One of the gmbus patches (not gmbus itself) regressed lvds detection on
  a MacbookPro. I've analyzed the bug already and I think I know what's
  going on, patch is awaiting test feedback.
- Just today QA reported that DP on ilk regressed. That bug is fresh of
  the press and still awaiting detailed logfiles and the bisect result.
  The only thing that's clear atm is that -fixes works and -next doesn't.

Otherwise 3.5 merge is winding down, I am queueing up a few things though
that I'd like to still sneak in:
- More infoframe fixes from Paulo (most already queued into my tree).
- Final pieces of the first cut at hsw support from Eugeni - all the
  invasive pieces and code rework has landed already so not much harm
  there.
- I'm working on some backlight fixes I'd like to land in 3.5, but not yet
  all ready.

As discussed on irc this pull request is for the merged branch which
already resolves the conflicts with drm-next (due to the -rc6 backmerge).

Yours, Daniel

The following changes since commit 4f256e8aa3eda15c11c3cec3ec5336e1fc579cbd:

  Merge branch 'for-airlied' of git://people.freedesktop.org/~danvet/drm-intel into drm-core-next (2012-05-07 16:09:35 +0100)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-05-06-merged

for you to fetch changes up to 5e13a0c5ec05d382b488a691dfb8af015b1dea1e:

  Merge remote-tracking branch 'airlied/drm-core-next' into drm-intel-next-queued (2012-05-08 13:39:59 +0200)

----------------------------------------------------------------

Ben Widawsky (11):
      drm/i915: [sparse] __iomem fixes for opregion
      drm/i915: [sparse] __iomem fixes for overlay
      drm/i915: [sparse] __iomem fixes for gem
      drm/i915: remove do_retire from i915_wait_request
      drm/i915: move vbetool invoked ier stuff
      drm/i915: kill waiting_seqno
      drm/i915: drop polled waits from i915_wait_request
      drm/i915: extract __wait_seqno from i915_wait_request
      drm/i915: remove polled wait from throttle
      drm/i915: use __wait_seqno for ring throttle
      drm/i915: extract some common olr+wedge code

Chris Wilson (32):
      drm/i915/sprite: Avoid incurring extra vblank stall when updating plane on IVB
      drm/i915: Remove i915_gem_ringbuffer_(data|info) from debugfs
      drm/i915: Clarify the semantics of tiling_changed
      drm/i915: Only the zap the VMA after updating the tiling parameters
      drm/i915: i8xx interrupt handler
      drm/i915: Use a global lock for modifying global irq flags
      drm/i915: Remove too early plane enable on pre-PCH hardware
      drm/i915: Bump the inactive LRU on set-to-GTT-domain
      drm/i915: Remove i915_gem_evict_inactive()
      drm/i915: Remove the list of pinned inactive objects
      drm/i915: Remove the deferred-free list
      drm/i915: Split the stolen handling for GEM out of i915_dma.c
      drm/i915: Move GEM initialisation from i915_dma.c to i915_gem.c
      drm/i915: CR clock gating is recommend to be set on PineView
      drm/i915: Unconditionally initialise the interrupt workers
      drm/i915: Remove redundant initialisation of per-ring IRQ waitqueues
      drm/i915: pending_flip_is_done is gen3, name it so
      drm/i915: Duplicate and split the gen3/4 irq handler
      drm/i915: Clear FlipDone semantics change for pageflipping on gen3
      drm/i915: Remove gen3 irq code from gen4 irq routine
      drm/i915: Remove gen4 irq code from gen3 irq routine
      drm/i915: HWSTAM is only 16-bit on gen3
      drm/i915: Cleanup gen3 irq uninstall
      drm/i915: Handle PendingFlip on gen3 robustly
      drm/i915: Inline I915_INTERRUPT_ENABLE_FIX
      drm/i915: Remove unused and unloved vblank macros
      drm/i915: Remove unused ring->irq_seqno
      drm/i915: Only enable IPS polling for gen5
      drm/i915: Remove unused dev_priv->vblank_pipe
      drm/i915: Wait for the clocks to stabilise before updating PLLs
      drm/i915: Periodically sanity check power management
      drm/i915: Support pageflipping interrupts for all 3-pipes on IVB

Daniel Vetter (43):
      drm/i915: print computed bpp in dp link configuration
      drm/i915: [sparse] __iomem fixes for debugfs
      drm/i915: re-add static qualifier to get_cxsr_latency
      drm/i915: fixup tv load-detect on enabled but not active crtc
      drm/i915: create macros to handle masked bits
      drm/i915: implement Disable4x2SubspanOptimization w/a for ivb, too
      drm/i915: properly check for MODESET for kms driver ioctls
      drm/i915: disallow gem ums init ioctl for kms
      drm/i915: rip out GEM drm feature checks
      drm/i915: rip out dev_priv->has_gem
      drm/i915: check for kms in dri1 ioctls
      drm/i915: use the new masked bit macro some more
      drm/i915: move dri1 vblank stubs to i915_dma.c
      drm/i915: create dev_priv->dri1 dragon dungeon^W^W sub-struct
      drm/i915 disallow physical batchbuffers for KMS
      drm/i915: rip out dev_priv->tex_lru_log_granularity
      drm/i915: remove LP_RING&friends from modeset code
      drm/i915: kill intel_clear_scanline_wait
      drm/i915: rip out dri1 breadcrumb updates from gen5+ irq handlers
      drm/i915: move dri1 irq ioctl code to i915_dma.c
      drm/i915: extract dri1 breadcrumb update from irq handler
      drm/i915: move LP_RING&friends to i915_dma.c
      drm/i915: disallow clip rects on gen5+
      drm/i915: move the ips code to intel_pm.c
      drm/i915: move rps/emon function declarations
      drm/i915: kill pointless clearing of dev_priv->hws_map
      drm/i915: rework legacy GFX HWS handling
      drm/i915: fixup __iomem mixups in ringbuffer.c
      drm/i915: move pnv|ilk_gem_mem_freq to intel_pm.c
      drm/i915: rip out intel_dpio_write again
      drm/i915: rip out unnecessary calls to drm_mode_set_crtcinfo
      drm/i915: use mode values consistently when converting to sdvo dtd
      drm/i915: add interface to simulate gpu hangs
      drm/i915: rework dev->first_error locking
      drm/i915: allow the existing error_state to be destroyed
      drm/i915: simplify i915_reset a bit
      drm/i915: extract intel_gpu_reset
      drm/i915: make gpu hangman more resilient
      drm/i915: kill flags parameter for reset functions
      drm/i915: also reset the media engine on gen4/5
      drm/i915: remove modeset reset from i915_reset
      drm/i915: fix gen4 gpu reset
      Merge remote-tracking branch 'airlied/drm-core-next' into drm-intel-next-queued

Jesse Barnes (1):
      drm/i915: manage PCH PLLs separately from pipes

Paulo Zanoni (2):
      drm/i915: rename AVI InfoFrame field 'PR' to 'YQ_CN_PR'
      drm/i915: make DBLCLK modes work

 drivers/gpu/drm/i915/Makefile              |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c        |  270 ++++---
 drivers/gpu/drm/i915/i915_dma.c            | 1076 ++++++--------------------
 drivers/gpu/drm/i915/i915_drv.c            |  132 ++--
 drivers/gpu/drm/i915/i915_drv.h            |  122 ++-
 drivers/gpu/drm/i915/i915_gem.c            |  434 +++++------
 drivers/gpu/drm/i915/i915_gem_debug.c      |   16 -
 drivers/gpu/drm/i915/i915_gem_evict.c      |   42 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |    9 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c        |    2 +-
 drivers/gpu/drm/i915/i915_gem_stolen.c     |  202 +++++
 drivers/gpu/drm/i915/i915_gem_tiling.c     |   18 +-
 drivers/gpu/drm/i915/i915_irq.c            | 1136 ++++++++++++++++------------
 drivers/gpu/drm/i915/i915_reg.h            |   24 +-
 drivers/gpu/drm/i915/i915_suspend.c        |    2 +-
 drivers/gpu/drm/i915/intel_display.c       |  413 ++++++----
 drivers/gpu/drm/i915/intel_dp.c            |   19 +-
 drivers/gpu/drm/i915/intel_drv.h           |   24 +-
 drivers/gpu/drm/i915/intel_hdmi.c          |    8 +-
 drivers/gpu/drm/i915/intel_opregion.c      |   67 +-
 drivers/gpu/drm/i915/intel_overlay.c       |  209 ++---
 drivers/gpu/drm/i915/intel_pm.c            |  675 ++++++++++++++++-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |  170 +++--
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   15 +-
 drivers/gpu/drm/i915/intel_sdvo.c          |   19 +-
 drivers/gpu/drm/i915/intel_sprite.c        |   29 +-
 drivers/gpu/drm/i915/intel_tv.c            |    5 +-
 27 files changed, 2882 insertions(+), 2257 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/i915_gem_stolen.c
-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
       [not found] ` <D9F66AA509623343B6A9A3D4502D5A520FCCA1B7@SHSMSX102.ccr.corp.intel.com>
@ 2012-02-23 11:00   ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-02-23 11:00 UTC (permalink / raw)
  To: Sun, Yi, Dave Airlie
  Cc: Intel Graphics Development, Yang, Guang A, DRI Development

Hi Dave,

New pull request for drm-intel-next, this time with a backmerge from
-fixes to sort out a few things.

Highlights:
- interlaced support for i915. Again thanks a lot to all the ppl who help
  out with testing, patches and doc-crawling.
- aliasing ppgtt support for snb/ivb. Because ppgtt ptes are
  gpu-cacheable, this can also speed things up a bit.
- swizzling support for snb/ivb, again a slight perf improvements on some
  things.
- more error_state work - we're slowly reaching a level of paranoia
  suitable for dealing with gpus.
- outstanding_lazy_request fix and the autoreport patches from Chris: I'm
  pretty hopefully that these two squash a lot of the semaphores=1 issues
  we've seen on snb, please retest if you've had issues.
- the usual pile of minor patches, one noteworthy one is to use the lvds
  presence pin on pch_split chips. I expect a few new quirks due to this
  ...

Our QA reported a funky missed IRQ issue on snb, but strangely they claim
that it has not been introduced by enabling the forcewake voodoo on snb
(and disabling the old hwstam workaround). Investigation is still ongoing,
but the usual set of workarounds (reinstating hwstam or the cs register
readback) are all confirmed to still work. So I don't think we should
stall just because of this.

Otherwise now new issues for this reported. QA report as usual below the
pull request. Note that I've had to manually frob the shortlog to not
include any patches already merged into -next, -fixes or Linus' upstream.

The following changes since commit 28a4d5675857f6386930a324317281cb8ed1e5d0:

  Merge branch 'drm-intel-fixes' of git://git.kernel.org/pub/scm/linux/kernel/git/keithp/linux into drm-fixes (2012-02-10 08:35:19 +0000)

are available in the git repository at:


  git://people.freedesktop.org/~danvet/drm-intel tags/drm-intel-next-2012-02-16

for you to fetch changes up to de67cba65944f26c0f147035bd62e30c5f456b96:

  Revert "drivers/gpu/drm/i915/intel_overlay.c needs seq_file.h" (2012-02-16 10:31:23 +0100)

Ben Widawsky (3):
      drm/i915: use gtfifodbg
      drm/i915: catch gtfifo errors on forcewake_put
      drm/i915: check gtfifodbg after possibly failed writes

Chris Wilson (4):
      drm/i915: Record the tail at each request and use it to estimate the head
      drm/i915: Record the in-flight requests at the time of a hang
      drm/i915: Record the position of the request upon error
      drm/i915/lvds: Always use the presence pin for LVDS on PCH

Daniel Vetter (24):
      drm/i915: swizzling support for snb/ivb
      drm/i915: consolidate swizzling control bit frobbing
      drm/i915: add gen6+ registers to i915_swizzle_info
      drm/i915: s/DRM_ERROR/DRM_DEBUG in i915_gem_execbuffer.c
      drm/i915: dump even more into the error_state
      agp/intel-gtt: export the scratch page dma address
      agp/intel-gtt: export the gtt pagetable iomapping
      drm/i915: initialization/teardown for the aliasing ppgtt
      drm/i915: ppgtt binding/unbinding support
      drm/i915: ppgtt register definitions
      drm/i915: ppgtt debugfs info
      drm/i915: enable ppgtt
      Merge remote-tracking branch 'airlied/drm-fixes' into drm-intel-next-queued
      drm/i915: clean up interlaced pipeconf bit definitions
      drm/i915: fixup interlaced vertical timings confusion, part 1
      drm/i915: fixup interlaced vertical timings confusion, part 2
      drm/i915: fixup interlaced support on ilk+
      drm/i915: don't allow interlaced pipeconf on gen2
      drm/i915: correctly program the VSYNCSHIFT register
      drm/i915: fixup overlay checks for interlaced modes
      drm/i915: outstanding_lazy_request is a u32
      drm/i915: fixup seqno allocation logic for lazy_request
      drm/i915: enable forcewake voodoo also for gen6
      drm/i915: fix up locking inconsistency around gem_do_init

Danny Kukawka (1):
      Revert "drivers/gpu/drm/i915/intel_overlay.c needs seq_file.h"

Paulo Zanoni (2):
      drm/i915: set interlaced bits for TRANSCONF
      drm/i915: add missing SDVO bits for interlaced modes on ILK

Peter Ross (2):
      drm/i915: allow interlaced mode output on the SDVO connector
      drm/i915: allow interlaced mode output on the HDMI connector

Sean Paul (1):
      drm/i915: Don't lock panel registers when downclocking

Wu Fengguang (1):
      drm/i915: add a "force-dvi" HDMI audio mode

Yufeng Shen (1):
      drm/i915: Fix race condition in accessing GMBUS

On Tue, Feb 21, 2012 at 07:45:57AM +0000, Sun, Yi wrote:
> A new round of Kernel testing is finished. We covered the platforms IvyBridge, SandyBridge, IronLake, G33 and PineView.
> We use the latest version on drm-intel-testing branch:
> Kernel: (drm-intel-testing)de67cba65944f26c0f147035bd62e30c5f456b96
> Some additional commit info:
> Author: Danny Kukawka <danny.kukawka@bisect.de>
> Date:   Wed Feb 15 18:53:55 2012 +0100
> 
>     Revert "drivers/gpu/drm/i915/intel_overlay.c needs seq_file.h"
> 
> In this round of testing, no new bugs are found. 
> And one bug is fixed by the patches:                               
> Bug 44304 - [ivb 3pipe] "*ERROR* failed to set mode on [CRTC:5]" while plug in the 3rd monitor.
> 	( https://bugs.freedesktop.org/show_bug.cgi?id=44304)
> 
> The following 6 bugs still exist:
> Bug 45729 - [bisected] Incorrect Mode Timing on DP Display, with 3.3-rc. 
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=45729 )
> Bug 44250 - [IVB]"[drm:intel_dsm_platform_mux_info] *ERROR* MUX INFO call failed" while booting with monitor.
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=44250)
> Bug 42194 - [IVB/SNB]plug in a monitor while running testdisplay fail to make the screen come up. 
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=42194 )
> Bug 41976 - [IVB] screen turn to be black while switching between console and x-window with 3-pipe active. 
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=41976 )
> Bug 45867 - [IVB 3pipe] 3 Pipe Doesn't Work with Eaglemont Card. 
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=45867 )
> Bug 45870 - [IVB PCH eDP] PCH eDP doesn't work 
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=45870 )
> Bug 44309 - [IVB eDP] 3 pipe doesn't work with eDP monitor. 
> 	(https://bugs.freedesktop.org/show_bug.cgi?id=44309 )
>   
> 
> > -----Original Message-----
> > From: Daniel Vetter [mailto:daniel.vetter@ffwll.ch] On Behalf Of Daniel Vetter
> > Sent: Friday, February 17, 2012 1:28 AM
> > To: Intel Graphics Development; Sun, Yi
> > Subject: updated -next
> > 
> > Hi all,
> > 
> > Updated -next and -testing trees. I haven't merged in any of the patches
> > Jesse queued up because he hasn't yet pushed out his latest -fixes tree.
> > No cookies for Jesse today!
> > 
> > Highlights:
> > - interlaced support for i915. Again thanks a lot to all the ppl who help
> >   out with testing, patches and doc-crawling.
> > - aliasing ppgtt support for snb/ivb. Because ppgtt ptes are
> >   gpu-cacheable, this can also speed things up a bit.
> > - swizzling support for snb/ivb, again a slight perf improvements on some
> >   things.
> > - more error_state work - we're slowly reaching a level of paranoia
> >   suitable for dealing with gpus.
> > - outstanding_lazy_request fix and the autoreport patches from Chris: I'm
> >   pretty hopefully that these two squash a lot of the semaphores=1 issues
> >   we've seen on snb, please retest if you've had issues.
> > - the usual pile of minor patches, one noteworthy one is to use the lvds
> >   presence ping on pch_split chips. I expect a few new quirks due to this
> >   ...
> > 
> > Go forth and test!
> > 
> > Cheers, Daniel
> > --
> > Daniel Vetter
> > Mail: daniel@ffwll.ch
> > Mobile: +41 (0)79 365 57 48

-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
       [not found] <D9F66AA509623343B6A9A3D4502D5A520FCC730C@SHSMSX102.ccr.corp.intel.com>
@ 2012-02-14 12:36 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-02-14 12:36 UTC (permalink / raw)
  To: Dave Airlie
  Cc: Intel Graphics Development, DRI Development, Yang, Guang A,
	Barnes, Jesse

Hi Dave,

qa just reported on the latest drm-intel-next tree testing and found no
regression. My own testing also hasn't revealed any surprises. The old
tree had 3 outstanding issues:
- this pull request contains a fix for the harmless but annoying i855gm
  dmesg splatter issue
- an otherwise harmless dmesg error about dp is gone with latest -fixes
  merged into -next
- the modeset failures have been tracked down to the additional cea modes
  detected with 3.3-rc1, so not a drm-intel-next issue. Investigation is
  still going on.

Highlights of this pull request:
- quite a few correctness reworks in corner cases (pwrite, swap-in
  swizzling, fence accounting, ...) - i-g-t tests are now rather happy
  about this kernel.
- ilk vt-d infinite recursion due to w/a fixes from Ben
- sprite watermark code from Jesse
- big refactoring and extension of the error_state capture code (still
  more patches to come and some already queued up for -next)
- random set of smaller things (MI_WTF, pageflip on gen2, dp audio, ...)

As usual, qa report below the pull request.

Cheers, Daniel

The following changes since commit 3d29b842e58fbca2c13a9f458fddbaa535c6e578:

  drm/i915: add a LLC feature flag in device description (2012-01-17 20:01:45 +0100)

are available in the git repository at:

  git://people.freedesktop.org/~danvet/drm-intel drm-intel-next-2012-02-07

for you to fetch changes up to 172975aa746e155533cb386c7159c2d6510e2bc8:

  drm/i915: Handle unmappable buffers during error state capture (2012-01-31 21:02:54 +0100)

----------------------------------------------------------------
Ben Widawsky (3):
      drm/i915: argument to control retiring behavior
      drm/i915: drm/i915: Fix recursive calls to unmap
      drm/i915: correct lock type in destroy

Chris Wilson (3):
      drm/i915: Separate fence pin counting from normal bind pin counting
      drm/i915: Remove the upper limit on the bo size for mapping into the CPU domain
      drm/i915: Handle unmappable buffers during error state capture

Daniel Vetter (18):
      drm/i915: clarify gen2 pageflip cmd
      drm/i915: fixup assert_pipe to take the pipe A quirk into account
      drm/i915: switch ring->id to be a real id
      drm/i915: refactor ring error state capture to use arrays
      drm/i915: collect more per ring error state
      drm/i915/ringbuffer: kill snb blt workaround
      drm/i915: don't trash the gtt when running out of fences
      drm/i915: refactor debugfs open function
      drm/i915: refactor debugfs create functions
      drm/i915: capture error_state also for stuck rings
      drm/i915: remove the i915_batchbuffer_info debugfs file
      drm/i915: reject GTT domain in relocations
      drm/i915: add per-ring fault reg to error_state
      drm/i915: fix swizzle detection for gen3
      drm/i915: add debugfs file for swizzling information
      drm/i915: fall through pwrite_gtt_slow to the shmem slow path
      drm/i915: rewrite shmem_pwrite_slow to use copy_from_user
      drm/i915: rewrite shmem_pread_slow to use copy_to_user

Eric Anholt (2):
      drm/i915: Remove the MI_FLUSH_ENABLE setting.
      drm/i915: Correct the bit number for the MI_FLUSH_ENABLE.

Eugeni Dodonov (1):
      drm/i915: print out which pixel format we do not support

Jesse Barnes (1):
      drm/i915: properly mask and or watermark values for sprites

Wu Fengguang (1):
      drm/i915: set AUD_CONFIG N_value_index for DisplayPort

 drivers/gpu/drm/i915/i915_debugfs.c        |  241 ++++++++---------
 drivers/gpu/drm/i915/i915_dma.c            |    2 +-
 drivers/gpu/drm/i915/i915_drv.h            |   53 +++--
 drivers/gpu/drm/i915/i915_gem.c            |  394 ++++++++++++----------------
 drivers/gpu/drm/i915/i915_gem_evict.c      |    2 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |  150 +++++++----
 drivers/gpu/drm/i915/i915_gem_gtt.c        |    2 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c     |    4 +-
 drivers/gpu/drm/i915/i915_irq.c            |  116 +++++----
 drivers/gpu/drm/i915/i915_reg.h            |   30 ++-
 drivers/gpu/drm/i915/intel_display.c       |   57 +++-
 drivers/gpu/drm/i915/intel_drv.h           |    1 +
 drivers/gpu/drm/i915/intel_overlay.c       |    6 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   97 +------
 drivers/gpu/drm/i915/intel_ringbuffer.h    |   20 +-
 drivers/gpu/drm/i915/intel_sprite.c        |    4 +-
 16 files changed, 575 insertions(+), 604 deletions(-)


On Tue, Feb 14, 2012 at 07:56:36AM +0000, Sun, Yi wrote:
> We performed a round of unstable kernel testing with the latest version on Daniel's drm-intel-testing branch.
> The platform which we covered are Ivybridge, Sandybridge, Ironlake, 965g, 945g and Pineview.
> 
> Kernel commit:
> commit 396ee23db6b76354e487fd2cfdacbd989442f81d
> Merge: 172975a c898261
> Author: Daniel Vetter <daniel.vetter@ffwll.ch>
> Date:   Tue Feb 7 23:30:10 2012 +0100
> 
> Merge remote-tracking branch 'keithp-kernel/drm-intel-fixes' into drm-intel-testing
> 
> 
> In this round of testing, two new bugs are filed, both of them are about Eaglemont card on IvyBridge.
> Bug 45867<https://bugs.freedesktop.org/show_bug.cgi?id=45867> - [IVB 3pipe] 3 Pipe Doesn't Work with Eaglemont Card
> Bug 45870<https://bugs.freedesktop.org/show_bug.cgi?id=45870> - [IVB PCH eDP] PCH eDP doesn't work
> And the following 6 bugs still exist:
> Bug 41976<https://bugs.freedesktop.org/show_bug.cgi?id=41976> - [IVB] screen turn to be black while switching between console and x-window with 3-pipe active.          still occurs
> Bug 42194<https://bugs.freedesktop.org/show_bug.cgi?id=42194> - [IVB/SNB]plug in a monitor while running testdisplay fail to make the screen come up.                still occurs
> Bug 44250<https://bugs.freedesktop.org/show_bug.cgi?id=44250> - [IVB]"[drm:intel_dsm_platform_mux_info] *ERROR* MUX INFO call failed" while booting with monitor.  still occurs but jesse said it's harmless.
> Bug 45729<https://bugs.freedesktop.org/show_bug.cgi?id=45729> - [bisected] Incorrect Mode Timing on DP Display, with 3.3-rc.                                       still occurs and ILK
> Bug 44309<https://bugs.freedesktop.org/show_bug.cgi?id=44309> - [IVB eDP] 3 pipe doesn't work with eDP monitor.                                                 still occurs
> Bug 44304<https://bugs.freedesktop.org/show_bug.cgi?id=44304> - [ivb 3pipe] "*ERROR* failed to set mode on [CRTC:5]" while plug in the 3rd monitor.                  still occurs
> 
> The patches on the testing branch could fix 5 bugs:
> Bug 41977<https://bugs.freedesktop.org/show_bug.cgi?id=41977> - [IVB]system fail to hibernate after s4 with 3-pipe active.
> Bug 42263<https://bugs.freedesktop.org/show_bug.cgi?id=42263> - [bisected ILK] Plug in a monitor will make the eDP black screen (regression in 3.2)
> Bug 42943<https://bugs.freedesktop.org/show_bug.cgi?id=42943> - [IVB] Boot with a vga monitor can't light the DP screen while plugin it.
> Bug 44305<https://bugs.freedesktop.org/show_bug.cgi?id=44305> - [IVB]The Edp can't work while booting with a monitor.
> Bug 42731<https://bugs.freedesktop.org/show_bug.cgi?id=42731> - [IVB] The whole monitor connected to DP port is black screen while hotplugin VGA
> .
> Thanks
>    --Yi,Sun
> 

-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2012-01-05  3:35 Keith Packard
@ 2012-01-05 15:24 ` Daniel Vetter
  0 siblings, 0 replies; 265+ messages in thread
From: Daniel Vetter @ 2012-01-05 15:24 UTC (permalink / raw)
  To: Keith Packard; +Cc: Airlie, Dave, Intel drivers, linux-kernel, dri-devel

On Wed, Jan 04, 2012 at 07:35:41PM -0800, Keith Packard wrote:
> 
> Here are the rest of the 3.3 pending changes.
> 
> This has a bunch of small bug fixes and overlay plane support for i915.
> 
> The following changes since commit 7a7e8734ac3235efafd34819b27fbdf5417e6d60:
> 
>   Merge branch 'drm-radeon-testing' of ../drm-radeon-next into drm-core-next (2012-01-03 09:45:12 +0000)
> 
> are available in the git repository at:
> 
>   git://git.kernel.org/pub/scm/linux/kernel/git/keithp/linux drm-intel-next

I'm not happy with Eric's missed IRQ workaround because
- it's incomplete, the BSD ring is similarly affected by these issues like
  the blitter ring, but not handled by his patches.
- it does a busy-loop wait until the gpu signals completion - in normal
  useage this can easily be a few msecs, especially since now semaphores
  are enabled by defailt on Ivybridge. With offscreen benchmarking it can
  easily reach seconds. This is imo unacceptable.

Furthermore
- Chris Wilson proposed an alternative approach quite a bit before these
  patches have been created that combines the irq signalling path with a
  short timer as a backup. This works really well because we only rarely
  miss irqs. See
  Message-Id: <1323978198-3501-1-git-send-email-chris@chris-wilson.co.uk>
- Meanwhile I've discovered a magic set of tricks that seem to completely
  solve missed irq issues on both ivb and snb. This would render all this
  ducttape irrelevant.

Imo the minimal right thing to do is to revert the patch "drm/i915: Make
the fallback IRQ wait not sleep". This will regress piglit throughput (and
anything else stupid enough to crazily ping-pong between the gpu and cpu)
quite a bit, but honestly that's not something our userbase cares about.

I'd also like to express my frustration with the general -next process for
drm/i915:
- This drm-intel-next tree is less than 24h ours old (if you look at when
  it showed up at an official place where both our QA and the community
  can pick it up and test it). I fear we'll ship yet another disaster like
  the stack eating bug the vt-d/ilk w/a patch caused with an unbounded
  recursion. Our QA actually caught it in testing, but there was simply
  not enough time to fix things up before the buggy code landed in -linus.
- Because the drm-intel-next merge cycle started so late there has simply
  not been enough time to include quite a few bugfixes for serious issues
  like 20s delays in intial modeset, userspace-triggerable kernel OOPSes
  and deadlocks and reproducible hard hw hangs. For most of these there
  are testcases in intel-gpu-tools, and these issues span the entire set
  of hw generations drm/i915 currently supports. But this late it's imo
  no longer advisible to merge them, so we'll ship 3.3 with a bunch of
  known (and sometimes longstanding) serious issues that have fixes.

Yours, Daniel
-- 
Daniel Vetter
Mail: daniel@ffwll.ch
Mobile: +41 (0)79 365 57 48

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2012-01-05  3:35 Keith Packard
  2012-01-05 15:24 ` Daniel Vetter
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2012-01-05  3:35 UTC (permalink / raw)
  To: Airlie, Dave; +Cc: linux-kernel, dri-devel, Intel drivers

[-- Attachment #1: Type: text/plain, Size: 2606 bytes --]


Here are the rest of the 3.3 pending changes.

This has a bunch of small bug fixes and overlay plane support for i915.

The following changes since commit 7a7e8734ac3235efafd34819b27fbdf5417e6d60:

  Merge branch 'drm-radeon-testing' of ../drm-radeon-next into drm-core-next (2012-01-03 09:45:12 +0000)

are available in the git repository at:

  git://git.kernel.org/pub/scm/linux/kernel/git/keithp/linux drm-intel-next

Ben Widawsky (4):
      drm/i915: relative_constants_mode race fix
      drm/i915: Force sync command ordering (Gen6+)
      drm/i915: Update GEN6_RP_CONTROL definitions
      drm/i915: drpc debugfs update for gen6

Daniel Vetter (2):
      drm/i915: kicking rings stuck on semaphores considered harmful
      drm/i915: don't bail out of intel_wait_ring_buffer too early

Eric Anholt (5):
      drm/i915: Set two chicken bits implicated in missed IRQs on Ivybridge.
      drm/i915: Do the fallback non-IRQ wait in ring throttle, too.
      drm/i915: Work around gen7 BLT ring synchronization issues.
      drm/i915: Make the fallback IRQ wait not sleep.
      drm/i915: Add support for resetting the SO write pointers on gen7.

Jesse Barnes (5):
      drm/i915: add SNB and IVB video sprite support v6
      drm/i915: track sprite coverage and disable primary plane if possible
      drm/i915: add color key support v4
      drm/i915: don't disable a PCH DPLL that's in use
      drm/i915: only set the intel_crtc DPMS mode to on if the mode set succeeded

Keith Packard (1):
      drm/i915: Clean up multi-threaded forcewake patch

 drivers/gpu/drm/i915/Makefile              |    1 +
 drivers/gpu/drm/i915/i915_debugfs.c        |   86 ++++-
 drivers/gpu/drm/i915/i915_dma.c            |    5 +
 drivers/gpu/drm/i915/i915_drv.c            |    7 -
 drivers/gpu/drm/i915/i915_drv.h            |    6 +-
 drivers/gpu/drm/i915/i915_gem.c            |   10 +-
 drivers/gpu/drm/i915/i915_gem_execbuffer.c |   63 +++-
 drivers/gpu/drm/i915/i915_irq.c            |    7 -
 drivers/gpu/drm/i915/i915_reg.h            |  161 +++++++-
 drivers/gpu/drm/i915/intel_display.c       |  206 ++++++++-
 drivers/gpu/drm/i915/intel_drv.h           |   40 ++
 drivers/gpu/drm/i915/intel_fb.c            |    6 +
 drivers/gpu/drm/i915/intel_ringbuffer.c    |   30 ++-
 drivers/gpu/drm/i915/intel_sprite.c        |  668 ++++++++++++++++++++++++++++
 include/drm/i915_drm.h                     |   40 ++
 15 files changed, 1290 insertions(+), 46 deletions(-)
 create mode 100644 drivers/gpu/drm/i915/intel_sprite.c

-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 827 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2011-10-23  7:27 Keith Packard
  0 siblings, 0 replies; 265+ messages in thread
From: Keith Packard @ 2011-10-23  7:27 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel, Intel drivers

[-- Attachment #1: Type: text/plain, Size: 6710 bytes --]


Here's a pile of new code for the 3.2 series.

Overview:

 * 3 pipe support for IVB.
 * eDP fixes for SNB
 * Interrupt race condition fixes
 * Switch from MI_FLUSH to PIPECONTROL
 * VT-d work-around for ILK

The following changes since commit 0ac225e56997ef89f46eb51b02799a685b78f214:

  Merge branch 'drm-intel-fixes' into drm-intel-next (2011-09-28 14:44:38 -0700)

are available in the git repository at:

  git://people.freedesktop.org/~keithp/linux drm-intel-next

Adam Jackson (8):
      drm/i915: Remove "i2c_speed" nonsense from child device table
      drm/i915: Fix multifunction SDVO detection
      drm/i915: Rename intel_sdvo_hdmi_sink_detect
      drm/i915: Remove redundant bit shifting from intel_gmbus_set_speed
      drm/i915/dp: Fix the math in intel_dp_link_required
      drm/i915/dp: Remove eDP special cases from bandwidth checks
      drm/i915/dp: Introduce is_cpu_edp()
      drm/i915/dp: Fix eDP on PCH DP on CPT/PPT

Andi Kleen (1):
      i915: Move i915_read/write out of line

Ben Widawsky (3):
      drm/i915: Remove early exit on i915_gpu_idle
      drm/i915: ILK + VT-d workaround
      drm: Add Panel Self Refresh DP addresses

Chris Wilson (1):
      drm/i915: Defend against userspace creating a gem object with size==0

Daniel Vetter (7):
      drm/i915: close PM interrupt masking races in the irq handler
      drm/i915: close PM interrupt masking races in the rps work func
      drm/i915: properly cancel rps_work on module unload v2
      drm/i915: fix swizzling on gen6+
      drm/i915: simplify swapin/out swizzle checking a bit
      io-mapping: ensure io_mapping_map_atomic _is_ atomic
      drm/i915: drop KM_USER0 argument to k(un)map_atomic

David Woodhouse (2):
      intel-iommu: Workaround IOTLB hang on Ironlake GPU
      intel-iommu: Export a flag indicating that the IOMMU is used for iGFX.

Jesse Barnes (19):
      drm/i915: Use PIPE_CONTROL for flushing on gen6+.
      drm/i915: always set FDI composite sync bit
      drm/i915: PLL macro cleanup and pipe assertion check
      drm/i915: support 3 pipes on IVB+
      drm/i915: split refclk code out of ironlake_crtc_mode_set
      drm/i915: use transcoder select bits on VGA and HDMI on CPT
      drm/i915: fix PCH PLL assertion check for 3 pipes
      drm/i915: add PLL sharing support to handle 3 pipes
      drm/i915: fix debug output for 3 pipe configs
      drm/i915: fix IVB cursor support
      drm/i915: fix transcoder PLL select masking
      drm/i915: export a CPT mode set verification function
      drm/i915: set watermarks for third pipe on IVB
      drm/i915: if transcoder disable fails, say which
      drm/i915: remove transcoder PLL mashing from mode_set per specs
      drm/i915: read full receiver capability field during DP hot plug
      drm/i915: add DP test request handling
      drm/i915: fix ILK+ infoframe support
      drm/i915: use correct SPD type value

Keith Packard (30):
      drm/i915: broken copyright encoding in intel_bios.c
      drm/i915: Use DRM_DEBUG_KMS for all messages in intel_bios.c
      drv/i915: Pull display_clock_mode out of VBT table
      drm/i915: Document a few more BDB_GENERAL_FEATURES bits from PCH BIOS
      drm/i915: Allow SSC parameter to override VBT value
      drm/i915: Fix PCH SSC reference clock settings
      drm/i915: Use CK505 as non-SSC source where available
      drm/i915: All PCH refclks are 120MHz
      drm/i915: Initialize PCH refclks at modeset init time
      drm/i915: Enable digital port hotplug on PCH systems
      drm/i915: Shut down PCH interrupts during irq_uninstall
      drm/i915: Only use VBT panel mode on eDP if no EDID is found
      drm/i915: Check eDP power when doing aux channel communications
      drm/i915: Unlock PCH_PP_CONTROL always
      drm/i915: Check for eDP inside edp panel on/off funcs
      drm/i915: Turn force VDD back off when panel running in intel_dp_dpms
      drm/i915: Ensure panel is on during DPMS off
      drm/i915: Delay DP i2c initialization until panel power timings are computed
      drm/i915: Wrap DP EDID fetch functions to enable eDP panel power
      drm/i915: Enable eDP panel power during I2C initialization sequence
      drm/i915: Ensure eDP powered up during DP_SET_POWER operation in dp_prepare
      drm/i915: Correct eDP panel power sequencing delay computations
      drm/i915: Move eDP panel fixed mode from dev_priv to intel_dp
      drm/i915: edp_panel_on does not need to return a bool
      drm/i915: Create helper functions to determine eDP power state
      drm/i915: Disable eDP VDD in a delayed work proc instead of synchronously
      drm/i915: Restrict ILK-specific eDP power hack to ILK
      drm/i915: No need to wait for eDP power off delay if panel is on
      Merge branch 'edp-training-fixes' into drm-intel-next
      Merge branch 'fix-pch-refclk' into foo

Kenneth Graunke (2):
      drm/i915: Remove implied length of 2 from GFX_OP_PIPE_CONTROL #define.
      drm/i915: Rename PIPE_CONTROL bit defines to be less terse.

Takashi Iwai (1):
      drm/i915/panel: Always record the backlight level again (but cleverly)

 drivers/char/agp/intel-gtt.c            |   28 ++
 drivers/gpu/drm/i915/i915_dma.c         |    4 +-
 drivers/gpu/drm/i915/i915_drv.c         |   47 +++-
 drivers/gpu/drm/i915/i915_drv.h         |   31 +--
 drivers/gpu/drm/i915/i915_gem.c         |   16 +-
 drivers/gpu/drm/i915/i915_gem_debug.c   |    6 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c     |   30 ++
 drivers/gpu/drm/i915/i915_gem_tiling.c  |   15 +-
 drivers/gpu/drm/i915/i915_irq.c         |   36 ++-
 drivers/gpu/drm/i915/i915_reg.h         |   65 +++-
 drivers/gpu/drm/i915/intel_bios.c       |   19 +-
 drivers/gpu/drm/i915/intel_bios.h       |   20 +-
 drivers/gpu/drm/i915/intel_crt.c        |   18 +-
 drivers/gpu/drm/i915/intel_display.c    |  409 +++++++++++++++------
 drivers/gpu/drm/i915/intel_dp.c         |  588 +++++++++++++++++++++++--------
 drivers/gpu/drm/i915/intel_drv.h        |    7 +-
 drivers/gpu/drm/i915/intel_hdmi.c       |   30 +-
 drivers/gpu/drm/i915/intel_i2c.c        |    8 +-
 drivers/gpu/drm/i915/intel_lvds.c       |    8 +-
 drivers/gpu/drm/i915/intel_panel.c      |   21 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c |  150 +++++++-
 drivers/gpu/drm/i915/intel_sdvo.c       |   21 +-
 drivers/iommu/intel-iommu.c             |   31 +-
 include/drm/drm_dp_helper.h             |   61 ++++
 include/drm/intel-gtt.h                 |    2 +
 include/linux/io-mapping.h              |    4 +
 26 files changed, 1244 insertions(+), 431 deletions(-)


-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 827 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2011-09-20  3:55 Keith Packard
  0 siblings, 0 replies; 265+ messages in thread
From: Keith Packard @ 2011-09-20  3:55 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel drivers, linux-kernel, dri-devel


[-- Attachment #1.1: Type: text/plain, Size: 2513 bytes --]


This is a single patch which cleans up almost all of the whitespace
errors in the i915 driver. It currently merges cleanly with your fdo
drm-core-next tree.

I've checked this patch quite carefully, examining the .o files with
objdump -s to make sure nothing significant changed. The only thing that
found was a couple of debug messages which had blank space before newlines.

The following changes since commit b6fd41e29dea9c6753b1843a77e50433e6123bcb:

  Linux 3.1-rc6 (2011-09-12 14:02:02 -0700)

are available in the git repository at:
  git://people.freedesktop.org/~keithp/linux drm-intel-next

Akshay Joshi (1):
      Drivers: i915: Fix all space related issues.

 drivers/gpu/drm/i915/dvo_ch7017.c       |    2 +-
 drivers/gpu/drm/i915/dvo_ch7xxx.c       |    4 +-
 drivers/gpu/drm/i915/dvo_ivch.c         |    6 +-
 drivers/gpu/drm/i915/dvo_sil164.c       |    2 +-
 drivers/gpu/drm/i915/dvo_tfp410.c       |   14 +-
 drivers/gpu/drm/i915/i915_debugfs.c     |   38 +-
 drivers/gpu/drm/i915/i915_dma.c         |   44 ++--
 drivers/gpu/drm/i915/i915_drv.c         |   16 +-
 drivers/gpu/drm/i915/i915_drv.h         |   70 ++--
 drivers/gpu/drm/i915/i915_gem.c         |   12 +-
 drivers/gpu/drm/i915/i915_gem_debug.c   |    2 +-
 drivers/gpu/drm/i915/i915_gem_evict.c   |    2 +-
 drivers/gpu/drm/i915/i915_irq.c         |    6 +-
 drivers/gpu/drm/i915/i915_mem.c         |   14 +-
 drivers/gpu/drm/i915/i915_reg.h         |    8 +-
 drivers/gpu/drm/i915/i915_suspend.c     |    8 +-
 drivers/gpu/drm/i915/i915_trace.h       |   46 ++--
 drivers/gpu/drm/i915/intel_acpi.c       |    2 +-
 drivers/gpu/drm/i915/intel_bios.c       |    4 +-
 drivers/gpu/drm/i915/intel_bios.h       |    2 +-
 drivers/gpu/drm/i915/intel_crt.c        |    2 +-
 drivers/gpu/drm/i915/intel_display.c    |  222 ++++++------
 drivers/gpu/drm/i915/intel_dp.c         |   26 +-
 drivers/gpu/drm/i915/intel_drv.h        |   12 +-
 drivers/gpu/drm/i915/intel_opregion.c   |   90 +++---
 drivers/gpu/drm/i915/intel_overlay.c    |  146 ++++----
 drivers/gpu/drm/i915/intel_panel.c      |    6 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c |   76 ++--
 drivers/gpu/drm/i915/intel_ringbuffer.h |    8 +-
 drivers/gpu/drm/i915/intel_sdvo.c       |  228 +++++++-------
 drivers/gpu/drm/i915/intel_sdvo_regs.h  |  558 +++++++++++++++---------------
 drivers/gpu/drm/i915/intel_tv.c         |   58 ++--
 32 files changed, 867 insertions(+), 867 deletions(-)

-- 
keith.packard@intel.com

[-- Attachment #1.2: Type: application/pgp-signature, Size: 189 bytes --]

[-- Attachment #2: Type: text/plain, Size: 159 bytes --]

_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-08-10 16:20 ` Andy Lutomirski
@ 2011-08-10 16:34   ` Keith Packard
  0 siblings, 0 replies; 265+ messages in thread
From: Keith Packard @ 2011-08-10 16:34 UTC (permalink / raw)
  To: Andy Lutomirski; +Cc: Intel drivers, linux-kernel, dri-devel, stable


[-- Attachment #1.1: Type: text/plain, Size: 464 bytes --]

On Wed, 10 Aug 2011 12:20:14 -0400, Andy Lutomirski <luto@MIT.EDU> wrote:

> Can you ack at least this one:
> 
> >        Revert and fix "drm/i915/dp: remove DPMS mode tracking from DP"
> (i.e. d2b996ac698aebb28557355857927b8b934bb4f9)
> 
> for -stable?  It fixes an annoying regression in 3.0.

I'm working on a set of patches for -stable; we've found a number of
small patches that fix some fairly serious regressions.

-- 
keith.packard@intel.com

[-- Attachment #1.2: Type: application/pgp-signature, Size: 189 bytes --]

[-- Attachment #2: Type: text/plain, Size: 159 bytes --]

_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-08-04  3:14 Keith Packard
@ 2011-08-10 16:20 ` Andy Lutomirski
  2011-08-10 16:34   ` Keith Packard
  0 siblings, 1 reply; 265+ messages in thread
From: Andy Lutomirski @ 2011-08-10 16:20 UTC (permalink / raw)
  To: Keith Packard; +Cc: Dave Airlie, Intel drivers, linux-kernel, dri-devel, stable

On 08/03/2011 11:14 PM, Keith Packard wrote:
>
> Here's a pile of fixes on top of the stuff already in drm-core-next.
>
>   * Pile of mode setting fixes which eliminate a selection of bugs and
>     other annoyances. Eliminates the 'stripey' effect when going from
>     two to one monitor, makes hot-plug work after suspend/resume, turns
>     off the pipe/plane in DPMS off.

Can you ack at least this one:

>        Revert and fix "drm/i915/dp: remove DPMS mode tracking from DP"
(i.e. d2b996ac698aebb28557355857927b8b934bb4f9)

for -stable?  It fixes an annoying regression in 3.0.

Thanks,
Andy

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2011-08-04  3:14 Keith Packard
  2011-08-10 16:20 ` Andy Lutomirski
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-08-04  3:14 UTC (permalink / raw)
  To: Dave Airlie; +Cc: Intel drivers, linux-kernel, dri-devel


[-- Attachment #1.1: Type: text/plain, Size: 5055 bytes --]


Here's a pile of fixes on top of the stuff already in drm-core-next.

 * Pile of mode setting fixes which eliminate a selection of bugs and
   other annoyances. Eliminates the 'stripey' effect when going from
   two to one monitor, makes hot-plug work after suspend/resume, turns
   off the pipe/plane in DPMS off.

 * DP mode setting cleanups and pessimizations that should recover from
   unexpected situations better.

 * HDMI info frame changes, including the addition of SPD (source
   product description) frames.

 * RC6 enabled by default (again). Given that we don't understand why it
   doesn't work, and that we've found and fixed at least a couple of
   RC6-related bugs, maybe it works now? Prepare to see this reverted
   before 3.1 if we get regression reports (sigh).

The following changes since commit 5a96a899bbdee86024ab9ea6d02b9e242faacbed

  Merge branch 'drm-core-next' of git://git.kernel.org/pub/scm/linux/kernel/git/airlied/drm-2.6 (2011-07-26 09:21:09 -0700)

are available in the git repository at:

  ssh://master.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Adam Jackson (10):
      drm/i915/dp: Zero the DPCD data before connection probe
      drm/i915/dp: Move DPCD dump to common code instead of PCH-only
      drm/i915/dp: Read more DPCD registers on connection probe
      drm/i915/dp: Better hexdump of DPCD
      drm/i915/dp: Retry DPCD fetch on G4X too
      drm/i915/dp: Explicitly request 8/10 channel coding
      drm/i915/pch: Fix integer math bugs in panel fitting
      drm/i915/dp: Explicitly disable symbol scrambling while training
      drm/i915/dp: Don't turn CPT DP ports on too early
      drm/i915/pch: Save/restore PCH_PORT_HOTPLUG across suspend

Jesse Barnes (15):
      drm/i915: provide more error output when mode sets fail
      drm/i915: load the LUT before pipe enable on ILK+
      drm/i915: apply timing generator bug workaround on CPT and PPT
      drm/i915: flush plane control changes on ILK+ as well
      drm/i915: fix CB tuning check for ILK+
      drm/i915/hdmi: send AVI info frames on ILK+ as well
      drm/i915: add GPU max frequency control file
      drm/i915: provide more error output when mode sets fail
      drm/i915: apply phase pointer override on SNB+ too
      drm/i915: don't use uninitialized EDID bpc values when picking pipe bpp
      drm/i915/dp: wait for previous AUX channel activity to clear
      drm: track CEA version number if present
      drm/i915/hdmi: split infoframe setting from infoframe type code
      drm/i915/hdmi: HDMI source product description infoframe support
      drm/i915: allow cache sharing policy control

Keith Packard (14):
      drm/i915: Skip GPU wait for scanout pin while wedged
      drm/i915: Initialize RCS ring status page address in intel_render_ring_init_dri
      drm/i915: Hold mode_config->mutex during hotplug processing
      drm/i915: Fixup for 'Hold mode_config->mutex during hotplug'
      drm/i915: Use dp_detect_common in hotplug helper function
      drm/i915: Rename i915_dp_detect_common to intel_dp_get_dpcd
      drm/i915: In intel_dp_init, replace read of DPCD with intel_dp_get_dpcd
      drm/i915: DP_PIPE_ENABLED must check transcoder on CPT
      Revert and fix "drm/i915/dp: remove DPMS mode tracking from DP"
      drm/i915: Set crtc DPMS mode to ON in intel_crtc_mode_set
      drm/i915: Flush other plane register writes
      drm/i915: Ignore GPU wedged errors while pinning scanout buffers
      Revert "drm/i915/dp: Zero the DPCD data before connection probe"
      drm/i915: Try enabling RC6 by default (again)

Michel Alexandre Salim (1):
      drm/i915: Add quirk to disable SSC on Sony Vaio Y2

Ole Henrik Jahren (1):
      drm/i915: Fix typo in DRM_I915_OVERLAY_PUT_IMAGE ioctl define

Pieterjan Camerlynck (1):
      i915: add Dell OptiPlex FX170 to intel_no_lvds

 drivers/gpu/drm/drm_edid.c              |    9 ++
 drivers/gpu/drm/i915/i915_debugfs.c     |  189 +++++++++++++++++++++++++++++++
 drivers/gpu/drm/i915/i915_dma.c         |    6 +-
 drivers/gpu/drm/i915/i915_drv.c         |    2 +-
 drivers/gpu/drm/i915/i915_drv.h         |    1 +
 drivers/gpu/drm/i915/i915_gem.c         |    2 +-
 drivers/gpu/drm/i915/i915_irq.c         |    3 +
 drivers/gpu/drm/i915/i915_reg.h         |   36 ++++++-
 drivers/gpu/drm/i915/i915_suspend.c     |    2 +
 drivers/gpu/drm/i915/intel_display.c    |  138 ++++++++++++++++++-----
 drivers/gpu/drm/i915/intel_dp.c         |  111 ++++++++++++-------
 drivers/gpu/drm/i915/intel_drv.h        |   23 ++++
 drivers/gpu/drm/i915/intel_hdmi.c       |  158 ++++++++++++++++++++++----
 drivers/gpu/drm/i915/intel_lvds.c       |    8 ++
 drivers/gpu/drm/i915/intel_panel.c      |    4 +
 drivers/gpu/drm/i915/intel_ringbuffer.c |    3 +
 include/drm/drm_crtc.h                  |    2 +
 include/drm/i915_drm.h                  |    2 +-
 18 files changed, 594 insertions(+), 105 deletions(-)

-- 
keith.packard@intel.com

[-- Attachment #1.2: Type: application/pgp-signature, Size: 189 bytes --]

[-- Attachment #2: Type: text/plain, Size: 159 bytes --]

_______________________________________________
dri-devel mailing list
dri-devel@lists.freedesktop.org
http://lists.freedesktop.org/mailman/listinfo/dri-devel

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-07-13 18:04   ` Keith Packard
@ 2011-07-13 19:41     ` Wolfram Sang
  0 siblings, 0 replies; 265+ messages in thread
From: Wolfram Sang @ 2011-07-13 19:41 UTC (permalink / raw)
  To: Keith Packard; +Cc: Dave Airlie, linux-kernel, dri-devel, Intel drivers

[-- Attachment #1: Type: text/plain, Size: 266 bytes --]

> Sorry for not pinging you when I didn't hear back.

No problem! Will fix it.

Thanks,

   Wolfram

-- 
Pengutronix e.K.                           | Wolfram Sang                |
Industrial Linux Solutions                 | http://www.pengutronix.de/  |

[-- Attachment #2: Digital signature --]
[-- Type: application/pgp-signature, Size: 198 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-07-13 17:22 ` Wolfram Sang
@ 2011-07-13 18:04   ` Keith Packard
  2011-07-13 19:41     ` Wolfram Sang
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-07-13 18:04 UTC (permalink / raw)
  To: Wolfram Sang; +Cc: Dave Airlie, linux-kernel, dri-devel, Intel drivers

[-- Attachment #1: Type: text/plain, Size: 442 bytes --]

On Wed, 13 Jul 2011 19:22:14 +0200, Wolfram Sang <w.sang@pengutronix.de> wrote:

> Is this one intentionally not in or did it slip through?

I thought I had replied to that -- it doesn't apply to either -fixes or
-next at this point. I can try to fix it, but I'd prefer it if you'd
figure out how things have changed and re-test a modified version.

Sorry for not pinging you when I didn't hear back.

-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-07-13 16:39 Keith Packard
@ 2011-07-13 17:22 ` Wolfram Sang
  2011-07-13 18:04   ` Keith Packard
  0 siblings, 1 reply; 265+ messages in thread
From: Wolfram Sang @ 2011-07-13 17:22 UTC (permalink / raw)
  To: Keith Packard; +Cc: Dave Airlie, linux-kernel, dri-devel, Intel drivers

[-- Attachment #1: Type: text/plain, Size: 529 bytes --]

On Wed, Jul 13, 2011 at 09:39:41AM -0700, Keith Packard wrote:
> 
> Here's most of the patches I'm hoping to land after 3.0:

Is this one intentionally not in or did it slip through?

drm/i915: gracefully bail out when init_clock_gating-pointer is not set [1]

[1] http://lists.freedesktop.org/archives/dri-devel/2011-July/012741.html

Regards,

   Wolfram

-- 
Pengutronix e.K.                           | Wolfram Sang                |
Industrial Linux Solutions                 | http://www.pengutronix.de/  |

[-- Attachment #2: Digital signature --]
[-- Type: application/pgp-signature, Size: 198 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2011-07-13 16:39 Keith Packard
  2011-07-13 17:22 ` Wolfram Sang
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-07-13 16:39 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel, Intel drivers

[-- Attachment #1: Type: text/plain, Size: 4573 bytes --]


Here's most of the patches I'm hoping to land after 3.0:

 * FBC cleanups from Chris Wilson. Fixes 'missing' CPU writes to the
   front buffer. We've enabled FBC by default, if we find regressions
   again, we'll turn it off before the release.

 * DP and HDMI support for formats other than 8bpc from Jesse
   Barnes. Supports depth 30 frame buffers at 10bpc.

 * Shared LLC support (SNB, IVB) from Eric Anholt and Chris
   Wilson. Shares the LLC between CPU and GPU, avoiding main memory
   traffic latencies.

 * Ring frequency scaling (SNB, IVB) from Jesse Barnes. This makes
   memory bus speed track the GPU clock in addition to the CPU clock,
   keeping memory running at full speed when the GPU is busy and the CPU
   is idle.

 * Disable hangcheck module parameter from Ben Widawsky. This exports a
   module parameter to keep the kernel from resetting the GPU. It's
   useful for GPU debugging where the GPU may be stuck waiting for the
   debugger.

The following changes since commit a94919eaddaa3fede1df8563ce4d761a75374645:

  drm/i915/ringbuffer: Idling requires waiting for the ring to be empty (2011-07-12 10:35:45 -0700)

are available in the git repository at:
  ssh://master.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Ben Widawsky (1):
      drm/i915: hangcheck disable parameter

Chris Wilson (14):
      drm/i915: Introduce i915_gem_object_finish_gpu()
      drm/i915: Introduce i915_gem_object_finish_gtt()
      drm/i915/gtt: Split out i915_gem_gtt_rebind_object()
      drm/i915: Add an interface to dynamically change the cache level
      drm/i915: Mark the cursor and the overlay as being part of the display planes
      drm/i915: Combine pinning with setting to the display plane
      drm/i915: Only export the generic intel_disable_fbc() interface
      drm/i915: Replace direct calls to vfunc.disable_fbc with intel_disable_fbc()
      drm/i915: Remove vestigial pitch from post-gen2 FBC control routines
      drm/i915: Use of a CPU fence is mandatory to update FBC regions upon CPU writes
      drm/i915: Set persistent-mode for ILK/SNB framebuffer compression
      drm/i915: Disable FBC across page-flipping
      drm/i915: Perform intel_enable_fbc() from a delayed task
      drm/i915: Share the common work of disabling active FBC before updating

Eric Anholt (2):
      drm/i915: Use the uncached domain for the display planes
      drm/i915: Use the LLC mode on gen6 for everything but display.

Hugh Dickins (1):
      drm/i915: more struct_mutex locking

Jesse Barnes (13):
      cpufreq: expose a cpufreq_quick_get_max routine
      drm/i915: load a ring frequency scaling table v3
      drm/i915: enable ring freq scaling, RC6 and graphics turbo on Ivy Bridge v3
      drm/i915: don't set SDVO color range on ILK+
      drm/i915: don't set transcoder bpc on CougarPoint
      drm/i915: set bpc for DP transcoder
      drm/i915: split out Ironlake pipe bpp picking code
      drm/i915: split out plane update code
      drm/i915: use pipe bpp in DP link bandwidth calculations
      drm/i915: use pipe bpp when setting HDMI bpc
      drm: bpp and depth changes require full mode sets
      drm/i915: check for supported depth at fb init time
      drm/i915: use pipe bpp in DP link bandwidth calculation

Keith Packard (14):
      drm/i915: i915_gem_object_finish_gtt must always release gtt mmap
      drm/i915: Enable i915 frame buffer compression by default

 drivers/cpufreq/cpufreq.c               |   20 +
 drivers/gpu/drm/drm_crtc_helper.c       |    5 +
 drivers/gpu/drm/i915/i915_debugfs.c     |   41 ++-
 drivers/gpu/drm/i915/i915_dma.c         |    4 +-
 drivers/gpu/drm/i915/i915_drv.c         |    5 +-
 drivers/gpu/drm/i915/i915_drv.h         |   27 +-
 drivers/gpu/drm/i915/i915_gem.c         |  191 ++++++++--
 drivers/gpu/drm/i915/i915_gem_gtt.c     |   39 +-
 drivers/gpu/drm/i915/i915_irq.c         |   13 +-
 drivers/gpu/drm/i915/i915_reg.h         |    5 +-
 drivers/gpu/drm/i915/i915_suspend.c     |    8 +-
 drivers/gpu/drm/i915/intel_display.c    |  699 +++++++++++++++++++++++--------
 drivers/gpu/drm/i915/intel_dp.c         |   17 +-
 drivers/gpu/drm/i915/intel_drv.h        |    9 +
 drivers/gpu/drm/i915/intel_hdmi.c       |    8 +-
 drivers/gpu/drm/i915/intel_overlay.c    |    6 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c |    6 +-
 include/linux/cpufreq.h                 |    5 +
 18 files changed, 842 insertions(+), 266 deletions(-)


-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-05-26  4:13     ` Keith Packard
@ 2011-06-03 23:40       ` Keith Packard
  0 siblings, 0 replies; 265+ messages in thread
From: Keith Packard @ 2011-06-03 23:40 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel

[-- Attachment #1: Type: text/plain, Size: 2001 bytes --]


Here's another handful of fixes that I had merged to
drm-intel-next. Once these are merged, I'll move drm-intel-fixes and
start putting stuff there for 3.0.

The following changes since commit 9e3c256d7d56a12a3242222945ce8e6347f93fa0:

  drm/i915: initialize gen6 rps work queue on Sandy Bridge and Ivy Bridge (2011-05-18 15:14:39 -0700)

are available in the git repository at:
  ssh://master.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Chris Wilson (5):
      drm/i915: s/addr & ~PAGE_MASK/offset_in_page(addr)/
      drm/i915: Replace ironlake_compute_wm0 with g4x_compute_wm0
      drm/i915/crt: Explicitly return false if connected to a digital monitor
      drm/i915: Remove unused enum "chip_family"
      drm/i915: Share the common force-audio property between connectors

Dan Carpenter (1):
      drm/i915: fix if statement in ivybridge irq handler

Daniel Vetter (3):
      drm/i915: Only print out the actual number of fences for i915_error_state
      drm/i915: not finding a fence is a non-recoverable condition
      drm/915: fix relaxed tiling on gen2: tile height

Jason Stubbs (1):
      drm/i915: fix regression after clock gating init split

Nicolas Kaiser (1):
      drm: i915: correct return status in intel_hdmi_mode_valid()

 drivers/gpu/drm/i915/i915_debugfs.c  |    2 +-
 drivers/gpu/drm/i915/i915_drv.h      |    8 +---
 drivers/gpu/drm/i915/i915_gem.c      |   28 +++++-----
 drivers/gpu/drm/i915/i915_irq.c      |    2 +-
 drivers/gpu/drm/i915/intel_crt.c     |    4 ++
 drivers/gpu/drm/i915/intel_display.c |   89 ++++++++--------------------------
 drivers/gpu/drm/i915/intel_dp.c      |   15 +-----
 drivers/gpu/drm/i915/intel_drv.h     |    1 +
 drivers/gpu/drm/i915/intel_hdmi.c    |   16 +-----
 drivers/gpu/drm/i915/intel_modes.c   |   30 +++++++++++
 drivers/gpu/drm/i915/intel_sdvo.c    |   14 +-----
 11 files changed, 80 insertions(+), 129 deletions(-)


-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-05-17 23:39   ` Keith Packard
@ 2011-05-26  4:13     ` Keith Packard
  2011-06-03 23:40       ` Keith Packard
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-05-26  4:13 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel

[-- Attachment #1: Type: text/plain, Size: 599 bytes --]


This fixes a simple typo in the Ivybridge code -- an extra semicolon.

The following changes since commit 9e3c256d7d56a12a3242222945ce8e6347f93fa0:

  drm/i915: initialize gen6 rps work queue on Sandy Bridge and Ivy Bridge (2011-05-18 15:14:39 -0700)

are available in the git repository at:
  ssh://master.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Dan Carpenter (1):
      drm/i915: fix if statement in ivybridge irq handler

 drivers/gpu/drm/i915/i915_irq.c |    2 +-
 1 files changed, 1 insertions(+), 1 deletions(-)

-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-05-17 22:00 ` Keith Packard
@ 2011-05-17 23:39   ` Keith Packard
  2011-05-26  4:13     ` Keith Packard
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-05-17 23:39 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel

[-- Attachment #1: Type: text/plain, Size: 814 bytes --]

On Tue, 17 May 2011 15:00:01 -0700, Keith Packard <keithp@keithp.com> wrote:
> 
> I've pushed four more patches to this branch for your merging pleasure.

And, one more which fixes non-eDP displays on Ironlake systems after the
Ivybridge merge. Sorry for not catching that in our testing...

The following changes since commit a51f7a66fb5e4af5ec4286baef940d06594b59d2:

  drm/i915: enable rc6 by default (2011-05-17 14:33:38 -0700)

are available in the git repository at:
  ssh://master.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Keith Packard (1):
      drm/i915: FDI link training broken on Ironlake by Ivybridge integration

 drivers/gpu/drm/i915/intel_display.c |    8 ++++----
 1 files changed, 4 insertions(+), 4 deletions(-)

-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* Re: [PULL] drm-intel-next
  2011-05-15 21:29 Keith Packard
@ 2011-05-17 22:00 ` Keith Packard
  2011-05-17 23:39   ` Keith Packard
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-05-17 22:00 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel

[-- Attachment #1: Type: text/plain, Size: 1092 bytes --]


I've pushed four more patches to this branch for your merging pleasure.

>  * Disabling FBC on Ironlake to enable RC6 instead

Two patches for this are included.

The following changes since commit 645c62a5e95a5f9a8e0d0627446bbda4ee042024:

  drm/i915: split PCH clock gating init (2011-05-13 18:12:53 -0700)

are available in the git repository at:
  ssh://master.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Feng, Boqun (2):
      drm/i915: fix user irq miss in BSD ring on g4x
      drm/i915: clean up unused ring_get_irq/ring_put_irq functions

Jesse Barnes (2):
      drm/i915: add fbc enable flag, but disable by default
      drm/i915: enable rc6 by default

 drivers/gpu/drm/i915/i915_debugfs.c     |    3 ++
 drivers/gpu/drm/i915/i915_drv.c         |    7 +++-
 drivers/gpu/drm/i915/i915_drv.h         |    2 +
 drivers/gpu/drm/i915/intel_display.c    |    5 +++
 drivers/gpu/drm/i915/intel_ringbuffer.c |   50 ++++++++++++++----------------
 5 files changed, 38 insertions(+), 29 deletions(-)

-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

* [PULL] drm-intel-next
@ 2011-05-15 21:29 Keith Packard
  2011-05-17 22:00 ` Keith Packard
  0 siblings, 1 reply; 265+ messages in thread
From: Keith Packard @ 2011-05-15 21:29 UTC (permalink / raw)
  To: Dave Airlie; +Cc: linux-kernel, dri-devel

[-- Attachment #1: Type: text/plain, Size: 5386 bytes --]


Here's most of the patches I'm hoping to land in 2.6.40:

 * Ivybridge support (Gen7)
 * Forcewake fixes for Sandybridge (And ivybridge).
 * Temporary FB for load detect (hoping for some cleanups here)

Still pending:

 * More modesetting cleanups (as always)
 * Disabling FBC on Ironlake to enable RC6 instead

This sequence also assigns me as the the drm/i915 maintainer.

The following changes since commit 2fb4e61d9471867677c97bf11dba8f1e9dfa7f7c:

  drm/i915/lvds: Only act on lid notify when the device is on (2011-05-09 09:13:22 -0700)

are available in the git repository at:
  git://git.kernel.org/pub/scm/linux/kernel/git/keithp/linux-2.6.git drm-intel-next

Ben Widawsky (10):
      drm/i915: fix ilk rc6 teardown locking
      drm/1915: ringbuffer wait for idle function
      drm/i915: fix rc6 initialization on Ironlake
      drm/i915: debugfs for context information
      drm/i915: proper use of forcewake
      drm/i915: reference counted forcewake
      drm/i915: forcewake struct mutex locking fixes
      drm/i915: move gen6 rps handling to workqueue
      drm/i915: debugfs interface for forcewake reference count
      drm/i915: forcewake debugfs fix

Chris Wilson (12):
      drm/i915: Move the irq wait queue initialisation into the ring init
      drm/i915: Simplify return value from intel_get_load_detect_pipe
      drm/i915: Propagate failure to set mode for load-detect pipe
      drm/i915: Don't store temporary load-detect variables in the generic encoder
      drm/i915: Remove unused supported_crtc from intel_load_detect_pipe
      drm/i915: Pass the saved adjusted_mode when adding to the load-detect crtc
      drm/i915: Remove dead code from intel_get_load_detect_pipe()
      drm/i915: Remove dead code from intel_release_load_detect_pipe()
      drm/i915: Attach a fb to the load-detect pipe
      drm/i915: Rename agp_type to cache_level
      drm/i915: Do not clflush snooped objects
      drm/i915: Disable all outputs early, before KMS takeover

Eric Anholt (12):
      drm/i915: Split the crtc_mode_set function along HAS_PCH_SPLIT() lines.
      drm/i915: Move the vblank pre/post modeset to the common crtc_mode_set.
      drm/i915: Remove the PCH paths from the pre-Ironlake crtc_mode_set().
      drm/i915: Drop the eDP paths from the pre-Ironlake crtc_mode_set.
      drm/i915: Drop the remaining bit of Ironlake code from i9xx_crtc_mode_set().
      drm/i915: Drop non-HAS_PCH_SPLIT() code from ironlake_crtc_mode_set().
      drm/i915: Drop remaining pre-Ironlake code from ironlake_crtc_mode_set().
      drm/i915: Clean up leftover DPLL and LVDS register choice from pch split.
      drm/i915: Fold the DPLL limit defines into the structs that use them.
      drm/i915: Use existing function instead of open-coding fence reg clear.
      drm/i915: Add support for fence registers on Ivybridge.
      drm/i915: Update the location of the ringbuffers' HWS_PGA registers for IVB.

Jesse Barnes (20):
      drm/i915: use i915_enable_rc6 on SNB too
      drm/i915: make FDI training a display function
      drm/i915: split irq handling into per-chipset functions
      drm/i915: split enable/disable vblank code into chipset specific functions
      drm/i915: add IS_GEN7 macro to cover Ivy Bridge and later
      drm/i915: add IS_IVYBRIDGE macro for checks
      drm/i915: Ivy Bridge has split display and pipe control
      drm/i915: add swizzle/tiling support for Ivy Bridge
      drm/i915: manual FDI training for Ivy Bridge
      drm/i915: treat Ivy Bridge watermarks like Sandy Bridge
      drm/i915: interrupt & vblank support for Ivy Bridge
      drm/i915: page flip support for Ivy Bridge
      drm/i915: ring support for Ivy Bridge
      agp/intel: add Ivy Bridge support
      drm/i915: add PantherPoint PCH ID
      drm/i915: add Ivy Bridge PCI IDs and driver feature structs
      drm/i915: set IBX pch type explicitly
      drm/i915: split clock gating init into per-chipset functions
      drm/i915: add Ivybridge clock gating init function
      drm/i915: split PCH clock gating init

Keith Packard (1):
      MAINTAINERS: Switch maintainer for drm/i915 to Keith Packard

 MAINTAINERS                             |    4 +-
 drivers/char/agp/intel-agp.c            |    3 +
 drivers/char/agp/intel-agp.h            |    8 +
 drivers/char/agp/intel-gtt.c            |   10 +
 drivers/gpu/drm/i915/i915_debugfs.c     |  128 ++-
 drivers/gpu/drm/i915/i915_dma.c         |   60 +-
 drivers/gpu/drm/i915/i915_drv.c         |   61 +-
 drivers/gpu/drm/i915/i915_drv.h         |  111 +-
 drivers/gpu/drm/i915/i915_gem.c         |   36 +-
 drivers/gpu/drm/i915/i915_gem_gtt.c     |   35 +-
 drivers/gpu/drm/i915/i915_gem_tiling.c  |    2 +-
 drivers/gpu/drm/i915/i915_irq.c         |  310 ++++-
 drivers/gpu/drm/i915/i915_reg.h         |   35 +-
 drivers/gpu/drm/i915/i915_suspend.c     |    3 +-
 drivers/gpu/drm/i915/intel_crt.c        |   24 +-
 drivers/gpu/drm/i915/intel_display.c    | 2370 ++++++++++++++++++-------------
 drivers/gpu/drm/i915/intel_drv.h        |   19 +-
 drivers/gpu/drm/i915/intel_ringbuffer.c |   38 +-
 drivers/gpu/drm/i915/intel_ringbuffer.h |   35 +-
 drivers/gpu/drm/i915/intel_tv.c         |   13 +-
 20 files changed, 2124 insertions(+), 1181 deletions(-)


-- 
keith.packard@intel.com

[-- Attachment #2: Type: application/pgp-signature, Size: 189 bytes --]

^ permalink raw reply	[flat|nested] 265+ messages in thread

end of thread, other threads:[~2024-04-24 16:32 UTC | newest]

Thread overview: 265+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2021-11-30 15:04 [PULL] drm-intel-next Jani Nikula
  -- strict thread matches above, loose matches on Subject: below --
2024-04-24 16:32 Rodrigo Vivi
2024-04-17 13:38 Rodrigo Vivi
2024-04-17 14:05 ` Maxime Ripard
2024-02-27 16:16 Jani Nikula
2024-02-07 11:35 Jani Nikula
2024-02-14 11:01 ` Jani Nikula
2023-12-18 16:54 Rodrigo Vivi
2023-12-07 18:59 Rodrigo Vivi
2023-11-23 19:03 Jani Nikula
2023-11-23 19:39 ` Daniel Vetter
2023-10-19 16:18 Rodrigo Vivi
2023-10-12 13:42 Jani Nikula
2023-09-29 10:49 Jani Nikula
2023-08-10 19:53 Rodrigo Vivi
2023-08-03 18:56 Rodrigo Vivi
2023-06-05 14:20 Jani Nikula
2023-04-06 14:03 Rodrigo Vivi
2023-04-06 16:24 ` Daniel Vetter
2023-03-23 20:43 Rodrigo Vivi
2023-03-24 20:13 ` Daniel Vetter
2023-03-07 22:00 Rodrigo Vivi
2023-01-27 11:11 Jani Nikula
2023-01-12 12:06 Jani Nikula
2022-11-18 21:40 Rodrigo Vivi
2022-10-28 18:22 Rodrigo Vivi
2022-09-16 12:09 Jani Nikula
2022-08-29 13:22 Jani Nikula
2022-09-15 11:55 ` Jani Nikula
2022-07-07  3:04 Rodrigo Vivi
2022-06-22 19:53 Rodrigo Vivi
2022-05-06 10:47 Jani Nikula
2022-04-13 15:51 Jani Nikula
2022-02-23 23:29 Rodrigo Vivi
2022-02-08 14:58 Rodrigo Vivi
2021-12-14 15:37 Jani Nikula
2021-10-15 18:45 Rodrigo Vivi
2021-10-04 19:01 Rodrigo Vivi
2021-08-10 13:51 Jani Nikula
2021-06-09 21:30 Rodrigo Vivi
2021-05-19 19:10 Rodrigo Vivi
2021-04-01  9:06 Jani Nikula
2021-03-16 16:24 Jani Nikula
2021-01-29 22:53 Rodrigo Vivi
2021-01-27 14:08 Rodrigo Vivi
2021-01-27 21:51 ` Ville Syrjälä
2021-01-12 17:51 Rodrigo Vivi
2021-01-04 21:10 Rodrigo Vivi
2021-01-07 12:02 ` Daniel Vetter
2020-09-18 17:30 Rodrigo Vivi
2020-08-26 23:27 Rodrigo Vivi
2020-07-15 13:19 Jani Nikula
2020-07-15 13:33 ` Jani Nikula
2020-07-15 14:05   ` Daniel Vetter
2020-07-02 18:29 Jani Nikula
2020-05-15 16:07 Joonas Lahtinen
2020-04-30 12:49 Joonas Lahtinen
2020-05-13 17:10 ` Joonas Lahtinen
2020-05-14  1:28   ` Dave Airlie
2020-05-14 14:55     ` Joonas Lahtinen
2020-04-17 11:15 Joonas Lahtinen
2020-02-25 18:58 Rodrigo Vivi
2020-01-14 11:43 Jani Nikula
2020-01-14 12:05 ` Chris Wilson
2020-01-14 12:15   ` Jani Nikula
2019-12-23 17:53 Jani Nikula
2019-11-01 10:47 Joonas Lahtinen
2019-11-01 10:47 ` Joonas Lahtinen
2019-10-21 18:03 Joonas Lahtinen
2019-10-07 13:48 Joonas Lahtinen
2019-08-23  5:14 Rodrigo Vivi
2019-08-22 19:29 Rodrigo Vivi
2019-08-23  1:50 ` Dave Airlie
2019-08-01 20:13 Rodrigo Vivi
2019-06-19 12:40 Jani Nikula
2019-05-24 17:38 Jani Nikula
2019-04-18  8:04 Joonas Lahtinen
2019-04-18 11:40 ` Ville Syrjälä
2019-03-28 15:15 Joonas Lahtinen
2019-03-25 12:49 Joonas Lahtinen
2019-03-28  2:09 ` Dave Airlie
2019-03-28 15:29   ` Joonas Lahtinen
2019-02-08 16:50 Rodrigo Vivi
2019-02-02  8:29 Rodrigo Vivi
2019-02-04  5:02 ` Dave Airlie
2019-02-04  8:47   ` Joonas Lahtinen
2019-02-04  9:30     ` Daniel Vetter
2019-02-05  8:03       ` Jani Nikula
2019-01-28 18:10 Rodrigo Vivi
2019-01-14 18:38 Rodrigo Vivi
2018-12-04 17:58 Jani Nikula
2018-11-23  9:40 Jani Nikula
2018-09-27  9:59 Joonas Lahtinen
2018-09-07 10:54 Joonas Lahtinen
2018-07-19 17:12 Rodrigo Vivi
2018-07-13 22:22 Rodrigo Vivi
2018-06-25 16:56 Rodrigo Vivi
2018-06-11 16:27 Rodrigo Vivi
2018-06-12  4:04 ` Dave Airlie
2018-06-12  7:59   ` Jani Nikula
2018-06-18  7:12     ` Daniel Vetter
2018-06-18 19:57       ` Rodrigo Vivi
2018-06-18  7:14     ` Daniel Vetter
2018-05-15  9:55 Jani Nikula
2018-05-15 10:01 ` Srinivas, Vidya
2018-05-15 13:16 ` Wang, Zhi A
2018-05-15 14:58   ` Daniel Vetter
2018-05-15 15:53     ` Jani Nikula
2018-05-15 16:03     ` Daniel Vetter
2018-05-02  7:03 Jani Nikula
2018-05-04  0:19 ` Dave Airlie
2018-05-04  0:29   ` Dave Airlie
2018-05-04  0:34     ` Dave Airlie
2018-03-12 15:06 Joonas Lahtinen
2018-02-28  9:52 Joonas Lahtinen
2018-02-14  9:22 Joonas Lahtinen
2017-12-22 22:58 Rodrigo Vivi
2017-12-18 19:50 Rodrigo Vivi
2017-12-07 21:43 Rodrigo Vivi
2017-12-01  0:36 Rodrigo Vivi
2017-10-27  7:55 Jani Nikula
2017-10-19 14:16 Jani Nikula
2017-10-11 17:59 Jani Nikula
2017-10-12 15:23 ` Jani Nikula
2017-10-13  6:26   ` Dave Airlie
2017-10-13  7:45     ` Jani Nikula
2017-09-21  8:57 Jani Nikula
2017-08-21 15:21 Daniel Vetter
2017-07-18  8:30 Daniel Vetter
2017-06-20 12:44 Daniel Vetter
2017-05-29 21:43 Daniel Vetter
2017-05-16  9:05 Daniel Vetter
2017-04-07 16:58 Daniel Vetter
2017-03-20 15:33 Daniel Vetter
2017-03-07  0:10 Daniel Vetter
2017-01-26 10:11 Daniel Vetter
2017-01-26  9:37 Daniel Vetter
2017-01-09 19:13 Daniel Vetter
2016-12-30 10:37 Daniel Vetter
2016-11-29 10:16 Daniel Vetter
2016-11-10 14:57 Daniel Vetter
2016-10-24  7:25 Daniel Vetter
2016-10-24  8:05 ` Daniel Vetter
2016-09-19  9:17 Daniel Vetter
2016-08-24  7:58 Daniel Vetter
2016-08-12 17:21 Daniel Vetter
2016-07-14  8:17 Daniel Vetter
2016-06-22  9:24 Daniel Vetter
2016-06-22 11:16 ` Daniel Vetter
2016-06-07 19:56 Daniel Vetter
2016-06-01  8:28 Daniel Vetter
2016-04-29  7:53 Daniel Vetter
2016-04-21  9:26 Daniel Vetter
2016-04-21 13:32 ` Daniel Vetter
2016-04-01 13:42 Daniel Vetter
2016-03-04 16:50 Daniel Vetter
2016-02-29  8:27 Daniel Vetter
2016-02-08  9:26 Daniel Vetter
2015-12-22 10:37 Daniel Vetter
2015-12-22 14:05 ` Daniel Vetter
2015-12-22 14:31   ` Chris Wilson
2015-12-11 18:31 Daniel Vetter
2015-11-26  8:24 Daniel Vetter
2015-10-19 13:18 Daniel Vetter
2015-10-07 16:18 Daniel Vetter
2015-10-02  8:35 Daniel Vetter
2015-09-22  8:31 Daniel Vetter
2015-08-14 16:19 Daniel Vetter
2015-07-23  7:39 Daniel Vetter
2015-05-28 16:10 Daniel Vetter
2015-05-18  8:31 Daniel Vetter
2015-05-07  7:48 Daniel Vetter
2015-03-31 14:31 Daniel Vetter
2015-03-31 22:22 ` Dave Airlie
2015-03-23  7:37 Daniel Vetter
2015-03-06 17:36 Daniel Vetter
2015-02-27 18:03 Daniel Vetter
2015-02-04 12:08 Daniel Vetter
2015-01-23 15:44 Daniel Vetter
2015-01-07  9:31 Daniel Vetter
2014-12-19  9:44 Daniel Vetter
2014-12-19 15:21 ` Daniel Vetter
2014-12-02 14:29 Daniel Vetter
2014-11-28 13:30 Daniel Vetter
2014-11-28 14:22 ` Daniel Vetter
2014-12-02  1:02   ` Dave Airlie
2014-12-02  7:36     ` Daniel Vetter
2014-11-14 16:31 Daniel Vetter
2014-11-03 14:34 Daniel Vetter
2014-10-21 13:38 Daniel Vetter
2014-10-21 23:09 ` Dave Airlie
2014-10-22  7:05   ` Chris Wilson
2014-10-22  8:06     ` Dave Airlie
2014-10-21 12:27 Daniel Vetter
2014-10-21 12:43 ` Daniel Vetter
2014-09-15 14:05 Daniel Vetter
2014-09-01  8:49 Daniel Vetter
2014-08-04  7:10 Daniel Vetter
2014-08-04  7:52 ` Dave Airlie
2014-07-18 16:36 Daniel Vetter
2014-07-01  8:24 Jani Nikula
2014-07-07  8:10 ` Daniel Vetter
2014-06-02  6:05 Daniel Vetter
2014-05-16 16:43 Daniel Vetter
2014-04-28 13:26 Daniel Vetter
2014-04-30 23:26 ` Dave Airlie
2014-05-05  6:39   ` Daniel Vetter
2014-05-06 13:08 ` [Intel-gfx] " Knut Petersen
2014-05-06 13:30   ` Jani Nikula
2014-05-06 18:59     ` Daniel Vetter
2014-05-06 20:04       ` Knut Petersen
2014-05-06 20:17         ` [Intel-gfx] " Daniel Vetter
2014-05-07  6:38           ` Jani Nikula
2014-03-28  9:05 Daniel Vetter
2014-03-17 10:02 Daniel Vetter
2014-03-03 17:39 Daniel Vetter
2014-02-14 13:30 Daniel Vetter
2014-01-17 16:57 Daniel Vetter
2013-12-20 21:42 Daniel Vetter
2013-12-22  6:04 ` Ben Widawsky
2013-12-11 10:20 Daniel Vetter
2013-10-24 14:56 Daniel Vetter
2013-10-14  6:50 Daniel Vetter
2013-09-27  9:02 Daniel Vetter
2013-09-26  8:48 Daniel Vetter
2013-08-29 23:18 Daniel Vetter
2013-08-20  5:21 Daniel Vetter
2013-08-04 19:35 Daniel Vetter
2013-08-07  0:27 ` Dave Airlie
2013-08-07  7:51   ` Daniel Vetter
2013-06-08 15:14 Daniel Vetter
2013-04-15  7:56 [pull] drm-intel-next Daniel Vetter
2013-04-15  9:43 ` Daniel Vetter
2013-04-02  9:34 [PULL] drm-intel-next Daniel Vetter
2013-01-29 14:19 Daniel Vetter
2012-11-16 17:17 [pull] drm-intel-next Daniel Vetter
2012-11-16 17:47 ` Alex Deucher
2012-11-16 17:49   ` Daniel Vetter
2012-09-13 14:18 Daniel Vetter
2012-09-17 23:09 ` Daniel Vetter
2013-03-15  2:11 ` Stéphane Marchesin
2013-03-17 19:46   ` Daniel Vetter
2013-03-18 19:35     ` Stéphane Marchesin
2013-03-18 20:59       ` Daniel Vetter
2013-03-18 21:00         ` Daniel Vetter
2012-08-31  9:03 [PULL] drm-intel-next Daniel Vetter
2012-07-13 18:55 [pull] drm-intel-next Daniel Vetter
     [not found] <20120621082533.GB4704@phenom.ffwll.local>
2012-06-28 12:05 ` [PULL] drm-intel-next Daniel Vetter
2012-06-28 12:30   ` Daniel Vetter
     [not found] <20120506190931.GD4756@phenom.ffwll.local>
2012-05-10 13:55 ` Daniel Vetter
     [not found] <20120216172744.GC19158@phenom.ffwll.local>
     [not found] ` <D9F66AA509623343B6A9A3D4502D5A520FCCA1B7@SHSMSX102.ccr.corp.intel.com>
2012-02-23 11:00   ` Daniel Vetter
     [not found] <D9F66AA509623343B6A9A3D4502D5A520FCC730C@SHSMSX102.ccr.corp.intel.com>
2012-02-14 12:36 ` Daniel Vetter
2012-01-05  3:35 Keith Packard
2012-01-05 15:24 ` Daniel Vetter
2011-10-23  7:27 Keith Packard
2011-09-20  3:55 Keith Packard
2011-08-04  3:14 Keith Packard
2011-08-10 16:20 ` Andy Lutomirski
2011-08-10 16:34   ` Keith Packard
2011-07-13 16:39 Keith Packard
2011-07-13 17:22 ` Wolfram Sang
2011-07-13 18:04   ` Keith Packard
2011-07-13 19:41     ` Wolfram Sang
2011-05-15 21:29 Keith Packard
2011-05-17 22:00 ` Keith Packard
2011-05-17 23:39   ` Keith Packard
2011-05-26  4:13     ` Keith Packard
2011-06-03 23:40       ` Keith Packard

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for NNTP newsgroup(s).